>EED77006
MHMRNTFSVRSIRRYIHLYNILRRDVPGVTSSRVRGIRLNGSLESERASARAARAMRRRTVGPPGGSRRKARSRA*
>EED77007
MRRSFCSTRHSYSCSATPKTNTTSH*
>EED77008
MALLFISSWL*
>EED77009
MLX
>EED77010
MSTLAEFSYGLSTLSVSILKKAREEAAKTHQYSSAETEAPSGRQRYRCPSPKPAGSPGCLIAYMRIHRLATEFRSARRETRGASDAVTNADAGAGCNVQQTNNDKRLFSKSGALPRPRQPMSLDIYTGTSPCR*
>EED77011
MKRLTSGGEPSGI*
>EED77012
MQGAVNSIDTATPSTPPSKPRKIVRSPYFRKSNGKRRTRQHAENVGHGHSHSDAVSPGIPSCYFKHSSSGKLTANSTVTEVSARLLPWYDGAEFEDALPLFGVAGGERSANDQVDIALAHFTLLHDAAFSHFCSDFMRAYRGLYDAKPILIQEYVAHDPWKLLVAVTLLNKTAGTHAVPVFLELMDAWATAHALAQVPQGVLQARIAHLGLGRSRSERLIALSQAYCADPPVRGVMRTSRCYLDVGDGVKRQRYPPTEASHLPGSGPYALDSYRIFCAGEDEWKAVMPRDKELVRYL
>EED77013
M*
>EED77014
MRSHSSQSYSTNSSSSSSTYISS*
>EED77015
MAGDLCGCENMVAFTRYGDKSRRQRRLMQQALGVNSIRAYQPLLEVETQDLLKRLLLDPTTX
>EED77016
MAEGPQAIHKAVAACL*
>EED77017
MQTNTQYGHR*
>EED77018
MSSPVTTPNKETLKLLLPLQYDGKTIKECDRFLSQLRIYWLVNMLLTTIELKVQVALSLLDGDARAWATPYFTQLTSVQMGVQGITTPFRNEAAFTAAFKARFSNLDNEAVAQVELAKLCVDKVRRTVPGMGTWNYATSVVQSNSDSDIPFHVYRKIELKTFTTWKDAEKHATEVEQILDISWAHRPELNNFFCMRSRTQRGTWWCTLVTQSIGQHQCGRWKRKLPRQLLWLREARCHELARHLAIF*
>EED77019
MPATFARIRGAQGFQQSNPSVLATAALLGSLGVFRAAGGMGPLRARSVRLTGYLEALLRASRFFVDVQASEGGRTRVGVTIITPADAAERGAQLSLLFLPVGRGVMPRVLQGLGERGVVGDSRRPDVIRLAPCPLYNSWEDVERAVSVLNEVL
>EED77020
METESQMPWGMLKTDTMRAILKDLGLAAYTERHEEMAQRLQQVEKDGLDAVIKRLERSQGGGSGAGNQRARKRKLGGEGSARLSSRGLARKDSKPIFEGVVLPAPPKPCRQVFVGVVMPSLPRKMRRTSLKRRAESDDEGLQYGSLQTRRKGRRTASFPVTNSDGYEN*
>EED77021
MRAWQFAEMNHIAEVNGWTPFISVQVEHSLLYRPEELEMFAYCGYKGIGIVSYSPLMDGHLARPLNTETFRSKSISGTFFEKPRRESDKKIIKRVEELANKYQWKMSQVALTWSASKVTSPIVGANSVERLHECIVAGKALSAEDVKYLEELYEHQPPRF*
>EED77022
MGDNKTGGI*
>EED77023
MESTPRASMLDLDVDVMLLILAHLSSGDALRLAAAARALRPIALARAYSDVTLTRAAQTAAFCAHMLADTPRRPCSMRRLDIRHRAVEDEAYSWNARRGRKLLRALAALIRDARELRALTLPAAEMLLLAEPHVGAAVASLPRLARLHLEKAGSSALGMLRAAQGPVEDLRIASMIKVVPAREVLSGVAALSYLRTLHLHCPDAWMNASREVLSGIASLPHLQTLYLYWPFKWTDVLTTETASLPSSLSLRQLHMYCGGSSISAEILARIYPNLEEVDCVESNWQWEAX
>EED77024
MNHARDARGHYHYQTLDVNYTDLVELETQLLERMDQDATFKGAFWEHELRGTKDATGHEFEDVDAHRDRFESFISILNMDRVVPAEWCVDVAVEISIAGFNVAWLTVAHSRILAYALPDVHPDHLTAISRNKSKFTSDVVAHLDGIAGCRVDPGRYCGSDGVSYINVYTTDKSNTYQQWLGSFARIRTAALLPKGIKALLRSMSIMGEAFAACRTTSCRAGDISQDGHARFEVRVPIANALTAMTRNMDDLIGHAVICIPNQTWWHFKFLRMAALNYVIENLEAASFTSRCWLESLALGANAVYMINALIYRPSSQRLETILRDASSQRVMSADGVVTPIGAARX
>EED77025
MNVAAGPELAAAVTNAGGLGVIGGHGYTPKFLRQQIRAVKADLKDKNAPFGVDLLIPQVGGNARKTNKDYQNGKLPELIDIIIEEKAALFVCAVGIPPKWAVEKMHAAGIPVMNMVGHPKHVPRALDAGVDLICAQGGEGGGHTGDVPCSLLIPACVDAVRGHTSPLTGRPVYVVGAGAVYDGRGLAANLMWGAQGVWVGTRFVASVEAGAPKAHKDAVVRSGIDDTARTVIFTGRPLRVVRNEYVDDWNKNRQKEIDELTARGIIPHEEEVRKHPEKHAKAITFLTGQVAGLIHD
>EED77026
MRHVVGVAPPKDQQKGKAKVPALKPRFVMILAYTRVHAPRRRDALASSTKHIVQGTAIAISIXQGAGRGVTAERKTAFTVTVSVASLTGSAILSCILEVKTSKYDLGVFLKEAAEEGDLITEYIGELISEPTFDARGQLSKHRGRSYVYGLDALLNIDSTYAGNEARFINHAPKKTANCQARIFLVNGDRRIGIYAX
>EED77027
MISRRLSRRYNPRRSPRRRAPNPAAHQ*
>EED77028
MAITLLASPLGSSCATT*
>EED77029
MPPTALH*
>EED77030
MPTQMLGRPIIKKQTGYSLYRPSAIAIANTLADIPFSAVKVFIYNIIIYFMSGLARNGGGFWTFHLFVYLAFLTMQGFFRSFGFMCSNFDAAFRLATFFLPNMILYVGYMIPVFQMKRWLFWIYYINPLAYAWSGITENEFGRISLACDGSYIVPRNPPGVNKYPDGVGPNQICTLYGASAGQNSVAGRAYIDSGYGIDTDDLWRRNFLVLLGFFFLFQLTQVLLVEYYPQYFGSSGATVYAPEDDNLKALNAVLKERKAHREANRGTEDVEAGVSKEX
>EED77031
MSTPIDATVTADNLSANSTGDAITNAQVGTPVRFPPLPTPPSGVTIQLFGSTLIDVTITTGNPTADSVPAVAAATNTSAYATPWIKFPPFPTPPSGVEIKPFKSFQLGGITVIADPPLGYVELDSQKIPTVQLLVKHDLTAMEQKKRRTLKTKTGADGSMRKLTWWEQWEADETMRRVTRVQDNPIMACYHFRSTAALGCX
>EED77032
MPPAASASTFRTSLTARYEHPHRSSC*
>EED77033
MSINLDALEPQIREILTAPGIDLSTISARRVRKQLLEVDTSLTADSLKENREKIDELIANIYEQVSAEASRGGSDEGESGSSGKPKRKRAKVEDMDVDDGEEEGEEEDDDETRPAKAKKGRKNKALTDEX
>EED77034
MTFVSYLNTTTAIMLLVETMLFCRLLARIIRKRILHHIFGHLIVPLIVIKFARVANLACTVFGSEIGRLDSTFALRPSSWGHPGTNTEWLMQASDHIRGFEDDERGKGIYTVFCYKDHIFLLMSNLPSSSFQGTLTISASSVIVAPINKVWQILVDFTSYAEWYVQSQTFTHNEDILRLRPESMFRNTFVHKQRIVDRTSKGPLPDQTPREGTYLLMEVQIPPTSDRSHTPTSRPLEVITAVDPAAHX
>EED77035
MPGDSPVMRTAAEPFDDATNTDVIIRTSDAVDFYVDKFILSKASAFFRVIFTLPQGPNAPDQGTVETGKPVVEVTEPSAVWEQLMRVCYAATAFAPPEGIDYIWPLIEAARKYQMDG
>EED77036
MLKRKKHRALLGRPRSKRWRRRSGSWLVGAVMRAMTSPRRKRRRSPISKKRWPSTRRAAERTRRASEGTRATCSPRSTASGARSRAPRRTRLRVRTPTSRW*
>EED77037
MAIQDCKTIAVSLSYKKALGLLELTSTHLQWTQDGKKAPTVRVPHAEAASLFCSKEGASQVRLKLGLVGDDAGHNFTFTSPQPVALVEREKFKAELTNIISRNRSGVSTPTLHAPGTPTVPTSINAASSKIPVTAARTPHSRAASVAGDGRASATPVSDPTSEFRLRKKVLLGNPELAALHRELVMGGHITENEFWEGREHLILAQAAAEIQRRGKPGQLVDPRPQTVDGEVKIVITPQLVHDIFEEFPVVAKAYSDNVPRK
>EED77038
MLAAYSGHPALVTGLLARGADPDRANDTGQTPLAGAVFKGEDAVVRALLAAGADPRAGTPSAIHTVRIFKKADLL
>EED77039
MFKRVNKRLQKQQKDEELGLDADTKAALGLQDDSGSDDFLSSDSDTDDSDPEDPNEEEGGEEHLAAGESDEEDEVEDEVDESDADEPPVSLTEALKDPLYTISLEPEIKACVSCPGRLLKNPTMEEVHRSSN
>EED77040
MAPAISTLAEFSYGLSMLSVSILKKAREEAAKMHQYSSAETRRRIIDEFTRVFDGRQPYDWQIDVTEAILLCVDCMVIAGTGAGKTMPFVMPLLLDETKKKMVLIISPLNELEYDQAQRFKKLGITATAVNGDVYNTKLHKHPQFLRLLRTPDFMKNISAVVIDEGHCISHWGENFRKIYAELGKIRSFVPLHVLFLAMSATLPPLVLAD
>EED77041
MSATRDQMATQQPQSLPSFSQTFNAPSLSRLASNDNALPPIHRLTSPHGRSRGSPSARMSPQRTEDTRQNQRKRLHADTSPVEDRDGGADSEYVVAVPTTVIAVPTAVVADNSTRQNQRRRLHADTSPVEDRDGGAESEYVVAVPTTVIAVPTAVLAVPTAVVADNSTRQNQRRRLHADTSPVEDRDGGAESEYVVAAPAAVVADKSAVGSSHRSPRAVRIKANDTRQNQRKRLHADTSAAEDRDGRAESEYVVAVPTTVIAVPTAVLAVPTAVVADNSTRQNQRKRLHADTSAAEGRDGRADSEYVVAAPAAVVADKGAVGSSHRSPRTVRIKEEADNDPLPTSTSELALACTSQEASDQAX
>EED77042
MRDSTNSRRERGARNGMEKKPRAERTRTCRTARSRRAHRARPCPRAAPX
>EED77043
MYAQGVLQFQCFAFECVGFNHELDATFHGRTQSMGTIIPIPQNGPTGSKPQKRSHDEERSDQPQKKAKTSKKAKTSKKAKTSKKAKTSKKAKTSKKAKKT*
>EED77044
MSYADTISLSLSTSVLFNYPFASFARLPVSLTISLSHFSSSVLLTPPQPHAQHPTVTLNLPSPGTDFVLNIQTKSLMGSRAKLADVPKLHELITHQIRRVLLEKGTWKIVLPGLASVSEVKEDVKREQQAGELPVN*
>EED77045
MPGIRSQWVQGLRNGPPRRGHGGLHARGH*
>EED77046
MPGLRPVGQYINNNQHINGASGSNNATEAPQDGALLTAGTSDAPAYIFKRRRSSTKADRKSTSRRSRAPAVIATTPDCTAQGPIRCKICKKKFAHRQNLNRHVRTTHLGGCKWDCIICGTKISRHDALRRHLDNIHKLSGLEAKQIITFVGEKMYAAM*
>EED77047
MSCSERGGGGKQPACPLVGGLEATAAVHSLRLAFTGPPKGWQGRHMLVVRAARASRGWGGRRLGGACIEAAVAGYSPSAINPYKFLQILPKVHKMAYKETPLPRALEHDFWPDVLEVVNYPKVAHLMNMGKPMTKLFNAAKDATIPGHSPDQLLAAX
>EED77048
MEDAETQTMTSSMLALHEQRFRTASPSRKRARVDDELADVEEVNQELQPRSSKDAVRDDSYYFPDGSCVLLVEDTLFNVHRSILSRDSSMFSTMFTLPAPRGQSIPVEGSSDDHPIVLAGDIAAEFRNLLWALYALPHELLVVHTNKADLTQLMDIAKLSNKYSFRSLETWALDAVNDLVTRNPPPVFTLFPFAPFADEKQRIAPSDAQIAGLMRLAHTCSHERLLGTMIAVLRRRMCSSIQYAYLAMNLADELDLRELRGIAYMEVLQKHAIFSSPQAALAADEATDNEDEDSMDDNAATSSTEGLQLTSAQQLRLLMGYYRLSEIWEKLRKTPLNIGHSASCSATRHQHGCTQSWLEFWKGKTRCDAVLEIGPADVPGRLKAIGKEFERWGSATYMHPDCRMTAKRKIQEKAKEIEDALPEYLSX
>EED77049
MEDKDLSKDVREAVARENNRWKDFTASGMKGKGNLKEERALHKQTLQDLEHAHIVATSAWVPRYYPLGQDLEGRTYYALTPSEAEREAASKLIAGKDGRVKLHRKRGALTEEERREMQRWSWFIAVWGQLPEGAKVAKTDDEDDDGVDEGGESWWGFWDPAEVRKVAEWIAVKCELGEGKPRSQAALNTDKGKQVDRSSTYDTLASSLAGSREPSPLSDLSSDEEENDDENNDEEEDLYAVPTRRELRGLVKRLKEYADLLEWRIRRVGGEGSGEKNQDVLEPIPPKRFYK*
>EED77050
MSVNAQRPVNRLPVEILSKIFHQVPPSLTPRVAYGCSLKYYLVWDSFFDFKDTDALLPLTHVCRWWRDVALGTPTLWTTLYGSSHPDAIGEYRLRSQGAPLKVLNIKNKNLDVQQLWRTDGQRIQSLASYKGRNFDLPTSHAHGLHALAAKNCLLQGDVSNLKALVLRAVDWHLPSTLINLTHLYLVKKRLRVVDMLRILSIAPKLEDLSLHKMSAEEAFDAHEDIPAVTLQHLHRLVIHRPDRKLISGFFSHVGVPASLAINLEDCEASDFQWLVPLTQNDAKSLYISAYTYSVIVTGPSKAVRFSSKLGSDPMDQWIAALLSHFQLKELWIASTYGSGELEEEIIKHTPWAETLHLDSFACMTMIGALLKYPTWWPKLTKVVLSHPCQPIEILKLAESRARLGCPLEELECHESRSMISEMFSLDLEKIKSYVGVVRLIEDGPIALPLPDVCTDGVPSPHFWPKEWSAMPNHFLP*
>EED77051
MAIINEEQPEVVALDFESLPEGTWKEGTEEYATLTLDKAWEHLGLGSIKRIPGFAEKLDLNDTYDPWSIEGLEVLRSEEAVPLELKWHQVIGVIKLVDNLLAGKPVLLMDEVGIGKTMQAVAFCLYYDYMRQYFDEHGHFPGKWHRDSTAMSSDSEVAFRLADKKMRDARKHGDVREKGRYRASTLYGHKFGVFALDESHVVRTLNTIYTAARQLRIQSETMVALTATP
>EED77052
MVIRIGAGEAQKALEDKKGGQEGKETNVGFSRDAAGRRDHRCGQIPKTTVWRWAVVKDMGQCGSSTYARIEQCDVPWCGNCSHCSVLAVTSSELLPPQIASNGEYDGATSYNGASFEERLAWRPVVVVVGRGRSICGLARSHAKWEMRQWRILAYKIIDSRLRGRPYNPLTANCQVRIYGVHGILWAPLLVVWSHH
>EED77053
MECAPFGINVVHVSPGGIRSNISTNSRAEFRLSSDTLYTPFLDSMLRRMGMSQQNALSAEEFSRRVVRQVLSKRPPRYMTLGKASRIFVFCSWLPRAVVLWLMWNTLVVDYRRPTMPSAMDYNGYEFNKSRMMA*
>EED77054
MYYNYNVLRCHAPGNFIFLRRDLRELWETNRLLMIPHPDHLQELEHCSVYKYCIIAEEEHPPDSCATMNNLITPSVMTAPCSYRSLGWHELNANILLMTFRAGQKLSKRPLHYHYILRDLLPHKEINHAYTIIQWHGTWTAPLTCELVPDRRLWETGELSPCPKNYYRPPRMQYCPPLLDDDTVRFRCPFRPILSGIKRRRLGDTSGSGQDYIGDAEREVSIX
>EED77055
MRFVQRAASVSRTWRTTALNTSALWGTVVITRPRAPPLTVLTTLLERSRGAQLDIFLDLYHTGPRRQNVQRQDGPTYIKDAMQLLRQHIQRWRSLDLTWGMAFQWSDELDYMYGPFLTGTADALKSLHLSCVCRFIHQDDVQRCDFTKGLSAPNLRSLDLDVPSATDLNSSKVTARFPSIQELRWRENCKPRWFHNSMDFMRMLGPLRDLRHLTLDNVEVEDVKNEPLDDDEALVCLPALETLTFCDTNFATIRDMLSIVTAPQLRDLTILNLIHEDETASFHAFWQQPRRFPLLRTLNLEYNVDGLTIEELIDLWHHFQFFRSARIIHTFARDSNDFSMEDVLEVLSNAQEDGRWLFPSLTSVAIYSKSNLATDGLRQLVGNRQETETEVPDIGVTGLQTLKIYAPAMVHSTDSDYFVQNLRHFDWIQGKPPPGCDKQHLSMASWAMQLTVYLRLMR*
>EED77056
MSNLPSPSFQGSLTISASSVIDAPIDKVWQILVDFTSYSECSSKEPLPDQTPHEGSYLLMEVHIPPTSDRSHTPTSRPLEMITAVDPTAHRVAWKNLMPSWFLRAERWQVLSVVEDGKTLYETREVMAGPGAYFVRALLSSGLQQGFQAVADGLKRQAEQS*
>EED77057
MTVLL*
>EED77058
MCALSFNRQHDLKRHRDTHTGEKPFQCNGGCGKTFTRKDALKRHQ
>EED77059
MAHEMRAALQIAAQMHAQYEESRVRLWHPHLSLAWLGVPPGPFIADDGAAALQLHAVAAGAALWADTDTPGGAASAFDLTAAETREGLVEQGFAASSPRLPGGLSREILRQRERRGARRSVAELVMAAWSHPRVLRARIYAARVHRAVLHSPHLRHGMKNAIGVAVLSFPAFLPLDSTGRHNIIGNIWLCRCGCPIHIYDYENLISAFGGTCVLFLSDASRTLGLLSQLYMTLSQ*
>EED77060
MDSDVLRDGSFFYVVTEPELCRLVPVELEDFGALNAALSWASTSGMGPVETEIYAAQYDEVPSRPRCLNVFFVCTNYVVKITSVELEASRHEPLCGWRLVLGVVVFLSFSYLIFQAVQYHREASAAAASEAKDLEASTITEPDTERKSCSISDDSPPPVTEEIYKPGPDEVVFDAQSAHDDRAVQEEPQSPAMAHQGLSLTNAVLGSPVTGTLDSSFGTPCTPSLAGSSSTASSASLASQSTGSLSPSCSSPGLVDMLLSALMSGDEERLAAAKAKLAYNPTDDEDWEADSDCAASLSSSPAREPSWVSVEVPSLSYTDSDSSCSADSPSVCLIDAASVHSVGAASTCSQDARPVYATETTKTGVPYLDFLLSLPPPPKYDTRVPRSPVAVKTKNVKPEPEPVFTSSASWRSSIDDFGAVGLAF*
>EED77061
MSSMASHLSASETQPLLGDARSDGRSPSSSSSSNHSVTVADESPDASVADLPDQALISHGLGALVSSLLVDSVPGMSAAGRWAEAHLEFTLTIAQGWCVALGGTTALDTLGSQAFTGGDRLAVSVHLQRCLVLLWLLFIPIAILWVFIEPVLLALGQEQRLSHDVQSFLRILIVGAPGYIGFESVKKYLQCQX
>EED77062
MKSFSSLVTLSLFTLVAIAHDGHDHDTQMPLDYVKFPYEAVYPGDNEVTADSIFSGIATFAKLPWVQCLGKDKTVPFDVAFIGAPFDTGTSYRPGARFGPAGIRAGSRRLTLYGGYNVPLEVNPFLSGLKIVDCGDIPVTPYDNX
>EED77063
MSTSIYIQTTVLPIDGSGGAVSPSSVGPASATSGHPKTPQILGVVFGILGGLLLLLNVFAYWRRFRRRNRSKRKYDEWIERRTFQTPASGEPASTTLATNPQSASTDSLYTTEAYMSESVNSSPYRYGAVARS
>EED77064
MDLPGPDAFEVIPDSTLVVARTAFKNNSSRYTINSRQSNYKEVQTLLKGRGIDLDHNRFLILQGEVESIAQMKPKAPSEHEDGLLEYLEDIIGTSQYKEPIEEALTDMDRLSEERTEKLNRLRIVERDRNALEAKKKEAENYLRMFNEHVRALSRLWQWYLWQCLMNAEAFEKKIEGLESDLENEQEQNRDDIEHYEALKKHYNERVAIYEEVKAAAAEAMKDLAAQEKQQVSLDEKRKHANSKAKKLKKSLQDDEHTRSDALRAIEENTAKIEREKAKLEELEESLAQEEDVLEQIRDSLKX
>EED77065
MQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED77066
MPVSSSALANWDGSLSSPARHSAQSSHFPSSSALPAPAIAPLLRVAHKPASAASSSSELALAMPPPSSPLFFSRHRSETSSSEEKDRLAVAVMLSANDSDEASPTDDARDSANANVDHSHKPNAKETSAAFTALGMPTTLPLFLPSDSPGLDDFPDLQYPDEEDIGDPDLQTTEVPAEVIVTTVGMDLEATIKTLLLNMPATAPGAHTVRADATADSFPGEEAQTPEPEMHLMDVDDEGATENAATIESDLYHARDHMSSAAIAEESENSDFDFIVDRVTEEVSRSLARQEKRNAPMHRQQLVYSNLRVRKQGLMRQHYMTGWSITYCSHVPLAVTIAAECVSKLKDTTAITDSEYLHLPFSPVSGPLFIAHPATLFLPQTSEAICRSACAHARRSKEX
>EED77067
MNHARDARGHYHYQTLDVNYTDLVELETQLLERMDQDATFKGAFWEHELRGTKDATGHEFEDVDAHRDRFESFISILNMDRVVPAEWCVDVAVEISIAGFNVAWLTVAHSRILAYALPDVHPDHLTAISRNKSKFTSDVVAHLDGVAGCRVDPGRYCGSDGVSYINVYTTDKSNTYQQWLGSFARIRTAALLPKGIKALLRSMSIMGEAFAACRTTSCRAGDISQDGHARFENFGSVLYRSEWSVIVSQLGVDSRTTVRRELKRKFDDFIWIPYASDRIWATTPQRGKIWRQLPEGPRVCAPHLYVNPRFAHKHFTLRAASNEIEEDSDVDST*
>EED77068
MEHEIDVWIAQLSQCKQLSEADVKRLCDKTREILMEESNVQPVRCPVTVCGDIHGQFHDLSELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVALKLRYRDRVTILRGNHESRQITQVYGFYDECLRKYGNANVWRYFTDLFDYLPLTALIENQIFCLHGGLSPSIDTLDHVRGIDRVQEVPHEGPMCDLLWSDPDDRCGWXISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLIMEGYNWSQDRNVVTIFSAPNYCYRCGNQAAIMEMDEKLSYSFTPVSVFYIGRPQLYR*
>EED77069
MAYKETPLPRALEHDFWPDVLEVVNHPKVAHLVGVGKVYIALEDTSSKAMTKLFNAAKGATIPSHSPHQLLAAGGLCLEAGDFLFSRDMHGPHDPMDDVLQAYSVPIPAIDPELISQLTQARDDLLGPKEDRTASPAVQQGDNTWTGGVQWECSDLTTPVTGSKCSYTLDTTHQIQCKASIKVGIKCLEWGTPDMALNLKHNAEVHNVQPIDCGDASGIVNYVFPTVQINISNTKKLDDDALQSFQQDLGQFGSVHTDHNDSQAGLTCMINHPDLEDGDQAGVFVLMELGFFVKQHSLTLAVFSAGPDHELIRLTPEHINPEMAGIAATNHGNWAADGLSLTDPKTYSSWFMHAVAQQNFHMLNQLDPALCPQLDLQKFLSAFSITIGDEVFALNDGWDLGSGSTAYSEVLQTTRADALELFEVQKCTQAKFIASIARNANPEPEPTADASVCTLGHLYAKFKSSQAHYDGESERESLQAEDSSSDEDFEDSGPTSVGLGDEVTNTIHLGGVGQYWQSNF*
>EED77070
M*
>EED77071
MSASNGSTSPISSDSVSCPYLTLRDSSLRPVPVSVSHTRHSVWSGP*
>EED77072
MKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWEPGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKREX
>EED77073
MRPVFVRSSLEAEKGRFGVNGTERVNLLELEEEDEVAIAGYIGERRAPR*
>EED77074
MQPSDNPHVKFAMPMANGATLKVPLQRIPNIAFGKLSHRGQSRLFFPALWASGEHLWSITQATYAKFYDTILLPSIRHVSAVSAAHWPISYSSAMNHARDARGHYHYQTLDVNYTDLVELETQLLERMDQDATFKGAFWEHELRGTKDATGHEFEDVDAHRDRFESFISILNMDRVVPAEWCVDVAVEISIAGFNVAWLTVAHSRILAYALPDVHPDHLTAISRNKSKFTSDVVAHLDGVAGCRVDPGRYCGSDGVSYINVYTTDKSNTYQQWLGSFARIRTAALLPKGIKALLRSMSIMGEAFAACRTTSCRAGDISQDGHARFEVRVPIANALTAMTRNMDDLIGHAVICIPNQTWWSVRGKIWRQLPEGPRVCAPHLYVNPRFAHKHFTLRAASNEIGEDSDVDST*
>EED77075
MSTTSHFLVTLLSAR*
>EED77076
MTEGIESTFGALLIGVLVSAMFLSIVWSWKGTIISSVQVAMHLGQIIHGPHLATGCVYLQLKLLSSLLSGHDFSTVVASYEMQVIAKVWMTKVAEILPRTDVVSPEALLGQKLPI*
>EED77077
MSDLVGRSTARKTEIEKMEAEIRKLARRGGDESDDEPAKKKAKKSYLEEEMAKYAKGRGAHKKGKRRDESDVLAALNSFRSKIKGAAPDETEGADPDVEMVDGEQPEQKAPGEEDPGVEVDDDTDFLTHALHFPKDNNEEVEKAERDYEVIDPRQRARCSCETTGQRDA*
>EED77078
MPFFSPAATPPTKLGRYRQLAPRAAIHVSPLVLGGMSIGVKWAERGFGAMDKESSFKLLDAYFDAGGNFIDTASNYQDGTSEETIGEWLEARGVRDQLVVATKYTNNFKMGDPSVKQYVNYMGNNVKTLKLSFEASLRKLRTSYIDILYLHYWDLHTSMEEVMDGLHNLVAEGKVLYLGVSDTPAWLVVKANEYARAHGKTPFVVYQAAYSVLQRDIERDILPIGYPTLGVGSDASLSFE*
>EED77079
MLRLTRGAQSFSSISSAPSTSSSGSSRAHVPIRSPL*
>EED77080
MLQQHEIKQDRLNFALDKLWEMGYKSEIDYLSLMDYKPLVKCLRGKQRLTERGWNKISHKLIAIVQDIRDEEIQLQRMEKLRERLKSLRSLVGGPWSKSAWSSKVDYEPRFEDVVVILEVQRLIEDPTDMQPAQLEKTLSALLPVLSKRWSADVKQQLTDLLEPLAKPTEETNILASAIAFFACTKCNDLVPHHLVLRHDCLRSECLYPNEPSDEYRSAVDDAICWDAGNGAWSISRLAAPPARLHTKVAAILALSGRDPFQVTWEDMSRLDVYVTYPRPNGRIIVKVWHEAIKYEWKREKRGDAPCVFDGRVAPKAERARKKHLDCSKTKKFQGSCCLLGLHGQNMSRAATLRPSFTPDPYNTKQ*
>EED77081
MSRRHSPDLHRHSCTGASSMMQMDY*
>EED77082
MAQLGMFVPARQARLCPVDAILTRMGAYDNMFSNASTFKVELDECCKILRDATPQSFVILDELGRGTSTYDGMAIAGAVLHQLATHTLALSFFATHYGSLTDDFAYHPNIRNMHMETMVDDEKRELVFLYKLIGGAASSSFGTHVASLAGVPSDVVERADIVSKDFARNFKEKTDKKKDKVSGRLPLVAQADFAYLYGLAMGKDELPENRVRRKAILSTIKGAVRNYLIAEPSAS*
>EED77083
MASAIPPTPLSPSVGARRKGAKALPRLPLSAFTPPNTGSSEAFPLPPSPSTLQPEELVDAYVIAPDGDLSEWKAQAGETLRRKTKGVVLALPQKEPAEVEKILANIRSGSLDVPVVAVLVPVIPAEGEATFTPPAYLESPGSSTPALVPSAIFTKNEPRVREALQWALKTGHTVHIDVQCDIREVEGGWDALEEMLTSMTISKDVKELHGKIVVLRVLVPDASGRAQASPMVAQPSTESEASTSVVHGTHKKFYTVPEPQDEQAEEGNKTRAAKQVLLVLLPPDLQILVLFGKHGRAARIGIVMP*
>EED77084
MYKHCLIKLVGCLVLLGLLPFVHEHALILSFLPTIITSQLRAPPCPPPSIVAACQGQIHTFDSQDLIDVYIPDGPETVLYHCEQQPCPNQTPQSIEQDYPRYKAIRRAQHSLGPHSTLTSRSASRHSCPVSPSSHLPQTVAGPSQARGDLPPDPAPEPEPEESASKEGVSEFESADPARPALPTALAPVPTSGGPPQPPLPPCHPLSPPLLIMSSPSTALNKETLKLLLPFCYDGKTIIECDRFLLQLCIYWLVNTSLTTIELKVQVALSLLDGDAQAWATSYFPQLTSVQMGVQGAMTPFRNEATFTATFKARFGNLDDEAAAQVELAKLCADKSVREKCTAAEFSMLFKGPADRSGYGDLELCDKYSLGPADAAALTHRPPGPRRHAAHRPAAHGPTRTACPKSSHVTLGLSLAHHRFX
>EED77085
MAEIHAAATFILHGTSSTPTTAANQTIASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHAMHNHAPAPRQQAAVHVHENSGGEQTCHYCGNRGCRVGTCEFAEIDIQDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTMTAVPKSAEASGAGTSKGVAAPSSTSTSTAPPPTIPAAAPAPSSSPPTQATSRPTTASAPPAPPVHPFANARDATYAPPNVRNFTTPPGILR
>EED77086
MAFPTAFPMAFPTAFPRVFPSGIPYLVFPTQQVDTKPPNPSTQVIRVSHSSLQQDRSTLNRLTLKKNAALKAKQHSEVPVHQRRRWNAEAKAATAVENRQRPPKVNHKAKKILHGNVRCNWHKNHFQQQNKVVIMGKRTPKVRCCQNVCIKRRNRLIAARDLAHCLTVASFNVGRKTTDRQKWSHWDCLKPGQLFFHQRVDNAGTIHVSALGGFAQLPVTQQNVVTAAIEAAVPGAAPPALGSAAEIARRASKAERRRISKQTRSDVVSLHACLAKAHRILGKKNFVSDSIQLI*
>EED77087
MAQVSPFPDFDQFDLIIHTSDDVDFRVHTLILSMASPAFSSMIAMPHPSASATDNQDGLPIVRLEEDARTIEYLLRTCYPVKDPVLPKDLKDVKAVLAAALKYEIEPAIVLAKNALVDPDLIKLKPFGTFLVAYYFGLKEAVLIAAQHALMVPDLTDYFAEVSPSPELDGIHGTAMVRLMQWAKVCKAAAMMLAFDKYWWQNQVRQPVYRLCAACLPFNARGGDPYEVLQIDEELRLHIQAAVGKVQKM*
>EED77088
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHNPEATNATDRAALEAYLSARHEYDEAVKAADEAIDHHKRPRSHPLSPKQRRGALPSRLTGNPTLVGPRREAAGDADRLDTGYGTVQTYDAQDAEKKPLDTWSEKPARRVGVVVDNVFLEEIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPIVGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEKRRRLGAIRRW*
>EED77089
MVTHEPGPLPFSPSSPLSRLGLRERQRPSLTKRPSSRRSKPVSATSTTPPRHR*
>EED77090
MSWRSTRRRAM*
>EED77091
MCASGTHTLTSKAHSRMCASRKHNLMGKAHSRMCASRKHNLTGKAHVQRWPIPCESTSICAPMVSAPCVRPGSTPPRARLMKHTPTSKAHEAHPHEQGSGSTTSRARLMQVESSGQCRMCASGTHTLTSKAH
>EED77092
MPTPKVPSAFLDLVGANRDLWTWEPWIDFTGLSDAPWSGKPGSKPKGWTDDDVVSVRAMVNAYWTVAPKDRMVFFKDRQSGAKGKSKASSPLSAADLGVLHTDARNKWSAWFNELGREHLAKLVDDMLMEEGHHPTQLMKANATQKMPTMAAAMVTSIYVDLAEQLFGRDALLTDRVVKSEVITFFNALLYATWRRWTMVVSRQKAQLASKLDA
>EED77093
MAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTIPVVTRSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAALPAPPSPPTQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEESSSVR*
>EED77094
MHAYSYILFLGLAACQSVLAAPFGYSDYDSLFARAGRPELYRSGNAGGPKFDNIRPNDIKPVDGKYKPGTGGISTFSDLGQLKGQKNIWALPASADLGSHLKAVNDHDKHWSIQPSTEITEEEYKSALTALNGKAQKYVAPKRRGYSDLEAREYIDYLX
>EED77095
MFIRELNECTAEQLRAIGHITRNTPDHNLGSAMESLRLNTKLKVAVHLLKPAIDRPVDAAVYLVEVIDFVKRTSHGADPFVKQREIFLIFAGALVRSGTNDKDAQAILERLAQINDASMAGLKALVTTKVYLARVLRRREKTKAAEKHEEWLTKWFRKNPHLISEHALRLLLLPPGETGGSPVLDALGGPSWLDSRQHTDKTDLRLAKQCRQCGACEPMTKLAFSRLPKSELAVSQLSLLSLSGTGVX
>EED77096
MSSDADALETPVDIPKKQKKLRPARKQVKPGDVEKKEAPQTGKEYNIWYNKWAGGDREDSYSNKVKSQTRCHIKKDAGMTRANMTGVKYCCLFFARGCCPYGWECEYLHQLPDASIALPDTSKDCFARDKFADYRDDMGGVGSFQRQNRTLYIGRIKETGTGTETEEVVRRHFKEWGEVEKIRVLQYRSVAFVTYVSEFNAQFAKEAMACQSLDNDEILNVRWATEDPNPVSKVQEKRRLEDMGREAIQARMDPRIIDAVRAVRALEDGAIDEDEQGQEEEDGAPEAKRRRADALEGLKYFAEIRRRNGIAIVRSAPLQKPPSEGLGLADYGSDED*
>EED77097
MSSAIADAAKQLFGTANAKVTDLQSDTIDPASKPNKGLTTDNGVYVSDTDNWLKAQNGTTTGPSLLEDQIGREKIHRFDHERIPERVVHARGVGAHGYFKVYNDKASKYTSAPVLTDPSRSTPIFVRISTVQGSRGSADTVRDVRGFAVKFYTQEGNWDIVGNNIPVFFIQDAIKFPDFVHAVKPEPHNEVPQGQSAHNNFWDFVGLQPECERFFVKFHWLPELGVHSLVWDEALKIAGQDPDFHRKDLQEAIENGAYPKWNFAIQTIPEANEHDFDFDILDATKVWPEELVPLEVIGEMVLNKTVDEFFPETEQVAFCTSHVVPGIGFSDDPLLQGRNFSYFDTQITRLGVNWEELPINRPVCPVMNHQRDGQMRHKITKGAINYWPNRKAVGAPVPTSEGGYADVAQKVAGIKQRVRTPKFQEHYNQAQLFYNSLTDYEKTHLVNAVSFELSHCDDPVVFQTYTKILNNIDFNLAKQVAINVGGTILEKPARENHGKSTPTLSQLYYAPKEPTIVSRRIAILVADGFNFAEVEGLRAALKLGQATTWIIGPRRGKVYPAGQVVGTGEGIWADHHHEGQRSTLFDSIIIPSGAEHAQRLAQNGRAIHWVREAFGHCKPIGALGEGVAFLREAVQLPGVQLASSLKSDSVTTSYGVVTAGKYDAGSAVADTLKIAQGEKGFISNFAYEVSKHRCYEREAEGLTSKVAY*
>EED77098
MPTPKVPSAFLDLVGANRDLWTWEPWIDFTGLSDAPWSGKPGSKPKGWTDDDVVSVRAMVNAYWTVAPKDRMVFFKDRQSGAKGKSKASSPLSAADLGVLHTDARNKWSAWFNELGREHLAKLVDDMLMEEGHHPTQLMKANATQKMPTMAAAMVTSIYVDLAEQLFGRDALLTDTVVKSEVIMFFNALLYATWRRWTMVVSRQKAQLASKLDAVHTRWFELSANEENVTVFRLTQFFQTVTRVLELTEALSDKTAEAEMNVLKSDLQSMLNLISSGPDSSGETKPLPKSIRTALLKLASQPQVESVRAQIMAIINEEQPEVIALDFESLPEGTWKEGTEEYATLTLDKAWEHLGLGSIKRIPGFAEKLDLNDTYDPWSIEGLEVLRSEEAVPLELKWHQVIGVIKLVDNLLAGKPVLLMDEVGIGKTMQAVAFCX
>EED77099
MADRDSTAMSSDSEVAFRLADKKMRDARKHGDVREKGRYRASTLYGHKFGVFALDESHVVRTLNTIYTAARQLRIQSETMVALTATPVMTKATDLWFIGCMLGIPAFDEPQHDKELYQWRSQLATAMRNDRASAKHSGSAMKVVSRVVHGLSVEDDALENGFSKVVDAMMVDVRAKYDGFVQRRRGRVNIACANILIIIDTLWSAQDDSQLIGRLWRQRQLKLVHVYRLIARNTSDVFLNNISFDKSIMHNAFMGSSRALRRVFDPKYDLDVGDKDLTHEEEPQELPDVQGASGSASAKSNIPFLAEDFSSAGQQQADPEPQPKQSAKAKREAARAAKKQLEQQERLDAQREKEQREREDEEQQEAQVAKRDKRERQREMRERKKLAAEQAQSSQAPSASRSRRARKVVLSDAEDDEDISAQKVRSPPKGATGSRFEPLSTYAHESDIDISAESTDDETRTRRLLFEPREESTSTSAVSGTLNDALEKFTLDAAVQDDVEPIPSASVDTQSQQRSESPLSDVPMGEADDAEPPAAGSSKRPAQGPTGESPPSKSTRAGGRRGVNKPARVPVVVSEQPKPQPKPRRGRKPKRG*
>EED77100
MKRHVSAPPEEPARHVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPRSANPEPQASLIAGSSRPRPNTPVVFCKVDPDWTPDTTQWTRDSSWPHQKHLSGKKWKNVGRNVRNEWFDEQEDDGTDWELYGDDEHDFGARGVERADPTCSVPSRENDHSTIAK*
>EED77101
MATRTITDLPVELLVMIFFFLDARGLINCKQVCRMFLQLIHGSTSLQYFYELELAGMADEPLCPFPYVERLRKLRSLQHMRRTAELVPGSSFPQWTALVWTTSSGGLFAQADMRGGIEFIQFPSAVLGVSERQWSIHSDQLDMDLETMCMDPSQNVVVVTGWDQVPNEPGEINLSDTGRYDELGDVALTRREGRQSRLEGEYVAHLSSWNHEYAVLVWDWKTGDLLWESTICRAFGIYLRRTAEPLISSCWTDQAYILVFQPSFEVLTAYGSILYAKTKHSLRPVSCQRTKADTRRGIRLIHSTRPPQRGLVAVACYGVPYSLESEDVWTEVILVPASMIREWVLRAQADRLAYEDSLPVGGGGLVEWAEWAPYYPRMVRAGRPFSAEVQGMHAIFANVEGEELVLDHIDYTAVGGKEVEGDLDTYSDLGDEWDEGGELYLIPEDSEGVHSAYALLQPGNVNIPKPYLVILPDYAILQE
>EED77102
MVTKGVSFGVADGVGGWIDSGVDPSLFSQALMYHQNWKASSSAVILRIALLPWELFDRARTAYQKWKTWNPEALRRWRKWQSDTHTARNWREFENQLARAEVLKEEDKLMMLAEADELIMDDAFLSKVVRPCLQQSSLESALPVVHRILRHRAHKVGEARNRWDNSIWSTCQWFTSEQDSVAIISMGDLCLDVFRKYTNSWRYTDDHSCDHLLQLIRAMPPTDSSRAFCRRATHFIQWAVSCPFSLHESRIEVLDNGADEFVVTAGFKALPGRVQNNDLDPFYNILARRLDSTSNMDSKTSAAAADLILDLLLKSPLHPRAIDLLECLSRHDVVSHALSFGEDNQSVYTRLVDILCTTQSTEKLTNRILDWVWFKDRYFSLNIEYTRKLLAYLPTARDHLSADTFLKIASFALTHSASAKHSSSSFIQILEDVTYVLDIVSGYFSSSDIDEVARKIIKEQAWHALSWLLNACTNLAESAADLFNRDIIRWAAVRSTAWAPLTQPL*
>EED77103
MRKGRTAKEGLMQYKNIAQNSSVGSIEVVISRFIQLADAKVQEAQEKADKAVALDVDDLEASETPESILLGAVSGDQNKDRTDRALVTPWLKFLWESYRTALETLKNNARLEVIYQQIAQQAFRFCLKHQRKVEFRRLCETLRLHLGNVAKYSHQPHSINLSDPDTLQHHLDTRFAQLNTSVELELWQEAFRSVEDVHNLLTMAKKAPRPAMMANYYEKLTKIFLMSGNALYHAAAWGRYYAIVTAIGGKSEEELSRLAGQVLVSALAVPVGLQGEESAVDEHKGKSSRLSALLGLTKTPTRAGLLKDAVSLSHTPMVSLG*
>EED77104
MPFRWSPYSQSQDEHPPDSGNPRDDTTTSPVATATSSYRSLGWHDLSANLHLMTVRVGREFIKQPLHYQHLLPIDALVHIPIIKLVHPEAVEPASLHIEQESPVEDIPRPPKRKRCPDTEPDELPAKRMRTSGTASEASKDRIPFGPHPRCMITGHASAIIRRDLRELWETNRLLMIPHPQHLEHFEYCTVYKYYVIAEDEHPPDSCATMGHPIPPPMLTAPCSYRSLGWHELDADLYSMAFRAGRKLSKRPLHYQKILRELLPHKERWYPTDGYGQPANSHPVLMVITAAP*
>EED77105
MPNAEMKSNIWPLRTGSRREGMPRGSIPNRERPATSVWLGAKRALGRAASSGPIVRRMRKMSRDLRARHRDDRAELRGKGRARVDEEVTELSDDPSVKTPRTLERPFAMTEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED77106
MMPILRDVIANQVGKSAQEIDMLRWMNRGALEILGQAGLGYSFGPLSADAPDQFARALKGLFPSMSRLGFLRQLTPLFIKCGSARFRRAVVERIPSSAVKNLLSIIDVMDEASRNIFLSRKRAIMGETSDSPGQVGEGKDLMSFLLRANMATDMEDRLTDDELLGQISSFVLAGTDTTASVVTQILQHLAEHTAIQDRLRQELNDVDVEHISFTALMKLSYMDAVVKETLRLYDDIYSPTRTQKDVVIPLASPLRTLDGSLRNEIVAPKGTIIFLGLLALHRDKDIWGEDANEWKPDRWLRPLLTTVADAHIPGVYSPLMTFVGGNRSCIGFRFAEMEIKQLLAVLISSFRFAATQKNIVWNIATVRFPTIGPEGSVPQLPLIVSRV
>EED77107
MKLAERYKALEKTLREMQETLRTQDKEIEALRQERDRLIAERDQARSERDQERAKGQIVTDESRSDNGVVQMSNTGERKNRHRSRSRSRPQVHRMEPIPPVPATPRVSADAEQFARARSMDIFLTKTDSWSGAQVIQAVEDLNTEINQFAASATEACVFAKRMKSRNTPPNEDPSAMQEEENAPWLGEAFARVLGTRDHAQDPILVQLALQASIATCCARSLSLFCVGFPSKLDALLSRVLTYMQSAEPQATSARWRALTHRTIRMLYPGLEEYAITELVATMLRWSATVFALAGSSPAGEHTPPLVLSTQLRRIADAVYKLARVTREEILSTGFEVVLVESGEEFEDGKMTNKMRDYEEYLADDHGHAGARPDGYAGGDRKVNGDQGQVVLCTTELGLRCITRKTSKGAASDEDAQDDLFENRMLLLPKVVLNSGVDAIGRA*
>EED77108
MSALVRKLVRAGTTRAGLSRT*
>EED77109
MSTQVEFKGYALTDPKRWSDLEVVSFKPKTFKSDDVEIAITHCGVCGSDVHTLTQGWGESKLPLVVGHEIVGKATRVGDNVKDIKVGDRVGVGAQIGSCMSCRECKSDYENYCANGIPTYNGEYPDGVVSQGGYATAIRAHQQFVFPIPDEIESRHAASMLCAGLTVYSPLRTHGAGPGKRVGVIGIGGLGHYAILWAKAMGAEVYAFTHDHGKMEDIKKMGADHVDYHKPLARTLDLLVSTRDVFNPEMSLDAYLSMLYVHGKFITVGIPDANNPLPAIHPFSLAPNGCMIGGSAIGSKKECLEMLELARSKGVQPWIEEIPMHDVKKALEGLKQNKVRYRYVLTQDINKV*
>EED77110
MSECSHKHKRSLQDSPVDLSKIIMALNRLDVLKSELDGIQETQAELDSITKHVSALEVILRGAKKSRLSFSTVTPEDLQSAGIVRKRLIFRPEKVTELAKGLSPDEIVDLHSRIKKIYARVNMDRSVAILPKGRIAQGKGVQITHPTSGYELWLSGNVDYAVIEYEEDEDNKDRLLAPCGSRDDAFVISKGRLVLVEAKRQSLNRTLISYIPEAVSQAIAVLKSAKDIVESSDVPLREIMQLVCEWLRPNTTGLFVLK*
>EED77111
MPLYYEYMSLMTTDCSPDDLTVKLPNSRIGIMNGQLPGSYHIQVRGTKPCFRLNGNPVIEX
>EED77112
MAGYHAAIAIHPGVSYGVVVLLGGHYPDAARLAYDAFELFQPAMDEVLAEYSQDLYAGFWSSEDGLSNATIAVEKGTLYMERMFLNGTDILPKFYAPGRLPLRSSERRDEFRLDTGIPGYNGKVHMGCYPYWNGQDLWGLRNDAPLNLLYFDGAGSARAMHVPSADVVMRR*
>EED77113
MSSPAAVPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFANEAAFAATFRARFGNLDDEAAAQVELAKLCADKSVREKHTAAEFSALFKGPADRSGYGNLELRDKYLSGIPSRVYRKLKLETFAT*
>EED77114
MEGGHAPG*
>EED77115
MVRYAAAATATNPEKTSRARGEYLRTHFKNMREVAAALTGLKLTKAYTYLSDVKEHKQIIPFRRFAGGVGRASQAKQFKATQGRWPEKSVRFILRLLKNAESNADAKNLELEDLYIKNIVVQQAPKTRRRTYRAHGRINPYQGHPCHVEIILSATDSEVERSKDKDVATTSLSGLNRRQVARRRIEAARTTA*
>EED77116
MAKTELPLTSGDAESEAKRHEVQFMTPPKPRSRIGRALRLILVLSCIVILTSKFDVKITPKPFVSLASAAGDVCPQAVPLVPTSPLMAELDEEYATEGFKARAINALSGAVQIPTQMWDDLEPPGQDPRWEIFSDMHAYLAKTFPLVYVX
>EED77117
MRAPAISTTTTTASATSPRSQVDLALLLKRYADLDKALAACEPRRVQDANTRFVEQLRLAVRDLDAGVGDIRSTAGYDSALKSLDFLLTPLEPFYANVDSVDDLIDLRDMGYQLMTLAMSHFRSLLSVVVMEREHRRPGLSPQDVFGPLRRAMTRIENLVDLVGRRRNEPQAHWAKDRHTGAEDDDDFTVVTRGEDEDEDLCDPFDSAYDALLASMSADFRSGACNVRDSLAVFPNLSSSIPNAELPTPPEDELDVIVNLDGSITAATLKQIIRILTDRREVLVRDVTELTDLFFMFFRKFTPPKGLFKLLVERYNEQAPKELDASLLPHWRLHAQFSKIQVAKLLYLWCSNYWKGATDQAVVKSMTDFIFGTLAKDKDLPLDTAKRVSNALLECCCGRADISHSRWLAREVKLTQQAAARYEPTAFAQQLNGGMMKHDSLDFNLLDIAVFWADGGFEELARQLTVSESDYFHAFLPQDLIEFRDASLQHKLNRWRSFTNAISLWVTKTVVEQRKITYRARVVKLFVQTAAACKNLRNFNSAYAILLGLQASAVTRLRRTEELLDERTVSTLESLGEFFNGRDWRLYRHELPVNLPAIPLSVMIPKEISPMRECMQRVDSTVGPPTAPVEGMIPLKFYRHMRRIVRDLEKCYGRHKLTSTELVRGWLEHHLEPLMAVQYDKYSQTLFDKSIWKLKLR*
>EED77118
MAPQPSHGTILSRMRRRSGPTAVSSNIPVALLVPSERILLPARAAVMASLRPLIRSSVTPLAAHNHTCAYRSCGRLAQRSAVLFKIAVASSRPVSAWRNTTYASISLRATSSGSSX
>EED77119
MLHHFRFILPLLPKDVDGLTPLHTYDAAIQLVRCASREPTEAEFEAGMTAYVEIKRMLEECRMEM
>EED77120
MIVRSTSCCQNTG*
>EED77121
MHVVAYIRLIKFQPPPAKRLRMAVPDGFIVERKSPAKVVAPKFVSAFSSRRLATSTRPSILHDTVPRRPSVDRSKRATDSLAQKPSTLRVRKPPPPPELPAASSSTRSGNPLSALRPVFVLPQHPAPAQKVVPLLDRVPPPLHATARPMKTIFTTSIARATDFRSAAGAAELLSIFLQEHGHGFVDPTERELQRGLEQSPEKMSKRKRGTRFAGGGLADRARTRFHQSKTALALWQSGIELKMRKGQATSPDMRLRVAEVVYAATSDDHQRPGHAPCLGLVRCRAVPERKDANGEVVVLLNFDGTESAAPPFNKPGDLLTMPLRYIRSKVSADGVLDVAITTTSAVQNVASLVSFPPVAIAAEILLKIFEVIQQVNANQRQCYRLANRCLSLLVDIRDQMEGRWQNAPLSLVRALKKFEEYMIWTLGSIYDYLKTSADTKWTARLLKKGSIERALVDYNASVDDAARSFQIATLIDIHYAVGNKAGTVEHENVQSAEDQSILPDSMRRIMEAEHIVSSPMSSGFRPRVTDENRQNSVTSDDFVLVSDPEQNAMLAREATNVLDPDTMTAEDIEAALDDRGFRRYHQSDLVLRKSSHTKQGCWAGATEANVDGRHALVMRYEGPRGVAMKVALRGQDGWQPLSVTVIEMDTRRENLTERIDAALYLQSQMSLSDSKIQDYVENATFRIDAEQTLIMGLPPPEVDAWQSWRNFGLAHSIRGIYLKASQSMGDILPRTYNSFIDSYFQTTDAQVVSDRMKLLLDEDESDSRAQPSLRQLRLAAFKSKSHEQVWRQKLAPAFKYAVGDLGYVPEGESVASFRVLRNIFQDELGCMDVVHNAHGNQFSWETGAANRQELHAFPSLDGRYGWPIVLPTGTSQNVQVVHDLHVASAGQAWHYLLENGKRLAECHSVKPENLILVTRAGNDQRFVLRSTDYNHLRSPPGFGRPPLQQRFGNSAFQPPFGNTHARGLMMQPTPPTIMYLFTSGDVNKPPLWSDTP
>EED77122
MRATTLEPRYLPCPTEGIT*
>EED77123
MAESESLLAPKQQEDYAVESQFFGWLVTLDKVADIDLRIDCNPLTHEDTDLAQKAACGGALTWKLKQLTNINTIRVRSAYADYDVDRALKNSVFDAVEVISCYERGILWPPTRAQYDELLSILKEFGIEERDVGLAVTKKYGIK*
>EED77124
MLRAIVTRKLVVPEIYDLMDKVSKIMVTSQSPQVQELCRGVLLQFLLDYPQGKGRLRNHMTLLAKNLSYVYESGRKSVMELLGAIIAKFQVDLVREYSDLLFVALVMVIANDDSPKCCEMAAELIKSLFARLADAQRNIIMSHVHLWAIQQAQPQLARVSLQVCGIIVDLMGKDVGPYVSSILEDLNGALEYSAQILESDAIEVEKDLAEQDVEWQISYHALLVFAKLLRVIPDLTVQQDKVDWPVVVEHLVYPHAWTRTASCRILGLLFAATPVAAPNPKLPDNSPFSRVGMEDVTKKLCLQLRSPNLDAALSLQIVKNLFYIGKCFCTLDAPLHKQELSGSEEEEADREDESEAEGDAEQYPLAWLLSKLSYQARHALVARRNKPSSPENWIHQPTSVLKWFAAMVSHMDASQTEHFLMHILSPVYRITEDDTIRDPQFGELKTLAVELQDLVQNKVGTTKFADVYNRIRQTVLGAEQVSERPGLGLIMSTIRAESDVTSGHEAQVPRALRRAYSSEKLLATWVLVQPASADNRNKHKKRPRYSLAYRASQRFAPFYRHVCLLLKLNSEECKKADALAVEEEFAGVLAVHAALPTASKYIRLACDALAAVYRETLHIDVWARLTTYTWGLSLISLTDELSAKCALDDSQKVQSIYSICTALYEGSSFAFPERRIAKRRKPGAREWREEGNMGQEVSVQSSLALVYANTLAGPERSYIYWRRHASLEKERQESASKRNTSPPGGRRGLYEWLCYRGRAVGHAKTTSVLLTLPLECSNENAQEVSVRSSDLARLKRFSWRVAASSVSAQSLDHRDMSGENLAWRSTTRVELELPMSHQEKGVQATQTLDMTSCTFRYGQVDRDLQRQNGTEVLLEQWVVIYYNKGLRPPATRAPELASSFLTMCCDLGSSDRGNLN*
>EED77125
MRTLRSELKREEAALKRSKECTEHEIKKAYRGESLKHHPDKGGDEEKFKLVVEAHSVLSDPAQRERYNMGEDEDGMGSDMGSMGGMGSMSPMDLSELFAQFHGGSGFGGGVFGDGGSGRRSGFSS*
>EED77126
MDDDDEEQTETAELERILTQEQNAPLRSTGDDDRMFNLSCAAIALSLDDINTVHNFSEPTLAEQTSNTDEDRQNINAALTQLQIAPLNLAEVARPYDHSQAFVNSYDFSNLAAIRREHETKQAANGDQAVGTGLERKARWQSNAARSSLTGNSANAILAAGQRASTLYEQAHQTRFRAILQDVAILQCFSFAHMSSDQFLCRLPGSHHITTDGRTLELDNAAFATFAALSTPSCLSRIVTAVKMLGTARKKAKKVATSKAKAANGDHTGSESEDV*
>EED77127
MNHIRGYLQSRIAFYLMNLHLKPRSIFMSRHGESQYNVDGLIGGDSLLSERGMTYARALPALITENIGDAPLTVWTSTLQRTIQTAQLLPYTKLTWKSLDELDAGVCDGMTYEEIEQAYPEDFANRDEDKFNYRYRGGESYRDVVVRLEPVIMELERQENILIIGHQRHADAVCSYAYFHNLPQADLPYIKIPLHTVIKLTPKAYGCDEER
>EED77128
MFII*
>EED77129
MDAELNVGLSMEEGTAGAFGRDMYVPPDVNMARSSSMSMPQEDGAPVAGPSRFPGALGDSTDRNGERADLSPIQTSRGDPVSLAIAPGLLYAEQGATTRRYKVSIDEDDSEEEELDAYDDGANDNKDDAYNEDDAFILDTDDEDNEVESADLDDDVNFEATGDLPLVAPPLDRPITHSHTRASYGNSGLTMPDVSRGQKRRADEDGEEDDADERAVKKARKGKAKDISQTKQKTKVNAKDTAKTKGNAKAKGKAKRKAKAKAGNAKIKVRGIGGQFPCLKPGCTKSFRRITDRNRHLRSSCSKEKTGDLEKPRCSHCNKQFSRDDAVKRHIDDGACPAYKQPPGESKESGASSDGNDGKRKGGDKGGRGGGNGKGGRGGGRSGRGRGRGGRGRGRGGHA*
>EED77130
MHDPGRRGAQVVCVSVSGRPRIEASGKLDNDGMTPRERTWGRADTNPERAKQTAIPVVRMLLAMSCSARCKYEVNEQVKPVKLTDDHKCKKRQVHLLSASVWGPLRRILALSHEKATPRCNFACGGIFTKFDASSTPSPQSAKGGGHREPAIYNTLALFSFDLCRLRLLSSSPSSNMEKEYSPEPGWDTKMAADIDALAEGMERFKDAQANQYWETQGEGVAVSTAYQEIPAGA
>EED77131
MTILYAIDGSKADDGTGWYGHLLVWHWRTTYNGITPPPIPYLGDTTESMHNTPRPIGYPCLCEVSITRSSILTHSERVKNQEPSYISDTASTTTIKEYPCGSELKNEPRYLLNVGHRQTEQHVPFAAVVYGLLDGNNGQEYSGCCRSVCSISFEPELKPKSHNRWVTCMAIRADENDGFYASMKPRRILNTVEKHKPHNKESAVYVEYHLIHDPPPPLPDCKQGVVHTFKCRE*
>EED77132
MSQNTTAPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLKTRLHLKHPDHYADDPYTMVEIHAAATFILHGTSSTPTTAANQATASMSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENTGAEQTCHYCGNRGCR
>EED77133
MDSGSVKLASLIAQYPGDDEFRGDLRTQLALWREQRIDAHIDEPTRKIYALLAGIVDTLEGSKGKGREHCPDVKIAQDLSWKRAFGLHLWFCEPLESTIAEVFESYNGQWTDDSSX
>EED77134
MIAARGNIPIFHAWKARPTVAIIKHVLRSAGGEGDGVEDRWRVRKPLDHNALEDDENAELNGSWWVMPTNATHPQVQLAQLLARHGRALVRYTRPSLDYAPRFRLDX
>EED77135
MPRLKLTSIKLETLEQLDTLKDVLEDEYSELDIYVENVWIRFNPAYSDTGKQIEDEHEFKKHEQRETVHRLVNEAEIRTVAIDITLLSNNWLLDAHIEPKHVLFLQSTNPLKVPHLHGANFRFLWCTTHLLVDMPWNQVVECLPPADPTKPCSVSDEVVSHAVITFFETIPRLTHLCIRIVVGNM
>EED77136
MLKTEIASRFYHWTLIPNKPTTDAVTEAVPFVTADNKLCVLVNTRKSDGKYAAYCLIPDAKGSLEWKADSSVRDDATSVVVDRALGSRSNETSWGYFQTAKDNGKSYVQVTTTTLLGSNKAVASRIATGDYYGLCHVRLPTNNTKPLLFGIDSSTNVATYFKATVDANGKRTTSKVNLFGSSPVTKIAACLRTGVKPNGVATEWVEVFGVDQNKRLIHTESVPDPDKPALTQENSSVYLLSTGEYAVNVWSDSAVITTNASDVSLTSAGDGYAAMLVTQTADTNINLLHMTQDPATTQWHQDILSTPATSTTTAATKKQVYYVEITAFDANGIRMPNLLATIKSIQYCNANINGISTSIDAQRAFTAVTNSSGKICITVDAAESLSAPTLTISVEGMDPKDVIDVHPSGAIQKILKEITADGLKSAKDKTDDTNVFDKKVTDDQLKEIAKALNTLMEITDPRSTGKAPSGNTSSGTSPSYLPKNAGTSQGGVE
>EED77137
MVLSMEDGGKGLIAAHQQALEKAGVTVWYDTPAVELKLDDGRISGVVVLRNGERLQLSAPAVVLACGGFESSVDLRAKYLGSDWERAKVRGTPYNTGDGLSLAHSIGAKLAGDFAHCHSTCWDANAPADRGDRVLSNQFTKSGYPLGLMLNAHGRRFVDEGQDFRNYTYAKFGREILRQPGGYAFQVWDARASGWLRREEYGDDVVDKVSAASVTELAEKLRAKGLEDADAFIETVAAYNRAVAAFHEEHPSVEWDPAVKDGLSTQSTRESLKLPKINWALPLDKPP
>EED77138
MSQNTTAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTVANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHTTHSHAPAPRQQAAVH
>EED77139
MAATILGQGKNAWQAEIDAAAELSDFLRFGVKYVEELYSQQPPKNTPGSWNRVEYRALEGFVLAVSPFNFTAIGGNLSAVPALVGNVVVWKPSPAATYSNYIVYQILTEAGVPPGVIQFVPGPPEEVTGQAIANPNFAALHFTGSTFVFKKLWKDIAANLDTYKGYPRIVGETGGKNFHIIHQSAEIKNAVMQTIRGAFEYQGERSNLAR*
>EED77140
MILEFLKNYIPPEHTDVCKQIDRRGGREAVLKNDDALQELVSARLEPNSSRPSNQATALARNAKHELESQLIDPAFQIQNNTQSFDRKFEMQQRQILEGVGEIVHRESDRVIETVTSGPHDRILDKDLHQIWKEMSIRRGGAEVSKRGILCWR*
>EED77141
MSARSATPASTPSLVNRRLAALLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGSIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEDRRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTLERPFAMTEVDMAAVALEKRQSGQK
>EED77142
MKLPERQKDRKTVLSFGNYVTRFEQLASKAQLKDAEVNGTNRTENDYHTHHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADTAEQGALTVTDTRDYGEPMDIDAAAVAATFAPTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKALSADEKRELYEELTMEDF*
>EED77143
MNQNANTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLEDMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQAIASTSNTSTTVPPGMIKTEDISMIIESX
>EED77144
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMRLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRAARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMNIDAAAVAATFASTSGGRKWELGAVLNETDRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKALSADEKREX
>EED77145
MKLQPIRLGAYKVICLAVKHHGHALAAQISIMQSLQYYEHLSEPMAECLTVLAKEFDHAQLADEILREIAAKSFSAQDSKGPRAFSRFLVRLAELTPRVVLRKISILLSHLDSESYPMRIAIVEVIGCLIRELATSSDLTTDEQQTQKQLEGLYELLLERTLDLSSYSWHDYVICPSSSQSSAWR*
>EED77146
MKADRGELPDLVKRLISASSGRVHDDQWNPTPISHVGRLIYLCAIPDIPPLLPRQLPNQTDTVAYVLVSASALPTTTPHTSLSQATGDMILQLHLAEGKIDQIQFLQRVLPQAVSFIGSMLANGHAVCICCDTGKDVSVGVALAALQLFFDDAGNYVATPERQRVLRNTASKQSVAKRLQWIISSRPQANPSRAVLKRVNEYILTSPSFRRHRVDD*
>EED77147
MLRLWGLHHSSSTSSLQDSSSIVLSPITTFTAQS*
>EED77148
MQYFVDLVDAHNDKMSQQAAAVADDLRNTSAASFEELCLLQDVDLVPPAEVNMSLHSSPMGKDIPPAQQRKRASTAESVTAILASTVKRPRMSLGSPDHGADTDDSMSSIPAIGSAPALTPAPSDLNTDSSSTTDTDYASDSDNLSSRPPATDKARLSLEHVAGPVIRPTKP*
>EED77149
MASVSAFWTRVVVLVDDPPTPSRALADALEWSANRPLDVRVCCRVDAYDDASDPRERARVCAALALLTPHMHRWRSFSVHALHATSLPRPYADLVGRAPLLISLLLDSVDGLAPDPEPDAPSHTDRMLYAPALRFLWLDGNTLRDMYVRPGRAQSLARVVRLTVSHYRQDGVPFSVRALVKFLYTLDALCNLLLDDLALDVCGSGALIFDYRLNRLSCDRLAAPVLSEFWRLYRYSPNHLSLKRCTFEPASMDLGSTX
>EED77150
MAGFARWERTLWKKLEVGDIVLLRENEQIPADIVVLSTSDPDNMCYVETKNLDGETNLKPRKSVRATASITSEEDVERSSFVLDSEPPHQNLYLYHGVLRYREPEHGELKQESVSINEMLLRGCTLRNTAWVIGLVVFTGPDTKIMLNGGATPSKQSKIEKETNFNVIVNFVVLFGMCLISAIANGLFDAKSGTSADFFEIDENPSSSPVVNAIVTFVSCLIAFQNIVPVSLYISIEIVKTIQAYFISQDIDMYYKPLDAACVPKTWNISDDLGQIEYVFSDKTGTLTQNIMEFQKCSVHGVSYGEGVTEAQRGAAKRDGTGELMDPLEQDRQLRVLKQDMLSKMSNTFKNRYLQEDKLTLISPKLAEDLANKASEQRRHLIAFFRALA
>EED77151
MGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEIMALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVKLMDSEDDTPPSTKAVSANTARIKEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED77152
MPKAKPFIVTAKHEPTGLLERITIHNTHKFDDVGKPRRIVCPTIKPLIRCPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDHIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLELVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED77153
MKAVIYIGLGKVETQERPMPKILEPTDAIVKLSKTTLCGTDLHILKGDVPTVSLGRIMGHEGVGTIEAVGPAVSAFAPGDRVVISSVSKCGLCTFCRRGIFSHCARGGWQLGNTLDGTQAEFVRIPYADGSLHRAAPAVPDAALLMLSDAVPTGLECGTLDARVRPGCSV
>EED77154
MGGSPPLHRDAQVAVQVVGRRVCEVASLALASYYFGDAYGHELRQ*
>EED77155
MTLLLHQSYIPTVFENYVTLVQFDGKLVELALWDTAGQEEYDRLRPLSYPESDVILIVFSIDFPNSLANVQDKWYPEVAHFCEGVPVILVATKTDLRRDEQTKRMLSAQGQAPVTSEQGMSVAREINAKYIECSAKMGTGVQEVFTLALKESMRGRWGKIVKQRRCVVT*
>EED77156
MAPSKKSAPKAHKCYVAASTSKKRPAEQLSDAEESAPRKVAKGMRQHMYPIFEKPSERKAQQNSTFKWIWPALGPKATCLHGVNLQPESRTKVAAFDLDGCLIGSSFGKKSKSGAPPEFQWWRPSIPTKLKEVYDEX
>EED77157
MTTPVIPSLTIPRSELSQALFDELRTVVKGPVFRPSDPEFAERSRTFNGKLVCFSRALVSPLDAHDVSAIIRFCTEHGLSPSVKAAGYATAGWSVAGDVIIDLGMLX
>EED77158
MESTLSDLDGKLTSEVRNRDALREKSDNLEQEARHAKEQLAEMARTATDYSNMIKKKEEDADRLADGLDKSKQERGRLLKQIAELQANIDALTAELEVQQSDRKRDASAQSKLLEELDELRALLEAKTTEETRRSEVEKSKEQELADLREQVAQVTQELDDARRNAVDSQNKLKIDLDTIIRDHTEGSATKAEGKTRVKDAPMDRRGTDSLKR*
>EED77159
MQPTHPDFTGRTAGNEREQEHGQGRKSQVRTNNGEATQQARSHDSGGVTAHRQENRGGNGHVTRRRSEDMDRESLMKEIRKLRRGCKDAHQKLEEVTGQAEGLQRSLAEAENKLTVTATLLDERTATLRATETLLTKNDQYSDTDVLRLVNELNDHILQASAQIADARIRLSPQTSRHQGDIDEAKQRIESSVGAKMVQLLQSRLHDRPSVLQMAFQACIVFCASRIIKAWVFQHFGDRKIFDEVHKRIHANGEWYRSDKGRKILSLKGD*
>EED77160
MLEDTSWSQVVSWGPQGDCFVVKDMNEFTKSILPRMFKHSNFASFVRQLNKYDFHKV
>EED77161
MTFPALERSKYPTGNTSTSKGCISSTASLF*
>EED77162
MSDRIRQLEDALAILQSSVTRDLHPLLAHDLLKIKSGLELHSAAHLQGRAAHSDDAGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSESLLLVRLSVVPARPR*
>EED77163
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED77164
MAASMRRMAAAREVILSAFEQELYAPEEVPIAYWYLSRILETHLECIDEVLAFTAEGNPGWEGYEEMAFQASFLTALQLMAVAMCGLTYKQATLPYERTALNFIRRYKWASRPEYEPLVDAEPLPDLRLFFVQASGLAQDEYFSASLSFLQAGDILRSLIDSRRTEGLAGIWASSRRDASRGCANKYT*
>EED77165
MSSPAAIPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGVTTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQDADKRATEVEQILDISQARRPELNNFFSARGRGRGGARGGAPSTHAASASINAAVGKGNFPGTCFGCGKQGYRRFECPN
>EED77166
MRRSFCSTRHSYSCSATPKTNTTSH*
>EED77167
MIATVEGVGCSPDPIALADHIALSRMPPEILAHILEEGFQDSNCQERMRFVQRAASVSRTWRTTALNTSALWGTVVITRPRAPPLTVLTTLLERSRRSQLDIFLDLYHTGPRRQNVQREDSPTYIKDAMQLLRQHIQRWKSLDLTWGMAFQWSDELDYMYGPFLTGSADALKSLRLSCVCRFIHQDDVQRCDFTKGLSAPNLRSMDLDVPSAPDQNSSKVTARFPSILELRWRENCKPRWFHNSMDFMQMLGPLRDLRHLTLDNVEVED
>EED77168
MWIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVIDNVFLEGIINEAKERKEKERQTKVIPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQTHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED77169
MPGSPPTTAPPDAPGFQLQVNDASPAIPPGWTSFRATTSRIANALLYSVCGITSEMSQVILQVASTLYTDVFLCRYPVRIHGARYAHIRSAMAIDDIQGLEAAAENTAQAQWSAFHTRILNELELVGILSGVLLX
>EED77170
MHGYSVDELDTAARRDEEREGRLHCV*
>EED77171
MGLLSLGTPLAWDDAKQHADHVRTHGITQFLNIWSRLKDRCGDELLWGDEIEYMVISFDNDAKNAKLSLRQTEILAKLTSITNDIASDRGESDSVPTFHPEYGRYMLESTPGSPYTGSLTDLLSVESDMRYRRRLARKYLKQDEIPFTLTSFPRLGVPGVFTEPYCDPADAVSSHSLFLPEEITNPHARFPTLTANIRRRRGSKVAINLPLFIDDNTPKPFVDPAIPWQRSIYPEDPEAKRGAALLDHIYMDAMGFGMGCCCLQITFQSCNVTEARRLYDALVPIAPLMLALTAASPLWRGYIADVDCRWDVISACVDDRTEEERGLKVMKSNKPRRERGADFANRFRIPKSRYSSVSLYISDHWTNRPEYNDIYAPHDEAIFDRLRKHGIDDPLAKHVAHLFIRDPIVIFSETIDQDDTASNDHFENIQSTNWQTVRSSP
>EED77172
MEDVDSSEDRLFATFDNYQEFLDTQRTLLSSDILEEPGAVADREESLLLNKLIGTLNEYQEQAYLLDPFLEQLVTPVVEALKRHARALIEDQSTDVKGTRVSRVAQLLYTYIKFRGYKTITKFFPHEIADLSIVLEYISSPRSPSQDPAQWSLRYVVLLWLSLICMIPFDLEQFDDRDQLGQTAIMMEALGKSYLAKAGLEREGASILLARFYMX
>EED77173
MLNTPQQYVLPDLLPLIPFKGSFNPHYLEAATASAAWAESYKVVPERKRTAFLQSGSELLCAHAYPYASLEQLRTCCDFVHILFAVDEISDEQTGKDAYATGRVFLDALRYAEWNDGSAIAKMTKEFRERIMKVDVPACHNRLFKHCESYVNAFSVEAELRERDEILDIDSYIRLRRENSAVRCCFGLFGYVLGIDLPDSIFEHP
>EED77174
MYSADSYPNGYWTAQRNTTEWNVFMTEITNTGNNLSAIMLQSMTPTLEGAHLGIFNSYGLISDIYYNPHNYLNGTAPLNVTGCARSCIFQVNESTSGPSDCTVAEGTARDSFLWWDEVHPSEQTDRVIAREITSAIVRNSSEWITWLI*
>EED77175
MDDQARRIPRKNDHTMVESFAQRWGNHSSFKSGGMDRSGFPTFTVNHFGGPVTYSAESFLERNLDALNLDFVLLLRGTNATPDAPPADGSGSTNTFVRGLYSAKAIATQAHPRNEETIIAAQQPVKPMRAPSTRRKGTLKRMPTVNEHGEVDEKEKEDEEPLGTAVAANGPPCVGGEFRAALYTLFETLEETQSWYVFCINPNDSQLPNQLEGRSVKGQVRSIGLAEIARRNINVFEANMTQDEFVDRYKAQFTSLNIAEGDTREQIEQARTALGLEEKDVVLGTNKVFLSQAAFHAFEDDLRSKDTEEQKRNRLRDAEAEAGLDPRGIGDPYAPYQTPGAESPYEGGYNDPFGQSNQALPLYHDFRAWTNDPRPDWYFESMVQMRWNNRVGWIGYTMKDLSSMASSGKSVGVYDGIIYDLSKYISNGPSTAPLVNEILPTDIDTHFMDQSIVSVFEYNSGQDVTKKIDSLPLDSKVLGWQKTCLRNLFAVGMVDTRDSPKCQFATYILLSLSIMMVSVIGFKFIASINFGSARAPEDHDKFVICQVPCYTEGQSSLKKTIDSLAQMKYDDKRKLLLIVCDGMVVGSGNDQPTPRIVLDVLGASPNIDAEPLSFLSLGEGVKQHNMGKVYSGLYETAGHVVPYLVVVKCGKPGEKQRPGNRGKRDTQMLIMRFLNKVWLSS*
>EED77176
MGRLGQAVHVGPCAAGLCAACRRGPGGRTELGELHLCHGGIVEVAETGSECCEEGCFIGEGCCRSLSPNLDSGKLGKDGSGPGSCVTIEEAKGNLNLQLNGGEGRVNRPVDEQLGDEAVALNDGFSIILEGEKELQHLLAQALAQGLKGDLLALDWVCLTAIWDVDVDEVLRVEGMNLALTGSHNGWGKDGE
>EED77177
MAVKALEEAWGRGHVRQGLLTRGRALSAMRAEQDPWARAPRLLLHSHSPQALALAQGLEGYLLALDLDRQPVGEDGSWERQGENAVRRIEMRECFEDRVDVAPFGSLCRADSPGRLIEVSDLGTAAARRTVWDVDVNEVLRIEGVNEALAGSHVGWGEDEEVRVLRKAVVN*
>EED77178
MSSDSEVAFRLADKKMRDARKHGDVREKGRYRASTLYGHKFGVFALDESHVVRTLNTIYTAARQLRIQSETMVALTATPVMTKATDLWFIGCMLGIPAFDEPQHDKELYQWRSQLATAMRNDRASAKHSGSAMKVVSRVAHGLSVEDDALENGFSKVVDAMMVDVRAKYDGFVVRRMLGSLDWEGKAISGLPPYAEHILMLKLTGEEYKNLDTIANEAAELNPGGSIAYNSGKSFYLSVRRALLHPSCNAEYKWTLPTSREEWEAIATAKISALITILKYHLEQDARPPLVIVPVEDPPRPQSPSSDTSSDEPAAYEERPANNLAPDPDAQPDPRDAHSKPDKIVVYVAFPSCFDPLLKILQMYGIEYETLTGTMSGRRRAEALHNFMQSDAKGVRVLVLSNVGAVGFNIACANILIIIDTLWSAQDDSQLIGRLWRQRQLKLVHVYRLIARNTSDVFLNNISFDKSIMHNAFMGSSRALKSTDDEIRTRRLLFEPREESTSTSAVSGTLNDALEKFTLDAAVQDDVEPIPSASVDTQSQQRSESPLSDVPMGEADDAEPPAAGSSKRPAQGPTGESPPSKSTRAGGRRGVNKPARVPVVVSEQPKPQPKPRRGRKPKRG*
>EED77179
MSSFQPAFLLQYLQVNIKYTTPCRPSAALWQDLLRHEQCFKVFVMALEKQAHLLRTQSS*
>EED77180
MHAAFPSDFRSSLGYCEADPSHCICTTCCSA*
>EED77181
MRERTYMSHWMRHSANVTACMRATRRTSMNPSSSSLRLRSTNAAGASLQRARSLRDPHALQRCLRTSSMSARSRTRPRTRRKSRQVRIDSTIPA*
>EED77182
MTWETPIHVSIDDAPHFLQATAPVLSQSLQSNVHLDASDMSRLQNRSAWMNDSCINACMQLLQLVFLGPGVRADAGDATLWRNAASTRFWEKDDVMQLTARLLNIAADKGHRIVHAQCAWAVYPTTTAARQHNTYDCGVWILAVIAAVLRGYDMTGFEEADIGRFRIFLFTLARTVST*
>EED77183
MLLTFLMDKDARPIPKDMKLWVDVLRFVKRGARGGALGFFTYMELTIWLLLFHVFRLDRLKWLFFIMQGWGVRPKVVGDADAEADNKPLGARERNEVGGDSATKASPITPGGNVNGWVRGG*
>EED77184
MLQRWML*
>EED77185
MPSHLITLYDIQCKLDSTAWSPHTWRTRIILNYKKLPYQTTWMPLPDVQTVLPAVGVLPTRTAVPHYTVPAIVDELEGSPAVVMSDSGLIADYLERTYPEPSIYHGAKALQMEHVGAVSQHVLSRMGFMVFPNTPRLLEGREREYYLNTRKGIFGVELDDMFPEERQEEMWANLKEGFAALGAYIDGIEHSEQWFFSKTSEPGYADFVLGATMIWFKLSGPEKGWERISEWDGGKWARFFRNLEPYTASSVIDSSS*
>EED77186
MEMPAPGPPPTLPSSHRCRWVCKGPRPRSQTKRPLPPPLGPALEISTMRRQHK*
>EED77187
MPDMQPSSTPPVAEHPIARHSFGWIDGVSVSVQARDQAAAPDAIAPLSILLRAESDDPWSSDVHKLDLYTLEPNPLYLYAGNQDHVSPSAFPSPPVSLSPGSPSRSPSPRSSAQAAMGTPYVFPPVHSQLYSPSVRGVLRCTSIVLGSHGTAVWIQPRPAHSVDLTALDVHASVTQAPETARANETVAGAVFAGALQRENEGHVSPDARNLWIQSFGSSYWTSIDYVEELGLIALGSSQGMVIVLDLG*
>EED77188
MRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNCTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDRNLCFYCHIKGHSTKDCRKKAAA*
>EED77189
MPRGSHLLFVEAHGVMFGSPFPDWTPSHGKISPDIAALKPGVKNANAFNNDNNKWKHVSLIVEVKNCRHVDPVMSESEEAQKTLMQMSKVACRLMLEQASLCCFVLGIYGKKAHIYRYDHASAIASEAFDYREYPDYIRRFLWNFANPASGLETLGQDDLSSKPTGRDMLWARDVSGDNCTPSDIDHNRWVTVPSSTRNGRDEDYLLLRVLSMNYRMFSRATIVREALKRGDMSGKRYIIKESWRQVVRSDETVFYKRIKEYCERTKTPLFGIGDLVCGADLGAREVAAGKDRKHRESAHPELGERSHMRLVLGTVGRQLKNFLRTWQFIFAIHDAILGHQLAYMAGILHRDQQSRRENANLRGSRKLMAEFPKRHTIIADWALN*
>EED77190
MCGQMLIITRVSVLVSNILVVAATWYYIGRTSFVKTQLVRDMWTARPNLTTVMIRDERQWLLMNDVINFMSRIISLLDIADLIVNTITIYGCTLGLDITNLITAMSSISISRFLICIREAAERSTQAFGSQSLSFVDSQGSASPQPRLSSSEFASDIATRSAQNGHAEAFPDLDDNDDNLDSECGEEAQIAEDENGIEMDEYAAGGQQSPLVWGTHVATYFNLPFMSILFRFYIYCTHLLPIVDQSR*
>EED77191
MFGPLPLDISWMIIDELRSEHDYDTLNVCAAVCQGWKQRASKYIPLEMTFTEPDDVGDISVKRRLRWRGPSKVRIEGGKQSGERLPIPHLATFASRLAEKWTRVEELRIERAEWRMQDVGANTVFLDLSCFFTSITYLRLYDVTFPTVLSFYRLILALPRLNGLNLHGIQIDRAALDDARILSVFRLLPASKLRSISLMPPEGSSKRPGSSNSSVALLQSIATIMDSPIGQTTRSLKRSPWRKVWQLELEEVNFTSAASFACILDAFPALEWLVIKGSCTFVEHGLDTSLRPGMLSQLTFVSLGRDCCLLSDSLSMTDLVDLFIKSGASNHLRGLNAWVSLPHRAPTSINIAISRLVKRAGQSLRELNLTTISQSSIHMYNEALMRMNSGTGTTTVP*
>EED77192
MILQRAVTSDMKLQPNIGSDRSWVWKVAADYSEYPPTSETLAIRFANADSKSNAAEFRNAFENAQKINANLTSSSADVPPPEVPEEESKEAPAEEKEETKEEAKEEAAEAAEEKKEE*
>EED77193
MPKAKPFIVTAKYEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVVKVRRMGDGFDELYHGLEKKARRLTNRHWR
>EED77194
MPYPDTPISLAGTEMG*
>EED77195
MAAEDRRLEDERRRKDEEDRRRQAAKDERRAQEAADEELAKIAAAEGLLDKGKGCARVDEEVAELSDDPSLKTPRAVERPFAMTEVDMAAAALEKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPVPSAADSSGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRIIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED77196
MIIVIEEIIPLVVLYAPFILPSTCLLPSQKERIDSKRREKQKYYALEYKPLFEQLSQRFLVQSDTPLKSLDGSALSAVNGLLALPTYGPSALRLSRLQQHLASIADDDTLLARESFGQALTQIELRDALEERGIITDGLSPNAWRTRLEWWLTHVDAKASGDLDPIX
>EED77197
MSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTSTKRAPIYPRGGTWCRATGPNPQVVAHQELVSYYQRHPPAHPEDVFTTLRIDVEPTQATESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQEDINQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSNEAKIAFTVALMRKDLGKTWADTYYEKSAGGVQAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFIKGLPKELYFALTTRVARD*
>EED77198
MLTVAREGVCKPPDTDSATGGGEGTELEADGTGLGEGAGDRNVSKEIEDESQVEGLKDEGDDTDGEAERAEDAIEMNEDMGGQLQDVPDAESEQQEDDSEEQNDEDLDEQIGDLDAHDPSAVDEKLWGDETGPQDSQDGGKSNQDHSTTDGPSEMTEKDERSNKKDARPEQSDAAPQPDAEDADGDEEEEMEQDGNHGEDGAPLDDYVQEANTLDLPEDMNLDTLGDTQEPIPDEDIDMEDAEEPIGEPTLDRERDDTTSDAEDVDADESAALNDGAGMQQDEPLDETQDTNHTVAQPDFTRVRVMKAEHLRALPRLQADRVVWKSMWLIRQVKEAMMTLPNQANKDIQNQTQGEGSGEQSSGTTQSERRDASARPRTQESRANPLRSLGDTLREISQNLQDIMDNEGPRDQLAQAQSDAPSQMEYLHPEESDELQALGPAMNEKSVKLEELKFVDETAQSEEPMPMDEEDHESSDPQPLRRNPESTLQGERTSQRLNDDTESALTASEVRSQIPGTLGSQPTPVIPMPNSSQTLEELSSEVEIRLRDWQASGHPVEGAGDIWRLYESLTHDLSYALCEQLRLILEPTLATRLKGDYRTGKRLNMKKIIPYIASESRRTRFGYVALGQALSRLEVGDVAIAKFGESVDVLHGFDSGPFTDQAGMRI
>EED77199
MSALSDAEYLRQIYLQNVASVACLSRRTDRPPWNAAVYTYELVITIEQQVRFLARRRFSAIAVLYTSMHLSTMIFLLAWLVGWVFRDCHSVFIVNAVLRLVFGVISGFRVYAINGQKLLLPLFIAVLYVPDIVEWTASRQTYTKDPVIGCVINYSLSKGVENICKADCITTILISRMFLNLSNIHYPPMGLTTTTATQHLADTMHFGSSEWHTNVFSADAASSSDVVHDGEIDMTGYILEPRGTTSGGTSYEILDQLEHEYLHISLEKQQGTRYAARNDIGTIYNTFRDQTLALARRLTSVRPLRPTEVSAYLSRVMSPTDAYEITLGSNESPVSRLPKLAEDGSNWVLFKAQFKATVSSKGLLRFLEGRDKIPIEPTAPGVDSDADEKEA*
>EED77200
MREGKWVVFEDIDRASAEVLGIIKPLVESIGMDKWIGERAKLDIPNRGTVEAEDTFAIYATRSTAPSRSGGFMPPTFCGAHKFHEMIVSAPTIDDLRTIMDTKFPRLAGIAAHGLIRLWEAVKALGSAASTRDVGLRELEKLCVRIDNLLPSQQPMEIDVVQEEFLALPIIFPNLALREVMYSEARDVFFGAGSTTASARTHMDTVAALVAEHLGIAPDRRDWLLHEWTPEYDLEKDMNGNIAAVRVGRTRLTARISKSPKGAFAPRPFAMHRPAVQLLSRIATAVSLAEPVLLTGETGTGKTSAVTHLASLLGRNLVALNMSNQTESSDLIGGFKPVDARIPGMELQNRFLELFGSTFSKKKNAKFEESVRKAVQDGKWKRTVTLWKESTKLAKKKILSKTVAEQSEATTQDGQSPRKRRKVERGLNVPAAVWDAFERDVEVFKIQHVHGNSKFAFAFVEGLLVKALRSGDX
>EED77201
MVLPSYRRGRRSFRVSLSGAVAVEDIIGGGGDGGRRGGGGGCGGPPLVGLRGERVRERPRGGDGGEGAGGSPEEGSRTSGTADAGASTVSEAQALAQGLEGDLLVLDLDWRPVGEDGSWEGQGKNAARRIGMRGCFEDRADVAPFGSLYSADSPGEDESGRTVSDVDVDEVLGVESVNKALTRSHDGREEGE
>EED77202
MLRLKPRLPRLQPPHRHASTSSVTPSASSLRTGLYASALLLSTGVFAAYYFDSRAALHRYVVTPVLRHTLDPETAHRLAVRVLASGWAPRDTRPDDEVLRTELWGEELANPVGLAAGFDKHGEAVDGLFDLGFSWVEIGSITPKPQ
>EED77203
MASNASMESLTDSLKRKSLTPQRKHHKLLKDGSEVWSQEVEKIFVDGLHKYWESPWATYSRGRSRWRNQFLVEHLKKHGIDRSKKQVASHIQVLRNMWRGEPEFHLVAGGEELFQENGLLASPQSTASTSSPEQHPSTSLDGSDWRNSWSSSASSTPEYSTTEFPXLHRLPPTERGAKPILNDIQPDLHPLEVLFDVQKLVQISNQQPLSASFEKIVQATGLYDHWLDVQRGAFVEAEQWGQHAVQSVVWNMHGSVQLAQVEENIVTAFTEVGGDDNNRLIVNLNRAYHRARQGKYEDAIAILLEPDVWCGLTLTQYHNWANKIWHIFALRASRRGQERQFSDFLKQRRPHGVYRAREYWFWSPTPLGSMVRDPIYEAMQMRHADQAHAWVEPL*
>EED77204
MSTHMTTVAPSSCSASMAFSLSRTAARRTTFPSPYGSQGSTPDSPLLHMSSPPPT*
>EED77205
MGITIKEDEHNLHLELQHEEGGVDSPVDLQLEGEEDASTPPCQEEWRMLPLPALGGTEDSSGVEALVVTVHWADFWGSKYASSLAAAMVMKVLKEARRQGDI*
>EED77206
MATPSTGRQNSRFSSLNVFKFASSPKPPPLPPKDIHYMPNPSLPSLNHSLSPDSFASQPATPLSAQYGGLTRTPSPSPSYAPSRMTMSPASSSSALSPESAGFRRGLQKLSSFGRRPRTPKSPERDLAALQPPDPVEDPSISLPWNFQLQWTATNMGHEAG*
>EED77207
MKFPSEQAQRGGALASQLMSAQVDMRKVNLTVIRPWVVKKIIELVGFEDEVVVEYAMGLLEDDSQPTPDPRKMQINLTGFLTKHTPEFMSALWKLLLEAQESPAGVPRTFVEEKKEEMRQAKM
>EED77208
MVFIDSNKSPISEQLLKTIDFVRLTKSVVTKSGVSMPAILVALVYIRRYCYEQTLDPKRSCERVLLGALMAATKDVTPKSYQTIDWVGCSAKCFTLQEMKANERDFLEKLHTPHKFKPQEEDLLALYPEIKSRCMHPPGWWRHTRWDISCRARNPYLPDDLDSSDVSDSDDALDLGDAPDSPRPTLRPARFDIPGVPPSPSAYSCVAGHYTVDAYRVPMSHPPHLRPFRFPTEPDTHELSSIREVLPVHMHYCFPELPPLSPT*
>EED77209
MTALLSRSRPRSARRKRSESSSCRMTSCRAK*
>EED77210
MVTCFAPGTNLIGCPPGRVLRRTTPIARTA*
>EED77211
MLPTDIMLRNVMLDPKAMFPDMYHPRRRNRKRDFTGTAKHFTRTERATKYYYVDFGLSRKYNPEDGPPRELPILGGDKTVPEFQGEGYNKAVDPFPTDIYYLGNLIRMAFLQRYRNFQFLHVLVTDMVQDDPQKRPSIEEVTSRFTEATSKLSARTLRDRLRENNESAVVRFFRGLEHAYRTANHHSRXHKNELVRLTLSIGAGRLGDTSYTLLVLGDF*
>EED77212
MASTSFWGRRWCKSYAAAPVESKASPMLPPHVSSPTVQLTRSDRLQVCLRDIVAHILTIPLLHSRLPFQSLTLPSARLPISSFNLLSSSTSDIVDSSPLSAVEPKIHLLANLVMFTPPRYAKLPATALDTYLQLTAMLMNALPTLQHHPSRLSFIAFCFALTTVWLAKRDKVLGTFTIGTGRTVRDGDNSSPLRGWVARAARNPLTLDELVVFSRKLLNIAFVLYWRKDPSSVQQDDVPGLRAVK*
>EED77213
MLDFTIIPEFRWDEEIHGTTESIWIMTPLLDLQPLPLSAPYNKEFESTYSSTIRTFNEIQTQVFQADNNVYVNAPTGSGNTICAEVSLLRLWNTRDQHRAICIEPYQEMVHLHVAKWRAKFSNLQGGKQIWDVLWRQRRNVQTIGLLIADEIHLVGGEVVPTYEVVISX
>EED77214
MSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNTADLGCYYRDFMAITKHLIAQHRLSTIEQTANQAIASTSTTSTTVPPGMIKTEDISMIIESTCEFAEIDIRDGKCKWNTDGKIILPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFNGVEILQRKKPTTPAVPKSTEASGSVVTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIVPDIRNKYRDAITPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGKHPAEFTVAHDSNAICSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIILYLQAHVIRNAAYNILLGRPFDVLTQSVIKNFADENQTITILCPNTGETVTIPTYARGRSRSRQHPLX
>EED77215
MAALSQRLLVDVHTHVYLPRYVSFLRARSAVPRVFTRTTPDGASEERLLILDAEPSGGRPMGPQYWDRALKLDFMKRHGIDISVVSSANPWLDFLSAPAAHELAHQLNDDLETYCSTSPGLAGGPLKQLYGFGLLPLVPEVTTASLLDTVHQISALPHLKGVIMGSRGLGNGLDDDALEPVWAALEHAGLVVFLHPHYGVDGKEWGGRDNGHVLPLALGFPFETTIAVTRLILAGVLDRHPGLRVLLAHSGGALPQLSARLASCIAHDPRCTHPPPTRLLPASRGGVPRDLRSGDADSQL*
>EED77216
MPGSSTAPTSSSR*
>EED77217
MAPSGRLLVQLCMHLVPLLARTRLPHPLTRHPKQRASFLGYAHLFFLVSNQLLCLAVVLVGRIVNILVPWVFAELVHMFEEGAYSSLWIYLFAYVGLRFLQASGGLPALRETGEILRILDRGAAINRAFELILFNVIPTFVDIGLALVLFAIYFEWTLTLVVFFVIAAYVSASIILTRWRTRLRRKMVERDVVTRGIHTDCLLNYETVKYFNGEQHEAERYGEAIREYQTFEYKVIRT*
>EED77218
MRYLRQQIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQQIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQCDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGHLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKMRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED77219
MDTTLPSNKPRNSVLYMFDPLKTPSTPRRDSPESPEAGSSDKENDAPGDLTAFFTRAAHYQKHVAPKTPKGRLIDFDTTVVLDASGSESDSDAESDSEEDNVQMFQLGDFPSDDAREPASLKRAX
>EED77220
MIKEIPIPKGTELIIGTFGCNVSKSLWGEDSLEWKPERWLSPLPRAVTEASIPGVYSNLMSFIGGKRACIGFKFSEMEMKVVLSVMLSNFTFELSDKTIEWNIANVWYPTVGKDSDLPQLPLKVGLYKA*
>EED77221
MNAKMNGLNILILDMFHTRAAEHPLRESTPALSDDTGSSSSRESSPPATPVSGLSRAPSISFEDNSKHILASDDTDIRIVEPDTDSSPPEDITRSLKRRRLADTRADGFHAERALLPDTSNDVTQADDGASFGLEHIPISDCTGVGDVKLDAVDLSLEYVFRSLKRKRCADTSTDDACAKRPRTSGTSSDVTKAEDCASFGLGHMLVRECTKIMVAEPDATPAVAPDISLHAPFEAIARPPKRKRCMITGCVSVEVEACYILPPDTPQPLTTPIPALYVSRDGLQPVSLVGMARPECKLHLMTTRVGREFMKQPLHYEHHLLKDALAHIPTITPIHPDVVEPVFSHIRRDSPVEGISRLPKRKRSPDTEAFEVPAKRMRTSGTARNVTKVEDGIPFGPHPRCMITGCVSADVEACYILPPDMPQLLVSRHDCYSGFCG*
>EED77222
MSSTLPFLDQFNAPLTEGGKRISIYTPKHTHVGDSTLLTLLLSNPTDIFNKLKTHNPEATNATDHAALEAYLSARREYDEAVKAADEAIDHHKQLLRQQDDRLPIAFNPLLPHSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPQSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCSDVRCAGCGKEAPGHLERKCGTRPMKRHVSAPPEEPAQRVGVVIDNMFLEGIINEAKERKERERQTKAIPIPPPCSANPEPPASPVAGPSHPHPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED77223
MLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTTAVPKSAEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPAPPSPPTQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVVQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVAAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPTEFTVARDSNAIRLIIALIDNKEQIECIVDPGSQIVTMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIDDPRHRLLIVDSPAHETDSALCKRGLLHLLEVEVHVVEERSTASDVSALLADDANYPPRFVVEVERIEVVLFKAKAAGPIERQSKQGARRLVDATLLRTTRVRGRRHRRGRPNY*
>EED77224
MSSRSATPASTPSLVNRRLSSLLAVLEAPPTADATLDVALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDEHRRKDEEDRRRQAKDERRAQEAADEELARIAAAGGLLPDPAPAGVDKGKGRVRVDDEVTELSDDPSVKTPRTLERLFAMMEVDMAAAALSKHQAGQKVRSTLRRSDSMLTP*
>EED77225
MTGNSDAAYSTAIEKVDSVERAIELLESKELIPGGQSMSLLIIRDGLLHLARAAAPAATTVECLVAFSRIADAVDMELITSEVANQVCHKTMAAYNILDDGIDKLEQTRIELEGCVNRAKEQNIRGEIEKGVEALAEASRQAQKEIQLSAQPGAX
>EED77226
MVTLADLWTAPPPWDAQLVRNEDEPQEVEGEQQEAEDEPSLPIIIPTGIPRTPERPKAVIGPGGLVQEIGCESKTPEPEDEPCYSNLKSCAAQLAPTGSRCLLSLQDDKSVQCCHVVARSTTHKTRQNLAAWWGLVDFDINTPFNIFLLRADVHSMWDQGDLLFMPEPEVIKKYLAQSIVPIDVGMSLGEPFEVCHGPIYKYCVVAHRDVPDTDKNSAFRREFKTVGYVYSRVPPQFAIYNAGLALSKGAGPADFVMALDAFYKEHEVNYKAIEILNGTQNLYQLWTYDKPRGHSMRRTARRRK*
>EED77227
MWAPWAILALAPVALCQPTNDSGSLKWGAYRSGLYFGLKPRVPQSLTTGLMWFGTQDYQSLTRTRHACDQGDGLSGYTWTEYDARDGGVQVIKDGPNNVEITTEFLKVPGGEHGGSWAVRVKGKPMNAGREALPSRVSTIFYAGIEGLGGLDMENEEQENSMKGFEEPIRFVGSSPDLEDFTIKVVDGQNNEAVTTGPYASEFTHRIGKTHFVGLRMQPGTTWQAREFLLKNILDHAKDAIEPYTDSPIGMPDPSFTLQFSDEVYSASNMYAVQKLFDGPFQFDVFFESASAKHQLSCKAVYHPVTSQLTLGAA*
>EED77228
MAAVQTVISDALPGYMSSRALWATRSAAAAAGDGRALEIQDEQCLESLGQIAVRYRKIKDACATLSRSPSRYSAALCATLNQILRDEYENLVVETEAKVLRRDASLVASGSFVPLSSIRATFAEWDAPLAALEKLVEDLQDKRYAQPGPLVDLLLTRSHTGIHRIASIYSRLCDAVQRVWIAQLQAFVVHGTVADKDPLASKDYTLLEGSVPSCLSAQSRESIALLSNPPLTAIIRMILETCERFVAQAERWGGDILPELLSEGSLAGGGDVGKMVKERKVIVAEVNETLHTLLGSFYEQLSLSTTQQPFSATADASKSVLYSVSTANTTGFHTFLRPKRGRRLEGDDEVRRHVERLLLRLDFNGGFSMPKTGGHAGSNDGEEILKQGGLT*
>EED77229
MSSSERKDDKQQALATAATNDHTQHHHPELSHLRHADDALLAKLGYKAEFKREFASVVEFLVCSIMAVLLEYIIRNLRPAHNQQPVFIDRLEFLPYNEYCLIDVPQLIETVAFAFSIMGVIASVSSTFSFPLASGGHVGMVFGWLIPSLFVMAVAASMAELASSMPTSAGLYYFSAKLAPPKYAPLASWITGWANITGQVTLVCSIDFTCAQMITSAIAVGSGGSVNLGSGATYGILLAILATHGLVCSAATRVLARLNLFYVVVNGECX
>EED77230
MHSWSVCSIAVSQLLRQWPSEELGSSEDISRGKGRAKEVQNLEVQDSLTSKRAVLCVANKLMLVLGYNEYIIQGDDWGYYISKLLITEYGTKTVKAWHTNMPVLTSTPM*
>EED77231
MSWRSARRRAMKARSSRMTRMIPRDSSSRSSTSRSSCSRPRRPAPSRGSRSRGRGGSSTRRFFEPLESATEGPGEVGRTTX
>EED77232
MPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLVQDLLKIX
>EED77233
MPNAEMKSNIWPLRTGSRREGMPRGSIPNRERPATSVWLGAKRALGQAASSGPIVRRMRKMFRDLRTRHREDRAELRVSKIVEGEIDLLGDWVEVPGGMRVPKRGVSGPSGARRLSTVTANAAESTTGRVRDWIGRLTLDISRHYNGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSHQPKIGEPPAFKGSENKTKLEEWLDLIVLWCEHEGVATDKQQIVTVLSKLQGPAHQYMKSYYVKMWEGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLNILLNINKIVNPEKARGLVFKNSGSDNGGAVPMDIDSAEKSKSKVGQKCGQAAEYPKGWR*
>EED77234
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSRKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYADWAAFATTLEEAFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLATTKSETPTPPNKGPSPSQTPETTASQWISTPLQSWQPLPPHREEGSGN*
>EED77235
MTEADMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKCIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVNDE*
>EED77236
MYKYKSRVSTIDRATSLEVSLIGLREWIAADVCADDTVVEEEAEAVVTDELGARELVSLAEDEDEKELEMMDVDSTRFDVGLSTLEGTGSAEVSLAMGDERLPDIDARLLTSPRSDVQYCCVS*
>EED77237
MTTIKLFRVFALSQEFKLLPVRQDKNWSWENYSSVYPVEEPAAKINVLQGFISQLKSKGFALVADMVYVQQSAGRWSSAECMPEEIIRKTGGKRFPWYHYLKLISAEIGELVGFKXARRLVHCLVPSFLRLX
>EED77238
MAISACPQAGSDGRQPPGAGAAFANAATAMAAMMTLTAKRIANKERMETGKVAAKTLDRGASVGANVGVVCIETYDTACGQANCGVPVTSQNHEVSDQSRTILARRIYLTVGRHASVISALRIAVSYSFLNNVMNIVAAPDDCGQLTPEDRIQQLKDHQARCAQQQAWWLSKKIFPAEGLIKVDLDPSRPRLSRHSSCADLSQALSAAISAPLAPLTLPMPEPQYKTSDSHPIKVSXLIPFELLRVISSHLTRVEEPSPVIFDLPPAYHIDRVVTHLPDLRANFVCRAAVPPAPSPNSVLGLRSITPPCAPKLTAVGDGGIGQIDFLDVSRDVLSAHKKIAEGDLRRSHSAPSAIPHGNTCEPEVPVPSMPPQFAVPTSFTLGNLFLSSCPGKKVRLNGPVKGRATICRDLTADLQRIKELGVACIVCCLDDDELELLGVSWNDYACLTNELGMDVLRIPIPEGLPPASADALDTELTTVINTYTLRGASVLAHCRGGLGRAGLVACCWLVKLGLCGWIETAPAPAAPEVRAASSELLERHMVRRDTMQLVERVIGIVRRQRSPKAVETYEQVQFLVDFIELLRAKSASSVVNTGAIADWESFID*
>EED77239
MSLTGATLKQSERPG*
>EED77240
MARATTRSQQPSQSQPQARQPRASQSQSQSQRSRHARQEEAEQEYGEDEDDAGGEDDSMDGGDAQDNAQTQALIAHDLVRLALFTETRRMPLRRDEISKKVLGSSTRMFNVVLGRAQEILRQTFGMDLVELQSRAGLERETGDKDKDAELLKATGVKKRAAPLGTKTYVLRSLLDPVIIERDLLAILKRLHLPPGSAIPLSARSTHQGMAVDAYLTQLMRQGYLDRQRTGDAKGGGKRGRGPGAAQGGAGDDGGAVFEWRWGGRAMAEVGEKAIARFMAEFMVERPGDDSEEEDGGGQQDEDGSRKKQLDAIMKGIERAAAGAALQDIA*
>EED77241
MLSP*
>EED77242
MLRASLTKTVLNAAPRASRIAARPVLARGYHEKVISHYEQPRNVGSLPKNDVDVGTGLVGAPACGDVMKLQIRVDESGIISDVKFKTFGCGSAIASSSYMTERVKGLSLEEAEKIKNTEIAKELCLPPVKLHCS
>EED77243
MAYPAYEAYPQLHRSLSYGQQYGYPRGDYAAYDQPMAAITPGVYGECQTYPQTTYPIYAPQRTASQYAYDDTNYRDSYFPEHYQYSSSAYGTPHATRMNRRRSSMSRRRMHPDGYRRMGSTLIKFKRKGGFRSGITLGEAMSNAHLSGNDSYTIYDLNADHRGKIVLKLRWTGYTSMTYELPVDGYDGRVELQTLARRVARACVHFIQLSFFKRLTIELYTSLHHKLPDGKYTIVASFVLSRRKALKVISLATGSKCLPTEKFTEEGDALHDSHAEVLARRGAQRWFLEEIQRISSGEHDSPWVRKTTDDMFELLDNVEITLYISTVPCGDASTRFLASFQDTEMAALKDSTKFPELLPNVASRGRDNYSLYGVLRTKPGRADSPPTICMSCRSPARWNVLGIQGAIGSHFLRPAYISTVILGEVEEHVQDMTLEECQRAFHGRLDALDYVLAVCWIADSPKHELYQQTAEKLAPPAPLANYYEAKQSAKVYQLAKNVLQGCNSPFAGWITSGKRWEDFNC*
>EED77244
MLWLQIATQLGIALLTFITHTQTYGNLYIPLTIGDFIDAAVPHILFIADHAAHSLLASSVPSLPAPPIRHGISAPPKTVLSKDLILWTPVGLPVSAGLHLPTLTAAPIPCLLTITEMRTHGLGPQLEYPTKDLVVWEGHTGELVDASGSTYFVTSGILAIAIICGACMIVNAFRSQYITILRASFKAYLWSILFTGIPNADAGAAPPSNVELAWDGEDASVDVSDRELATGVINGSNAHVRHNNAINGISGTLSKVSDISLAQTAEAPTMSLYPDTVAAHLDDGQAVDTQDIRCTEX
>EED77245
MPRYRLCHHPRQLPCCNSSPCVCWPHRHG*
>EED77246
MPRSNDTSKNRSASPKRKHDASKRLRSVRRPPRTVASKTNGVERMKRTVSGRPPKMSVAHKRPPIRSWRGSRPPRDFSTRGKGAQ*
>EED77247
MWAVATGSVETRLGVMASWYLFRTSGAIDSNSSGVSVTNGDFIERWKISSASFGWITGTMVLYAGSLPLSFKGFGGVAKFRTFGGKGGAGDAAGIVGGGAVLVEVLEGAATPFDVPDPEASALFGTAGVIGFFRCKISTLSKTSLRFRS*
>EED77248
MRTLPASTPRPLFDHYPGSSTSPATSSPSDASPLTPPPMRQPALPCTTLSYEGVRYSVPIRNRTVSLRSPPMLPIGKPSPPQPLVRSRRTVLPAGLGLVDLDKLAAAPSPRADASREERAHRRRAVHFHSHAHFSEALRLNLARPDGTRIPPDRLHACEWHAPRQDEDENENDFSWEAEDPGLSPPSDTTSHEYMQDLAAEFPQPPKSTPEFPLSLASGFALEGPPRMPLPPTPPFVDSPHIFASVTYSDANRLTVIDPDTSMAQLEMSMAKLEVYNPSAQSGCFFDDDDDESELDLQDSEVEEQPPPQAYTSTLSDNDAENVVLEEQLDEAAQRRSYCITPDTFARVGFTAHPSSSSVATQSTAAEDSAEGKPPVDLLPHFDFETMRQAYHQPAQSQRRRSSSLADMRRKLHVHWEDEPDVPSRPSDSSASSYSRPTTADSDAPRTEAAAGEHCLCGTWAGDGHVHMCPNSLLRK
>EED77249
MKLARKVRKFSRIAAADDHPQGRRIGDMLEDPYSLMTMPPAVVSSPSSSPSRSTFTESHLQLPSSSLKRAATMRSSTDVPAQGAQGIQRARSPVSPIIFARPNGSHSSLSETSSALYSESRVPSRSPSLLRSEIKEVDDESPFSEAPTADAVSGSGAHSSGAQGDDVAIPTHLRDAERSRIDLPLRTASLRRVMRPRTPTKRRLSLDLRSFSPEPVKGAKLKKGKSALVSSSKKSAEEERKRSTDEMEDALDDETLRVAGSLSDKERALYLKRTRKILQLFGDKPPKEFFQVTQATRPGDDTLDAIDTISILTTVSENRRDSRATFTSLTSSTISLTVHRRVRDSVQSISEPSSPLAFTGSELPEDKKQSQEQEKRKSQSSAQDAIEEHATEASSHTEPESSGAARKSESSLEEGDLPPLPLSPMQPIHQPRPKPSIQSISAASTTSHTRTLSFHSQAPLNPHGHAHEPEPPLPAPPRTPPPGADGQVTNAVSVSMRRPTFTQGLILGQFSILFLLVLVLKYLFFDTVSDHAYRTSSYQPKIERDEDEDGIALVAERLAPKPAQDGKQSGNECESADWLNALLIQVLEAYRVKLRDGLPGAEGDEVARKRVERFANQMRPPGFL
>EED77250
MTPKRSIPPYVTGFINPRSSGDEMYIFKDDKYVLIHFHTSTLRDTLLSGPHCITDDWVSLKHVGFSCIDAAIPNPSTPNQVFFFFQDRYVLVEVCSKPGAHDDKLIDGPKNTLIEFANLQGPQFGTPDVTLQIAWPADDGYYWVYFFKDTEWLQFNVGENASGAKDIDNIWPSLKKAGFETVDMAFPKPGGDGHEVYFSGTQYARIWYQSAWQFIGVPLPVFEVSEALDLLVVFMIQCEGLLYVRFTGFEYDGDEELVVLAGSAKDDGVDVDDGVVDEVACEEGGRTRPRLQSYW*
>EED77251
MPMSWPSQITAPDVLTRSQPGNLRGLLRSRTHGDGVLDSHIDAAIWANIGRVKHSPSVVRLDRRIAPGALLVQEVQSTPLLSYIGAIQFMRHGREILQEGYAKHKGSAFRITMLDTWVVILCGAKLNEELRKLPDDQMSFIHAIEE
>EED77252
MFLAVAFTLLLSISFLYFSPDGIILVSTASNQVEVELKDEGDEVSTTIEAHDQSVPMLIPIRTLPMAWHLFTHSDMNEDVEPTSPVPLGPDGRSWGTADHPMAMMTSSETMERLRLRERYTTSSCWKNCSIGVSLSGVPGGDRLCADDDAPTSSLLTCENVLYTHARTMSPRAPWPRQSALEDPTSAYVRSYLQTPGARPNAPIPPTPHSQTAAPSPSPLISAMQSEVEHRQIGSPYPYPFTHIRRTTVAAPPVAPSSSYDMNNPAVIREQLALQMQIYALNNGLAPPSDSTFSPPSTPFPGPAYYPWTFVPLRGVQDSTGGTQTAMSIRSSPSHEPVQLPPPPPMRGKRREPAPQAGLRAARRMKPPPRVESTQPRETSPEPSSGSGEETAGEERFVDRYIQDGSAEAGEWGGTGNGSGTSGTAVSSEQVEEDDGEWVDEEEEGEEEDLLQLEYHPSYVSNPQKRRRRWENRWDALMQAFQAVDRETDATLVLLAAPSHSTKLHALTSRSIRRDPALANSPKLSAIKSSFNSLATQRRTTRAHRVSLVQRLQLSSASSAAGSPGGEAREEDLRRALEAALGSLGEMGKIYEQREVRWRDEMRQLTEDRDRVEMLLRQTLGPVLHENGHGRS*
>EED77253
MDEVDGMSAGDRGGVGALAALIRKTKIPIICIANDRGAPKMKPLSNAAYNLTFRRPEATTIRSRILSIAFKIHHREKMKIPANVIDQLIEGAQSDIRQVLNMLSTWKLSNDTMNFDEGKALAKANEKYGIMTPFNIIQKMLGPYMFSPTARETLNDKMELYFHDHSFVPLFIQ
>EED77254
MSASPQRNRRPLMLASTQGPMQGISPRESTPSPSFRDRQRATKSHSLPIVPSANQILNQNQQVVYPHAQQPSPTISNSSHQSRRSPPPTSRISPATSKMSAPALAREHSPERKSPPPLGHAHSQPVVPHMIPLPPPGPQHTQSQPNIPQVAQNPHQPRPINRVPHPMFLTEYPGSEDAWRASKSKELAEYEEMQQGQFSHPAGTAGVAYAGGAASSNIGSHLRETVQTTAKDPAVER
>EED77255
MMMGVPLVPGMDPTEDADPLGYLDLDPHDPDLEDLREEFRPRFKQRFEGWTEIAQAITGGAALQQQVYRETLQLMPFLRASDIVDEMVGRLADKPNKHHVCDGLDALSHFVQRQRKKANNARILQRQRDVMRSTSATSSTTLVSTSAMDPTADDASDTPPPLETPPSTGTSSPEATTSATAPTPSGLPASFFAHIPFAGPSRLGTGGLEDVD*
>EED77256
MSSTLPFLDQFNAPSTEGRKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEVYLSARHEYDEAVKAADEAIDHHKRLLCQQDNRVLTELIRLDNLK
>EED77257
MAPFSMRCNTCGEYIYKGKKFNARKETVDGEDYYGIKIFRFYIKCTLCSAEITFKTDPKNTDYSAEHGASRNFEPWREEKAVEEEDRLAK
>EED77258
MMLRPPSADADSQPQSALSESTYHSASSEFPTSVATTPDQGIPDDVFGIGSVGVKVDATPDERPQTPDQTPSRSSFSRSGSNANPKVPPAYPTRTPARTKRRSVSSTLSDPSTPTFEKTNGVLPVQDFSSLLQTPAPIIFSTPLERELLNSLSMLGFDTGQIVHSVLSDACDATGALWWMLKRRAEKRALEEVPAGAEGATAKSQAEDKGKHRARDKDSKKIPAFVHAAVDASQSMLLPAMTQAHSAPELQLIPPTPTASVTTRPRTPPRTKSPTSQLLSPTPSTADISIRSHPSTPGGSMKDKDGSKGRKARSGSVSIMQRATTALEAAGLVRKKSAEGVREEKEKERATDKRTGNGSGDEPRVSHGSGSSKLAKSPPLKSNEIAVPTTPPSSAELNHVTMSSPWVLTNSRASPPPTDSPRDTLSALPNITGNKGLGNRNRASLLSAFRMWFKEDPKGKRKEHSPTHAHLYTAALNNPGPSSVSGRSRPTVKRRASGNRAGRQARSKRTSVSSRRSSSVNSRRSSVHSTHFPLLESPGLASTSVSRRRSDASRRSFGSHTPNSEREDLVSRPSSMQSFYGQQRHRKSPSASSAGSMHPGRTSSPLPSKYHRRAGSGSSTR
>EED77259
MSLLLLERTHSSHTSKX
>EED77260
MRFRECSRVSDSQALTTGRGGAGNIYSSSMARLISRVARPEDHPQTASLLADREAAEAEYERSVIRASEEAAKARKQSSGRGGAGNIARSQSKGPQSKSKSFSKARRSFSKSRRSKSRDEEEHTGRGGAGNIFRTRSRSESKNRSNSRGRTGLGQIWQRVRSKSRAPREHEAIALDRQLQDMTISEANTSRESMQVPQGSQAGASGDPPQGGQE*
>EED77261
MVAGEYVWQLRESQGEISGNSRARMIFKQYWSQVVVRLRVVLEGWPHEEKIAFADLSLLKTAQLEILLARWTSGTLFFRRINEAEFAEMQAAREAQIAAGEINEEAARKERKDRGQRWYRTNPETRTKTKRSKGPFRSSEIVENSDVE*
>EED77262
MFSAHRRLLLCSVFLLSLVLQFSLVHATHSSSTSSHARRSLLRKIQNRISHADQRRDDGDPLGGLLGGVLDGVGGAVNGLGDGLSTIGHQIGHQLPGTTAAVPATSTSISSSSSPTSSSAX
>EED77263
MAPTKTTKTRKEPAKASAKEAKAKAARKAALAGTNSHIARKVRYSVSFRRPKTLRLARDPKYPRKSIPHVPRMDQFRTIVSPLNTESAMKKIEENNTLVFIVDLRANKRQIKDAVKKLYDVQAAKINTLIRPDGKKKAYVRLTPDHDALDVANKIGFI*
>EED77264
MAMFTQEDIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYKKLAGGVQVYSTWANFVAALEEVFPEHGTKTALSLSNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWGLSSSQTPGTMANQWISTPLPSHQPSPPHREEGNGN*
>EED77265
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTFLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARHEYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLK
>EED77266
MECIQR*
>EED77267
MALSLGNYITHFEQLASKAQLKDTEVNGTNRVENDYHTLHAKFVKGLPKELYFVLATRAWYNEVRNADAAKQETLIVTDTRDYGEPMDIDAAAVTTTFTSTLEGRK*
>EED77268
MLQRGRRDNVGDESMDGADGGGGGHAKERGSGRQGVAKAEGTQDINPNEVLRQKARRAAKAERDERMDITTAMTPVKEVGVGSAYTFSRRRSHDVSSGFTRPTDLRLSNWWQGGAWRDKKRYEIPPAAAYEPHTVFLLESRYPVKHGIGRIDSIDHFVGVCREGDLPAVLARLNSPRAPGEARQAMDNARWPWAPQKKIRPVRWWEHGETPASVEEMLAKEDEEVAQRMYPQQQHSSSAAAPRPAHGLQASPARPELVATELLSKLGPSTQQRMFHFSFRASAAADAHSPPEGPGSFKARMDIPGSTWARPHKPSQDADDNVVPSYYRKEEEGGLMAELSAGILSEGVAAKTRAREEKIPVEVRDPKTGTVRHPSGFEPPTPETHFHPAAAKTPTEDHPMLATVKQLWDERPANLDAPEVPGPNLRVEQEFTERMVQQGADADADAARVLVNHARTEQGPSGTFDALSGSGSTTQAQRTNIPTSSWDTPKRAARWQQHPEDVVPTYYIERKRQRNSIAERKEEEGGLMSELNAGILGEGLAADMKHREEKIPVEVKDPETGTVRHPSGFEPPTPETHFHPAAAKTATEDHPMMSTVKVPWTEVLHLKKRRRRPWVPAAAGAVAMPHDALDFLALSRAPEPIVDEAQNEHEDEDEDANAIGAYEHADSEVQAVRRQYLPTLGAEPFWRPLLTATFSTRPLAMSFARLSRGLARGTPFYTTVSNEDRKCHSSFPTRLRNLRMKRMQELTFDLARLLRGDRGGLIGVRFDPSQRGRGYDGEGFDDLLPAEKRNVKIGVGEWYQLSAEVKERFVEGAREADLADSVEVFGLTEHGARTDGKSWRVPPSRTVVKDEQNNAVLIP*
>EED77269
MSLRVLILGSGGREHALAWRLSRSDLVQSIYVCPGNGGTSREAKTSNIDLPASDFPALIDFAIKNDISLVLPGPEQPLVDGVEAHFRKVGIPVFGPTALAARMEGSKAFSKAFMARHSIPTAQFRVFAASQFDEAVQYVKTCGFRVVLKASGLAGGKGVLIPESTDAAIAGLRELMVESVFGDAGSEVVVEELLEGPEVSVLAFADGYTITPLPAAQDHKRIGEGDTGPNTGGMGAYAPAPVATPAVMEQIMKETLQPTISGMRKEGFPFVGMLFTGFMLTPSGPKVLEYNVRFGDPETEALMLLLSDDTDLAAVLLACVERRLDAVDVKTRAGSAVSVVLASHGYPGSYPKGKPITTGDLPPGVFAFHAGTVQEGEATVTAGGRVIAVSAYAPTLREALDLVYAGVERVSFEGKTFRRDIAHRALQNEQAAPGLTYAQAGVSVDAGNSLVEAIKPLVRATRRPGADAEIGGFGGVFDLKAVGYTDPVLVSGTDGVGTKLRVAMDAGIHDSVGVDLVAMSVNDLLVQGAEPLYFLDYYACSKLDVPVAAEVIKGIARGCQEANCALIGGETAEMPGMYQEGDYDLAGFAVGAVERSLILPRADIRAGDVLLGVSSSGVHSNGFSLVRKIVALSGLSYTSQCPWNDGTTLGRALLEPTRIYVKSVLPAARAGLIKGMSHITGGGFTENIPRDATLMHPHGSCRPYSAS*
>EED77270
MLMRPRGPNDMPTVDRNVADLLDELKVLVKFCQERQLSMLDSVLAKKLYRFDKGCAWSFTGEDVLCLFHEGFTESALWGQVDDLLVGSLSTILTTCSASRGKMQGEISASGASWRYNMDRFFFDFLSTVISSILQSEMDGQDNTKGQRKVHAVLGHIIALPRSVYGGYDLNDLDPIEQEAEEFVNTIDIRCADGNDLNEDEKSIERKLHHSLLCLVDCRWQVSLTPVRIMKRMAISSTQARCGAVGVLRMPVCLAKEILSPYCFIRPRDENNDLAALEGRQSTAVLSLSPFSLDPNIANYNPLDSSTCDSSAVYKDFQEAVQMSTATDRVEAIGQLLVDDLPRTTSRSRCQHNEPEMTYLDLLLILIEYKGLAMNHIQSQNQRCLHCTSAARFMEAIGIIEFPIFSVLLDGPLAVLATTWVKDGHMASFDVSNALGAWHYATVMVRIVVFWGTALANRFEQVKDRFVEGVKKDNPRLRWTQAHQALHHALRDAPVEIAPDNEP*
>EED77271
MLDPSDWYEVSFVNSDGLWGAVRARLSITDEERSFVSVAFRVVTRDVDLLLGVEGVLKSRAVEEFVSHGDGGRKGRVERQGKTKRWGRSTYLTEAMGRLCALVRAQLVCAQHADAAPGAVVTLDGIEDTELSTFCDHDGGQPTIIDWLCDRKAFPVSRLSDALMTNICEYTTEFYWPQVWRSVGRATGENQMLGVFDLSLPKRW*
>EED77272
MKRPRPSTMLAACLYGRTISIPGQRDQGLTPHIGGHMRDERGVSVCVPAFCTTSSKPPPPSPFTSFLSGVGPTLVSGAVQAILNQMQAARNGAGAGDTGANPTLQEIEERLRRSEEEIERLRSAQSTADRKAAVLQAALERAERQLKDGIQPIILPTREQLEETKRRLQYQEGLYHFAVTGVAGAGKSSLINAFRGLRNGSRRSQSQSVAPTGVVETTRDITRYPDPKNDFLVWYDVPGSGTLEVPDWTYFNDQGLYVFDAIIVLIDTRFTATDIAILRNCARFKIPTYIVRSKSLQHIDNLVNDILGDENEEEREGDGESRWKSARDTYKAETQQNVRENLEKANLRQQVVYLVDKNTLVQITTRRPVGQRHINNLVDDILGDEDDEEWEGEGESRWESARDKYKAQTQKNVTENLEKANLLQQTVYLVDKNTLVQITTRRPIGKQVLDEVQLVRDLLEQARSRRIAATEVVYRHEGCYSSPHGSVSIKSLAGQDRTCGRQDQSDTRPAFLERSRACKATPLLET*
>EED77273
MPVLIGQFVGTRRSELAGNHEADDATGHDILTRLIYASQLEGDKGLTDSELVRLAHLTTLTADFYMTTGHTLSATLALLALHNEEQDMAVKNILDILRDGRDPTFDDYPKLERVRACFQEAARMFPSTNLVTRDTAEAVVLSLPHTNGSEPLVVPPGVRILIDMIGIHYDEERFPDPERFDPSRWLGVPERDLTFFGIGPRACLARKFALTEVVLVLTMLLRDWKSVPTSSARTLGACGCTLATYGSPSSVAIASPTSAISPFVCGADAERKDRCGGGHHADLLTRGSVPEPQLSRVIRTNSVDLVLATAQHYQMRKVEFSLPRPGPNQDQLPPVKDCPFPTTVGIVGGGVAGLYAALILQDFEEYGYTCQVLEAEEAHIGGRLWTYQFPNGDPAVDFYDRGAMRFPETKFTERVFHLFSKLGIEKGGLLQPFIFS
>EED77274
MPHSVPEPEAGQEDQPRPHRDHSREGVAVDQLRRLSRRLA*
>EED77275
MLSVDLMDSQKVQGISTWSNAYAILWESPSAAFQGSAQNILIVGTNIWKPMILLNSLCAVQDLMEKKGTIYSNRPGDRRRAPLGPDTPLFGTRIPPGTSTQSPNSSISPSTPFDIFDGARRLLEARHGRPDASRVDPGTSSAFGEQ*
>EED77276
MLRSNDASKSRSASPKRKHDASKRPRSVRRPPRNVASKTNGVERTKRNGASKTNVARKRPPMKRWRGSRPLKDFSTRGKGAQGSMTRSPSCRTTPRSRLLGHSNVLSQ*
>EED77277
MLWLK*
>EED77278
MQVQTRAIFRTMTL*
>EED77279
MSTTDGAQVLSQGTGYGVVLGIGFVFAVIMMGLSTLQNRYTSYKIATSEEFNTASRSVKPGLIASGIVSAWTWAATLLQSSTVTYEYGLCGGYYYAAGATLQIFLMAALAVRVKMIAPYCHTYLEIVHARYGSAAHFMFVTFGLVTNLIVSSELLLGGSAVVNAFTGMNIYAANFLIPIGVGIYVILGGLRATFLCDYSHTLILMIIILYFWFYTYTESDLIGGFEGMYRLLQQAAVERPVAGNEQGSYMTLKSNYGLVFMAIQVFGGLGTVMLDQGYWQRAIASKAKTAVRAYLMGGVAWFAVPLTFSTIMGLAAVALANNPAFPYPGGLSSAQTDAGLASPAGVVALLGSGGAAAMLILLFMAVTSAASSEMIATSSILTFDLYQIHIKPDASPDSLIRVSHIMVGVWAIIMSAVACLWNGIGISLNWLFLFSGTLYTAAVGPIVFSIIWRKQTKAAVISGALGGVCIGIICWLVVAKTYYGALTIETTGEIYATLAGNMGSCCAGALISIVVTLIKPDNEFDWSETKKINPRGRAMDVERMKAAQSSPKDVDATGIFTAVQKTLVCSDSSPTLGWLIVNGGDPNTSSNDKVITAVLHEQWVFSLFYKARIVNSQMYMVYQKATANPLSVVSGGPGNLNMRTISAYYDEACNQ*
>EED77280
MRCSLATCCIKSSKVHSKTIS*
>EED77281
MSPEIEFKGYALTERCEWNDLKLISFQPKIFQPEDVEIAITHCGVCGSDVHTLTQGWGESKFPLVAGHEIVGHVTRVGDRVTEFKPGDRVGVGAQIGSCLQCRACKTDYENYCPKSIETYNGEYDDGVVTQGGYSTAIRAHERFVFPIPKEIESAHASSMLCAGLTVYSPLRTHGAGPGKKVGVIGIGGLGHYAVLFAKAMGAEVYAFTHDKSKIDDIKEMGADHVIDTTESDFTKPFAQTLDIIISTRDTYTPDTPLSGYLSMLWVHGKFITVGIPNADNPMPALHSFDFAGNGALLGGSHVGSKKECLEMLELARLKNVRPWIETFPMKDVKKALAGLNENRVRYRYVLVQDINE*
>EED77282
MSTLVEFKGYALTDSKRWGNLEVISLKPKAFKSDDVEITITHCGGWGESKLPLIVGHEIVGKVTRVGDNVKDIKVGDRVGVGAHIGSCMSCSVCKSAYENYCVNGIPTH
>EED77283
MHTISLGGIESRSNKHAEAAESSNGTAAPESIEDAQNDEEGEGEEEEEEEEESDDDIEIIMEPTARTLDLRLARPAPQPQHPQPSLTTEYTPRERGGVTKLSSTPQPTSTTPSPALSATPGAASSQQKAEDEQQPADSGPDPSTLPLAKAPPSHPSINPDAPGTLDGRSILEVDLNALAEKPWRRPGSDLSDWFNYGFDEISWEAYCYRRRELGDVASVLKNNVLAFAGMPEEQLSALPPEIRTMVIAGAMMASGGAANGGGMMPGAGMNMNGGAMMNQMMNMAPMMNPMMQDMGMAGMEMGMGGPGMGGPGLTGVVDRGLPLVGVLNSSWLSVESLVFSMRCIRVEVNGGCPLGAAAMI*
>EED77284
MPCVATGHKAGCALEWWWDIKELSVDSRHNQVFRASSFQEACRYAWAYLHTVRADLHALWDRGYIAIIPMPDVTKAYLAKWQDGGRHKVLEDSDENKIHEYCVIPHPDLVAGAPPPGNSPIREGFAYRFDKVGIIRSHAKPHFMVLNAAIKLKENKELWVEALTAFCKIIELEVDASSFVEDILNLSDGWTAPPPGEAELIMKKEKEQAGEEASSLLVTVSTGEAVTPKHPKAALMGSGGIEMDKRSKSKARKPEGELCGSNLKLYAAHLAPTGSRCLLSLQDDKSIQGCHVVPRRTDDDMCAQVAAWWGLDEFDVDSPFNIFLLRADIHCLWDQGHLMFVPEPHIIKDYLARSVVPIGEAS
>EED77285
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPKPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFIKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAGRKPVLLLPHQGPQRQGLPQESGRTTRGWKAEPGRIWKGRLPRQNQDTLR*
>EED77286
MPLHNMPQIAFGTGSKWKGQDVTDYVTNAIETGFSHIDTAQFYANEESVAAAIRETGLDRSDVFITTKWSSQAGVRESLERSLAKASWDIRAQQQLELTQVDLYLIHNPALAKDYESDWRDFEAVREAGLTKYAPCASNKIHTNHIVPSPVGASQMQKLWKIAKVKPAANQIQFHPYNYAGNKDLLAFCQQHGVVVEAYSSLSPITRYPGGPVDKPVNAAAKRLGATATQVILSWVKAKGVVIVTTSSSREHMQEYLDVGDLRALTEDEIAAIDAAGARGPPSTSAQDPRILGRNQAPKTSPTIAFFAPEANLVLYTKR*
>EED77287
MSNPAKCCSLIDAFAEVIASTVFLGTGRDAGADEDDFHTFKRRNAEVDKSEKTVERVRRQADVKMGAHTGIIKSFGKKTETAAAKKVVHF*
>EED77288
MHFSKTYQQLLLTLPVELRDSAIEYRKLKKLINKVVNELNSIGLSPDVLQEVLGQGDISTTRTIRVNDHEVKVIYELSNVADHIEPRLRLIGSQEALGSPSSPRSASLPGALDAATDEESIAVTLPPGEGAGEDSTAVSVEEIITPSALSSLLQENVAPDTHEIIIPLVSDTAFYQLLTQALQYLNAKLAVAIGENLLPIIPHIDDYACLICTNIAFKPIRLSCGHLFCVRCLVKMQKRGQGHCPMCRAPNVLSADRSNVDWALLNFMQDWFPVESKQKLRQNEKEVAEEEWEELGIEVGNCVVM*
>EED77289
MSKALLQEVRAAEAQRAEREQRAEGEGDEEKLKEKDVSSKEKSENRDWKRNDERWLEWLDSKVALLINRNGVDPRDYAGKSYEEELSKAAEPHMKQEDEGKFRCKTCQKLFKATSFVEKHIANKHPELVKHLEEIPYFNNFALDPHRIQPFAHPPPTAGTSQAPPPQAYGLQGPAYPAADYGRAGPHPAPYGGYPPPPYSNGYWDPYAYPYPPVRRLSDRISGFAPGYEHTVEVPPIPASAGLPAKPVATLEPGPGGRRGGRTSVSGPPPPPPPDAKEDPRASAGKKWYKIVPDGMVGPSAHDQNPLEQAEHLPPLPRLSRVLSMPVPSQLGYLRNPRRLPSSPSSDSPIAIELPPEYAHFHEFSLELADSVQMMIQTLLQLSPPQVLDPAKEQFSACSLSIPTPSISAMFTSMKNLNYMSANMSTLSLDTHVQQVASPVDLAGMPVVHNDFDVGEMLQSVGDALSGMAAQAGVDLVLFHGDLGMKHVPVRGDESGISYTLSHVIRQLMGVAHPGDTIEMGLFIEEPTHTSDSASSDTPRQDSTGQSSPIHEHTGPLRCTFHLMHRYASAHSTRSGAGTSEDGSVPNSPPSFRTSPSFKTLILRRLLRHVGASLEEDVPPRSFSAGKTYALTVQFERGSPSVVDPAVGASLEDTVYQGFPDFKISHEPTLEQLSQFAESLKGRKVTLFAHSKGSFAQHLTSYLTAWGLDVTHVSTEPGADTLPEHVEQVPEPTSSPTASREELPSAYLDQSSAPSPSTSRPAVADALSFCLIDDDVAVLRSRLQKARAEQAYPLHLNTRKRPSLANNHRPRSSPQVARVMGLTPSTSALFAPPPVIVHFTSLANFKLVKDVIQSILMPGAGNASRVPEVIVIPKPAGPRRVLTALHTAITKPIVDPFFYPTATSPISPGFPAITPFFNVSGPAKSPGARSATSVRTASDKSARSPKEHTPSSPRGVSETMEYFSDAAARLGASPASGLVIQSPDGQPAGIFFHPKAKGGGRSERTTTPQSERTGTPSDHPGRSRGVSFRRTADEVKSGSSTPEIATTPRRRAAAGTDGDAGADVQPVEGAPPKGKGRLAPHRGESLVTLGPAGSPAGESPAAAGPPLAPPRKPTQPDPLVRHPSDPPPPTSPSRPSPQPGRRLRRPNMDGPSASAPSTLQKKGKPSDTNIVPPISVLIVDDHPVDLHDQTEDQV*
>EED77290
MSSPPPIRRR*
>EED77291
MTEFTWHFILPYIELTAYTTQRLFRKPNKRYAGATDYALSYPEASDTPYLSMPHVPFPLDYHQTLLSLLDVLSEVYNKISKILGPSPFPNAGQHMMGPLGLLSPHPGVSYLFTGIEAATTHEGESSLWGIAHAAGSGTPAALGGGNVMYGGALGSPPPSWNSALGDTVKQIDNKLKKLIAMLLKELDDFARAGIKDELASLDPLLRNVSVPEDPREQYEIELTHGVPGANLCDTPQNRHHGAGFSLPTRPLKLLAEGF*
>EED77292
MWTSTLVAACAVLIISRILAIFSKLQRVGRIPGFCCLFGPLSVPGSFLPTTVLNPGLDWRWLWRKTASLDVAKQVLSGDKRYYKGPTSTAALRLWGENVLSAEHDVYKKHRKIVGPAFTGSIYVSVARQTVNLYKEMIEGEGWDKLNMIELSSINEHMQKFALGVFTRCGFGMPFHWVSPDDPDMSYEEALATALSGAAARVAMPRIAYRLPIKRLRKLDKANKRMAVLIGQFVGTRRSELAGNHEADDATGHDILTRLIYASQLEGDKGLTDSELVRLAHLTTLTADFYMTTGHTLSATLALLALHNEEQDMAVKNILDILRDGRDPTFDDYPKLERVRACFQEAAX
>EED77293
MSRPS*
>EED77294
MRGLAKASTFFLEVLSKHSSRHLANFAQQLKTLVEEHPRLNQFSAERDFSVKSWKWRDQIKALQLELATVPDEERQDEFENWWDRFSGIVGVLAGHVDMSIKVCREVGADWREMCALWCIYVNPTLRRSDFTYVFSDRRIATTILDEMPADPTNSEDLIHSALFLCDGKEAISEALRMDVWLAVHLADMLEPLDIIDKEQDDAGLTLRDHCVLAYVDYMRSDPTLWRHIVDYLYTCGGIGEGMADEVLMRVPLRLQPPRGGTATDEESARIRAGTLAGVLKEVSAACAEHGREATRRAICRIAAQTFMQEKDYALAVSYYASAEDWPGLGRAVNRILDEYVASGKERFGSLVARIAPSLQALRANDSANAVFVYRLMFAVRFAQFHQNYARGSLKAAANDVVSMFREDLVPKSWWAVVLSDAIDLLMNLDLMLFTSEDATMLLHRLEEITIRSAQGFSDDYLSVLAKAIKKNSGELHALQRLQVVQLALTRYHARCATMRVGGKTIMTAFP*
>EED77295
MSQNTNAPLMPPCGHLTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVANLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITTHLIAQHRLSPIEQSHAFLRGFQPALLTQLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTVANQATASTSNTLTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHKNSGVEQTCHYCSNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALKRELLQLRKRREVFDGVEILQWKKPTTPAIPKSAEASGSGTSKGVAAPPSTSTSTAPPSTIPAAPPASSSTPPTQSTSRPTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPVYKTIVPVIQPKLAEEIFQRLMKSQFVTLTPEELLSIAPDVQTKYRDTVTPKRVSTEPVTSAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPVEHAIGKRRGGPIVRTHSKRSLPHR*
>EED77296
MPKAKPFIITAKHEPTGLLERITIHNTHKFDNVGKPRRIVRPTIKPLIHRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYKPPKPLPDIHFQRTKILLCTSEYNEMFAATADRLEPVFARMEKEEGNLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLLEICNELASLNITFKYEV*
>EED77297
MAPYIPFVPTEAFAIATEEEWHNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWVGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGKWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQHDDKEGAKKEITALFINKDLASKDFVKYAERFRTLRRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKAGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPNTQGDGARKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSAKAVSANTARIEEIANIEELTLAGKDEPQLSAKTEPIAATSDFWKKYM*
>EED77298
MSARSATPASTPSLVNRRLASLLVVLEAPPTADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAINAEVERRLKEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEDRLRQAAEDEHRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAAAIEKRQAGQKCDRCAGYRSAPVDCVWAENTTTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGLKKRRVDEPPRPLLRWPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGVVDED*
>EED77299
MCPSHRVAEADTPRLPKANKALIEGVMDLNNSFQTQRPNQGGLSCVTKQGSQHLSFLNGLALFPLFIFFKNSDPFTRYPVDGERDVSERAAGLRQSKIVLHEPCGSALGLLEGVIDDKPATLVSRLKLEPDKSISSAPGLSRRPRENLTESTGTADLRATGGGEAGDAADTVSMSAAANAGRAEQFRAMAEPLARFLRARLASQAGKVRHSDTFETRCGYYTIRPVPSNDVRIAETLPDGAIIRSWCTVWSPVHSPKSPQPSGLCRRASQRAPSSGFANA*
>EED77300
MTVEDGAVLAKLFARLRNRDQIESFLYAFQDLRQQRCRDTVKSEIGLMQLFVLEDGPEREARDNDMLAKHREGKGVLELEDGEEPRGLAGQQWSEIRDIFGYDCEDEADNCHRKIIGVHLAKCSDGILGSDVTGIKATSALISKFGSRTSRIFMSKHPRGIMGYKFFWVHMGKAHYGVYDANISSSEYTIRYVISSIYYFSVGVTPLHKPGLVLLQ*
>EED77301
MFEGLGVGSRLAYMDLPPRYSYVPILGACLYGITTPVGIAAGLGVRASYNPHSTTADIVGGILDAFSSGILIYTGLVELMAHEFVFNKDMIEGPTRNLVFTLSCMLLGAGLMSLLGKWA*
>EED77302
MLATLLLVGYGFLASTPLALALGSACSTPISGGTAAAGDPFWMQNIDHQGTSAFNPDPSSYQVFRNVKDFGAAGDGTTDDTDAIKYGFLWIRIFIVMSRPDVDPYIAGGNGAQWYNDTNNFFRSVRNFVIDLTEMPATSSATGLHWQVSQGTSLYNIVVEMSTASDTAHQGIFMENGSGGFFGDLVFNGGKYGMWVGNQQFTVRNVTFNNAQTAIFGDWNWGWTFQGVNNLTGSRYEDYAVSQFISVKSEGAKGDGSTDDTAALQAVFSKYSGCNIIFFDAGTYVITSTLTVPAGTQMIGEAWSVIQGQGSAFADQSNPTPMVQVGASGSSGILEITDIIFSVQGPTPGAIVVEWNVNSPTQGGAGMWDSHIRWLPAAAGTDLESSECPSGSDSTSCFAAFLALHLTSGSNAYLEGTWVWLADHDLDSDAQGQLTLFSGRGILSESAGPVWLIGTSEHHTLYQYSLVSAQDHYMGLIQTETPYYQPSPTPPTPFSLESSYNDPSFPSGQVAAWALNVQTSTDIIVFX
>EED77303
MSARSATPASTPSLVNRRLAALLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGTIRDEETELRVAAAVKQLAGRASESWVEWARGDWPELAIAIDAEVERRVEEQKRLAEEEARRIEEAAKRAKAAEERRLEDERRRKEEEELRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDNPSIIRKTPRTVERPFVMTEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED77304
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHTNFVKGLPKELYVSLATRPGMMKSETPTPPNKGPSPSQTPGTTASQWISTPLQ*
>EED77305
MRSWRGSRPPKAFSLTPRLPVSTRGRGARGSTRRSPSCRTTLRSRLLGRSNVRSR*
>EED77306
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAIKQLAERASESWVEWARGDWPELATAIDAKVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERHRKDEEDRLRQAAKDERRAQEAANEELARIAAAEGLLPDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTLERPLAMTEVDMAAAAIEKCQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRX
>EED77307
MTEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARCRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED77308
MPTLPPELFDEAIDHLWDDPTTLRACSLTCRAFVPTARLHIFRTIRIQGAGHCDRFRALLATAPGIACCVRRLTICAAYKGVDAEGRALEDDAWVNTIAAVLPQLTRITTLGIARVRWNALLAETQCAFAELFRQIQTLFLFEVRFLASGDVLDFLSAFPVLTPLPLAIQHPGYMEARKEQERMQLSYLFLDPKSSPTLVTEWLLRHPSEQHLRTIQLCWREIDNMKGVGDLLHASGASLERLSIEFPAGVPEEAVLQNQISLVHNTGLRSVHFGGLKVAVARTFLSNHLFPWVTAMLSQIRSTQLQEIIFELELDAVRDLLSLDWARIDRDLSREEFKGLTVIFYVSCNDTIVGKAVKEVQKSISDCLPGFRERGTLCVSCI*
>EED77309
MIIHFLEVPQFATGTKTAFDEAVIQPLMGDPRPRPGAIEVLEQVMSSVMIRHRIADVEEDVLLPLMHQETILLDLDQYAVKSYNALQASIVINAVDSERTDQDYLFHPSLRVMFWHVDDEKRYNVDEMVKSSNEFLQNARRRNVSPQDILLLEQAIAHVEEELRRYERERLRRDARGQSNASRKEREVARQIVAPEKREEVQREFVAAQKRLLAHFQDEEQEPFAEVHPQPVTPSWLLSQSPLAGIRMGNSTSSKLDFILNEVLQHSPTEKFLIFSSSPLTLGFVAEGLELVQVKFIQYTTAVKPKLREQLVTTFETSDLYRVFLMELKHGARGLYGFALICSSQTADLIRLDTRNLVSASRIIFX
>EED77310
MSVFEWAATESRTNVVLCRLVERQPLFAWLHWNTGVGMDLVHAGLKCIVVVFASAMLALVGLALSVFSGLVDRAVPIALMPLLRPDQGDEHALALNYVSSTPSGSKSVSTSKRESASSVPSTPSSQDRAPKMCIKSNDLNLKYFSQQRSSWRALRHLRRKATTFQDMIMPASLFWHPTTVVQLSCARTTPSRREQDVKCATRATNSENVCRTVKNLFLPRKQSSVPPPQKRTDPYAAPYFFPTPGSPHAVDYVRQVQLARRNPQAASQAQRSPRSTSPEREAASAPATSRRALRNANEAAEQPAEQSASRRRSWQFSPPRLALSPVPTRTKEAADAETHPHTAHLLQRLAPKRKKSSSQPPHSRAPLNVALAETVDEKSADRRLASTSEVVSSAADSNSGGVPAPRKGLWRSLHRR*
>EED77311
MGTRAKAKVISSEESDKHHAQSDSEQSEQPLKRTNSVKSMKNKRPAHDDEEGESEEPSAKKKRVSRPKVRAQSTSKGAADDADQQIISVKVNDEGDKYIDLGKKRRATVRAFKGTVFLDIREYYGQEGDEKPGKKGVTLNQEQWEKLKEGQDAIDALFKKTKK*
>EED77312
MIGNTSNPLARIPVFERLLSAKALGRLEPIKPKEKLNMAVIAVAPRSFWKSSSRTPTQRGLLADYAHVLLYAASRYPHSSIVLYGHSLGGSIAVCLAAQLNSANIPTVRGMILENPFSSIPDMRWMPYRYLAPMAFDKWDAVAAMRSTKDRPDALLARLSANMLMLLSEKDEVVPMSMGAELYDASETDQKGSRDDGLSVKRRVIIRGALHENAWTERQWRDEMLGYLYSVHRTAQNALHTTR*
>EED77313
MAANKTNKLAFLSMPAPASYVAGLGRGASGFTTRSDIGPAREGPSAEVIAEAQARRGEEPEIDPEQFQDPDNEYGLFAGTTYEADDEEADNIYEQVDQNMDARRRARX
>EED77314
MAPSQPRTRLILRHRIA*
>EED77315
MASTGSFFPHIESVFYAVFDVEQGPKIVHQVPEDLISTSTTTVSASTTLSPVSPGQPSGTNSASLRSLNATQTPSPRKRAASSNRSLFHFDDISKYVMPSSALCGRLVMCATRNHRIIGFPMNLWGAYPRNEFRYNLCFVFERSADLSCYEPIVRKASRVLTACEKESKYLSSPENSPAIHAILEQLYEDLNSYSETSIPMDRFNSIELKIFPFYPNPPPVKDYMVPLALINLTKRIEPNWDLTMVKVCQHIDGTNHVSRIARLADCDLDLTRQAIAHLLYYQVIMMIDIFQYSNMYTLRTSIQWLADESHVRDECGPYATKPGANWMAIADWPKLLHLYSRLKPGKTVREWMEEYDVASYGIDVRRFTSFGVIKV
>EED77316
MAPSTTVPSVADVEVPETLLKKRKQNEKAREERLAAATAARKAAKAKRKVIFKRAEAYVKEYLSQEKEEIRLKRAARSTGDFYVPAQAKVYFVIRIRGINEIAPKPRKILQLLRLLQINNGVFVKVTKATEQMLRLVEPYVAYGEPNLKSVRELIYKRGYGKVDKQRIPLTNNGVIEETLSKYDILSVEDLVHEIFTAGPNFKQASNFLWPFKLSNPTGGWRIRKFKHFVQGGDFGNREENINKLIRQMN*
>EED77317
MSPASSSSSSAAAPKPVLVSTPLAPGVPAPQPAPIQSQPKTVALNCKGLRALPYRLAHPPSVRGKLFSLSIVPRFEVRLDDILDRKHLPPLGLKDFEEWLLFVEQAAENLHLQLTTILR*
>EED77318
MYSHSAHSAHTLHPITPTEETPSSASFSPINYGGQGRDSMMLPSPSSQYGYSEQTAQGGWFSPNSAASSHSSGSLSSLLNPSSNVNSGYSNPSRPQPPQINTYTSYSSVPMSRSHHSAAPLSPESRPTSGYSVSSMSSMQYDDSPQHYSHHDYSRPGSSHQNSARPLTPSSSRPPSSRSYNTGSLSIRRTRRHSQVAPYPSPYAEHPPLSAGSERPSSSPQPDEHSGGLPRVRSMIQLPTVDYGFNPAQGDFAYGAVDDAHSHGHGMYGNVQGRSVRPSTSASSLSTSSSAANTPGGDFGPGGPGEADISRFSPDFGYVQMNDHIPQYTKQELWLGVSKRRSRCRDIWRLAQGFAAAYVLAQLGQCKRRLHRLDICCPVNFVRLGGINAWQTISTHAWTPSPSCKSSLRMGPRSRDGKGPETPLMLFEKHLREHGLASKVWRVREQAVLTLVHLRRAHHLFPIRAYLPALVETLEDTDGGVRECARQSVVELFTGPGVTDAARADLKKEMAKRGVRKGIVDGVLSRVLAGAGGSGGASTPGSMSEAGSENGDAGHKEYVPPSIALMNRSRGTGAQIAGLPRTVSQSSVKEPPRPASRAAGVVSPPPTESTGLAGGSTADVRPVYGKETEHNWAQREQSVQRVRGMIKGEAHERFTDTFLFGLKNGFINASLKADKSVQMRGYVIGHVKAYLEVHCTRSKHVVDATGGADILEKCLKKGLGDPNAGVRESARKLFWVFEGIWPERGGSILDTLDATARKQVERVCPNPEALAGAVVAATPKPKKSSVAAAIAASRAKARAIATAPPSLRHQATSTSHAARAMSPTEMLRRAASPTLSTSNSTGHMRATSPVTRSSPPRSRI
>EED77319
MLGRGDVGKVYLVREKKTCKLFAMKVLSKREMIERNKIKRALTEQEILATANHPFIVTLYHSFQSDQYLYFCMEYCMGGEFFRALQSRPGKCLPEDASRFYAAEVTAALEYLHLMGFIYRDLKPENILLHQSGHIMLSDFDLAKQSSEPGGRPATIHQQESGIPLIDTRSCTADFRTNSFVGTEEYIAPEVIESSGHTSAVDWWTLGILIYEMIYATTPFKGQHRKDTFNNILHLPVWFRDSPKVSSSCKDVVIRLLDKRESTRLGSKSGASEVKQHKWFA
>EED77320
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLFRCPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLINRIDMQAGPSYEYEPPKPLPDIHFQCTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED77321
MMSTPLSSSFSFRPCAVRWVSPTLL*
>EED77322
MLSRPSHPLRDFQSYLHPTWHLRHL*
>EED77323
MAEIHAAATFILHGTSSTPTTAANQAIASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQATVHIHENTGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAASPAPSSSQPTQSTSQPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPIASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLPLRSSVRTPAKPSRFRRTHEEDREAGSILSGVANRIF*
>EED77324
MGAIEKWAGRSTTRHLYGPCRWGDEDRMGVSFSTYRVVGEGRDEISREMAIKRPSGQSMEKHRLNVVVQSSSRTTLRIATTARWRRTGTGPGKRPGTGLGKARAPMAGMETMTSDGILHLIRHGKNHHTVRAQDEPAYQAASHQPPSASHQPKQQQRDVPHTAAQPTQQQQQTPHKGASKFKEHAETIVQEEREAKGKMPTYKGLENYKLLDKMGDGAFSNVYKAIDTRTGQKVYHANPFSHHDLVKVVRKYELSASQ
>EED77325
MERQFRNLFSTQIAVHSDVLRNPTSAPWLRPSRTVLHPATRGLSECT*
>EED77326
MKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED77327
MWIVSTLGTGLSKRTMRRMRKRSPWTPGARVRNQADEATRIRTT*
>EED77328
MRAPHPHPSLSVLIYYLAEVDAFDEAVMGADAIEHTASPVHLKANEPDEIIFPAIFGAPRMLESVFAHGSSVKWVVATSSATSALGFGTTLDVFTEENGNEQAMEDPNANDGNVRQYCASKTLAEHAPWESMEKNKDKLX
>EED77329
MAGQPTRPVIPRKRNRRRNRRAASSSSSSDSSSDSDSDAQPPKKPAPTPARLPIQEPSSSSDESSSDSDSSSDNESVARPPSAPHADAGQRRGAGPNAPAKRAPSPARAVSAIPAFPSDGASEQEKQDEQVLRDRFRKFWMTSVADAFKDDLEEIRKEPNLTTSRLTLLIDSLASGADVFTSSRGASGNDVNEMEVVLDHTSQ*
>EED77330
MAPGKKRQAASQAGPKTKKLQLEKTPADKGKKRSQPITRPLQEETLDNSDESDGDEPDEEEVIVEGEAQPAVAKDPNAARESHKAQKVLHEQRKASKPHFDTLAQAKSAWRLAHQKSLPKAERTKYINQLMDVIRGHVIDIVFKHDASRIVQTVVRYGGEKERNEIASELKGRFKELAQNKYSKFLVTKLIRLCSAHRASILREFQGSVLRLLLHREASSVLADAFELYTNAYERSMLLRDFYGKEASLFTVTAGSEEEKERSKKGLKGILEGVEGERRKRIMAALKDNLMSIFNNPDKGAVSHAIVHRALWEYLSTVNTIEDEAEQEKLRREIFESCQDVLAEMVHTKDGSRSVRELIVRGTAK
>EED77331
MAAAAIVKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIIRVIREERAFIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED77332
MSSGGGSGGGSGPAFGGLGGGGHAHDDDDDEEDENEGQPGESWFAGGERSGISIQNPDRPAAVPGGNLVRDLLRRAAEAGPPPPPAEGSARGTVFTGGGHTLGSDEVDSQYIPDPNAPEEPEEETAVRHLTFWRDGFSVEDGELMRYDDPANAQILSEINSG*
>EED77333
MSRKKQLFEFAQNPANAPKSKTRMSRSRPDGFFIRNSGPKGRFRWMDIALSAEYKKVENAKTKDDLWQDVRKVIWSMHHCMREDARRRFTYGLTIENRTMRMWFCSRIELLVSKPLDFMSEHHKVVHFFVAMIYAAEHEAGWDPTMQYVRKKNGTDDELELDKEGKPRLDIDVRDQNGTVVRYRTIRWLSDDGANDLRSRGTRVWEVCILDKNKEGVDRFALKDQWIDADRQREGMIIEQLRNANADKQTKDAIHQSLLTVEHHGDVYVEGMLDHTRSLMARDGVPPMHSGSKLQQIPEDETDLKKQMVYLHAAIRGIAIKLNEIREQLVSCYLKAEQDLGAIDHTVGHDLLYNVFITLFGKIISKLQEKDIQIDILRNDPRQRIEDPFEIPSADGQNSVTDGNGPADDDTEEDSDGGDQGSGLAALTREVSVGDMTEEATAKDGANDVVVEDPDAGRSVDKATESSVPQISTEPLVEGRSTRPQRKRAPPVRYGTESNVQKGTTRKTASSSSTLATATQAAAGQSTSRTTSNTKATPRSSTKAKTKTKRNTK*
>EED77334
MTISNITSALQTYHREREIFRDAGVRPNGYSTPRQHSLGHFPRHIRNYGAPNGLCTSITESKHIKAVKEPWRRSNRFDALGQMLLTNQRLDKLAAARADFTARGMLNATCLSAAIDSQANDNGAHNNGVLPFPHDLPAESEQAVDNDDDEDTIDGPTVDAFTALARRPGRQPIPQPASPDVCVSFSRSDILRTQ*
>EED77335
MTRRARRDTKQTRNLTYLIRTPNEGEEVLIREAVHDGWRKLREITVPTDVPDLEALDVELNVNALVWVGRELDGLRDDICVGLDKGIAIWRGSKILRRFESSVGFVDGTLEKWAPELGAKWQSKQKGSTVASRALSPGHRDTARDPLSLRVPHVGGPKSRSIDSVKTCA*
>EED77336
MTTNLQPRQTSIRPRTVHEQIREGGFGLCEPQKVPEGLPGAASQRRYEQHNGVLRGFDGPMGRRDGGWAQALKAVTPPDRARCKRRVNEAPRRGNARTGCYSESRHFARVFLGPAGSKCLIGSDNQHDSGANQDNAKPVTFGRVTWIAAEAGRATLTLPPTLGSVTRRFKILENADISARVQGTPRSNNTMRASSPSGFVANKPGRQKRRRPKTQDCPTRGRSPKAFPKTAETNGELKKPSEP*
>EED77337
MIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEALGSGTSRGVVAPSSTSTSTAPPPTIPAAAPASSSSPPTQSTSRPTTTSTPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLVEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIX
>EED77338
MSAHSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELHVAAAIKQLAERASESWVEWARGDWPELATAIYAKVERRLEEQKRLAEEEARHVEEAAKRVKAAEDRRLEDERRRKDEEERRLEDEHRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVNLDAVEDLPSLPKLKELSLERLDPAAGVEREDSIPYRETRDSAGAEASVWKDDLAFGVSFALAVPNVDLRELAGAYPAATIAAVVARLFSSAVLMDVDGSLLSRCGGVVVVGVVIGMLEMQSGLEPSLGYVDEGLDGFP*
>EED77339
MERQTCEAEVRLR*
>EED77340
MATFHIKLSRPPDGLTRKFVDAAPPSWAKLAARIESLYHIPLADIAVSYIDQDGDEVTLSSEEELQEYYKLLPPVSGEPTVIRFDVRDLAERNKPLPATPRSSGVSASAGAGAGYRGTFGQGIPMMYEVEDDWQRVAPGLGSVFLAGHETPDDSDGPHAFVELIESDVDGSRARDEDRQSAVSLADSELTASTPTPDKGKGKARSSRSSTESVVSAQGPEKHPVHVMEIDSDTSTPEGTVRTGKSTPVSRRDSTPRPVATGADAAPDPPLPDLDDDVPTTPTASLTNDVANLFGTLSTVFASHPELSEGVRNIVHNATSGTYWHAHRESVARAADEIRRSALAGSADARQIGTDARRAAEEAAGRRVAEAIANVVRVIGDVTGGAAGATGPDTSTPRSRRGSGHPRGSHPHDFGRHGHHHHHHHPSPPGEGWDDMLADLEPPEWMGSWGHGRAPGIMALDLIDSDVAMTDGEAPDSAQPVTSEEDRTPEKKKKAGDSPTANSPLQIISTDRGPFPQLEMFPVPVRRSHTMHGTGQRNPTAASASSPAADAITRRLGDMGFTADAYPSIPEQISTRVPRNAQLSREAEDTIVTDVLEHLLETSPAGPPQASGSGDVASSSNATGPSS*
>EED77341
MSDYNAERNYAVSVTQQILLLQQWEADTMFRSYLKTQSEPSHDNPLDMQIVWARMKVAKVRARGLSELREMIAPDQSYEIDVELASELFRYRTLAWMCFRINDLPTEILCEILRLVVYSTSQRNPMLAKFQFTW
>EED77342
MRTSCIPECVWWSHTWL*
>EED77343
MTECEAAIELGGHDVVIFPEGLADGELFSKRNAICMKPRVAPHSKELPSVTREMVMESENVDEKVEEMGGGPKEKQRERERLVEVEKQREEARDQALDIATPWFIFVKSVVEMEDWHHALVHASDHPANAPTLAPLEPVFWPADMNRLVETLDEQPDIIPMRWLNALIGRLFFSYYRTQTLESYIIGRLMKKISKVKRPGFLSDVVVREVSVGNKAPTFSKPMLKELTKEGDASLELQLHYKGEVRITVEATATINLGARFKTYTVKLVLALVIREIEGNLLVKVKRPPSSRIWYAFTQMPRIVMDVEPVVSDRQITWGMILSTIESRVKEVIQESVVMPNMDDISFFESSRYQHRGGIWADASRRETSPPYSPEDTSQDDSRSTASAPASELLRPTDPEHPPIQRSHSAEEAALENKISSEPQILLTDPRRTSPSVPSTPDTGSKRRSWFGAPRDDEPSTPNSLHPHQDENDESEQRGRSPETDSTLTRRSSSTPINACSTSQDTTPHADGGQKEGGDYLSPQTTRRSSSQHSQASSSRETSAPLSSDDESSGTGAESSSASSSWRPKSPQASGSGRGTATSSTAAFLQNLKSRAGDKQALSNTAKEAMRKWGVNWSGLRRDSNNNHGEELPDASQGEQRHLDPRIHRSRPSYAEVRAAVEQRKGREPETTLGAELRSEPINILQSDKGKERARSASPSTASGSTAAPYFASSSPVHDALPSPLLGVEGKPHSRSASPANLIQRTESARSKSSAEGIPSIAADDMEAPPLPIHTQPPQARTMTIPGIHASHRGEVMSMGYAPPLPPASAEQKKPAIQSVYRLWKNPSTSGSQPRVAGALPETQVGFAGRDEDAAGDAQSEMLAPRPVPPPLPPRSNSAVALQGRQDAAGGGASSASAVLQSIVSKDRTRRESLEPAGEEGANSTSSASTSVPAGAPPPLPARSAAATVKPPALPPRRPSGLTAAPAPATV*
>EED77344
MTGLDERERALPQPNIQNLPSSSSRSLVIVSNISAALEYEERTRQGTWGSTASLPGVEGGLPPPPRPRSSRGRLARAMAMSPSNKSSMSVPTSPMSSSMQLAEGERAPSNPYINPVPRLSYLLREEPPLLVVGGRPSSPDGVSIAEELSNDTHSIASVQPHSADSHGSQPSSASPSSPKETNRHHRGLSSLHKVEKMLGKSKSALGMLAESNLRSKRRSSDADVPQSGGTEKPSIDALMRPYRRVLERGKAAADAPSAPSSPVSA*
>EED77345
MALSPALRASARAAYRDVLRASAVTFTGDDVVRGAFRAMIRNQVMAPSQPSDSEQLQEKIKLTREIAEVLRKNIVQAVKIEDARDPESYDRFKLNITEHTELGSNDTIRDPQPVVSSRSARKRTSAYLARPILTSSADAAKETPSTQIPRYYSQLKKAHKQRVVPELKEEDLEEAFVRGSGPGGQSINKTENNVQLLHKPTGLRVSCQETRSLSQNRKLARRILLGKLDALYNPGLSKQEVKKALQQERKRRRKKKAKKNKLGSEEEEQDEDDRS*
>EED77346
MKPELNEGYSKAFFSFFESKLGIPGDRGYM
>EED77347
MSLSNKLSITDLDLKGKRVLIRVDFNVPMQDGKVTNPARIVAALPTIKYAVDNGASKVILMSHLGRPDGKVVAKYSLEPVSKEVEKQLGKPVIFVHESVGADVEKVVNDAAPGSVILLENLRFHIEEEGSTKDKDGKKTKADPAKVNEFREGLTKLGDVYVNDAFGTAHRAHSSMVGVKLPQRASGFLMKKELEYFAKALENPERPFLAILGGAKVSDKIQLIENMLDKVNSLIICGGMAFTFKKTLDNVPIGTSLFDTAGSEKVAALVRR
>EED77348
MDIDSAEKSKSKGQGKGKTKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPNTQRDGTKKVQGGSGNPAAKKAKKTRVIQVKLTDSEDNTPPSTKAVSANTARIEEIVEVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED77349
MSVDNRPRLPVLPVLLLQNAFLSALIALHPKGPVKLAAFAIYTYSLGLVLTSTTGDLQQNYTLGCSFASQFFTAFHLLWLTDPLNDLHHERDYIAPPALPFLRRVYWASCVTCSPRGVGWNYQ
>EED77350
MSQQWPGPAAAPSASSASGYAAHAHAHTQYAVDRAHYALPSSLNGHHAAMELNDDYDDPDGDELADLPSATLGGLSLPAYGSQAGTSLHGDGAGTKGEKQIRRRSSKACDQCRKSKCKCERGSPQDPCRNCVMLGTPCTFLGPSRKRGPPKGYIDAIEARLHQTEALIGILLGSKDSRARSVLEDISEVIGFWCSARVGPRVREDRGSAMCLFGVRVTRAYSHPLAHLRVGGGANAANASPACVHRAFGAFLDPLAKEIINRVDNSPYGHKGRARGGEAAPTGRSRPSAEARDESGLHSTQMYSARPRVILDMDRLSSMSVYGWQRRLTEGSDRSEITSEVSELSGATPFLSFCLTKEATGIAESIAVQAPKTICPVYATSEKVNSPGWLAKIVPRIAARKIIST*
>EED77351
MARLEVLVNGSHRKISSSGTDEDEDDCDDGDEPSDAKDEDGNIGDDEAESKLLSAQSLHRTIFRPFMRLPSSVRSGPNSADVQYTSAYMPYPLPSTSAEPFREEELMPEDTDTDALLEELVQEEKLDKRDREVDRIYEKDLWHKFNSREATWSAGENQDSGDEPDERAGNKRKRKDQSSEDEEVKKGQGTGLRFRRPGGRIKSSIYIVDSD*
>EED77352
MERQRILDASFNASFPTSLPPTTPDLVMKQRSPSR*
>EED77353
MALPLNPLATSGLYRLLFMMQSAGPSGVNLYFSVARDRMEAAYDRTLRRRPTRVVPWTRIDSAARLHLRPRGLPVLGNVHQIPMQYQQNIFLSWAKRHVATFESRTMLDSYRPLQRRYVDILLADLVRDPEGFIAHLKRYAGALTIELAYGHHADIVNEEFMAFADKAMASVTEAGGVASTLVDFFPFSTWHSSLRK*
>EED77354
MATRMRPATRIHAATMTPGMKTTSPVTTIRMTERP*
>EED77355
MSSTLSFLDQFNAPLTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPETTNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNASWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHRYLYK*
>EED77356
MIRRRLARSSMTT*
>EED77357
MKNTSSGSPPARA*
>EED77358
MKTAREHGFSWKGDFFVDNGTFPRTAVPEEEATMAKYARLDMIWKYHGRPQGIFSTDEYLAGLHPSRGTEFCASVEAMFSLEMMYATLGDPAWADLTEKIAYNAMPAQITADWWSQQYLHQTNQIWVGNHTEGVPWTDNDLRGIISVYEFSKGTVNHPQGWPKFWSNSFLLADNGTALVHALLGPATLGTTLGNAVDTNVTVDTLYPFGSLFSYTIASSAPYTFYIRIPGWANSESYISVDDQNAVPLFPHAKX
>EED77359
MVPHELESLLNRVQHQYASNEDIVQAFREQVVFKIPLSDDIIPRGLSTCSLVSYQCENWLEAPSKPVQGGADTFTSIYGPERTFPEIHQDSVILLQQGDSPTLFNNSPDTFYRFYLAMRCPYKEIHIYRRSLRVLLEMCECYARQHLLFRVKTHDEAIKPVIWRWFHIFYEQLTAWSGLLYVQALVRWRDIELAVENLYSTCPSIIRDAPTHVFQPSSQETIPALEFVLGSQGSVKTLFSLRDDETSTIMELLDEVLDHLPTYSPFHKTAFHTLRKLCIKSRSLPASCIVSSGKLEKKGKHAVAAGGFSDVWLGHYDGQDVALKVFRTYESSSGFCNEAIQWRRLHHPNVTPFIGIDTTLFPLCILSPWMPNGNVTAYLKTNPTADRFDLLIDIAHGLGYLHRMGMVHGDLKGANILIDSDGHARLSDFGLTSVIYDGDTVNTVTTTSIAHGSTRWMAPELLNPESIGESRSRPSRRSDIYSFAMVMYEVLAGRLPFEECRRDPAVIYQVVVLQNRPKRPPVNDLSDDIWALMEACWNMDPGQRPNMLTTLTRLSSACGRPSRPEPGIGNINSAEFNVADSNLPASDVETGSVPVIEIVPPTPHIVSGIEHMVFLLGFLTGKDSVLCLRQSWIKVGGLVIHFF*
>EED77360
MCVGENCAPQAVHYMNAQLTALYENALSCVKEHDVAHKHPEQTKYSHALAEYSYIDPDSRGLHTMFKATFDKPSVEFICNHDAVLRLKIHKGFYRLDYTKASNMTYAEKDRIQSLHEVDLAFRVPYDIHGLRGKDHKIGNGQNVIKMVILDLLKAELVSVKPAVIIGRDAFVHYLEHYLSFLHHAGNHVLFSLPDFDDDRYRLTIDYSLMGGAVPEVAEVHGISVEKINTYLSSVWLKAAMLLGGPDGVTADWKQTVFAEYRSTWSQHVDLEVHYAVRLGAPRIKPICSREVVLYFAVDEVSFYTSTDFEVYSGWEIAILVDVIYEVDSSGHATRCILDLSTARPYNSFCKFAGLEEHDEIALSYCTTLLEFFTSGYLDIMESVDFHVIYHNDTRWATVKDDTEFLPSWTADHETTGAGTVSAGGSAFVRWTETVTTYSMHGFDQITAISQSSINALFASLHEQGLTTKAGEYAAVLSQWAHEEYFSASFKPITVRLLSNGRAIVWIHLEKGHLKTLRNWQLWNENEKYDFDGWHLAFEVDIKRQSHHDISVSDEFRHKYKESAVFKQHGQHHSTRLLEHIYLDLETAEFLHEFSSFEGLFQKRDHRPIDKVQAAVHYIKSHYLPHLAHWGLHILYTVPIWHSGTLETLPSCSLTSIAYHIYSKTQITRHTWAHVAAEPAIVILGMIGFRSLPSTYLX
>EED77361
MSDKSSPEHKNFKVALVGGGICGLTCAIALIRAGVPVQIFEAAAKLGEIGAGVGLGPNAVRILRTLGVLDDVLEKCNESELSTRMFRFVSGMEGHEVLYDYPESEENGGLGAHRAALLDALQKFIDPSIIHFKKRCVSVAPIENDPTRVVITFDDNTVYEADLVIGADGVHSAVRTAVTGGGENKAAFSNAICYRGLFPADMLKKASVKTDVTARSVCFVGKDKHLIVFPIKHAELAPIGSKELEYPSVKDVSQKELLNEYEGWGSDVIDILKCIKTPSKWSIPVVYPPLETYVKGRIAVAGDSAHGMLPHLGAGAGQCIEDAYVIAQLLGHPGTTSSNIEAVLEAYDRVRRPRAQMVWEGSVKAGEIYDGYGEHGLSPQGIQEDLGGMWDRVWHHDVEDDVRSAVSWLRATTFGA*
>EED77362
MVTHELGPPPSSPSLPPSKLGSREQRPPLSTKQPSLRHLRPVLAISTMLPRHRWNSPSSVPTKPCARSAPPQNSLRCSRVWRTVPGMGTWNYATST*
>EED77363
MPTRPRGPETKCPIVQLWSNQTVTGTSSEARSGKDPDVELSRASEETSEDSRTKGAPILALIQRDYTAYAQQVYGRASNYIETVGSLSTNGRCGIDHYMKHADTAPGANESVWLLDKDLSI*
>EED77364
MTLWHAAEYVAHDPWKLLVAVTLLNKTAGTHAVPAFLALTDAWPTAHALARAPQGVLQARIAHLGLGRSRSERLIALSQAYCADPPVRGNVRPSRCYVDVGVGAQRQRYPPTEASHLPGSGPYALDSYRIFCAGEDEWKAVMPRDKELVRYLRWNWAVMAFRRWDALHGPGGDVDIPYIIGAVYLWETNGMIWIRRGTRSTSLEQMARQLALHAARASHWHSRLLSALDATDALRAQHRAEIVVERRAAAALARKLEAARRYIREAQADWDDMREALSAVVEKDPPGQPPRTTERPHDDVQKGSREPGQPRALYAHAQAIILTLRAELESERTAHAHTRQQTESEILSLSARLARREAELEACVAQAHVHPPAEVPPIKDSHSAPSGPSEHAGHARRADFMGVAGNGLDAHSSSSQRSTPTLTQDDAVRILDFSASRNRALEAELEQSQSPRQALIDRAGSKTDQQPPSRASSRAHLPQTPRSPPPFSQAPLGSPLADTEGQIDSGAGTQGRACIASNDHTELTSGGSIYRLRETIKTLQGDMENVCGARREREDWLQRENDRLRVEVARLLAQQPSSTTSTLQNPCEVPAPPLQASISAVAIADYASPPPDGDVTLRPCANADLAASTPALLDANDDAERSMELATPLQPTILSIRMDEVSSDGPHSVPPIQAHANLPSMAAPEPPLVPLPDSPSSPEFPSWLLPSVDSEAPLPFSLSMVLAMHEGEMPGWWAELRTPPSLLSAEGTVSRSGETDGIQRQSAHEPGGVDMGAVEGTMARLDALERELDDARQELEARDEELRDLRGVVQELMELVYADAKDSSDGDREGDGDA*
>EED77365
MSQYSLPRLPFMPMGGGPGSVAGSDYDHMSMVAPMPYQQIASVYGMMSNAPRNTIMTNMNRFGGEGDASGSQSGFAAPGGIPAMQRPMSMFSLAISVNPFAGPSMNPNLPDDDLSNALRTYLSIQGFMTDTKYHRLLREFLGYIVSSSAATTLWTDFSDISGLLAA*
>EED77366
MLGLMATYYVWLHLNKPNKALPPGPKPLPIVGNITNLTAQELWLRALQWAKSYGDVVYLHVFGQGLVFLNDYDTAVDLLEKRGAIYSDNPGLIMAGHLCGCENMVAFTRHGHKSRRQRRLMQHALAVNSIRAYQPLLEVETQDLLKRLLRDPKDYVGNLRRYAGGLTLTTLYGYHASTNDDKLLTLADECVDILSNRIAAGGGIWPMDIFPFLFYAQPS*
>EED77367
MSSMAHLFASPPTMSLFPVPLPSRPSTAILPAQQRRLSMTSSVLVGPRISSPHAIVQNMHASDVSRRICSHLRVYEPLRVL*
>EED77368
MEKEYSPEPGWDTKMAADIDALAEGMERFKDAQANQYWETQGEGVAVSTAYQEIPAGADLLLDVETSTSSPATSAGATSCPSDTSEDSYSTSDVHSRGYGSSVSSANAPGLRCAEQGAMTLEHENHHVGSTSGHASEANSEFDVIDDADVTNSEPGCADDASRSDSRDNSPPIAPPMVDEVIFSGRINQSEWATLPRRVTRSMTNATTSTPTQASASIAGPSTVRRGQKRKSPDEDEDDEDSAIENRGADEDQELEDDGDENTRPVARGPDGRWPCKEWQCSNTYTREHDMLRHWRSCKMRPAHLRASWICPDCGKSYSRRDAKGRHKQTACKGKDPAEGTGGGRGSEGGKKRGGGSGGRKRARSG*
>EED77369
MDNGNGVEKSHVKVIQVSNLTRNVVDAHLRTVFGFYGHIVKIDLPIFGKSGQNRGKASLEFADSASAHKAISHMNGGQLDGAVLKVELSEFPGRPSATPPQVPKLRARWNRISWTFAFEELFRPLEPLPHAFVLAEIALTVVVILAILALLSQPQPQSQPHTVSQSQKEELE*
>EED77370
MSAGSGLPVDHPAGQKERDERMWTALVDVGPSVLSGITFTKLIGMCVLALTRSRFLEIYYFRMWMTLIVSGALHGLVLLPVVLSLAG
>EED77371
MAPLTQPAADPAQSVATPPGVPRPISAQMTSTAGHFNGPCLVRLPMALVPSPAHARTRPMAYTASVAMLTVSAPAQTRAGGPERACRLRSRLASSERTRSHHFADRPSEDTKDAGDPDGDMMDVPDTCPPHLFRLDSAGEPPICYILLIPGMMICCLRQPRPVYACIIRKDYRNAQKRRVPHAGRLVAAVGTFGNAREKVGTRIPQCRRRASGDARELGVVSVTREKGRGDLRVCDGAVGRRAERRESERVSDGGA*
>EED77372
MAEKGEINVDQAHRGYDIVNDETGKAWYNPFYMKYSPSESGHFDGQRGYGYVSIEKFVDAAREVNAGRVSPADFDKHGLPTIANTVLTTAILHAGRISLDEKRPVNIKHSGPAIKMGGRRSEEIPRRLAPSAIAAHAVGPSGEMLQETAMIVGRTRLCGMEWKAPESECAEQWIALQAREVGTVRWNTPDAATLTIRYGMKNGHNAR*
>EED77373
MPTCRRKRVLLTEPSPELLSALKTDPIKEVYYLAQTGEIFETYETYAARMSFYRLKQFQCEVTGKSGLDYFQALESERQEARTMHSRFPDPLKAAVLKAVQWLAEDLKVSVKDSIAKDDPTKYDYTVQILDEDQQPGSGRSHERSKGKDAAKWSGTCLRVGCSMMSRDRLTFSKSILRRFIRDCVDRAPAVASPWTVKPAIAERYGVDTVMPEETRRGVESIKKGEIDKRKKVWEDKEGPATKKQKKMTAAAEEKVKALAAVAEKRERDAREKAEKLQKAKEENERLAAEKKKKKPIRYPTEDLDVVIGDREKKAGMKLKRPVPSYVSMPFGNDTTSNEAFLMTWNFLVVYGQPLHLSPFTMDEFEQALRHSIPDVPCTLLAEIHATLIYNLRTVPFNRHSAAVSLIRERDEGGENDGVLGVPMGTLVSAMADVGNNWERAPLRHSEGREGWEESLVGCLKDHANFGNFPRIREILTQLLFSPESTNEPSTSSVASQPSSPVPMPLKTPADPNRRAQEYLTDSISASASSTSDDEAFQEPEEPVFEENEPEITFTREDQPYDGVVSNLQWDEDLTSGPSQVGRVQSQRFLVPGPRRQLTRASTTSIAIPTTPTPTAEERTPLLSASPVESYVVNAVDPPAAVQTTLNVQSAVSSLVARKPSQRSMRAGNTKAPTGHSTFGQTLFNAIAILLGIGMLSEPLAFAFAGWIGGTAIIISYGLITCYTAKILARIILSDPRLKSYSDIGRKAFGPQSGPWISAIFCLELFT
>EED77374
MGERGGCGDRLDHILNSVTLYTIENGMITCIGTVLALIFWLVKPHALIYLALHFAIGKLYANSFLASMNARTLLRVQNASSSGSGHRLPVIFTHGLSARDRRSSPDEVDLTGTKVRALAATPGSSATDDELLGGMQLEITVDKTVDYTSDDAIPASPSRLPPHDAXGH*
>EED77375
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLELEVVAKVRRMGDGFDELYHGLEKKARRPRNIFRIRRTIGPLDAARPSARFAPSHTEVAGLSRLGIEPRGMPSRRLPVQTSNSNSTPPAPTIPSTITTSSSSPAPTTTTNMSQNTNAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNTADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPX
>EED77376
MYSIIEKRLWQDEQRILPVARVQFQQKVGWSQTAKQIFETLRFPMSIVPGTEEPGVCPPVIDPSISEGKQNRARLLRAWVEMSAWLTIYQKNSTALWCSNVIKLATVPRSLTLVGVNALDFAWKELGMTPAAYSQKTLVFAYFAQCRCDPVNTPRYFTALCQIAQALGDIGAAAPELQQIIIKESCHRYAPEKITDAIEVLGLSRERGPDTDVDDQSILEAWWSARCRLDDALRILADRRGSMLLWKAWVEQSMTPLEGVALCRIIRNGLGFPSSPVTAISAFSYGHAGIHTFDIVVQRIGKPHLRNCNISRQFGNRNGSTSTASRSLGACENGGVGDNTLRFSTATTYFTKRSNVPMTAEQITKWK*
>EED77377
MVSPDDPSLTPLLLTLPSLTPSLALEVLPLGLTLHRLFVQADAKACTLPSPHPRALTRPQTHDILIGPELPAGHLSQKYTNTIIGRYANRVPAGTHPVSRAGVHSSLTAASNESPSVSLHGGLSGWDLAPWDPLPDLSAATLFSPDEQQTIGATLPSACVFVRESLNGEEGYPGRLRVEVLVGLAQSNAPPPAASAEWLLGSVVLIYRARLLDTDKVTPINLTQHWGFNLDASLQDGQSVKDHTLTIRDEQSVMRRALSX
>EED77378
MCGELVYAVRLSRFQESRLLNIMTDQDHPIMGLYINLFAGCVYIYTKRRSNRYTLWMCIAMFTTCTAEVFVDLVNVLLTPRLISGSDLVSDTLFPCEVSLESTRQSSLYQRIDNVAFILFTTKLWLGDSVLVYRCYMVWTTQRWIAMIPAFLLFVTMVFGYAQVGMSYKYYHAQLLAQASSSAADTARVININLWMYRLNTVANYVSDSLTAMLVVILVVVSKLIAPSLIIIRIGLNQGYVETFGQSTSTEDPSMTKPAHSIVFAPKIGDESVGNETSLTGRASIAMLDFEVESSPTRQEYV*
>EED77379
MEDDEVVETSDYEEIEAIEAQDPNYWTSLPPPQETDIGRSGEEARTQGSLKPVAHILERHIADELLLGGVLHEPPRKRLKSCICISSIYSNSGTANEGQQFQLKLRTLFAKATALATRDQAQRLLSLGVAGSVPTHVETTLAQESAQTEGRYSLRARQARQKNPYAYDKALYKRQMRANPDAIVKMLRALTEAPAASDPLIEDNEIMHPRRRRRSTSTIPSVASMPSSPTSRHAISPLRETQHDSIPPSGSPEPPPPSSTPIDFGLLTPTFDHNLSQSPSESEVDISVELERSHRQGSSESSAESSVELMSAKDRRRLKALQKMMPRVLIERHLHNASAPRHGNATGGHGYDGDEGAQRVGWPSKFEEIRKALIQRYQNRISILLPPSQRWTWWFPAWGISHETFSRAEAEDEGGDDSIVDETDIGHWASERVIPSRHLAHDEVREGDLIDRMLSRTRVTGGKARRSRQHRRVRRTASPLAQEKGGLVAKPLCPSWRQALKSVPNRPSLVKGMPTTGKRDPQHKWSRRARARSSEIQPDYLSLLLAVVLLLGGHTTIQSR*
>EED77380
MITLCFLIFLLAYTVLATDWIDYPTNGFATMTHYTLPEDFVAACGCTPDSTHYPTAAMSQMAYGSSTAYGPSCGRCFNLTLLNTFTSDPPFYPQVKNSVIIKVTDLCPLGGNGWCSATANKPNAGGAYLNFDLAWPSSSIPSDFFPSNITLYGYTDFGVWNVSYESVNCLQDWEGGQASDALGSAADIGSASRSTGRIHS*
>EED77381
MEVGGWDWERRRRTVLCSATIREDVQKLAGTTLEDPVVIKAVEIDTPSTDSDATLSKGDASLRTVGDQNFTPPSQLSQKYVIVPLKLRLVTLVATLRSLLAQSRNRRGTKVIVFLSCTDSVDFHWHLLGGTSMGEDQDTPTADTDEEPVDEESESEKKSDSPKAGIPSAADKVGAKSALLPDTSIFRLHGSLPLRTRLASLRGFSAVPKGKSQDAAASSSVLLCTSVASRGLDLPLVRAVIQYDLPTEGGATEYVHRVGRTARVGKGGEAWSILAPSEANWVKWVSEKMQGDGAAKGKNITLVGVSMEDVLREGFGGKGGEYEEGATD
>EED77382
MYNGIGLTTPRGSGTNGYVVRNLSALRVHETAADRASAWDVAPPKHREPDAEILEHERKRKVEVKCLELQLDLEEKGLEEDEIEKQVDELRVKLLANLN
>EED77383
MSL*
>EED77384
MSTSFVPPPVFFNVAEGDLRVATLGNDVDDHRLGGVGPSNQDPGHHNIKERDPNSDGSNPGGEATMEVDINDGGARNTLDDIGTQGSMANESRSANSLGQENHISSEDSFENRQPTSFTSTTHEPQIPDLVTSIRGLYRVLDLINEQGSGGLVDKIIIAQDSLGRLMNDLYPGSYTSMTKIDFNALDTIPVKPIGIYGSKSEIVRFLITLGSIDENTAHSLRTENSQSFSTTRPILRSVMRYSMRFTGLKTPPGTTPRSLR*
>EED77385
MAGAVTSTLLRPYLHILSYLGLEVLSLRARVLDIESQNGYLTADNERLSGEVSRLEDEVAELKAQSQTQIFVQDKSYRNVSRTFDVARDSQSQKGKGREVYVPHTSTNEVDSKQFIPPRRQIEGSHASSSRRAGSAFYDPMYDHDFALAAALQAEQMPSQDGAYAASMQREFDGEDARLKAQMTTLKHTAPGIFECGICLEEFNVDVVARIDICGHQFCRTCILGHTAAKIDERRYPIVCPICMADKGLKKQGDKQYEVFVEMQLAAFSVILHCRKCKNTVFVDKSEHAATEILKIEFGGPKHSCDGSSELKHLMQQRGWKYCPGCKTPAEKIDGCNHMTCMSPGCNTHFCYVCGEAITQSALRSEIKSALSAHYRRCRLFEDVA*
>EED77386
MCRSHLVPSLVSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDISTPELFERSGSSPEPEDPIRATSNLVLPTPSSFRAHAQPPIASSSRLSVIPTSELAPPPPLAPSNAASNSNPALPAPTIPSTTTASSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVHSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHX
>EED77387
MSSPAAAPNKETLKLLLPLRYDGKTVIECDRFLSQLCIYWLINTSLTTIELKVQVALSLLDGDARAWVTPYFAQLASARFGNLDDAAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRAAEKRATEVEQILDISQAQRPKLNNFFSARGQGRGGARGGAPSSHAA*
>EED77388
MHSVGRGGAGNIQPGSPEEAEAIDQRDNEEMERALAEHPDGMHSTGRGGVANITPLSPGPDSAPHEHEHGAAEHTGRGGAGNIFRTRSRSESKKRSNSRGRTGLGQMWQRVRSKSRAPREHEGIALDTQLQDMTISEANTSRESMQAPQSSQAGASGDPPQGGQE*
>EED77389
MPTELAGLHLQIIVKVRQDVRYLAAGMTHFLTASQVLPRAASWNVKIHQLANKGMILVLLPVASVQIVLAGKQPVIIPHVPGSQTYVGVVAGIVVRKYSRSKECEEKEDGLDGNGLGPMKYNRNVLKKPEATEHSESEHNCVQSAANDEG*
>EED77390
MSVLAIWQQESAQKKASTSRHQQVTNTRAGMDGRSIMIVFEPTKNERSPKTNTCNARPGLNLTTSKSKAPDATDPQDSIGRPKRPVSSFMVRQTVAQRSPTVISREVSNIRAQGEIWWEESLTGEEVYELCKKSPKLCIIGASRPGLQPPPIFIISPRVIVKKGSLTLGEFESRAMEIVRAQTSIPVPRPLRIFKRGRMFFLAMEYINGRSLDWCWDDLSLWRKFVIAWTLRGYIRQLRRVRTVQIEHQIPGPLTGDLSEPLTCIGPAMGADYHCRPFSSAAALFAWLNGRLRVTQYIKGIGLQIPPFVQTEPLVLVHGDLTPRNVMLGDDGKIWLIDWGCSGVYPPWFEAAAMLYTDPQPSWWTWVRRLVAGWYARDMRAYRVTVPGVTMTNRYHSYFEPSFVVALFTSYRRGYEVLFCAKTVNSTTQSGYT*
>EED77391
MDKGSTKVLSRSAVPHERDNPNASQCDVARKFGVDRSTVSKILRHRDVWAHPKKFVSDDYCRHQFHSFARHYVVEDSLSHHLSELSDPLGKPRRFTDAYVIREAKRIHSMLLCAPPFKASASWLRNFKKRYRIRGGVLHGRGSEVERYKALCLGDPEDFSHDDSDWEERILEAFPRTLDEDGHIRLTGLANMPFSFDDLKPFNPADLGKPQSSVPTTQTLVSTPSVDSKNTSSTHSDVPHIPSNSQRVKFDQDDTIPLSPVITSKLEDPIDHSTVKDEKHTSPPPYTSIYHVRYSIPRHLVTRGEAYQTLHHLTRYLGTFDGIVTPEQYSVLCRLCESTEAAVKSRPSPYEERVSCMRSTVLKQDDQ*
>EED77392
MQSTQFLWDYQPSQDHRSSSTTEQIVASSPPQRGLPYTLEAAPGVVLPVQIHRSLPIKNLLATIRDTHLLTQKTYSPSYGSASNLHKQQKVCSHPSMNNHSNSLKFTPPAPTNALVEVPMATFTQADIDQRIAVALAAYQSQHADEKDLGKTWADAYYEKLAGGVQVYSTWANFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTVLSLSNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRGALIVTDTRDYGEPMDIDTAAVTSTFTSTSGGRKWELGAVLNKADQKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRLNQGGSGKDDFCARIKALSADEKRELTGGQGAPGCCLIYMRENPPGTGNGPLVPSLSGPALPWA*
>EED77393
MSQPQLTLPSVLPSAPALQDAVAVRPGKGDQSAGNADIHLLFQSRDRLMAHRKRDHDSEDQTDVYSFESHASMSPHGTPGVAASRNLFSAMFRPRVSLALTHPTHISNTGQQLSIAVCSTAMVRTELLASHKQVQLASGILSNPHNILGCGPFSEDITRVTIRKGHPCCRRTVHYGATKTQKRSWAPPSRPFVIFDTALHCPERAGLPSTSPPSPRPSAAHGAPSAAIQSLLGSRSRRMSFFSRKKHAPPPATVAVVQSPSAALAQLQQPQMQQPAQKQVAKEPSYESGLNGRGSPNVGMNGVGPQAQRQARNGSVSVNTEPTNPAPQSAQLTPPQVQQQQMQGPSGQPQQPQQPQQPQQPQSQQQRPAYPWSQRRLVLPPPVTISKPGVAPPTTPSPSPFPRYGHALPATATATGELFLFGGLVNNTVRNDLYLLSTRDLSATLLQTAGEIPSPRVGHASALVGSVLIVWGGDTKANTKAKPTDKQDDGLYLLNLVSREWTRVAVYGPSPVGRYGHAVTMVGSKFYMFGGQVDGEFLNDLWAFDLNSLRTRATWELVEPAEGSPRPAQRTGHICVTLENKIYLYACQPAYMEHLSHSGL*
>EED77394
MEDDDDDAPPSDEEDDGPSKSRIPDLFHIFPAGQYVRAVVSAVHPPGATDASVLGRARDEVQKASRRIELSLIPEKVNASVSKSDLRAGFTLSAAVKSIEDHGYILDLGIPDVSGFLSFKEAKKGPFGDDNKLPVGRLIDVCVVKITGNGRTCTVSVDSASVRDASLSEVASVTSILPGTLVQSLVTAVVPDGLNLQVLGYFVGTIDQFHLRAGDVEENYKVGQKIKARILYDVSPSTPPRFALSVVEHVLKLSPKHAAGSDGSKDSSLQDAYPVGAILEAVKVVRVEAERGLVVEISSGLEGFVHISQVSDEHVPSLSPSSGHWKVGTVHKARVTGHFHLDGCLQLSFRPSVLEQKFLQVGEVQVGELIKGTVKRLTESALFVSMSGSVDGVVWPNHYADIALKHPQRRFRPGVVAELQKDKAVITLQPTQVRALLSLNNLANRRGVSLTQLRTSLKTDEKLQDLVVVSRNPEKGFVLVATKPKEKESLLQKGSLNLDTIQVGQVVGGRVLRHGRQGALVKLTSSISGTLHPTDTCDDFDSGRAFPPVDSILKAVVLSIDRDKRQLTLSTRPSRLYPDQAKDVKDHEVDGVSDLKVGQTVRGFIKNVAEHGIFVMLGRHIDARVQIKELFDEYVKDWKSHFAANQLVKGRVLSVDFDKKQVEMTFRSGDLKRDARSQSTLADLSEGQKVDGRVKKIEDYGLFIEIAGSKLSGLCHKSELSDNKDADVTLALRSFRENDQVKAVILSIDREKRRISFGLKPSYFADDDFESSDDDNESTTGNDGRLGVVDDITDIEDSGDGSSDDDDAPVGTDDMKGDDSDDDSEAADDGAVAMDIDVATATSAEQTTNIPQTNSLSLQDGFQWFANQDPEDTAMVSSEENTDDDEQGRKKKRRKRKEIEQDLTADMHTKMPESNTDFERVLLGSPNSSYLWIQYMSFQLQISEVEKAREIARRALRTINFREEQEKLNVWVALLNLENSFGTDESLEATFKDAARHNDSKTIHLRLANILDQSEKHEKAEEQYKRTCKKFSQSSKVWTLFGEHYLKRGMLEEARKLLPRSLQSLEKRKRMGIRESDIDNR*
>EED77395
MSLSHSRRCIDLAVRGARHNAHPWQRRPLLAGLVLQTHRCYASKAKGRAGKQEAEDTRDVDAIMRDYEVLRMMAHKLPIDPWAQPVPTMDLHIPSLRSRKKDATLMDHLSATGQTLRNCAASNDVLNARYSLRRMAKDKGFPDTKVRSGWSWRIFTTQSTSNSAWIASLRNIALDTYKRVNQALADRDEKVIKQLAVADMQSHYIQLVRSQDASRISVWKFHGERSPCRVEVPGNGSRLLVQALVRFDTLQSLEVYSKRGTLLRGQNEPKPVVEYLVLQKRMWYDTPWTIRDRLYEGVDTEYESITA*
>EED77396
MEQQAKAPQDLRNVVNYLRSSKSGMKIRVGILNGKRVDYFKGKAAVKALLLPAYAKLKNVPPVTSEEDGQRVLRSILPFAFYLRVDRGNPASSSSSSPKMLQITSQQAFEPPHYYVWFYEGSQWTTYVGGIAMVAVMLAGVMFPLWPPTMRIGVSYLSMGVLGVAGGFIALAIIRLIFYIITVIVASPGIWIFPKLFADVGFFESFVPLWEWDYPKKKGKKRVEKEKGKEKQAVEGAFIEDVADSGSSRPSSRNARVEDVPDEDS*
>EED77397
MSTTAAIPTPNRSRPRSRLNSLKSLGSGDDLPRFPFGGSKRSPSQGRPPSSYVGPLGPPEPDEHPHVSVFRKSPARFYWTQVTARVQGDSRSRQVGFTTPECNRHSATAQMEGVFVHISSPPEGPEIPAKMRAATSTMHRHAFLSTTQALPIDKTLGPRRSSSRLREAIAATTRPRRERRPSQTSISGILRPFPFSIEVPPSARPGAELPQTFCAAADGLAGTRARAFVERSEIMYKLIATWETDDGTDEMSVGAPIILEPDPEFESLDGRNMLPSIDWEDLNVKYFLEVSVVFGQDETRARVPIRVLRHQIYSIPGKLPNLGDFITAGCRYGGPLALMRDTTKLVALGRATPSFAKAQIQIYSSAGEGILWDQGKIIRFGWTGDERLVVLNEEGAYRLYDLQGDYEQYSLGSEAAEMGVLDARIHENGIVALTGSLTLVEVRDWAGGKPLTLANSGLTQPPHSWAVIPPDLTISRHVEVLMSVESTIYSVDNLESIDQQLSRGPFTHLAPSPNGKSLALLTYSGLLWVVSTDFQRSLAEFNTANAPGAEGEIRQVEWCGNDAVLVTWDTLALLVGPFGDTLQYFYSGPTFAVTESDGIRLVGPDSCDFVQKVPVSSVSVFRPGSTSPSAILYDAWENFTRRSPKADESIRNIRPELGAAVNECIDAAGREWEPVWQRRLLSAAKFGQAFLDLYDPTDLVQMGQALK
>EED77398
MLAELDVPPWVTKTDMGAPLEALGRFYSREGNVEYAIPLYLQAIGLLVPLASSKKKATVEERCRGGQLMNNLAELSIRGEPTDAKRKQAEAWARQGLATIESTKALGKGSPEELMLCDEALAAVLFNLGSLLEMAGDTEQSRDLFQKSLDQAKNIKMREGIIQSQTALRRLDRASKRTSTPTQDDKSGL*
>EED77399
MAMSGLPPGTAPIKSEFLVSFAPRDLPDDDAAEGSTTHANGRRDDADPDPEGGKRLSGAQRKALAKEERKNKRGANKGRRFQKVRDEVELCWKVATGKACEFGAECRFTHDTSAYLAAKPRDVHFPTSEDLTNSPPFVRPLVDEEMINEKHPSVDFSTRCPIFERTGECKHGLKCRFLGGHVREGEGAILELNINEDKKADTAIAETEVNFIDANTLKLIRTKKYPQPISEAYLKVLLESTGDDEKGSKGSQPADGEIQPAQKIMQTATTQ
>EED77400
MAPYTDEINDLRDKMQTAQKTRDMLTLQSVAMKQRMIYEKAGVSMVGMAILPIVQLPVTLGMFFGVKKLCDFPLEQLKHSGVSFLPDLTLADPTGILPVVSAVAMNIQLTLGARDMVTAPHMAHMINFFRVLSLVGIPLMWNLPSGVLVYVISSITAMSIQSVVLRQPAVRRALGIPIVERKHEIKSASFLESIDYAKKWWENKKKEQEDVIPYDLTPVLSGSPNTLTX
>EED77401
MSSPAAVPDKETLKLLLPLRYDGKSVIECNRFISQLLIYWTINTALSSLELKIQVALSLLDGDARAWATPIFSQLASVQIGIQGATTPFVDEKAFLQAFKARFGNLDDAAAAQVELTKLCSDKTMREKRTAAEFSALFKGPADRSGYGNLELRDKYLSGISSRVYRKLELETFATWQAADKRATEVEQILDISRARRPELNSFFSARGRGRGGARGGAPSSHAASASINAAVGKGTSPAHASA
>EED77402
MDVSPPTHPYKSGRLSRSLDYSASATSQVHSAFPEYPRKIQPRLTGSFGSLNVTQPVKAKFYHVFLDLRAFVASPCAPGETAELIFSLFNKNDARFVSEDFCAVLNHNGVLARDPSARIRTMFTDLVQSDAQDSIYLVCKIVRNGSMKMGSSMGSISEGGRRVSEASIGRANGSGESLPANNHRNSMSADLPIHFRRPFGCAVLELSQLNAMAADPTEVSSTKEHTMPIYIPKNEATFSMLHQDILNNNVKEFEKSPRQV
>EED77403
MDDGESMGSVDGSPEDEVEEEQTVVMHQPPPGGAVDVPDELAEAALQPASEPPPVAETHGVARSSKVRVTTELEHIVSKIWATVGELIMPGHSFDITGSSGSKPPRAKETIAHLRTLSSVTPAPASPTASLSSFNNTASSASAGASGQPTAQQVLTGYMLLTLLSAPPAYAMPLTQLKEALSAKTAELGVSAVTAIGGSGVTRPIYGCVAKRFFVFMARLTKGIWRIA*
>EED77404
MPRMSIS*
>EED77405
MRRLRRSSRRCP*
>EED77406
MAEPAGSKAVARLLALLRTLSAYTRGVKALVGSSAALGALLLVLRYYVFSKRGVVPYIINEPGRVGRRVAGGKTAAGGEDKDRGVYDVVIVGGGTAGCVLASRLSEDPNVRVLLLEAGKX
>EED77407
MLRIVEPSEGKIIIDHVDISKIGLDDLRTRITIISQDVSLFSGTIRSNLDPFGEHSDEECMEVLDRCHLTSIFSRTIGKAEGAAITLDMPISQTGSLSAGERQLVSMARALLRRSNVVIMDEATSQIDSNLDDQIQRTIREEFSGAIVITIAHRLKTVLDYDRILVLGGGDILEFDTPRALITEPGGVFREMCRASADWQEIQAAAEQR*
>EED77408
MADTKTILLMGLTGSGRTTVIYPFINSVAGTQLPTGGHSLMVCTTEVQSVTYQLDGHCIELVDTPAFDADEDGDCMQKLYKYLRDRKTAGKKVVGIIYTCDITKNRVSFDPFRNLCGDEALKNVVFVTTRWGNINPATEEHNEQALQYKLQHELNSAVAIVRHDNSGPSAKDIVRSLLCKIPVDLALRRSAPGAILQIGSSSPAGVIYPPADPSVGCCVGVGGSARSTGGQQPRMSGRWYSALHR*
>EED77409
MMVLVATALRCADLRRPPGWKVGFEARLLGPTGTGKTSIINQLSGSSLVVSDNPGSLEPVTTAVQEASCEIDGRPVLLIDTPGLDAEEGLRSVVGIIADLRNRQNSGMKFSGIIYTCSVNENRVRPVNVFDAFRKLCGDAAMPVVTVVTTGWDHLRDRSVGEKREQELRSEKHGFRPALDRGAKIKQWDAKNPQTAEAIVKEILQSGGGPERSQVRLFHDTFVSPVTVLVGQFDYIRDDAVEITAVTWHKVDLPQLYWLEGKLYFYQLCPVLPPQHLNLCSFCIHMYSPRQSYGSPPPELSNNPFIDHPANALSRFPDISAGADTANSGQYTSWLQQPGQSGSMLASNSTGYPGQSDSSMYGGGAYQQPQPTGWHASPGYFQPQRAYGAPPMQPQTTGRPGFQPSSSFGQQLAAQVNTAYTGVPQQQPQYTGYPQMQAPSQFGAGYQPGYASQQPQQNPQYIAELDPYGPGSQPSYSGSGAIGYRPPHPREYVQQHKAELETWDSYSWKQIQNSFDALKEAWGARKRDIENRARSLGGAGLFGGGGYGGMYGGQAQELARLEGLVREAESNFDSVAASAFQMHEVYTGYRQSGDIASKRRVREAINAALVSLPDWPPQAF*
>EED77410
MGRIGLPMRNWRRRESLWRAMSPITDDLTSISTSHRQRRAHSSLRYTTKVARRLS*
>EED77411
MSSQYFYYNAQTPAANGNTGAYGVAPEQIPSFDYPPLQHLAGTEPSARPDRTENNEALLMNTRRVSCPPKFGHMVTDNWCQTLLPDDIFPVVPGFEMMPSTTLDGARGYQSTLGPGFSREVARWHTVIADGDETNQPSEPDLFAHIDLHILDLVAMGSGHGDLEELCSHYTPVGVSSVPMPGLRPVGQYINITQNIVASGSRDATEAPQDGVLPTAGTSDAPAYIFKRRRSSTKADRKSTSRRTRAPAIIATTPDRTAQGPIRCKICKKKFAHRQNLNRHVRTTHLGGCKWDCVICGTKISRHDALRRHLDNIHKLSGLEAQQIITFVGEKMYAAM*
>EED77412
MGSGSGIRNRARGKGKSVAPLVIQLRRQAAYGELRIERPRAQRKEAEDEPLYPFLALRGAAKAAAAASEADALPPRTPAGTRRLELEVVRTLSNMHGRHKALRRKTRHEIGRQEEHQYDEQAWRRCAWDEQESEHTGEREGTASSSTTVKVVPVQGCSVKVKHSASGASRSRRGWRKLLGLVERDIKRVPKSSVTKLKGVRVKPKSASKAMGSVTAAEVEHQSKGPQHNEERVPVDVAPAFPANEIEHPVAPVGTSPEHSVTASVVEVEPVQVAMAVEGEPREWGVRMEDLAFDFGSCPGMSADVLAALTEPAHVFTVTEAQEMPATEGETAEATVMAVDAEILSPEPARDTYNAFAVDLNIIHTEAPSSAEEAMEDVYTTDDVLVEMASPVVCSTDPAPVDEDSDMLHAEEQGVEMEMDGFDSVVAPQALLAQIEMIAANPSTNAGLAGVPVELISAAIDMAQREEDIEMEHQEQPVIVEEDIEMGVQLVSVDAVIGAVAAALIARGDGGDNIEQDIDAVLDRFGFVQECAVAHLDQECASVGDITLVGGALDEDLNATTVQDWDTTFVEDAITSSSPNKEKHSRLPAMPLPEVVVSGSDVGEQVVVAGVEDVSNPPTSSTPVISLQALLDVIAPHPALPERLLEDVDPTTGPMFDMQSLYSTLPTKITEGAEKTTNTGSSARATRSGKVYGGGISKAKKTLAQPTRKCTSSLSSGLLDNDAFRAVRNKSAAEQKARKDTRKRYKKISRKLARQDAESAEPKGGCGRFLLSAVNPKTGRREKMTPITAVKESTDDDLGLDVLCRMSASLSLTTSSHGRTGSQEATDSADDLLGAFSSLGLSTDTPAASSTGGSALDDLCDLFNALV*
>EED77413
MSSLEFHISSVDDDTVVSDAPPDKATLSSTYNMGSGSGIRNRARGKGKSVAPLVIQLRRQAAYGELRIERPRAQRKEAEDEPLYPFLALRGAAKAAAAASEADALPPRTPAGTRRLELEVVRTLSNMHGRHKALRRKTRHEIGRQEEHQYDEQAWRRCAWDEQESEHTGEREGTAS
>EED77414
MSSQYFYYNAQTPAANGNTGAYGVAPEQIPSFDYPPLQHLAGTEPSARPDRTENNEALLMNTRRVSCPPKFGHMVTDNWCQTLLPDDIFPVVPGFEMMPSTTLDGARGYQSTLGPGFSREVARWHTVIADGDETNQPSEPDLFAHIDLHILDLVAMGSGHGDLEELCSHYTPVGVSSVPMPGLRPVGQYINITQNIVASGSRDATEAPQDGVLPTAGTSDAPAYIFKRRRSSTKADRKSTSRRTRAPAIIATTPDRTAQGPIRCKICKKKFAHRQNLNRHVRTTHLGGCKWDCVICGTKISRHDALRRHLDNIHKLSGLEAQQIITFVGEKMYAAM*
>EED77415
MSARSATPASTPSLVNRHLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLTERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEERRLEDERRAQEAADEEMARIAAAEGLLDKGKGRARVDEEVAEVSDDPSI
>EED77416
MEPCYAARNTTPSSRE*
>EED77417
MAYCCQARLNLKKTTSTWQWHWWVQLPLTSASRGVYDSTILAGLVAQRVSITCSPSDHAWDDCEGTRARRGQAPLDNVSSSSNRSLRLTEKFGHEQGLCRQESRTSFREHAQALPFLRHFAFALQGYSVSDHDLFPAISEFLRERPTLRTLKLSVPSANWAQRRLGYDATVWGVLPSLTNLRSLTATLPKDVAAALAMWLVPRGVHSLTLQAESITDPLGFVGQMRAGLPPTLRYIGLLQFNIGSRDVDAVVAHGFPTVRVARVDEEYYAVERTDGQTRLEAWEVRARYNVRRWLSAYGCEDAEWRDAX
>EED77418
MALMQFLFVVARFSDPIGIRNLLWYMETGGEGAVVKPWVWISWLFLGPAARIIILSYYFFLSERILVRIEAILTQLVFNHALRMRIMADVSETPTFTGDTTVAGTPDTASLAESGGTRTPDNAANSEDVTLSGTNTAAGETTTTQGKDKSKVSVQQSQKDSSGPSASSEDTQTEGSNVTGKVNNLITTDLDTIAWTREIFQLGRIRGAHAEKMKKTDVRVQAVTEGSYLAVYPGDDVLNHRDTVAINAIRMIKLFGWEPRLSRQLGAKREDELTWIKTSKLLGLAIGNLKSLIAHHRFSHIIAPITMVVTYLIYYHRWLTLLTASTVFSAVTVFEMVSELSKQSFGRIPKLMRAKVSLDRMNEFLQKTELLDKFSEKQTTHALELGISSPLMSSDTLGFRNASFTWTKAPPSTPRSSKRNFTLRIDGDLTFESGHINLIVGPTGSGKTSLLMALLGEMHYIPTGPDSFVTLPRSGGVAYAAQESWVLNDTIRNNILFGATFDRERYDKVINQCGLTRDLNLFDARDHTEVGERGITLSGGQKILAALDVHTTKWIVEKCLQGDLVRGRTVLLVTHNVSLAGPIAQHIVSLGIDGRIASQGTLSNALEHDQMLAAEVAQDLELLEKAGQNIHQDRSSGDDSKRAHGKLVVSEEISEGHLGWSSYTHKAAVKLFLKSVGGGRPILYCVITLCIAFVSEFLDNLSYWYLGFWARQYEEHPPWEVNVPLWWDKTPTGRVIARCTQDIGTIDSDLYSCLDFLIILTMTMLIKLAAVTIAAPFYIIPGILLAAAGGYICQVYMKAQLSVKREKSNARAPVLGHFGAAFAGLVSIRAYGVQDAFRKESYARIDKYSRAARIFWALTHPNQTNGISWVNIRIELFGAMFSTGVAFYLVYGGGSSSASKTGFTLNMAGNSLERIQQYLEIEQEPKSTESGIPPAYWPSSGNLRVEKLSARYSADGPRVLHEISFEIKSGERVGVGETIASGEPGDSH*
>EED77419
MGNSASSGRGHHEETVDFGYLTPQGIYTGPKDWNQSIVAQLICERKLAPFYRPLEDFDESWDDEQILAHRKELPADADGAQPESSSSRSDTISLASKSSHKRGHNNNNSKDLTRYPEAAIYKGAAECPICFLIKRAEPDPKHLVSEPASCPYCVQENFGVVYTPPPWRTGLGSEGATPPSWPESPKQPETSPTAAMKRPRKSFGADSPEVVTIDQIRPDWEAKLAAVRAAVARRANRRIIMRQVGDRLIPLMVMEAMRLSLLEHEEQQRRQREEEEKKKKAQGSDGTAVGAQGESRSGSEGAGLSSAAPTIESSPSPTTESASASSTSVPTASTSSIVSANAEPSSPLRSLANAALTAALNSPESSNETAGPQTPPATELDDASVQESRPIPYGRHDSLSSSLAPSMGPSTYDVLSSSPDSDSAISHKPLLRSRPGTPPAQAVDSADSSVNQLAG*
>EED77420
MARYSVAPLVAVGYEGLFGALSIVLAMPLLARYADASPFFDLPRGWAQMVHTPAVLWSGVVIALSISLFNFFGLSVTRHVSATVRSLTDTCRTLSIWVVSLGLGWERIVWPISLLQVVGFGLLVLVTPPSFLRLAPVADPVAEDAEERSALIAENVLDETAALPADLGQSGFDALPPAPTR*
>EED77421
MRMHLEPKSGSIYALSLAAADGMMLGRLFYHLRRKDQIPVFLAALSEMRHKRVQEVWDVQSTNPAAMSMPPGVEHSEGLAAAEAMGDGRALTMTQDAIRAVFAYDPEDEADNWLVQWGLMRERTQSEAPLTFAVAIAHQNEQTEDPLVDPYGIGFDLYLSYDGGLASSIHIVKRRMSKAKANPLPLGDALRDLALLRASDCDLSSVLPPASPSSAEASSVGTDENTRAVHESVAKSYEFVREARAVIKLLDRGVVDRQGGRVEDVRARLEDVGRGLDGHGNT*
>EED77422
MYMCGIQHGPAPSSDPYELARSILIPLGEYFQVQDDFLDFAGTPEQIGKIGTDIIDNKCSWCVNTALAQATPAQRAVLDANYGRKDAAAEARIKALYEEIGVRKLYEEYEEAAYKRIMGLIETIPAQPTAKQGEVVLQRAVFKSFLDKIYKRQK
>EED77423
MSRTSCAYIRLGEVHRILSPSIVGAVHRQIEHRPHVRGVHRWSRHRVVGQKERWEREQVRDNTLPSRRRLGSSPSTATDTIWERLGRSWTDAKEGMAEIEIPHRRRWPESQSLDPFCVMHTKSNSHLHFPTALTFPSFIFTIMDDLDEIMKLTGTHRWAAGPSKPTFPRVKGYFAFPPTVTPDGHIAFTFTIKGAIEGDSREGRERQERASREAAEARKEAAWQERRRRDVQLHEERLARERAEALTGEMAWVRAGGSLRDAHGRRDKVRTEQLRAEIRLLDEEARLTAQWNAYEARWCALLAVNTPVKFKDVPWPLPSAVASVDDLVPGAIEEFLFGPLRVRRNTVTKRERFRASFLRWHPDKMSALLQRVVPEDESAVQEGVKAVFRCLRALQEAQRRGGDLR*
>EED77424
MHICSL*
>EED77425
MFCKATLVAVALALISSASPVVQEEGHRVTSYKRSTLTNPDGTFDHERAIHQAVRTHNKHRQNLVNLAANEVRTRSTLPILASSGSELYLGGTDTSLYTGAIEYHTVTGSGFWQASGAKVYANNNLGGSGFQTVIDSGTTIMYGPPSAVKAFYAQVPGSQVYDSSNGFYSFPCNSVPKVAFNWGGKNWTVSAANFNLGKTSSTSNQCVGALAGENLGLGNNVWLLGDSFMKNVYSVFSFDKNSVGFATLS*
>EED77426
MVFNNFPYATHSATLKIFSAILFFLNLSLFIIFNILSLYRYLRYPQVWSDMLCHPVQSLYLGTYAMGFSTIINVGVQLIYEEYKFGGPAFLYFLWACWWYDVSCLFCAVSCWMTRQPHRIETLTTVWILPPAALVVASSTGGIIAEALQSIHPSRALLTLTVSAFMVTTGLLIDFMLYTLYFLRLLVHGLPERTNVLSSFIALGPTGQGGFSIMQIGKGFAAALPLQYGTSSFLRDNSTGSTINVICMVLAFIMWAITTMWFFYAVLAVQHGVRKARFPFSLPFWGLIFPNGVYADLTISLYSALDSKFFRVWGAIYGAATIALWLVVFLQTLPLVWSGVIFNSPDLEKI
>EED77427
MRVEQRGRKHGYNSPDADDELCPVALDGASPVRGFGKGYEEFPDEMYTPVDCAKLIEYLKDMGISDVVPSSNAGLCLCEFITYGSLAEAERAAAQGKKETPVLFIHIPPVEQPLSTEYCTEALRRSISWICSQW*
>EED77428
MDQLIGERARIGIHNAADLGCYYRDFMVIMKHLIAQHQLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGIEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNRSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPMMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSATSKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARNSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFNPTIQLNMQSANGEVDRSLGLIQNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPX
>EED77429
MSPVLTRSQAREAASRSAGENLDSSSQTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYATSTPDLFDQSGSSPEPEDPIPSTSNLTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTTAVPKSAEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPAPPSPPTQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVVQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVAAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPTEFTVARDSNAIRLIIALIDNKEQIECIVDPGSQIVTMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFTDENQTITILCPNTGETVTIPTILSGVANRIF*
>EED77430
MSQNANTPLMPPRGHSTAQSFDPSEVRSLRRYFQDLEVLFTQCQITDDAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQX
>EED77431
MANEPVNVKIDVSSTLPHAMLAPFRPHRTSISDVPNEVLVLIFHEIFDSTGTRSIRKGWQFPESVASVCSQWRAVMFSVSIFWTVILITVDDNPTPQSVIRRYLALSKERPLDITICRHEMWFDSHDLIRARIFEDPEYRARLADPVEKWRMAAVMDLLTPHQARWERLYIHVMHSTSLPQPYLDLSGAFPSLKYLLIHCVLDEGPDERFAPTTGIMDVPILELMETNGLFLRQMSDTTFPNLDELTIEEYPRYYPPWRTTDLVRCIRKMPALLTLRLDNVNLRILDDELPDDDYALKLELMDFRHMSGDVLENLNALLDWPVLDVVVYNDCSIPRPAELFRASRYGAIKCMQTEQDVLNVLRDWDDENCKRLHITNSAFTENIIHALSEPQPDGSWMCPYLYSLSISGFCRGFSDCEPAQVAFIRMIKIRHALEASTGYVSSFAASSLYGVRVNIQGNVQNVSTEALEWLDANVHSVTWGDWSGGIQLRAENEGEE*
>EED77432
MRQPPDAQVPSRLDGSPQMCSMKLSELIVRFMRLSALVAMDIGWEATEEHTGIEPLEVLLGVGLGINPAFARRSSNRGQARENVQGGAAEGSAQQGPEAEEENEEEDEFKQFDPDDLPELQEATRLVRAQHADAAPGADPGVANGMHRREGAELEYDIEMVERLQRFYNVLQSMPDVATHMEDLQWQSPAEAVERTAVRFCEAIAQWRVKPELETRPETSGTANAGAAMSIDALVHSNPASPSNSARQPAGPARFAHQAESRTRKPLIEKCFAMPQAAVMQGRKRRRSETDRMQEDARRMQNPPIFG*
>EED77433
MLFIPPG*
>EED77434
MSSTLPFLDQFNAPSTKGGKRISIYTPKHTHVGDSALLTLLLSDPTDVFNKLKTHNPEATNATDRAALEVYLSARHEYDKAVKAADEAIDHHKRLLRQQDNRVLTELIRLDNLKVAHRLQPLLPCSIRAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTILADWQPNPGWTLKGSCRRCGSSRHWGIINEAKERKERERKTKAVPIPPPRSANPEPPASPVAGPSRPHPDTPVVFRKVDPDWTPDTTQWTWDSSWPCQKHLSGEEWKNLGRNMRNKWFDEEEDDGVDWELYGDGEHRWNPKFDLVIHSSLCSSSLGVVVILDFLARSSGQRTVHKPSLVVYESGITIGV*
>EED77435
MFATAVRAEHCASSSDLDSESTNDDESSDDDSSSDDESSSDDEDNHYPPGIEDHTNDNHVNVAGMREGQNGGRRATSCKRDATGPVEDRELLAYVDLARRAAQGYPHTLEGLAASIGQPALEELVSHFLFEQTHRDDPNTPNTEDVPLADCPIHTGQEPDNDTGMWIVEPDFDEDGHRSVGVIHLDAIVRAAHLIG
>EED77436
MTTVDRLILSSLNWQWTKTNSSAKGQLPLKSPKGYKIMLDNIKASNLGVAGMLFVSMGRPRQPQQELPPWAVAATLPSQSQSESHNDESDTKSSKKLSLDKKLEPIMAALINKYPVGLCASHPDVRCFHYVSNDWHFELDKNCLNVWANAIDKCQMNDRVPLLGSNFFKKNQQIGYNIIGVREVPQVTAPAPIPPATAPIPPAVLPQVVAPAYLPAVPPPAYAYPGYMYPPYVPPPPLPGWPVYGYYPPPPSLLLREGPIPSSSTSADDHALSSPLSAACGVEEFCDKMGLKEVEHEGLKRLGFHVGDKLDDVQPTDWKEAGFCPLKWNRVVRAEKKFRKELKALKSAKFN*
>EED77437
MRNHVNLSRATQFTRPTADCQFVVHASAAILAWIGWKIGRILLLPYTSSLRNLPGPPASNWLFGSINDLRASEDFVLHDAWLEKYGPTLKFSIWFKIPRLLTIDTRAINYILSHSQDYPKPERTRRDLTEILGNGLVVAEGELHRREVSYIINDVVSSADGQSHSAAQDYGKAHNPAFGPAQIRELTDIFVEKAQQLRDMWRKEVAEGTARIDVQGGLTKMTLDVIGLAGFNYEFSALNPDGKPNELNTAFEVMFSYLSEFERSYWPLLRSMFPIFRRIPDGYTRRTAAAQKVTRRIGMQLIAEKKEAVRKAAQSSDKGVESALQSRDLLTLLIKANMSSDVPEDQRLSDDDVLAQVPT*
>EED77438
MKSSDGVLFRVHRRNLEMYSEGFPGDDLATQNEVVQLSETAAALELLFQYMYRQRQPDLSCVPFETLAQLAEAAEKYQVFSAIEVCKMYMKASIPLHPVEVLAYAGRHDYATLCDEAAPLTINASVEDMSKHLGPATFIIWV
>EED77439
MWMIMEDNGARTRLWGPCLIFLHTVSSGSNFRLYSFSSLPSTKGSVRPSARSENGSEEERPRCTGRRTGYRARIRRERDFSLVVERVNSIIHLRASHGLTAFPPRFGVFASEDDGPRHRSAYLKRRYVELDSDAEEEDTDAVEGLYSSQAVSYSDRASMSPPRKKRALSASHEESEADCDEEEAIAEDTQASSSSSALRRCTWHTCAAEGDDDFLVAHIVSVHLPLKRGFHSGPAGVTCEWRDCTHTGTPESVWRHTKEAHKADAQQLATQLGGVGRNATFRFPCQREACRLRALRAEPVDDQADGTERQIGTVQWTQFKRHCESVHWKAGSLARCALCGFVDRRESWKRRNHTTGCLSLFMTLPAFRRGDATDESLLSYQNASRILFITRVGTYCTLSRGMEGSVGTGAQESIHEPILTHTDPEVNPDVRCVWDCNPEGSVYMVWKMLEKCLKNLLGMRSL*
>EED77440
MEWVHQYISSFGGDPDKVTIWGESAGSFSVGLQMLINNGDAQGLFRAAVMVS
>EED77441
MDKRGPEALPT*
>EED77442
MSSAQPPTSASDPARIDLAAYLDLLWSIALGMRPAELVQELLLILHERLAGEVGKDAARCYVYKAALGIAIDRAEEAADMCPCDEFGHPRRQRTASTFARRVPPKAKEDAAQLTYIMVHVRVDGPTLIRIHSYVHLHVASPKERAGLTMGAGMAVLDTV
>EED77443
MTIQRPKEDLPPFDHASASTYTEPPNAGWTLAQPLSATPEGRAWLAGEKQGWEVVHADDADPYRIMGDITYARVGGLFRAPRPEWADAERVLLAASSSAAVDVREDAPAADADAESSS*
>EED77444
MPSIPPQSLIVVTGITGYIASHVGLAALQAGHRVRGTVRDLKRAEELRNAYAKQGVDTTKLEFIIVDDITSGTQLANAIKGVDGVAHVALPGDILDTSDDMPHHAVKAAVALLQAAANEPSIKRIVFTSSSIASYQPPALFPEPVTDKNWNDGALQAWENATAEDKAKPEWAWIRYAATKILSEKAAWKWMEENKPPFDIVTILPNANFGPVLYGGARSTGFWIQSFLKGHNEFAESVGPQWFIDVRDDGRLHVAALTNPSLSGKRIWGVAEPTGWNQILAILRKNFPDANVAPDLVGEPGEPTKQKIENTVATEALGGWIX
>EED77445
MPSYAVAGASRGLGLEFVKQLLSKGNTVIALVRTPATAHGLHAIHDANLHIVKADIADPASLKTAAEETAKITGGSLDVLINNGVFQDPKHAFHDILTFPDEQTLTENFNASWSTNVLGPIYTVNAFLPLLRNGALKKVLTLSTGLADPALNLDAEFGYHVAYCVSKCALEMVNVKYAVALRKEGFIFLAISPGVVNTAEAPX
>EED77446
MRSLVMAIFLFMSAIASAITEAFVSLSADPLLVWNYGILCALSAVTGVAFWLRFRHLDAQEDELNSLGSSPHSIDTEAVHEE*
>EED77447
MRGQWQIVKSATIKALVSLSLESGSANADLCRVKYHKDQANGKDYSHTGVGFVFKMWQADGVAGMMDSEDVQVFKADGKEEYPENGRATVETQTLKDAGGVQLGLTKAMGQEVKTEVPRAAEAGLYTWGDKGRLCTLVRAQLVCAQHADAAPGAVDSDMSISGM*
>EED77448
MPGPLLTSPNSRRCRCVCKGSRPRFRTKQPSLPPSRLASEISTTRRQHK*
>EED77449
MKSAWSKIEDAAKQAKLDLHNVTSVNTIDDLVLRLNGYWRQAKIEAKDQRRLLATLRTMVEPHAVSLHNMAKAISVEPDPWRKVFGSMLLLIKTVNGDGAIYSVIMVIFSRLSTILQRVPPQPSLGECMRSSKVCLRIFLEMIAIIVLSVGVVTKGQNLRRMKAWAGIEKSVLLTHQPLQMAVDNVDGLVGKLVASTLDSKMVSVTEQLWRDACNMYMKATGKDI*
>EED77450
MTYPLSNGSKPVLQAALATRVSTLSCVSASQSLFSARARADLTRFARMVLSSRRTSGAGTLRISSK*
>EED77451
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEAERRVEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEDRLRQAEDERRAQEAADEELARIAAAEGLLPDSVTVGVDKGKGRARVDDEVTELSDDPSVKTPRTLERPFAMTEVDMAAAAIAKRQAGQKGSGTIVAPGSNVAPEHESWLTAVTWSAPISTMWADATGSVETRLGVTASRYLVRTSGAIDSNSSGVSVTNCDFIERWKISSASFDWMTGTMVLYAGSLPLSFEGFGGVAKFRTFGGA*
>EED77452
MSLTSWPARTSLSMLGSTNSCKLAWAETRGRISFTTS*
>EED77453
MSVRQFIVGAVLALSSLSFASAVPQRRDAPQPGCPYVATTTFTQTLNIIAPTTTGVYETTTITLTQTLPPIATTTVFSTSGTEIITETFLYSNWYANPPYPSYCTVSGVYGP*
>EED77454
MVAEVESIVRSIEDEKIQKEERDKTRDVFARIEGLDKVKQLMVPKPSRVLMEERPAMGVNPDGKNSGSPPGSGPGAKGVKGKTSLKRLSDVLQSNNGVGGKKDQWLVVFNDVVLRCQRTGTTSLPLVAGTGSRTNSLPELQGKAKYATTGRRGSQTKPRNLYKFLKIETWAIGDVVQPREGVVSMEDVVRSRQQAHLSAQPRIVPLPDDDEDGGVESDDSDRKSKMSFSYWGADKVTLQKPTAAKRQLANTARRGAAGSSTAYGRESSANAKFGTRLVSVESAGGGGARPASRRTQATPAGQGRRQAHSEEDHSVKATVTRDRPGWNGSTRATLTPVPKRPRNTSQTSAATRTTSNAKQLSSPAPSEDSGVGFYRQIIAQNPSLNALNANA*
>EED77455
MGGTTYAVKEFRPKRQGESLREYQKKVTAEFCVGSTLKHRNIIETVDIVTDHGHYYEVMEYAPYDLFSVVMSGSMSRPEIYCVFRQICDGVDYLHSLGLAHRDLKLDNCVMTTNNVVKLIDFGTATVFHYPGKKTTLASGVVGSDPYLAPEVLSEQGYDPRKADVWSVAIIFMCMVLRRFPWKI
>EED77456
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKTGNPTLVGPRREAAGDADRLDTGYGTVQTYDAQDAEKKPLDTWSESAEPGR*
>EED77457
MTELAFVIGGITYPVDPLDLVAPFGWFTNGTVACGGTFVTVDNGDTSPTMVLGQTFLRNTYALYNLNPTGNGNKNTTLPFVQLLSVTDAKEAAENYNAQNNARLEAYAAAHGFKYVAQSSSQESQIKQIRGWMLLVGFMTFVHLIGL*
>EED77458
MPTRPRGLAPYQAVTTAFKARFGNLDDAAAAQVELTKLCADKTVREKRTAAEFSALFKGPADHSGYGDLELHDKYLSGIPSHVYRKIKLETFAMWQAADKRATKVEQILDVSRARRPELNNFFSTRGRGHGGACGGAPQSHAASASINAAVGKGNFPGTCFGYGKQGY*
>EED77459
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFEKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATANRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED77460
MDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEELTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED77461
MPVLRLGNNQIPGTMKQDANPLGPFIEALVGVSFSIYPTLGYLNLNIGPQKSLFHCPGNLADTMLANRQESTTMFKRLRVRVKRPGMDPSSIIVTRVANATARQSPMIDSNMTVEDYFRTTMISLSLNLGRGSLSLKNFATFFP
>EED77462
MSTDTSRLHSKLDTLSDALDDLETKLEPLFTQTLPETVVGLETIQQAKLQVALPYLVYDLIFIYLKTRGIDPKTHPVVAELDRIRQYFDKIKNAEDPAKRTATVDKDAANRFIKHAIAQVKAQRPPGDGEGPSNIRFTDSGEAVRVPVKVTSKMAARAQYEKELAELGSEEEGELEVIDDAGDADDEAASSEPRQDKGKGKAVDSADAGEQMPGRKRRRAPMDPFAGHGDDTTAQTVKKSRASAVAADAEKVNSTPDSSGRSTPSSSAEAAKKERKAAKKARKKAKRGESTD*
>EED77463
MRKHGWVLPVLAEFFPESPNLLGATGFNRKSADINGGQRILLRLRPAHAPDTFYDEEFVVKTMLHELTHNEHGPHDEKFYKFLSELEDEYDTLKRSGYAGEGFFSPGQKLGYNVSHNLPPHLARQKALEAAEKRRQVNLIMSGGGRLGG
>EED77464
MAASKRSVDPSTFKLPVTPRRSLDNEFPYRTPHSSAPSPADADSASSRSASLSPAAAGLDNSCHSAYSDLITMRRERHNGSFRALGHFKFSNSSIKFKIVWSGQNPKKGWKPILVEQPTQPGLSSTVSLPRAVSSIRSNADSDAPSLHEDTSFEAGLRRQQGTADGFHNRNRMVTRRGMPHHCHRREEAPYMQSYSRVSLDSELRTYELLRRLNPNCSPSFHNYGKNPPSRVLDLGCGKGYWVLNAARSWKNTKVTGLDLIDVYNMCGKEPHSPESPSEEVHNVEWDRSNFAKDPLPYAEDSFDLVRMANLSLCIPRQRWEFVFSEVWRVLAPGGRLELIDDDLAFPAIAPPPLSVPRLQKSMNRHCVIIGAAPEEVEVAEHDHSTIWPGHTRTVPQAVSEYEENVATCKDLETIFNNMLVERFRRQRFNLPSDPPGLQMEEEQVEQSTLTSFLRRTANVSPTLSPTRGRSSSAPSFSPQGQLSDDELKELTKVRTFRVWSAVKADHGSPLPTFSS*
>EED77465
MTGDGIYFLATAALARKEPLALKELRLCGLRRVSDDMMRVLGKAAPYLEVLDLSYARDLHNSGVEAFVACSAPDSATVEAVQLTAREAGRDPTDPEMYWRRVTRLRHLSLSSCIMLTDHACSHLAHAVPKLELLELAGIGTELRDSGLVRLLNTTPYIRRLDLEDASEITDDALFAITPDPVEEPARPTRIPPPPQPGHALEHLVISYASEVTAQAMLDLIAYCPRLRRFEADNTRMSGHVVREFVRLARERHVLDAQVVAVDCRGVGEQTVRDLADRTRPRIGWCAFDVRRLGFLDARDEEELGVGQDECDPARVALKTFYSWQTVDAVRAARDKKRKASRRTANVSSSSMEDSAGASAGRARWWSPSGRRSSGMPSPVVMDMSNDREGCAIM*
>EED77466
MATSSTSTSHDHSNHSKSNGEAEPALDVSALKELARKGLVDALNSVNGAKTLVLDPSLAGPLGLVTEVALLKHHGVDKMFWLESGPLSATTTNIIYLCRPLVKWIKIIADQLKRLAREPSKHTYTLLLVPRISTLVSRILEEEGVLGDITISSYNLQFIPVAEDIVSLENESAFKELYTDGDETVIYNSMQALMTLQRLYGPFPRVLGKGDFAQKLATLLTRHPPQSGSESSSGVPNAPFDSLIIIDRGVDMITPLLTQLTYKGLIDEMIGIRHFMQREQPTTTAVFFLGGCTYTEIAALRWVGRQSRGRKFLIATTGIVSGASLVESIAGVEQAGALSKDAGLS*
>EED77467
MAPPPPTIIHCSSLADYEYQMTSLRDPILIPETEETWDRIANAMIRMTALVRGNAADYPLETVANVRPVSRPLNSAASSERTRLSGVAIELLTCLNGFNPPDLEREPRAREIEGTIRLTATDASADVRKIAKKIFEAYKQLLPRRVESFTQPLTPTVKKYLNIKASAAPSSNSNPPSRPPSSQSLNLVQERPPKQQFSSSTSAIRSNSGTFPTRRPTQPPHRLGGKVKSDMAPPVFIPKRPDSAATTTSNHEHDDDVLFKSEPRVAASVPGSSSTMPERPRTISQSQPQRPPSSTGTLNARGPMRQPIPQEPPRGVRSGPIRPGTMGAPGGQPTERKERVASEKLKTVIVLLNEKPKPAPPQPSEKPKPVPSRTKSSVTAGTKSSNAKTASGSADQKSSAHAKTVKSKGDAKVAKAAVASVESRPSRQTHARARSKTPALVPVQVPLPPSPGPGDAPALVPLPPSPDLRELEIPALVPLPPSPPPSPPIPPAPVVEIPRPVTPKRTLGHLAPLAVEQTPISALVADIQRGFLFTPFTPFTPAPRAGRSFEEGDNVRIESLGYEGTLKYLGEIDGKPGHWAGVELSGGFAGKGKNNGAVNGKQYFVCPPNCGSSRPSSVASSRNGRITPSFSLSGRITPSASTSTSTGRKTPSFSNGRATPSLPNGRVTPSAGRKTPGGPVPATRARSVTGNARAAVDAITPVRPAAAQNIITPGSRASNRTSGIGVGVPPGSPSRRNTPRARVPSGIAMPPPASPSIKSGRSISVTMSDQGFSHRGANSLTDLESNGKALQDKIAQLMAGRVPRPGSSASGGSSGHSEELQQEIERLHARLTAAEDENRRLRESTDAQNGAVQRAEKLAAEQKQSATRITELESTVRANERLLNERETAIEAVERAAKEKEADIEKIKSDADARLRDIQSKLDDKEALVDNLKELIEAKEGLQTENDAVLQAKNAEITLLEARVQKAYAELEDERQELGAQVEELRKAGQETIALYEERLSAADTQRYELEDLIASLDEQLRAKLEPLSPVTAQRQATSAVEIDNESLREQAQHLQKRIAVLEDQLEEAHATFDREEAADLKVLEENIEQSILREEALESSDGSVPTSAAGDVAVLHKKYEVYAIASVLCLTKRSIYREDELERELERLREKVARSQKKSSKLSTEPPAAPPDERPVGSGAKKISTEPVSAGTQEVCEICERPGHDIFTCDLLKDDVAPMPMSAPAMSTSMSMSSMSSMSMGGDADEELFCEDCEGRGHTAENCPHSLDVF*
>EED77468
MAPRCRLPALG*
>EED77469
MSRPVGFRPPDKFWMYGMEVSLQYCYLRHDAYDQKHRPFHALSASIRMEIARLAQGSEPPGDDTSQVQGQGLDVPPRHAAILVGSLTNSYKFKPGGMMKKTFSLSIGGVAQHLISYYKIEDVEHGRLRSPSSLPELASLDISPEYLDKTHFRNPPKVEIGVDGVPRYRGEADDADTSPHMLPASLSPPSPYAEADSGSSKRGKRYDPYSSNPPKRARKVKSTPPGQDTTSETPPQPLAVSVHQPQPGYASGDPAAPVPPHYASYGYYQVPPPGYPVPPMYSGTYPAVPPPTSTPSPPQQGQPSPPAPAYAGYTDPAYAGSHYPQYYAHPHAYASYPPGMPWPHYGYPPPPPPAVASAGDADADVDDESRPQAGGSSGAYGSDFVPTIHHDTYSSIDPTKADLAGKVVLVTGASKGIGKAIAIAFSQAGVYGLVLVARSHLAEVKAACEAAQRPNQHLRVLAMTADITDVAQVIHVMEKVKESFKKLDILINNAAFYGGLGLMHEQDLEVWWKTREVNMGGTYLVTRTFLPLLCECGGAKTIINMTAKFALLRFTELIMAEYHTRGVLAIAVHPGFVLTDMSAGLPDNMKRILTDTAELPAHATIWLWDVDELLAKRQEIVDGDKLKMRMVV*
>EED77470
MSSTLSFLDQFNAPLTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRDYDEAAKAADEAIDHHKQLLRQQDDGVLTELIRLDNLKVAHRLQPLLLHSIRAMRIVSTLGTGLSGRTMRRMRKRSPWTLERECGTRPMKRHISAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKVVPIPPLRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWTNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVLGIVPLHFFLH*
>EED77471
MPDIVNAPASGTPYYTPSQYPAAGTAVDPQPDGKPIPTLFKPLTIRGVEFQNRLWLSPMCQYSAENGRLTGWHYAHLGGIISRGPGFSMVESTSVTPEGRITPEDSGLWCDEQIEPLAKIVTFAHSQNQKIGIQLGHAGRKASTVAPWLSPGATATEAAGGWPEDVWAPSAIPYSDDFPKPKELSKQGIKRVVTAFVDAAKRALRAGFDVIEIHNAHGYLLHEFVSPASNKRTDEYGGSFENRVRLSLEVVDAVRAVIPPDMPLFLRISATDWLEKSLANEPSWTAQDTVRFADLLAEHGVDLLDVSTGGLHPAQKIEGGPAYQARFAEMVKKAHGHRILIAAVGAISDGKTAQGVLDKNQADVVFVARQFQKNPGSVWQFAEELGVVTTVAHQIEWGFAGRGNKLNPLAAD*
>EED77472
MPTRWLESVSKAVAGTGHPRAHIGGPQSARHSSRPSSRASRPKRDPKFALGQTRSVSGAPPGLMTYLSPAGKAPGAVSTANVVCRSAPASRSSSRVGERRSGALKGWALAEVTNRAGKKLRRQSKCDGVPMLASTCVENDEWSTHWVDGRRVPLSLSGAQDGLRVDNDFEDDDDDDDDAELDFARLLVPPKRQYSIQSLRRHIHRSQTNLREQRDLWGDDDGYGTQSRSRRESIDDEDGHGWEAMGAPGFGNAKRRRGLPGAWATLSSRS*
>EED77473
MRQRHHRTHLTQLSRVRVFLDAASSKTILYRGHEAAQQEPRLLGTVENELGLRPWLESQGHEFIVSDSKEGPDSDLQKHIVDADIVITTPFHPGYITRDLIAKAKNLKVCVTAGVGSDHVDLNAAVERQIQVLEVTGSNVTSVAEHVVMSILLLVRNFVPAHEMIERGDWMVSDVARNAFDLEGKVVGTIGAGRIGYRVLQRLLPFGTKEHLYYDYAPLPADAEKAVNARRVEDLKEMVAQCDVVTVNCPLHEGSRNLINADLLKHFKKGAWLVNTARGAICDKDAVAAALKSGQLRGYAGDVWNVQPAPRDHVWRTMKNPLGGGNGMVPHYSGTTLDAQARYAQGTRDILENYFTGKPQLPANIIVGVGKFETKAYGQR*
>EED77474
MSMESPRMNVQTGEKSAGLTYGEAKLLFQAIHDEQVLQGADVFGPFESEEEWDLAKWLIKNVGHTQAEKFLKLPIIRNCIDPSFHNKDTFFSAIDALPGGVDWQCQDICLTGDVPNQDSKLPSENLELWFRNPLECIRELISNSTFKDNLHYAPERCFVDPEEGIQVTDEMWTGQWWWDIQHKLPSGAMIAPIILSSDKTRLSQFRGDKSTWPVYLTIGNIVKDVRHKVSSHAMVLIGYLPVAKLNCFSDKTRPVAKYQLFHHCMKAILESVAKAGHTGEAMTCADSLIRSVCTAEINQHTAGTPLEGLHVAHVRVIFALAHHYPLHTDQPLVYVEWFTPFGRIDASSGLHVVSPSSCMHRPYGEVITVDHIVRNCHLLSSFGKAVDSHWTVQTVTEEFLAL*
>EED77475
MIYSIPEVQRITRVAAQIALTSNPPLPIHSIDKANVLASSRLWRKVVVETLQNEYPQLKLDHTLVDSASMIIVANPKKLNGVIVTENLFGDILSDEASVIPGSLGLLPSASLAGAPSVADALSPDFKPTPGLYEPIHGSAPDIAGQGIANPVGTILSAAMLLRYSLGLNRYAQAIEDAVRKVLDDKEKGGFELRTADLGGSVKTKEIGDKIVEVLKGLL*
>EED77476
MIVRGNYEYVSLAIYGEIMAELPSPPTTYEPRPLPTLEPIPLTRALDPSNSLDPSALARQLLSLIPDAPPLPLAIRLVFCLKPPSDDWDLPDFPYLHPDLDNETEDFDLEKAFRLTTRPVPDDVSDATLLRFAENVTRSVRSKESLDLTRVFDMGNLRDEMTLIRLRDAATNPDIARYLNNEWFLGLVSAISKDVNTGKETQLAASKLILRVEAWAVFEDALSNTQGDLTAAATFIREVGTEEQSLGVWLESMVTHEDVVNALAENPNMPIPLPHPPYLFGPLKSVIHDGFIAFLRAVIGVAAVLAVYAFADAYPHKIVNHFLLLKQMIFRLDCMMDNDPPRRPGLDAEHVL
>EED77477
MVQELSIVSVNLATVPMESFLYGIFTVLFVASTWLLLQRDRDQRNAGVSSRPIWRTPMFLAAVFMYLMLTGHWMLTVIRLFEAFVNWQGGTDPIIAYADLSRLTEVIKTAFLVAIVLTSDAMIIYRLWVIWSYNHWVVIFPVLSWCGLVACGTGVCWQFAVYTLGDDVFKTSAGRWITSDCVFTFSKSYGGASVMGALAIIIESAALQSTWNLIFFITYQVKSNIQFTTCDLWASFCGISFMLINLRVSLGWAQKAGGQHTSTIPRPTQQRSMAGDSGYAMRPLAVNITRVVNQEDDYGIKKQELSSEGSVLPV*
>EED77478
MGDLSPLVLSYRKALGAIRDEETELRVAAAIKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRVDRRQAPLGPDTPLFGNHIPPGTSTQSHNSSISPSTLFDIFDGARRLLEARHGRPDASRVDLGTSSAFGEQ*
>EED77479
MSSPTTAPDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLALVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDAAAAQVELAKLYADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQDADKRATEVEQILDISRARRPELNNFFSARG*
>EED77480
MQRHTFTALNSTFVVDSEYQFVKELGQGAYGCVVSAKHRRSGEGCAIKKITNINTKITCLYDMDIVFRPDGNFDEVYLYEELMEADLHAIMNGFGDGDGEGGRGVRCKVGELVQQRREVGPEVDESDRDPVRFRMIVRLHGAAAADHC*
>EED77481
MDDRTRERERERDSDSDVDEDGLRPGGLSGLSARLQAVEGEDEDRAGSRGEDYLDKLSYGRASVTSDRSNGAAGVGARLMGGRASVAAEESERMRRDYEYKIATLQSRVATLEREVEDGREREQKWAEGEARVRAVEEELRDLRQRMEEKTAAMFSLQQELDNLRQERAREKEAEARQARVDEDELRILRDRCEELEAERAGGGQANPEIMDQLRSDMEGLMMELSDLSRRNDELMTAKDSDLTVIRDLDAQLKEYKRKYEQAKTELRSVKAYESECDTKTAMVTSIYVDLAEELFGRDALLTDRVVKSEVITFFNALLYATWRRWTMVVSRQKAQLESKLDAVRTRWFELSANEENVTVFRLTQFFQTVTRVLELTEALSDKTAEDEMNVLKSDLQSMLSLISSGPDSSGETKPLPKSIRTALLKLASQPQVEGVRAQIMAIINEEQPEVVALDFESLPEX
>EED77482
MVFHRCHRVPIHPKPFCNSSRCRILDPLRARTMVQATKATPPDYWLGVSSGHSLRLGVRLPPYRCTHFLL*
>EED77483
MSHTMASTVPILFVLNILLMMRSRDFNTVQSSLSPRPIYCMPNFITTTLLLAGNTFHWIVAVFRVYQAFILFHNGSEPLPFYSDLSQISELILTGALVFCVVVGDVMISVASATFINSRYQTIMESLAINGSPRIVFLPCGMIAWKIWSIRRGIGRCGGSNLMRMLVFVVESAALYSMTRTSRKGLLIQIHLAQIYVTKIISYSLPLPNENRFSWAMGIPVIKLKREQTQKISTTKT*
>EED77484
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDIFNKLKAHNPEATNATDRAALEAYLSARHEYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNAYLPLPAPLPTSAFKRPPIPSPFLQATPRSTTIPADWQPNPGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVLLRFFLH*
>EED77485
MLSDRTSLLEEKWLGTVEEEKAAYVSELLYIHSKVMIVDDQRVILGSANINDRSQKGDGDSEIALVIEDDDMVESTMDGRPFMASRFATTLRRKLFREHLGLIPPMRVEEPHEPVTGFMRPAPHPNPDELSEPQDQIVADPISDETMRLWNETAKRNRDIFTEIFRPVPTNLVRDWDAY
>EED77486
MVRVSVLNDCLNNIVNAERRGKRQVLVRPSSKVIVKFLSVMQRHGYIGEFEIVDDHRSGKIVIQLNGRLNKTGVISPRYNVQVPQIEAWVNLLLPARGFGFIILTTSSGILDHEEARRKNIGGKLLGYVY*
>EED77487
MVEVQRLGERLNIDLKFCNLDSPTLHDLVDIRRAVICVDLGIPINKLPWQVGSGPVGLVLALTLLRNGISFRIIDKEENPRIGQRGAGIMVRTTCLYGYKQRMTQRHNHQPRSLELYHYLGVLPDVLAKAYRLMRNRVYKLPGGTEAVETFWMDEPTAPTPSTPFFNLGWKLALVEKGLASPALLSTYTEERVPVVAAMLQKTTAILNKTVGTPRSDPGSSDAWNRGGELKQLGVNYRWSSIVIDERTDNADQHAVHRPLDPYGALRNNTTTVRAGDRAPDAPGLLPLNVKTQPDGSVNDATVSLFRVFGPSHHTVLFFAGQVDEVTPIVQKLRQYPPHALQTAMIYPAGTVGAKHLEVADVMLLDVSGHAYGNYACDKARLTVIIVRPDGVIGGIVFSLGGVLAYFRRVFSAYTLA*
>EED77488
MAAAARLLHSLPWLFLAISESARGSPVLTPPLHTLSARGLQVNNYNNGTIKVIDPSSGASIAQGSVSDGSGTNFSATAIIWLVYCFAIGASLAFTGIKFPRVTNGAAIGITATACVWAAMINTESSKSLHDLVLTLVPVCLFVPGFIFGLFPYGRLSGVILITIASGFSWGARICLFRSDLLVREVYGDWLIGTAFALMNVVLVPYYERIAVNAMKAIASASVGTFFIGLGVDLIINKQAGMSFGLRLLCDRNKAHYLDLVYKGWKPGTSTIIILAVTLGVV*
>EED77489
MTQPESTQILASMIRTLGGPRFSPGDFEWATSLPAGKDLIDWLASQATTEASEGHAGINSTVALQSIALHEAEIKDSRREQALSESELLETETAMLRHRLESVKIASKEMAHTVKTLKASMNGLDDQMRRGEERLGDISIQVDTALSGSVNAASRLLSAADSDREKAGAYLKACSARLAEMTELRAQIAGIAKERFHELAAADRSIPTALEVQREAERLHQQLNIIESPSGSELWPETADLEAQLFCAEMDGIAHQLMSLSEDVEKDQAVSDILATMEAKHDASTASADVDLVQELTRAWNSDQMKSMTARERVVDETTNMFSQCLLPPLDTLHAHLLASKGHAFEIEALVSALVEELEEVVDDVTSIRDSACKDKSLQPQALLEDELRDELKQLQSIRPSDAGPLVLLDDADLSKELASIPEWLSHAEDAEREWVVSLLDRLSSLTHNRASLLSTIYANSPVTTSPPFLPSATQQKLEDDLRRRVEQLSNLTIRLEGSRMTDRDERKLDAFLEKWART*
>EED77490
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMRLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRTARDRPSTMKACRGNLCLHIGRKEVGTRSSSQ*
>EED77491
MTKVSCPASSFLSTGSRPWATQAQ*
>EED77492
MPDAITLRIPSQIVLAGSTVEGAVELNFPLIQEEQLEGVRVKLKGSVFTFLGQPRTELTETLDLDIVRIEHPVWTRGTAYPPPDSHVLSIPFSFALPDSAPPSYQFSRLDCQSVVRYLVEAVGVRGTLRMNKRVVQPIAVLPVDRIGARVRERLGRGWTGKWTSAAAEKTVRTSLWGGSARAEMTVRYETFILG*
>EED77493
MSLSTQQRTDIKRIIEALGRQAIELQQQRGMLIRLIQADPSYVVSGAIRHRCGAHLTHSQDDVFRISRGVRYLIEADPVAGRFQYTESVNQLIVKVQRIEASLARIKEKTTELHLLIPPIECLPAELLVLIFQAGSLSEPKGLHPFPMIVSAVSRRWRAVAMSTPTLWTNLYITPCLMLLNVATCPLSWDFVAAFSTITQLIVINSGANQFLEILRPKWLQSATGVMHGALVWPRLRDVTMLDQTNYDDLYGMVAERTAHGSPLRRLIVHTEFVHRNMLTPLLQYVKVDSVTYLDRDL*
>EED77494
MRRGHGRRFLGSDRHRAR*
>EED77495
MDPAAEELFGTDLATEEACRTDSATEKAFSWDPATEAAFWMDSDTEEARWTDSTTEEVFSWDPAAEEVFSWDPAAEEX
>EED77496
MF*
>EED77497
MLMVLVPSSPVFVKTRRRT*
>EED77498
MSSRSATPASTPLLVNCRLASLLVVLEAPPTADATLDVVEAWAQDLSPLVLTYRKALGAIRDERTELRIAATVKQLAERASESCVEWARGDWPELATAINAEVERRVEEQKRLAEEEARHVKEAVKRAKAAEDRHLEDKQRRKDEEDHWRQAAEDERRAQEAVDEEMARITAAEGLLDKGKGYARVDEEVAELSDDPSLISKTPRVVECPFMMTEADMAAAVIEKRQAGQKCDHCAGYRSALVECVWVENAMTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVVDSSGSKKRRDDLDALELDNESWGIIRVIRKEHTFIARPRALLHDMDLDLQKMEKAALAKGGIGFVRRAVDED*
>EED77499
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAEPSYEYEPPKPLPNIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED77500
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQTLVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGSGLEGLCEVH*
>EED77501
MIKSGTH*
>EED77502
MFLSSLTRPHRHDEDVFTILRIDVEPTQTAESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQADIDQCIAVALAAYQSQQSTANQPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTVLSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVTRDRPNTMKAWYDEPSRGLSSSQTPGTMANQWISTPLPSHQPSPPHWEEGNGN*
>EED77503
MIWNYTYNAANTGITQSFPVLLLEGKRVGGDNFDAIKAQLRSYLDDSGFDRCWAIGARGQKMKFWRYIRDRPEDKMRPLKNTGERVVEASTDSTNEYILDITDFNPPDTAKAFVPILDYIRDHPHAPTGPL*
>EED77504
MHMHDY*
>EED77505
MPAPGQPPTSPSSYRCR*
>EED77506
MPKAKPFIVTAKHEPTGLLERIAIHNTHNFDDPLIRRPFNPERAEKAKHDIKKLALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYKPPKPLPDIHFQRTKILLRTSKYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYKV*
>EED77507
MCPAASSTSSWEEIVQLRPTGLADVEDLLDLGGTLVSVFPHGEGFKLNFAVDAGGNAAQVPIPGAVCQTLEQHGKLRSSAFFTNRLVCTEFGQLYLSHCFIIEVAKAGFGSHDKSCLICKGGHYSLNPELH*
>EED77508
MADLSHLSRTLRLVLESAPEAPSLTTLLALVDSFVLQVANSPSPGPLLSALEDELQEICDSVVELDQREVFLAVLYHLQPILPPASLIERWFDLILRRALREPRLPRPALDHAKELIIAALDSX
>EED77509
MALGLVILAFIFLFHFQSVFCQTFRPAAVPLAVRSPYFSAWQNTTIGTNVADEWPQFWNDNENNPNPILGWAGIIQVDNVAYKWLGADTVSKTANLSGIQVTPTRTIYTIQAGPMDVIVTFLTPIEIGSDNQYPFPMSQSTLAPTTGSRIMYSSILISVQTSFPFVETQGQAEDGTLYYTMLSDAIVRGNFVNNGILPNTKDTDYRAISNDYPVFAIAVNLGSIMTIENPPVWAVGYVRNPSIKYTTSTGDVQLRAPYYVTQYDSIQDVVRGTRQSTHFSCIGHLERV*
>EED77510
MSSRSATPASTPSLVNRCLTSLLVVLEAPPTADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERALESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARHVEEAVKRAKAAEDRRLEDERHRKDEEDRWRQAAEDEHHAQEATDEELARITAAEGLLDKGKGCTKVNEEVAELSDNPSIKTPRTVEHPFAMMEADMAAVAIEKR*
>EED77511
MVNGFKPRRSNRLKHLPKVDYCENKTADGKRKRGALDDEDEYTPSREGTPENQKTATHAPENQRSPSRRVRHKSAITKDARQRAVDASQNEGACIISGMKDKSVQQCHVLPRATKPDVLTALEWWWDIEELSVDSRHNQVFRASSFREAFRYAWAHLHTVRADLHALWDRGYIAIMPMPDVMKEYLAKWQDGGRHKVLEASDEAKIHEYCVIPHPDLVAGAPPPGNSPIREGFAYRFDKREKVAAWWGLDKFDVDSPFNIFLLRADIHCLWDQGHLMFVPEPHIIKDYLARSVVPIGGASSLAELFEASDVPVYRYCVVAHRDLPDIEQNAAFPRDVKTLAYVESPVPPQFVIYNGGLMLSKSGLKGFEMALDAFYKRHRVDYEAIDVLGNMLALFQRYTTDMPRRRIVHAGTRRTALL*
>EED77512
M*
>EED77513
MV*
>EED77514
MAASIESISEKVVEDRPVSLSKHGDISRPQSTVMSDHPAQEKSVDVAAPLPDNKQSDEPTTQVTKPVSFLSLFRYSTTSEKTIDCLGLVAAAAAGAAQDLSITFPAGKTTALVGASGSGKSTVISLTERFYDPLEGVVRLDGNDLKTLNLKWLRCQIGLVSQEPTLFATTIMGNVAHGLIGTKWEHAPDDERMALIKEACVKANADGFITKLPLGYETMVGERGFLLSGGQKQRIAIARAIVSDPKILLLDEATSALDTQSEGIVQNALDKAAHGRTTITIAHRLSTIKDADRIYVMGDGLVLEAGTHNELLRDENGAYSRLVAAQKLRDSREKHATEESDSATVASDEDEDYDKVAQAEVPLQRQKSGRSLASEILEQRQQGGVEQQYGMIYLLRRFFAINKENWRMYLFGSIASICNGATYPSFGIVFAKGINGFSDATSGERRHSGDRVALWFFIIAILSAIAIGFQNYFFASTAAQLTNKIRSLSFRAIVRQDIEYFDKDENNNIYGTVRQHPSGNGYAHQARQPSGDSGVPHQEEDTHHDAPSTPPPTRYTTYEPPPQQDPEEDVGIVNVNVHPPQPAPQISSASAPEPNTELMEKLEEAQAEIERLRHLISSMPEPSTAPTAITSTTADLRRRRPTSPSDDTSTTWDGETEVGTYVEDPIAPEGVPLQVVIIIALGVFVTTYLFF*
>EED77515
MSQNTTAPLMPPRGHLTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIKSLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKSEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVD*
>EED77516
MTIWMAPGHILVLARTDANKMRAEFADFEPRVRKLLSFVDSTLKWRLMDRLPLAAWTHASRRVTLLGDACHPMLPYRAQGAAMALEDAALLGRLLAHLSARAQLPTLLRAYGALRGPRTAATQAAARANQGVFHMADGPAQAARDAEMRRAMEAVLRAHARAAGDRDGGAGGGEGDDDDGMAGNPNQWADRAKTDAQFGYDAEAEAETWWREAG
>EED77517
MSDDDAGTDSPTYDGDIESSTTAAAPGPRVPIASTYSSNYDSSASTRAASPASTLTSPASPAAPLPPAGSDPAPSDPHPATELSEPLPAPIAAAAFDPAKLTPADIQAYVRAAIAAEGQDARQRKYKVNPPPAGRPARIYADGVYDLFHFGHALQLRQAKLSFPPLAFASSASNEDTPACAASGVHLLVGVNSDQQCAEHKNRPVMNHAER*
>EED77518
MLCSELVNENSPLHVRNAAGLALKNTLAARESARQHEYTSRWLALDNDTRSKVKQDTLMALGSPVAKVGTVAAQVVSAIAAAELPQGHWSEVINILLQFVNHQENTNLRIATLQAIGFICESIKPEILALRSNEILTAVIHGARKEEPSPEVQLAAIHALLNSLEFVRENFDREV
>EED77519
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDLVEEWAQDLLPLVLAYRKALGAIRNEETELRVAAAVKQLAERAPESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARHVEEATKRAKAAEERRLEDERRRKDEEDRLRQAAEDERRAQEAADEELARIAAAEGLLNKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIQPASPGPSIADSGGSKKRRVNEPPRPLLRRPLDGASRLGLKQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED77520
MSAAQKLTKKQKKALAFRERKGKGKAKAVDEDNDVPVEENLDLVEAQVEDSHVEDPQGRGKPEATTLGEAKRVVEGGRPAQKRKREEEKPVEGGEQSKPKKKKRKATDSEGVATGDAATEAGEQPADMESKVKQKQRFILFVDHTGVTPLIEAVKNGHVEVVRALLEKGADPTNASSQGPPETYTTDSVILELLETAKGKLNSNAAPAQEPLYSHDQNMDPTKGYYGPPPGAYYYPGVPIGAPMMPDGSVAYYPPPPAPISSDQNAGAMSNLPPPEVARMIPCREWDWFTDFTVSTSAGGWLWAWTHEPRWYGPPPKRKRAQAFLRAECRFPHVLPEVTASYQHHHHPPPHFAPRGGHRPRPSGHAHMNGVNGPNGIEERFAGMGIQDHDRQPSHVRNNTNGTSATTASSRSQSSDPGSRRGPPGYKLNHIPNGARPDKKFLPSKPQRVPSADEFPTLSSTTPPLRSPAIQGTGANGWSGPTAAQVLQAPPPPRKESQPDPRGNSPEQKEASLDLKDAKPEVSSSPAELPVSKLPVSFAAAAAAAAAAAASVAATAAPDAAKEVTVTA*
>EED77521
MRKQDPVAIKVDSPTDSAPTEARKSIVNGTKRVPAPSIDGEGELRPRASTSISFEGAPAPGRLTIANPDEGMSLTESPAAVEAALPPVEAPQSEVHASAPQESSPTPETPIAPTLSADVPAPAPPMSPLPPPTMLANFDHSLPPTPTTTARPLSTSTDAPSQSANTTSYAASVSGLTSGFTNYDPSSTSLIPDDAALARASMVANPPTPHLTPLVIFPSQSNSSASVVQPAVQESSKHRDGSPTKAKESSHRKSPSTTRRRETETFKLVRSPSGSVRSPNGDVITGMGEQWEVVESADSPKRSRKKESSKSKERDGEEAAEPRAHRRQRSTNEPPAVDRTPSAARSTRTPSVDTARRAYGVDAVPSSSSYGHGRQHRESEPDTKPAHRAHHERHMSVPGRPTSDFQNSAELNAMRAKDAWEMDRLWKARSMAIGPDGAAVVQTPPTIVDGSVVSDGQTAGSIPSALDLHRATSAPQPTQHGSNHTFYAIQPAAVPPPPVIYSSVPPPTSSPPRRRQVSRSFSDRIPFPIKDRSSDPPSLTX
>EED77522
MSSPVSTPDKETLRLLLPLQYNGKTVIEYWLVNTSLMTIELKVQVALSLLDSDTRTWATPFFAQLVSVQLGVQGVTTPFANEAAFATALKARFGNLDDEAAAQVELAKLCADKSVREKCTVAEFSALFKGPADHSGYGDLELCDKYLSGILSRLEVKRQGKT*
>EED77523
MTDCIWDNISDKLVELLQDIQDTRLRDDRRRVLRVRLAALNGLISSYYANPLRTAETEYKPHFCDFIFMPEIREVIESSNEAELGPHCENALRVLLPTLIARWEAQTRRKLTRLLQPSARSSKGTDVLELAVTHFTCTECQCLLPYPEVLAHPCLRQLRPQPRPRDKSRCSHPFPKEDMVYEISTWEIGVMREPWNSSALCVPDAPFEEHLRNVIALSGRDPASVTRRELDALDVHLVHRNKKQSRLIPLRAVINFEWERFKALAWLAAFHAINSITVDHGAGYSNPRLSMSISLYVDRDSRQYPTIVHREIEQGSTMYLMYVHAYCLKSFLIDNNTYFPLSSEYSHQGPKESKGAKIVQGCAHTIRSVELHKRRSQHECPPMAPLTAGAMPDVTTTQIGSTMHAFES*
>EED77524
MSERLLEVETVRSDGTKERIYVPYDKLVIAVGSTSSTHGVPGLEHCFQLKTVRDARKIRQRILDNFEAASLPTTTPEERRRLLSFVVCGGGPTGVEAAAEIYDLCQEDIINYYPKLCREEVSIHVIQSREHILNTVGAMIFWSPGNKFLHDDIGLITSARVAAVHADHVEYTTRGPDGQAVRHEIPTNFVLWSTGIAMNPFTERVSNLLPNQVHKKAIEVDAHLRVKGAPVGEVYAIGDASTIETSVVSYLLELVDEADKNKDGKIDYDEWRVMVNRIKARIPMAESQLQK
>EED77525
MFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKCDLKRIGHVSFEDLSSRLLEICNELASLNITFKYEVGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVAMDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQCDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDIGVGRKGRVHVAKGLDIVPRHLAQHQQDRQPGEGTRLSIQEQWLG*
>EED77526
MTVFPSYRRGRRSFNVSLSGAAAGEDIIGDEGDKGLPVDGGDCCDCPSGELREERVLGQPRGGDGGEGAGGSPGEGLPRVILLNRSGCPIWAWLLLATVEDSLRAVWDVNVDEILGVECVNLALTGSHDGWGEDGEEVNGRLCALVRAQLVRAQHADAAPGAVDNNVSISGR*
>EED77527
MSSPMTAPDKETLKLLLPLQYDGKTVIECDRFLSQLRIYWLINTSLTTIKLKVQVALSLLDGNARAWAMPYFAQLALVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDAAAAQVELAKLCADKSVHEKCTAAEFSALFKGPADRSGYGNLELRDKYLSGIPSRIYRKIELETFTT*
>EED77528
MIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEALGSAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADE
>EED77529
MRASWSPVLVVLLPTFAAAGLVDQVERALLSITDCGTCHSALPTFKAVANLGDAAFVRTITAACIDLKIEDPDVCEGAIRTQGPILAHDLRHFSLWGQTATKFCEAVFGLCEPPAVNAYEVPFPKPAPENPKTFVSRGRPPFQMMHFSDAHIDRQYTNSPDERRGLVQRCVVSNALRARAAGSWHGNATALEVSRSSTRDGSPDTYCVRACSARRASSVFRELRSGNADGQLQTGHGGKHGPWCGHELGAEPSHNGSIVERRQQQAADVSLRQSPVSRDSHILTDNCRIPALAEHSELSAVADNSDMHRRAINCSAYAPSSSVRVPPPTSGPPVAPTATVDGPLTHPDECPAPPTSPERTSSRSCECLTQTLCCHGCGTPVGYMIVSPCRRCTSSISVSNRTTNGHRFVFYSAEVAAEERHYVAGKRGVIPFHPPATAPTAQSTAVSRHPGFSPALFYTYTMGAAQGLARTNDPAGTSEGRDQLLMHATESMSQAHSRTLSATSSDSMPPLTDPESPFRHVHSSPPSPSRPSPPPPTRTVSSHPTNAADSPHLDVTGGPPAPPEAPVRLRVGEVLHWHNLVRSGEIPPVCDDARARRKGLGVEAKSYGYDFSRWPRWKLKCKHLCSIKPRSAKADSLILNTNELQA*
>EED77530
MRDANVYSLKRAMPRMSWHPRNLYNLWRRSLGAKVEDTVFTRTNRSLYQQRWVSKALLRAYHGDYINEKIFKRWYLPQTLPDVRETLSTPLLPRESVNKWALREDAAQKEAKRLQNEREKGLAPVGSLMFREVERRIDVLLFRACFAHSVYEARRMVVHGDVLLNGKKHTNPNTRLAPGDMFSVDPKAIRFLQANAAQTAESESSEETPEDESAAETAEEDSRVVRIESSEDADGEASPASSKSNSSKPSTPLPKWAKPGSGVTPFHLPPYASPFLFIPAYLEPSFATCSAVYVRHPTARPGYSEIPTPYDADGEIVRAAWEWYTRVRPRMRSKSQLARMPENRQ*
>EED77531
MSQNTTAPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERVRIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLKTRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPATPAVPKSAEASGSGTSRGVAAPSNTSTSTAPPPTIPAAAPASSSSPSTQSTSRPTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEELFQRSMKSX
>EED77532
MATVAVNAVSVMVMGHGMSLLQAGATRGVDGRVELHCCCRVAPTFGILMRARLARSWNCFPWHAVNIRRRLGHQIIGDTGDLRGDGEWDTVDLEGDDEGEDTTAGGMVMRE*
>EED77533
MSSPAAVPDKEMLKLLLPLRYDGKTVIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGVTTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKS
>EED77534
MSSTLPFLNQFNAPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHNPEATNATDRAALGAYLSARHEYDEAVKAADEAINHHKRLLRQQDDRCGKEAPGHLEWECGTRPMKRHVSAPPEEPARCVGVVVDNVFLEGIINEVKERKEKERQTKAVSIPPPHSANPEPPASPVVGSSRPRPNTPVVFCKVDPDWTPNTTQWTWDSSWPHQKHLSGEEWKNLGKNARKEWFDKEEDDGVDWELYGDGEHRYLYK*
>EED77535
MASFSLPQINDNPDGGWGPSSSNLPAQFKFKDIPYAPYSKTDKLGRFADWNDVSGDNRQNAGAAAQQGNARGGGPGGRGRRDGQQAFGSGTASAFAYFHAEDESSFSLVDTKTSAARRGGPLGRGRGMGRGGGANRGGAQRGGRGGFNGGRGGGAQRGGRRGWRDWEKNNRSREASVAISPDWAMLEEIEFHRLAKLRLEVDDPEDX
>EED77536
MGGDNSGQLIEYVHSFDPRGWSCLHIAEGTFKCSNNTVQNNDIGPCGNDAFQQWSDGVSMSCMNSLVRNNMINNPTDGGIVLFGSPGTLVENNTIWIENNTLLGGINMVDYEPWKGNYTNTVVRNNTIRGGFATSSELPGEKDGTNTNDVIIKIGIAIGPRTWFGDEYGSNVSDSGTVLNNLLTGAFGYAMAMSSARNFTVEGNALFGNTSFIGARGPNCTADDAVPAPAAFVVDQSSVALSTEQIDFQNVSDGDSLTCILPPDGGDYWPFGGNPANPGTAQSQPATASHGLSGGAKGGIAVGVILGVAAVVAATYFIRRWALRRAATGGRATY*
>EED77537
MSSPAAVPDKETLKLLLPLRYDGKSVVECNRFILQLLIYWTINTALSSLELKIQLASVQIGIQGATTPFTDEAAFLKAFKAHFGNLDDAAAAQVELSKLCADKTMRKKRTAAEFSVLFKGPADRSGYGNLELRDKYLSGIPSHVYRKLELETFATWQAANKRATKVEQVLNVSQARRPELNSFFLARGRGRGGARGGAPQSHAASASINAAVGKGNFPGSCYGCGKQGY*
>EED77538
MSARSATPASTPSLVNRRLASLLAVLEAPPTADAALDMVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEAHRVEEAAKRAKAAEDRRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVAELSDDPSVKTPRTVERPFAMMEVDMAAAALEKRQAGQKVILGKTKKTRGGGSTMKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARHRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED77539
MIESVLGIYTVLGQILHLNLSHNRLESICGLERLLALERVDLRHNVMEESAEVGRLATLPNIAEVWVEGNPFIDLEEGYRIRCFDYFWKEGKSILLDGTPPGFYEKMYLTTAPPQQMTSARPPSVAYSPPVVAVGSPRLTNGSSPATSSPRQGPSSPSSLPSHAPSPQIAPVLGRGRRRKNKRIVDLDGGDASEAGSSRSASHTPMASDVRPTSPKRAGKEPAAVSGLRSPVTPAANEPIATGSSSAATLQVRPVPRSRHSRHVTEFVPSNRDISDEVTPGESASVHTGSLRRSATMSKSTARRSRISASMYQPPSESRDAEDQLKEAEAFRARIEALRSDMGEGWLKVFNQSQLGSSGVTSG*
>EED77540
MGKRSLSPTLKTARGLIMAASRSGYPRLALELAESFEQSSVRHLEGEVWVDLLISCSESFYEYGVLRTWQQVVHELGITPDEGCCLQVLHTAGRHGKSALALDVLQVLERIGASWQEYHFAPIIEALCRDDKVKDALAMLGVIRSRNIIPVTETTFPIFTAISRDTDTVDEAWGHLEAIRDEGKEAVDVTALNVVIQASVAIGDLQRAVGTYKAAGDLNVTPNVDTYNLLLAGCIAAQHRQLGDRLLSEMKDAKIKPDVRTYERLIVLCLTQTNYEDAFFYLEEMKALRFMPPLAVYEAIIRKCVLTGDTRSKIAIEEMTESGYYTISTRLKAFIDSGGSHDPAGEPVPGRGPPARRDRQRSFFRQAAEE
>EED77541
MPPKAASLLILYDFMKRKRAGDTTVETTRATRSSTRIKTPDSAEQMDAIEEAPVTKKIRKAASKATTSRSRGRGKAQAVASEERTENPQPRPRSFLHDAVLAGVFFHWQSVASNTGVTVVFTRSSNFERTVTGQEDTVAPAGSKSKPTKSSKPEAYSAQRAAALYSNYVDPDEPSVIGPEGFERLCSDMDISLEGALPLILAWQLKAX
>EED77542
MRMLWCSAALRLAFLLPTIGTSAPSPPTELFSPLVAEKVLRTALSPPTPAQYPQYTDRAAGDWLYFAPDTWTSGFFPATLYALHARAQLCRWPAANAAQWLALGRRWSAAEVPLAADNTVGHDVGFLSYPFVAELAVNPHNATAITAVNRFASDLAARFNPVVGCTRSWDTPNPVDFEVIIDNMMNLEVLFVSASLTGNKTLQNIAISHANKTMQNHVRPDGSSFHVVEYNATTGDVIARFTAQGYSNSSTWSRGQAWGIYGFSNSTSPTLSLYMHTQHPDYLTTARRMASYFLTHLPSDGIVPWDFNAPLTPPRPADSSAAMAAVNGLILLSQQERSLSPPNITGSTYYLNAAIQLLGVNTKLAWRPAWQSLLANGTVNNPEGNNLTGIVYGDYFFVQAGNELVSMGLMSC*
>EED77543
MPFLPRQDHSVPESPQAPPSAAKSTAGVPEIDGSSAGFIALVVALAAIVVFSCIAVFFLLRNNDPTPYERELRRARRRESRHASSAASGFGSPGLRGKIARLFSRRRAEGWVRAADDDSSDWDAADERFEPKELQGTQTAGVPQRVSEGRIPPPERDMSTESVELSVPGAHPDIDVPLSSSPTSIGSTLPHDDEAQIIDCLFYLCAAERIPVGRKRSWNAPEISEIQRTGKKFILV*
>EED77544
MSVEFKGFALTDPSAWNELSLYSYQPKIFLDEDVELAITHCGVCGSDVHSLTQGWGNTRLPLVVGHEIVGNVTRVGAKVTEFKVGDRVGIGAQIGSCMQCRRCQTGNENYCLKLIDTYVRVFPGHQFRSRQSTDARQNDVYADGVVTQGGYSTAIRAHERFVFKIPDAIESKDAASMFCAGLTVFSPLKANGAGPGKKVGVIGIGGLGHYAVLFAKALGAEVYAFTHSLSKLEDAKKMGADHVISTHDEDFYKPYQGELDLIISTIDVFRPDRPLKVYLSMLFVHGKFINVGLPNNDNPLPPMHAFDLQPNGAFIGGHKIMLTRSLARRVQCSTSHSLTRR*
>EED77545
MVVLWEDGPCCGSCGQMLHMYRI*
>EED77546
MPLVHSIIVAQMTLPWRPYENLTRVLLMNQPLPSFSELIWTLRRCPSLDTLGLGLSGSTVHANFASYLTASSEGSEDVAPIEFPHLNNMILAAHHDVAAPLLRLLHYPPSSSTVLMLKHTPRSRVTSSHNAVAHVTRAALECYYIEESQILNLTALTCQPAVQVHWEWQEGVDAFEDPARMAHIADLVRFAGVQHLRIRKLQYPLYRQDWLHILAQMPALTAVELNSCIADEPTLFDALARTTTGPAGEPCLAVCPALAEFEFCEGHEYGMEALEAMVACFERRRELGTLAGRLEGLIEVFLKTYLEALYLGGVTLWRMELIRGRTARMSVLVLVSVLVLVLVLVMGFPSWSDMVKCVVEATKLWV*
>EED77547
MSSNSAEPNKSTGQYHSLKGTVVEAIGNLTGAESWQESGKQEHAQGEAEYKAAEVKGYAEGTVDRIGGKKDSIIGAVTGDSTQQNAGNLRKNKGEAQQNINS*
>EED77548
MSISGQRGGNPRSPRIDVALLIESAFAVFMHIVFVPIEPIWGRVLRGIRVEDEGLPLLELQVFRLRGSWVAQTDSTSSIFSIHWVGIDYDGEEDEDTINSRLDHFGFITTFPNTQHLSIKSVSYQLYPSDWRHMLRTLPCAISMELHDTASLALAEALRPARVAEDSHDELGSSGIGVAQDVLIHYAKDAEVRTLKTFLESMRDITAETSFVGNSFDWHYVEAGTSRATKQNSAKGGYILCSS*
>EED77549
MSPKLARTRTTPNRAHYERNRAASRAGQGSGRSSRPPSSKGSLVESPSEKEVRRMKSAGELRRNHANASNIASKSAASSPQRAALSEYVTSSSSSNLLEAVSVRDSQALPKRFASLGVSSVSAVSGPSRPRLATMESSFWDHTPVEEDGDVEDEGKPIPRRATLSRGHPQAPHAMVDTQALAQLPSPPPKDETQKNSRWGFLKKMSMGKMRSDSSPRVSIVQSRGHGPPYARQPLSRAPSTHLASGIPQINVRISTTGTLLNNANHALPPPPPPPSERVPSIVMADYADVPPPLPQKPTIDALKIPATLPSSSLVVPSPTPRATKRRSFLPIDMSPIPIPAASSFIPGITASGSAEDLHDAGGEPSPPAPVPKDTMEEIQX
>EED77550
MVSRRVASFGRLGRLRPTNVYVHRARIPGIWSVSGELDKSSDGIFISTIPALPPSTLLYENHAPGIRFACTPSVIRPVFLPDVAARNVSAKRAIAPIPDAVPGCSDIIITPNDRGPAPPISVRGGIMGSVRISTSAVTHAAHVERNPFDSGILLPTSGFPSAIMTQASSLRASQTPMLGGLHETHLKLIILEGRRTRAHNEVVRYIWHKA*
>EED77551
MAEVTVATPAVSDEVTLKTDASHPEGVMDANVKVEHPAASNSEAEAQSAANGQEDAKAVVEGGDDKEKMLDAMRQIEFYFADSNLPFDKFMWTLHTANAEHWVPIKQVSSFKRMREYQPLGLEWITNALRLSEELEVSEDGTQVRRRTEVQEPKGQFERSVYAKGFGAETEGIQKRLEAFFNQYGKTNAVRMRRIDTTKEFKVRAPV*
>EED77552
MSRMDSGRYGKSGSVEVGPEKVEGETRIRRNGLTADKLVTQPWEGIDTVYDVLLYAARTHGTKDSYGTRDIIDVHEEVKEVKKTVGGKEVTEKKTWKYFQLSDYKYLSFIQVKDAALEVAAGFLQLGVAKSDVVNVYAGTSANWQLVSYGCAAIGTPIATAYETLGESGLQHALNEPECIAMFTNADLLKVVANVAANVPSLQFVIHDGTADPSVVEKILNAKEGIKVLTLDELRELGKKVSAEALKSRVPEPSDMACIMYTSGTTGAPKGAVITHANAIASLGAVYAYLGHFLKPHDAYLAYLPLSHVMEYIVEMCLFFVGMTFGYARVRTLMDTSVRQCLGDIRAFRPTIMVGVPQVWEMIRKGIEGKISASGSFKKSMFNGAVAIKKAGVPVLTGLADSAVFSQVRAATGGRLRLALTGGAALSRETQEFLTLALVKVIPGYGMTESCGMCAVFPPEYTRLGSVGLPMPSIEIKLKDVPEANYLSTNDPPQGEVWIRGNSVIKGYFKRDDLNNDESIFAKDGWFRTGDVGQWNPDGTLDLIDRIKNLVKLQGGEYIALERLESIYKSCNLVSNVCVHADPNAKQPIAIIIPHEQQLQHTLEHKSVGPASNTTMADLCKDDRVRELILKER*
>EED77553
MSSPSTAPDKETLKLLLPLRYDGKTVALSLLDGDARTWATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDAAAAQVELAKLCADKSVREKRTTAEFSALFKGPADCSGYGDLELRNKYLSGIPSHVYRKIKLETFTTW*
>EED77554
MPTRPRGPIQVALSLLDGDARAWATPYFAQLTSVQMGVQGVTTPFRNEAAFTATFMARFGNLDNEVTAQVELAKLCADKSVREKCSAAEFSVLFKGPADCSGYGDLELHDKYLSGIPSCVYRKIELETFTTWWDAEKRATEVVAT*
>EED77555
MRFRECSDVSDSQALTTGRGGAGNIYSSSMARLISRVARPEDHPQTASLLADREAAEAEYERSVIRASEEAAKARKQSSGRGGAGNIARSQSKGPRSKSKSFSKARRSFSKSRRSKSRDEEGSQEPRSSMHSVGRGGAGNIQPGSPEEAEAIDQRDNVEMERALAGHPDGMHSTGRGGVANITPLSSGPDSPPHEHEHGPTEHTGRGGAGNIFRTRSRSESKNRSNSRGRTGLGQIWQRVRSKSRAPREHEAIALDRQLQDMTISEANTSRESMQVPQGSQAGASGDPPQSGQE*
>EED77556
MERALAGHPDGMHSTGRGGVANITPLSSGPDSPPHEHEHGPTEHTGRGGAGNIFRTRSRSESKNRSNSRGRTGLGQIWQRVRSKSRAPREHEAIALDRQLQDMTISEANTSRESMQVPQGSQAGASGDPPQSGQE*
>EED77557
MDAIVPPEVTAELTQILSNLVLGDNQIRANAEVAVNDRLAQTPELYLLALAQFSLNAGTEVMRSFSLVLLRRLLFRSLPNSRVPLYDHLSTQNLAALERILMHSLMHEPSPIVRRKAVDTVTDLANGSMIRGRPWHTLQAQSFSMVNSPEAITREAAYRVFTGSPNLIMDLEMDSVCQAMGPEFEPYLPVVMPPLLQAASAKADVSIYDEDEDPEEKEGWEMVSMDGQMVGIKTSGLEEKCQAFETLVIYCSTLGVRFAPYLTQCLELVLPSLRFYFHEGVREACAMLIPMLMSCGKNSGTLTNHMVSATFSQVVNCIGGETDASFLASLYKCFSDCTRVLGGPAALPVEISDGVMEVTKRQLQNLADKRKARAARPASELDNDKDDLMLIEEMEDFALEDMAKMLMPFDANHPLLIAISSVRELGLHLERRMRAKRSSFERPFTTGWRKLHEITVPTDVPDLEALDVELNVDALVWVGRELDGLRDDICVGLDKEIAIWRGSKILRRFESSVGFVDGTLEKWAPELGAKWQSKQKVETASSSQLYY*
>EED77558
MASSR*
>EED77559
MVAGEYVWQLRESQGEISGNSRARMIFKRYWSQVVVRLRVVLEGWPHEEKIAFADLSLLKTAQLEILLARWTSGTLFFRRINEAEFAEMRAAREAQIAAGEIKEEAARKERKDRGQRWYRTNPETRTKTKRSKGPFRSSEIVENSDVE*
>EED77560
MFVIGPFLDLLSNGVKSVWLEPTPQLLVGDVARWAEQADVRPISIPGYWYDKDGCDTPIGAPPEEGEKVVLYLHGSAFIALSAHPHNLTGACIPTVLRHAPAKRGLAVEYRLTDPASNANPFPAALIDCIAGYHYLIDIRLGRGNAWEANRARIEHYSISITLGPLARLISAEGRAQLLRMVSELLSTSPAQPQEPEWSIWN*
>EED77561
MTVMMSITLATTQNRTGTAPKSSPKSRNPRRRDLNCSRVESLGDSSTS*
>EED77562
MEDPSAGPAYEGCSCDRLARTRSS*
>EED77563
MEMSHMPILIRALADYANSTCTSHSPLVADLTLPCLLVVLG*
>EED77564
MVNSGCRNGTVEFVASTGMKPVRRHSLNI*
>EED77565
MVTPAPGPRLISPNSRQCRWVCKGLQPPSGTKRPSPLPSRFALVISTTRQQHKWSWQSSARTSRSVKNAPPRSSPRCSRVRWTALGMGTWSCATST*
>EED77566
MVTPAPGPRLISPNSRQCRWVCKGLQPPSGTKRPSPLPSRFALVISTTRQQHKWSWQSSARTSRSVKNAPPRSSPRCSRVRWTALGMGTWSCATST*
>EED77567
MHR*
>EED77568
MSSITSSRASTHLSVTPGMDYATTPPSSPPPAQEVEEVEEEDVPLNEDTEKRVRMLESLIEKSSAYVSALKLEMDKAKVHARGGSSRDTSTRPQMESQCSQSESGGKKRVRNDRGPEEEEAPNAKRTKVDSSGKIGQASSTANSADGAFEQPALITGATLKDYQLEGVAWMAGLHKNGISGILADEMGLGKTLQTIAFHAFLRGRTVAPFLVVCPLSVLNNWVEEFRKFAPDIPVVMYHGTPDERAEIRRTEMVLSETDMKYQNRMFGREGTALVTGGHASANKRAKNKSATPKGRKGKAPAKKTAPPFDQRRSGRLTTQREEAESDPGIVPHYLSTIGALLSLMRDTVSKTWIAS*
>EED77569
MSAT*
>EED77570
MSTEDFYIEASEETLQLNYCALASVVLVFYEHSLTLADEIRLIWASKMTGPKVLFVAARYILWAICLVQIWLIFPQSNRTSQLLSCARSMITDLSQYVVMLVFSALRAYAISGKHKAPAIIIAILLTFSVSINLYQYSTSVYAIVLNVGIKSMCDWGFMVSQNIGLILLTLVTVINMATWPSPGIVSAPSDAQAVSQDITYFQATRRIWHVNGGTGPRTFNYRLPWFQRLKLRNMGEYLHDDDTFHASEHDEELSTGVEQEVLEPEE*
>EED77571
MGNGYDQHGV*
>EED77572
MHDAKIWSTSRMRSLGTESTTFVQKDRPILVPMVKDSLTGDTLCIRDIDDSYGRPGKNGCSGSTLNDEHDRRLAKRSRPDIETEGSKTLRDIGSKVQQDFSTGAHTQWMSQEEVAFDRLELLRTKTSSSISSFYGFSSQGGRHCVQSNMA*
>EED77573
MPREDPPDAPIMRASLTISPPDKKLMTSPAPPSDFPSRSRTLNTDVLWGTAVPRLEGDAPQLLISGLQTPSRKTNEKEGGNAPHQTKFVAARDAQIQKLKEAESIGRRRKLMLPAAQVGEAELEDIVKIGQASENAKALVAGGSEASNRLLSDYEGLEKARMARTPRTAPQHDNVLSEARNLRNMTMAQTPLLGEENTPLHSDPTGDTGFGGATPRHQVAFTPNPLATPLHGGSADPSATPQDVQVTPGTALMRTPMHDTLSINTSDRFSSVGDTPRELRMRTTSAKRALKAGFMSLPKPENNFELLVPEDEESEEQAEGPETPARRGAAEGPG*
>EED77574
MSARSATPASTPSLVNRRLASLLVVLEALPTADAALDLVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERAPESWVEWARGDWPELATAIDTEVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEDRLRQAAEDEHRAQEAADEELARIAAAEGLLDKGKGRAIIDEEVAELSDDPSIKTPRTVERPFAMTEVDMAATVIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDTLDLDDESRGIIRVIREERAHIACHRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED77575
MFWTSSALWASLCLIPGLQLDTCPAHLALATRQSACSAFTLQNATDVSHYETTYYAPNSRVNISNSWESIDVDDLPGFCRVELVIITNSTAEGSGEASTEVWLPDDWNGRYLAIGNGGFAGGGMYLIVGVSTDTGHKSSIADAKWAGPGNNNAIVDWGWRAMHLSVVVGKEVVKQYYGEAQNTSYYMGCSTGNLDALLKLKEVQMFPEDFDGVIVGSPANWQTRIQDWSVHMNLNVQPNTSARFIPESIWVDVIHPEVLRQCDAIDGLADGIINDPRFCDFRPETLTCRPGQNESTCLTLAQLGAVHRIYTDYYEFNQEWINGRYYPGGETEYPLGLVGKPFIGGQEWFQYFVLNDTQWKLEQYNASLIRLADGIDPGQANAIDRNLTAFAGPGHNGKLLQYVGWADQLISPGNSIHYYESVYAFTRAYTDLDINDFYRLFTVPGMNHWYVDISGYSANAFGGVQQASGGMPPLSLSPENNVLAAMVQWVEEGVAPTSFVAVHYNDNNVEDGVAFTRPLCQYPTSLRYTGGNETDAGSFECGDSI*
>EED77576
MPAKEGAFDSAELHLLAAGKRDSARLLAQMYAEWLANGGTPGAFGLRGVIPYLQNGNILAARSFLTQYVSQLTSANPALISSQQSAPIPVGKPEDGDEIIFTTDSVLNFAQLAVRTCQRAQGTQNKAMREAWVRLCGTYQSRGGLMATREVRKAVIELAELYFSIPPPRTQPANPLNDMLSAMFGGAPTTAASVRRVLSPPPTTKPPGLD*
>EED77577
MGYISSTPSQKSPVFPARSLLPSDIVAVRPEGDEAKVLKFPDLVKSIPFPLRLNPYIRFVSAESDAFIIEYANFSEKQRNRFIGLNAGLLCGMCYAECGPEQLRVCCDFMSFLFNLDDWSDEFDTAGTKGLEEAVMNTLYHPDTYVSDTVAARTARSWWTRMLKTVGPRCRQRFVETLGFYFKAILQQAADRSSKTIPDLETYISLRRDTSGCKTGFALIEYAAGIDLPNEVVDHPIIQSLLDATNDCVSWANDILSYNREQSRGDTHNLVPVIMQTVGIDRQAAIDYAGDLCNKSVAHFLEGKAALPSWGKEVDVQVEQYVQGLEDWIIANAEWSFMTERYFGKDGPKIRKGLQLSYRQLRSHLPSDWSAHPRISFTLAVGPGAVMEDHTVCRVGVSKLSQGSS*
>EED77578
MAPRHSNRSSLVEVDVSTINEDAVAAEGPTSTSPDEQRSQSDTPYRAGDAKVSPEELPAASSECKAAQGDAKNGQAASGKSRRKAAVGSTPAQQGKQEVKSTTGTGVSKGEDEQIDDPWSLCANEVWKFEERQVNKWKENINNLLLFAGLFSTILAAFLAAFYMLLGPQTPDATTQVLAVMSAQLSLLTAAIAHHNLTDSQQATLDAAIATTHPTTITVSTSVLWFIALIFSLGAASISIAVDRASSLSRQSVRIWSLRRRGLHKWHVQAIIDVLPILLQISLALFLVGLLNLVWHLDYIVASTSTVIIAALLLPTLLTVFVPYFYADCPYKSRSAWWCFVALNRFTHSRCAALLVKCWEALGISIANVISANTKIMLSLRRFPAATGSMLSGRREIFTLNQSVWRLPAAIITHIAKGSKFMAKSLWDHTCKLPFATICSFLVLIEIVAHRLTNIRRRLSRSRRRLSKFCQKCANWMRWRPRISAAWSKWHSDTLGARNWREFENLLVRTDNTPEEEKLMMLAEADEMIMDDAFLVNVVHPCLQNSSLRSALPALLRILRHRAHKVTFKHEFWRRDMTVIEWLTSEQDSAAIIAMADLCIDVIQKYKNPDDRDKDDLVDHLLQLIRAMPLIDPARTVCNRARDLIQWAATNQRRLREARIDELDNGADECFLEYVVPAWLCDVDKDDSLEPFYNMLRNRVEADRIVSNQMTLALGHRALDVLDKALLESREDALDKLVDIIYWLVGTSQLDIEDIRKLLKFLPHAREQLGTERFLRITSSALKHSAQLPLDDFDRVYSDVRGALNVVVEYFSSSGIEEVAQAHAWWKFGSLLCVCVELARADNARPTRKGTLLSRDVINALESCVSQCPQDKMDYIESTMEALYSALGYYAGSVPNEPVAGETVSPALAPRDVDKAPTEDPQVVDGTPMR*
>EED77579
MGYTRPNTVRSSPGSPAADCSPTHEGLQQEKETLQTEVKLVRQEVLEKEHAEQQLNGVIERPHEHIAQTRSKHNAEVVGLADQGNALKADLKDKSSQIEVTRVALRSAEEYNRHRARHTKEENSKRNRQKRRQEGLETPSPRVPLKGHAVLGRARLEGREQAGWGEERKRSETWGEDVLTSAPPMAGPSSSPPSPPNHPIATYDKRLHPPLAPGCPAQKTHWHARLGHLLTTLHVSLALEQGLGALCCTRFHLRPHHMPSQLHARTRPGPDAGMPKENFGVSSRPRIGGSISRVDTTYALVLPASCVTSPYRIEMFVSGTSSSGLPDQLTLRILHTVARQLRVNLPNVAPAIDGPSASTWYPLGPFALVSKQHILTLGALGTYAAPNLANQGDALARVLKPYILWRLRT*
>EED77580
MPAPGPPPTSPSSYRYS*
>EED77581
MNKYIFPGADASCSLGWVINQVEAAGFEVKNIDVLGVHYSATIWRWYRNWVSNKDKVIESYGERWYRIWVFFLAYSTITSRNGGASVFQLTLHKNLNAYPRILGVPSHSSIHVHPSREIS*
>EED77582
MPRQPRPRPRPTSRTGALMTRRPSVHPPMTRMCLSITLHRSFPRWMTTSRYSLWSLSRHTSSLLLRLTMRPRSSPHSPSTSKLRQCTSRGRCADAHMLCLPLPLLQCSTVSTHGARSTRRRRRRRSPGIRHPRARAMSRQRWASWTPRRRNVGLRAIAGVRTSDGRTKRRRVGPLMRRR*
>EED77583
MSSPAAAPDKETLKLLLPLRYDGKTIIECDRFLSQLRIHWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFKACFGNLDDAAAAQVELAKLCVDKSVRKKRTAAEFSTLFKGPADRSRSSTSAGPVGPS*
>EED77584
MSQNTTAPLMPPRGHSTAPTFDPSEVHSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAKIHAAATFILHGTSSTPTTVANRATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNHGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVKILQRKKPTTPAVPKSAEASGSAPPVHPFANTRDATYAPPNVRNFATPLKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSLFYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIIAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNTAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPX
>EED77585
MEDLVVHRCRELSKLPKAAKPRSVNFRRTTPGSLTPFFNTDVEAFCGPLDPSHPASRLRQPVLYRTVPTAHANGFILRAVPKAVLHRVPYPWPDPPFRPASERGPDACRINMSLLKVLGKNVSRSAVVRKRIGYRVKTALGLIVSRGADVELDTKGRERVVFKQEDAGQKWVLQX
>EED77586
MIIDFVWPDRPTLVACALTCRAWTPKSRRNLFYSLDFHSTKQLTRLSRLLKTRPNLVNLVKQLSVAPDAAHLNLASAFPFPLARKLTRVEHLRVLGISRNFPCLDPSFTAALHEFKSITRLEITRTIFPSLVVFGRLLFALPNLSVLICASVRWTKAEYKASMIPPMAAPLKLICMSMSFAYWSTDLVDWLLAVVSHESLSIINLRRIWMKDMKIIEKILSTVGPSLRHLVIGCDILKADEEIHYPSLERNTRLRVIHLEFRAKGEWIPEMLSRVPFADLCQVNIMCAGKIASTDLDRIDCTRIDGLLSHKKFAKLEAVVFQYHNYVATGWIAWWQVEIPRRFPRLWSKGLIRFEPCKSRPALFNPC*
>EED77587
MPTALSTLLSVTSDENGYLDSPALLARLLFRRPQHTWLRTLATGCRPVLSLTTTGISFRLVMSTGLRIGWSGLPNGPGMFASELTREWSLTTRRQR*
>EED77588
MSTRQNKKRKGRSNAMNRERTVTTLTEGPESSFLIPTPTEEPAGNLMSSPFSVASSGGGSNISPAAAFQLAPNSFAPFPYNGYMPPMPPPNFGPPQQHFFPSPPGPPGQKDLEALERLKDTIKNGQHEFFRAVPQPAVLANLYQGPRQPQSQVPPHPEQASSDRLQTNIAPKPASGTSYDNPAQAAAGLNPELNTRLGPQKSDHWDGSRKLMAPPX
>EED77589
MSVTKQAASPFNRPTADVILRSCDEVIFRVHKLILSEASSVFETLFTLPQPPPQETEDVDGLPVVHFTEDSQILDKLLRICYPVADPVFTDALQIIPVMEAAIKYEVEVARELCAKTLVQPNFLKSDPFTVFAIAYRFRLSHETRLIAKSALRFSELPKDFPVARIETFPAKALWVLMEYRTECQRIMHRFGENNEGMADNELEGLRGPFYTPCYRCDPHGDCDTVNLDVYRHDLQGHLQDKFHNDDPDDPDSDPLVRSLVRVAAEQHPCRTLTWLLTGEEKRRARKTPGQSHFIYKARFERPLSPLTYTFNEIFRWLYTLDMLRFDSMLRSVDGVDFRVHKAILAEATPVFEAMFGLPQPPTESFEAVDGVPILHFTEPSRTLDLLLRIIYPIPDPAFADPEDAGLVFEAARKYDMEEAMSITQKALLAFADADPFVVYAIAYCLRLREVTCAAAKATLRFSAPPGTETPAVLWRLPAAPYHALLVYRSRADEFARRFAAEAAFWLEQEDPRILLTYHICLQRGSELGGHHVQNYVPNAPFDLQAYQADLAQLLAEKACGDSEVWQILFSNTRVRLAAHKDPCSKCRAEKFERLKACHQDVQQRFTARLSEVCITDFELTNACSPPS*
>EED77590
MPIPKQIIEQVKQLIPPLNGSLHKGQSGRVGVLGGALDYTGAPFFASMSALRIGADLSHVICSPTAAGAIKSYSPDLIVHPILREDQTPEALRPTLSSLLERLHVLIIGPGLGREDYMQAFAKLALNIAKEQDMYIVLDADALWMVGHDLALIRGYRKAVLTPNVMEFKRLSESVKVDPSVPADERAMRISRALGGITILEKGKADIIATDTGSSLHGQESTEEQLSVDVPGGLKRCGGQGDILSGNVGALLAWGKCYETGAFGDKTLPVSRIPLLAAVGGSMVTRTASRRAFNKQGRGVVTQDMLAEIGGAFAETFGSAGEKGWGSSGDAGKL*
>EED77591
MSTRLEGHTNPRSCDTLYGLVLGPLRGANTADPKTSIWMQVAYAVNICAPLDVASQSVVAYDTGQHPWSGAVRSCTYRVPSQTRYGLELQDIWMNCRPRAGTHTVPEATGERTYAPVHVDERWKCAAERSSSDSPSTPSSAEPLSRANSVLDASFAHRSSLHAPRTCRWLLEPTTYLQDDAGILSLWLGQRHILSFCGHQTRSPRKSTLQSPLQLKETRARNEQGDMPFEDLDALRPGARSLHYYAKKHREDGKSVSELCDVYQDL*
>EED77592
MGLLPNLNSIDTIFVSSWDNAVAFIGSWKRQLQSIKDTTVDLMVESVLSPLHSEGQSRRDRRRLRWHPYCFGRVVPGDSDSLVYSESQSLFRTASPRRARYTQMVSIDQNPLCLDVEPSVSWSDEWFTDNFPEPKGEPPVVANAAGPVFRGSWAHEAHIGFGHTAGPNMHPCIGRYITPVALPTRQAVCIGRNENIEAFKALLLQRSHILISGTGNVGKKAIALAMLHHPEVASALPARYFVSEAIPDLEASRLRLTDSMSGLPQMRGQQLLAVDELADASRFESAVGDAIVVPIRYKQMDLAAVPTVAHFLMRTCDRAFAFHRISLEVVEASLVGNIR*
>EED77593
MAGHARDIKCCATSQQASLGLPTAATAMSSGLLGQRSTVASVCAQANTAATNALYLSHQYLPSSDIEPSVSWSDEWFTENFPEAMEGAPSANVAGPIFGGSWTQEMRIEPRITAVPSMHSRMERYITEEDTEDALLSRSAEFSTADIPLIIIIPVLEHRLGVSVAQR*
>EED77594
MALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTTATSDFWKKYM*
>EED77595
MSSAIDGTRNSYAAALVSSILYGFYLLLAIQCIYGLLTKHGSTSGRNVALGYAVLLFIVQTVYYAAGCRWSAIEFVDASIDPAVFASELSGNLSLLKDTMYVINIWVADSFLLYRVYIIWSLHYVSLLPFALYLASLATGIGLLVETGKPGAVFGQASIINFGTPFWSLSVATNVCATVLIVSRLLYHHGALRRSTTRNPALSGTSPAVIMFVESAALYAVCGIIYIPLFAVDTPVQYPFSALLGGVVVRLIPSIWVGRQR*
>EED77596
MSTASAETFPSRSASSAVWERALERHQEDTATDITSDAIAPELGSICNHDTLLLFAKERCGACNLYTHWDTRTSDALRPILVDVELLLGIVTGIAPSAVKKDIAYKTIIYVIEQVSHVPDELFSTSSTTDVEECCVQYVATIVVLMGIALARKDILMKDDSVRMLLEELRLQVDDLRWKIGPSEVQCQRAWGEIQDAEKQAHLDLHNLDAIDTISDLILRLNAYWCQTKVRSKDQTRLLAAARSMLDPHTVSLREMDKNVHVTAIGRNRMYGIVVDVFSRLTTILQRVSSHSPTEEVISASVLSCRILLEMTEVILLSVGVIKGRKVWFSIKLWTGIEKSVLLSPMESISMQLWKDAITMYTATTGKDIISVEMLQGIDSVDGLRSMLDTEAHSFTHEKERYGAIHKVFEPISKCITQILDVVSDAVESAARAVGATYNTVLKLLTMLSDFLGRLELYATQECSAALKMILTQILCQLLVVLGLETKVIAGGRISAFGKAIVGLHDTEEHDALAKLDQLIDSEGRLVEATTLCIVSDTGEALRVVDIKVTEVIQGVGRVQTGVQEVFTSVGQVQEHVMVPRSILKQQHNTVAAKEANLCGVGITPAPLPTKQVVCVGRDEKIDAFKALLLQRSHVLILGTGGVGKTTIALELLHHPEVAFAFSTRYFVSCDAVLGLEAFRLRVAESLGVSPEMRGQQLLSGILRTLRARPSVLCIDNFETIWEPPQSRKDVEEDLAQLAGVDDVVLIVTMRGVERPGGIAWAPTTSLRPLFVDDGLTVFAKVSGAPVDEYGEELVRATDGLPLAISILAHLTQPEMETTESLWKRWKKAGPAVASRDDGANERQFNLGTSIDLSLSSPRMLSDPSALTVLAIIKELPDGLPQSPYLLDEFQKFLPADVNLQRSLQTLNRVALVHIDKRPGENGRYLLLAPTREYCVRFSTLRRLDKAEAALMSALRLHKEVQSALGKAHDHRALGALYHSTGRSVEAEAEFNASLRLHREVQSTVGVADDHHALGELYRHTGRPDKAEVSLTLALRLHKEVQDPLGEAHDHQQLGDLYLCTDRLDKSEDELTSALRLHKEVQDPLGEANDYQRLGVLYSRTGRPVDAMTSLQDALHLFEQIGNNLGRAHVLQLLGELYLHSNQFQEAEAAFFQAFGLHEEIQDYIDAQNDLKYLRSHDFADDLQTFGSVYIRMGRLDEAEAPLREALQLRQGLEGVDEKIARDRALLDCLRSMRERQPC*
>EED77597
MSSILDLSDIIDQSQLAPETDPFPNVHRSEFETPRMGHFWAAWRTYSEHVKIAATVGPFTAWPEPSGVFLSGVLPPTGTSSTSQSISQGQGFDMSLPDGTTHDMSPPLDANEDATSDQAETHFTSINGIADTTNTVASPGGAGTQLVSSAVASGLHTVEQMTTNNKHVNCIPSAFVEGNTDPQNVIDQEPVLADSADGHVLAESGLGSSSGSQSIQSCSSAQVRDQVIQTTESQVLATGPSAGKIVRRSNRNRERTEATSSMTPIHSDHPLKRARIGNTPTNKSKTAAVVVSKPKPIAMADGRFACPHCPAQQFEX
>EED77598
MASWPWPRIANPYCKEVKAESEEWLKSFQAFTPQSQIVFDRGDFSIPGLFSALLYSSCMKEHLRLGCDLTHIFFVIDEYTDIENEPGCREMIDMAIDALENPRKSRPEGEIPLGEIIRHLSLELDLSVPDEIFYHPVIVELSTCITDLTLVDNYHARVESKFIEGLKNLPSWGAEVDTQVTEYLTRSPIGPVPITVGVSSLIATSAIRVWRCKELDGWHFCQRFSVTSATAGNKSSCRWSSEAREYDRGERSQMHTTKAKKTNCPMEEVQSSKAKNGDLKPLVVTVVEVRVQVQTGHVS*
>EED77599
MSVEINNTLIYFVSRPLSPPADALQTALPSLELSSFLAAVFSTNLADTLRTFPRTTLLIPPNSAFKRLGMLVSAHLLAASSKADLERVIQHHALADVEYAAALVNGSQRTFSTLEGSDVHVDRRANGSVILSASGGWAGMQAQLEPRDLLTQTGVIHEVSDIMIPRSVQLTVGKLVRAAKGTTMATMMTKAGLDWILNGTAPPDGSPWAREGFGGSGWTLLCPTDDAFKQVNLTKLYADPEELREIVSQHLIPPSSSPIREPLTDVIDVLNNNRPIAMDDSATYTTLHTTDSESIYADVVFRILDGQEGTVVGVRGARGKDGQHDWARVLSWGRSTTGGGTGGVVQIDRLLMPHRPPWYIAVGAPIAVGVVGVIAIGAFFWMILRSHDERVDGAAHAAVSLWVGLCILEIYVALGDVQPGLTTSDGQTEPSPAEEANVSTSGAPTSTTESLKSFLAGGFGGVAAVVVGHPFDLTKTRLQTAAPGTYTGAIDVVKKTIARDGATGLYRGVVPPLLGVTPIFAVSFWAYDMSKALILAATPNRTTKELSIAELATAGFLSAVPTTLVTAPVERAKVLLQVQGQGQGGRQYTGVFDVVKHLYKEGGLRSVFRGSVATVARDGPGSAAYFAAYELTKKMLTPAGASPSELNLGAIVVAGGTAGIAMWSIAIPPDVLKSRIQSAPTGTYSGFMDCARKTIAADGVRALWKGLGPAMARAFPANAATFMGPKTNDVAKTLRNFSASEIAQDPRKFDIWVTHHRCQTVGYSDIPKAYKADVKQVFKFERRLPMSLLTDPDLWTNATEVKEFLQRAHNKAPHLFSSSLELDAEHMDRLLGEMRFVHAAWKALRSRSKTGDPPWSEKDFAVNVYLRSHPIQAQWSRECVSKASATWLPLR*
>EED77600
MSALGQKVELVKKVIVELAKLVEDRRSLHKWKNIPINEYYKKDEAARAAHPSRKPKYSLLFSMPDLEEETDEDQFSRSRVEQLLGHLEEQPLPHLTPNEHASLLVLIQTTFEIEEQRRALDANGLRYLISMRVFYITNRRLSAPSTPASARSGAVSRTIKPRQRLRYRDIVWAFHSESQELLLSTSMAACGGKMTWPDARALGVFLWMHPGESM
>EED77601
MPSSRSSIPSSGTNAAAAPNVNDAASNALASNILAALVGGSTAQANNVSILPKNTPNHINAPVFVNNAPKPPCPPVGSSTDDEMHLVRALFVSEGTGQTYRQAIESLHGVNNHTSASWKDYYLDHVRRINGFVDSLRNAPRPKTSSPSIPRSHMTQVNQPSSSRPVTMPSGIVAPVSSSSSKPHGFHSARAHAHQSNLPIRDTSSSQGRYHTRRNGAAGDRDRVHAQTSARDRRARSPSVVHILDSDGEESDDDEIQLPARQLRSPTPPTRVQHHARGIRFTEEDHTFFLKTLQWEFQNSPRASRDDTRHHDADGWSRYWSRHKSVVDTIRKTAPASSSLSITNNDERKARANRADESSDDESEDGQESDDESDPPTDEDAQKLGTTGDLYTDVELRLFAKHIARTPNWLSLTRSQRFGDFRAKYGDRRSFEAYAAFYEGRKKNSQSGQEVQTENGKVY*
>EED77602
MVRVEERCCCLGTRVAFAVRGWYVTIRRDPSVNHNYVPAIRCLPPSSRAGYRTQLCPWEFHDLSHDYDSRK*
>EED77603
M*
>EED77604
MPSPTPDTVRQTVKRIMQEARELANDPCTDYSAAPLEEWHCTMRGPSGTEFEGGLYHFRILLPSEYPFRPPSIMMLTPNGRFELNTKICISFTSCAYYMTLDSIIGLQGFFPLKGTAAAGVGAIEYPNAERKRLAALSRNWICPRCNHSNLECLPDPLTAASPASPLDTPSTPSIRVHAPIPTRPSPPVSILSQRIPTPRLQSIKSDLLGRPSASPARTPDLPILEAAITQSQRRPPVMLDTAICVLLVLVLSLIARRIL*
>EED77605
MSVLIRWIFRMALARDALWESGHDESVEVNQRALIDKVLARYSGEFTVFRELLQNSDDAGAKAVEIRFETKEYLNRKSEDVQSITSSGRPKSLDEKAALVHQWSFRNNGMIFRDEDWNRLKKIAEGNPDEEKIGAFGVGFYSLFSVTEEPFVTSGGQWMGFYWKDKKDQLFARRGKIPSAEDDPWTTFEMSLREPVAIPRAFDLTRFLASSITFMAHLCEINVYLDDQRISRLTKDPGLPKSIGIPKGLKCNSPRNLMQVKEMHTTX
>EED77606
MQSSSFNFHALAGLGPRRFSLPDITRRAPRRPEQSRSDLTGYDADSEAENQPRNRKPSTRSLPPSLHDIAMSARAADQMAIHIDFALALTRSTGRRARTAPHTPRNMSPTRSRAPLRHSQENLIQPLSGLGLGLPTTHHIHADRSAVAEPDSTTSQLSDPEQPLRAYPLLPPGLSNTDFPHGTQSPHHLYPDTSSSSSNSETDNDLEDDSDIIVDIVRPTPLSSHSSASEYPLYGDMSPPGAPRLESICRFETEPLYH*
>EED77607
MSSRPARPKHPSCSANLARTRVDIFGAEADEEKRKKEEEEERLRRREREKVVWDGHTASKANTLDKFSTNVNFDEQIAAIHRAKGLGPQEVNAIGPGIGPAAAPAPMTSLPPPPASLPAPPMQGAGDPAYSAATVASGPQPASLYPSQPPPVMLPPLHYQGMDAPQPFGYQPPPASAPVMHPARAAALAGSPGAVGTPPQTGMVRSADQMEGGMDEIPPAKRQKIAKIPGTQLYSEEDWINMHPVN*
>EED77608
MEYHAFGIISEGPHAKYHYMVCGVQGDFTRSLVNDPPKTIWTRELKLAGVSNTSALYKRGIRICTGTGIGAALATCIQSPYWYLIWIGSDQEKTFGPTISGLIRRNIEPERYLLWDSKVRGGRPDTRKILKEVYHSWKADVVFITSNMIGNSEMMQACKEEKIPCFGTLWDFSSVPFIIDASDRLQLRLHNPHFLV*
>EED77609
MCRSGACGDGEDGEKSWDTSLRRIFNTVLDDCASLRNVLSADEEDGACSPKESFLCILVRNALCITRRDLVVDEEALVALPRHWSGELSPLFRYMSTRVCAPLLVSISADVPVDSPTLVPQDEYRSGGSVSTRRVGSGGHEDVRKSTTCSSHGNSEAAESPGPIEHPDSLPVYIVPERDLSVSEWLRRPVVKEIVYEQDTEDEEASEDQRCLSELNLHVLDCPRDRPLPVDAALPIVCMAEGDVLPVIMASALYQRHVWRVQEPLVGISFKRYDTSISFLLGWIEAAKGDDYLPNIDLANPECVLIVTQFFLHLHGCIANIQNNIALSRSVLAEELRGERLVRWRVDSATASEDEDCIGDPEDLVSVWLHGLEDSETLSKACLLSRLSDTLMSDICEYTMSFYWPQVWGSPDDIPPVDANVTYLLAEFKLLVESHQKAKRPTLDTLRERKSYMPQQNQESFKAEDVLCLFSEGSSESVLWKQVDKLLVGSLSTILNTCSLARGRVQAGISLNEASWRHDLDRIFFDFLATATSPVLQSDMDEQDNTKGQRKVHAILECMIALPRSVYGGYNLEDRGDRESIKQDARRFVNTVDDLFRADWKDLDENKETVERDIHRGLSVLWAQWRDTLTPDSIKERLAISPTQAKCDAVGLLRMPVCLNLDKKTFDSYKFIKSLSALNPSPSAALGTGDSLQPAKKKSNTTALPSKSSVINYITFNSAVRETSTPYRTSQETSQVSSEKSDRAGLEEQMSKLQMKHLPQKTARSGRRDKPEETSYLELPLILVEYKRPTTSYEQGQNQRRLYCTSAARFLEAIGIVEFPIFSVLSDGPYTVLATTWVKDGIVQIFERHMVSFDVSNPLGAWHYATVMARIAVFWGTALAERFVKVQDQFVEDAGKDALKLQWTQTHQAIHKSATTAPKASPETTENAITTSGS*
>EED77610
MPALVLTSNVVLPDSKAFITQFSAFAAKTLKKSEDMVSVSYTHNGTLAFGGTFDPTFVLEIISIGNLDEVQNNEYSKAFFAFLSENLGVPHERGYMSLIRWCSVLSTFADPGLINMGWKSATIAHHVATALNIVPKPDETLQQPQFQHNCIPLAGQQHKDKIVDSRDCSLLMSLLVSSIAFGIASAANGPDLYRRDALSVCQEIASAISSASEVFYPLEWYYTEDIAHYISSSEENATCSVEPGTPEDVGIIVKGGGHASNPGFSSTAGVQVAMARFNGVTYNSDSQTVDIGTGLIWDDVYSALEPYDVNVVGGRVTGVGVAGFTLGGGKSRSPHNLRLLIILVRRRLFVPLKPVWSDRRHGDSIPTCAAQWDR*
>EED77611
MEAIGIAEFPIFSVLSDGPLAVLATTWVKDGIFERHMASFDVSNALGAWHYASVMARIVVFWGTALANRFEQVKDRFVEGVNKDDPRLRWTQAHQALHHALRDAPVEIAPDNEP*
>EED77612
MGGLGVLTIIVDGPHVPSGPEVPQIVIKQEEVPTCLEDIGEPGYFIQMRQHVKTSTPPGARSLREDADEEATPHEQCSREARDTDTAPTTPTRLRTVIKPTGKSTSISHRAQARRVQLMPPPNPEREGDAMAAAPETTGLHSMELRNTTPQVQEERTPAATIHAPFAPTHYGAAGLDPHNLNMYYHPSPYQNLAAPLRSRAPSSVSHADLAGPSAEPLAPPSKVASTSSRVAPTAPRSRASSNASHIHPTDPADPLRYKSALAPPGPSDMPGWTVLQVADPQPLLPQWQALPRRPLTPPPSDSQPSKRALEPTPKATSMQEDPSMDVPSAQQAGTTDNAAASAAGSPMDESGNNAVHDTSHNGSPEWDEVPGMSYEEAAEAEEQALQEQADDDVFGTPGRLSDDVRQILRTGFANMNTIVEQVSKDSGLPAARVQAMFGQQHARVNTARNHWNIYGRYFKMNQAQECERLGLDAPLADTVEIRSKTYALFKEAHTDIWRDILETFEEVEVWAANHTVGQRKRDFAKAVAKMRQLISAYIMSCDNLALRYDFSTAFLMAGNLVNTDTGLAQLYETATAKNFLSTYLRGNEDTSLAHFKSYLYHQTSMGILNKASENGDKEMGGPSKPSGPAIAASCQQGGAPSKQHAGPSEQAAGPSKASTQVPGPSKQAGVSSNKGTIKSQKDLDRRKFGLEFLHPDWRKKLSSTDKAGIIRFNVREMEASGRRWPLGAQV*
>EED77613
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDSALLMLLLSNPTDVFNKLKTHNPEATNATDCAALEAYLSARHEYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNAYLPLPAPLPTSALRRPPIPSPFLQAMPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEREKQTKAVPIPPPRSANPEPPASPVAGPSRPRPNTPVIFRKIDPNWTPDTTQWTWDSSWPRQKHLSGEEWKNLGRNARNEWFDEEEDDGVDWELYGDGEQ*
>EED77614
MSVFC*
>EED77615
MSFWQYQVHQEQSFQHAVYRNVNEKNAQLQKQLDNVIREANGEISLLNNKIADLERDLELERRKMAGLQDSIKERDKEYQKLKTHYDKIKRKALLAPGLVGNENTGLMAGADGHPADRVGLNEQQNKHRSGMAFGATVDMGAVVGDMEANGIQRTPIVNRTLGATGSDHSNSAGEVERLLAPAAQHSMRTSDRSCFRTTNETYQWNF*
>EED77616
MGGRRGSMQQEPSPRIREDKYHPLKCRAAEASLYTGKDKGRLCALVRAQLVHAQHADAAQGAEYSRDAHHPTFGKGKAAEAGLYTGEDKGRLCALVRAQLVHAQLVHAQLVRAQLVHAQHADAALGARK*
>EED77617
MEMPAPGPPPISPSSYRCK*
>EED77618
MASQTTICPSALPIIARRGAPAAVKVSAKTLGQRLGWKKTPRAHEATLTTKVDLAAPMGRYQLSCDLLPLETAQKRRGRTISGPLEVGEHGWRACGAYTVGCTLIPLDVAREDKALTHPMPFKTDRRAAEGTWGRWSIPRVSRPRGVSGLSSGDEPTRPGRGRGGGLPVITSTSDINIDGAHAREEPKPPGRIGYQITHLVATLRFDDCTGLEVGAAGHVGRCSGTAQAVQDLGDSDEDKRTATIQNHIIP*
>EED77619
MSVDNRSRPPVLPVLLLQNAFLSALIALRPKAPIKLAAFAIYTYSLGLVLTSTTGNRVQNFTFGCNFAAQFFTAFHLLWLTDPLNDLRHERDHIAPPAMPFLRRMYWASCVLTGPRGVGWNCQVANVPPRPSEPRWTFVRQQLLCAFRWYLLVDLAQTYQRSSPSFSQHDADLFSLSAQGYIQRCVNIVAWFAPMYGMIAIPYCLLAAVSVAIAWSFPRDWPATYGEWADAYTLRRFWGRTYHQSLRRYAASMGKACCRLLGLRQGSWASSYMQLYVGFAVSGLMHCGGDFMVSRKLFGASFPFFIAQAAAISLEYAVIGVAKRTGMQAQCPDGLAHALGYVWVFVWLSVSTSWWMRTGVIDTSRMIFSLVTMLAPTITPGAARFLFLSLLALPARA*
>EED77620
MSADNRPRLPVLPVLLLQNAFLSALIAIRPKAPIKLAAFAIYTYSLGLVLTSTTGDLQQNYTLGCSFASQFFTAFHLLWLTDPLNDLHHEREYIAPPALPFLRRVYWASCVTCSPRGVGWNYQVANVPPRPSEPRWTFVRQQLLCAFRWYLLVDLAQTYQRSSPSFSQHDADLFSLSAQGYIQRCVNIVARFAPAYGMIAMPYCLLSALSVAMAWSLPRDWPTVYGEWADAYTLRRFWGRTYHQLLRRYAASMGKACCRLLGLRQGSWASSYTQLYVGFAVSGLMHCGGDIMVSRKLFGASFPFFIAQAAAISLEDAVIGVAKRTGMQAQCPDGLAHALGYVWVFAWLNASMPWYLNWTMRAGVIDTSRMPFSLVTMLVPTITTGAARFLSLMALSVKA*
>EED77621
MVVRDVPGGAWSVIGREAESGANVEVEAVEARKGLDTGVGEKRARSPTKGESDAPNAKRARVSPEASSSPPCLAPPVNALAQQIFDKSLIKMDGAATSSGEEDVCGEGDVFLTEGFRDRWCQCSSCLLSFETYPCLLEEEETYEPPEDPDSGLSLEELGIRALLRLPRERALDGIRAFNQMRDELMSHLRPFAQEGKEVTESDIRAFFEERMAAARGGVGESS*
>EED77622
MISRQLSLLALTLAAAAPTTLAQTHSPGSIENAGDTLVSAMMMFLGTEETVYILDKAEGNAAQVDGHPAWGSAYNIAGRTAQTMEVVTNTFCASGMHLPNGSYATFGGNGAVSPGGNIGDVLAPGGYSASYDTTYHDYSGSTSIRILNPCAWSDLSNTECQWFDNATLLHMQKQRWYSAAEPLGDGSIAIIGGFVEGGYINRNYPNVDPATEGGAAEPTYEFYPSKGPAQTMQFLIQTSGLNAYAHTYMMPSGKMFVQANVSTSKPS*
>EED77623
MDALFAKGDALGPVQKRRRIYKDDSKRRPEATSKAGPSHDRTLNSVSLHTSVPKSLRQTSPPSENIPKYSHIQDSKLRTKLTRQSVQSARNRALVKDAELLLAEEVGLIEVEGDLEKTWRVGQDEVAQAAGQEAAKGRKEWTLDGGPYRSRYTRNGX
>EED77624
MSSTPPNYKKADPILLTLFANRFMSVAEAMGRSLQQTAISTNIKERLDFSCALFAPDGDLVANAPFIPIHLGSMSFAVRYQMQLHGKDLKPGDVFMTNSPSAGGSHLPDITIISPVFDTQTGKVIFFTASRGHHADIGGILPGSMPPTSTSIFEEGAEIVSFKIVSGGVYDHDGLVEYMVNKPAQYPGSSGCRNIKDVESDLKAQIAANHKGIQLIQAIVDDYGLETVQEYMYHIRANAEVSVRNLLKDVVKRAGTNVLEAIDYLDDGSPIQLRVEINEEDGSAICDFEGTGCEVRGNLNAPISVVHSAVIYCMRAMLDMDIPLNAGCLVPITVKIPEGSLLSPSRTAAVCGGNVLTSQRLVDVVLKAFHACAASQGCTNNLTFGAGGKDKDGNNVVGWGYYETIAGGSGAGPGWHGTSGIHTHITNTRIGDVEILERRYPVLVHQFAIREGSGGKGKWRGGDGVVRELEFTEGLQVSILSERRTRQPYGMEGGNPGAMGRNTWSRLMPKKGIHLGPGRLS*
>EED77625
MHYQQRTQPFAHLDDSQLYGSPPAFPYPSPPTPPSLILSQLSYSRPAYEQSHQEWDQFVCPEVNYTSIQNSPHCSSSLREHDWPYSRPTSGMPYPMYMPTASFPTVTSPSEVHGCEFIREGNQADEDIWAYLEGMPSSTLGLAQTPSPVMRGTVSPAPLWSSPTSGPSTQRYTMWNERVVVSSRRAMAACTSPSGSGTHRVPSLVSSGLPAGARTKTNTEYEESVPNAGITGIAGGFFNNNHHSRYIEAVDVHTTGNDNHVATAVRPATKKPRSSSSSALARTKTRSKTMSKRSERLPMQAANDVEQATQQVLRKQKRKAPDDAGADRPCAAKKAKCSNTGRKKYPCLLKCGHKAIRRYDIERHMALSCPLRPSTSRKPFPCSICGGGFSRNDALIRHMRDRSEKCFDAERLDADSGGYNDH*
>EED77626
MVSFTSFSCLGTVLMDLRLSSLVPDVELPSPQFSSLSESDLSIPRAGSKVSFLETTVGQAALILANWIRDYVEDPIKPAEKELLDDCVRIIVSAIQAALSEPRDDGGSEVLYGRAGLLYALLWLRSELSFPAPLGDLEDTNDPLLWGVSQLYSDENLQALVGDIVRRGWLGASIYAEELDAETQQRAPRLMWSWHGKRYLGAAHGVLICAPAHIIAPYWSDITGTVEWLLAIQEPLGNWPTKASRHMHYAAGGAATSRTAKRVSVEDGDALVQWCHGAPGVLILLSTLLTRASTSSKLAVSSTTTDSIVAALQRGGELVYTRGLLRKGVGLCHGVAGSVYALLAVVDSVQEPAGYWLARAAHLALLATGYRAREKKGDMRVPEKPYSLYEGVGGGSGQDRGCEREREGTGWWASPVGHAWVVRALGCDGSAASKTFPFWLGCEIVFARWIDSDATVTKFNDGN*
>EED77627
MPHAPTRSAPSPTFARASTACAQTLSSPPRLISSCPVAGRSRSARARRY*
>EED77628
MTTPAPIDKEVLKLLLPLCYDGKTIVECNWFLSQLRIYWQINTALTTIELKVHVALSLLDGDARAWATPIFAQLVFMQIGTPGATTHFTNEAAFATTFRVHFGHLDDKAAAQVELAKLCANKSMYGDLELCDKYLSGIVTTWHGV*
>EED77629
MPARAKRTPTKAKKVPARKAASSGRIRGRAAAVHRDEEDEERSGSEGDRSDEDVYRSEDEEEVESLDSDALDEESDGGAKGPKKRKRAAPGSKKASPRKASLRKKRKRADESDEDDDDLDLKEGQEVVGIVVKAPTTGWGQNRLRATRIL*
>EED77630
MSTEGLAASLSLTSSTSGARQRGGPAAQAQRKQLPGLATPLPDCLREGNHVPRPARLRVAVSLPCLSTPFRSTTLPLPSLPATPSAHEQRGPCLRASKAHLLRTLLRPRHRLRSGPTARPYVPRRAGDNCWERALAKEHSPQLRRATATFRRAKAGAMSSAVELGHGIRDLKAHNVGVTSDPASPIQMSLSQTGASRTYTGGPRDAGQRRPAPEQTNEYISSSWLPRRENDRPAARASTGDLLTTGCVSRVGLHLHGLDESRAAWLREVRSWRRALWAPRHDELSIFSGRTLTVATKANKPARACSISWRLHGPRVSASALPISPNSAGSPPEILNPGSGSSLGHNSKSPSTVAAEAYPSLESYGSTVHPVLADEACHLGQSHRQSQPEPAPVPAPAPAPVAQTAGRTLVSSCHDNAAAPAVSHALPEMRVESAATYCACARCARAVFCMTHAPWRQKTRPGSARCPIKCNRGHTIAVCNACQDLGTRAEDRTHQMARSEHTSRAVGIQPHSGIAPLDARYLRSRNAAGX
>EED77631
MKSEGHKHHQFLRKRRRTRRLRKARNEKESADVADANEAHQDGPPPNTIPALPIELCERIIDFLWRNTATLKACSLVCKNWHLRSRYHLVVYTDLDGQKQVARFAKWVRSNPVSACIVRRVRLRGDPGHTHTRVLMQHIGPFAPMLAGKLTRLDDFWIMAAVWMPGLMHYNTFLFFSAFTSVTRLGLIEVTFPTVLTFGRLVCSLPNLVHLRCRSLKFMTPTFDAEKFHVPHTKLTVLTTDGGGLNAIIDFLTTTRVA*
>EED77632
MAMPTLGPL*
>EED77633
MSLPASPPDKEILKHLFPLRYDGKTVIECNRFLSQLRMYWIVNTSLTTIELKVQVALSLLDGNAHTWATPTFAQLASVQISTQGATTPFTDEAAFATTFKACFGHLDDEAAAQVELAKLCADKPMREKCTTCCDLRSSDRGKPKSWGRSTSPYRSDGEQRSNLRLGTRNVLVSKGTEVAGSSP*
>EED77634
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDIFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPQSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDIRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPVSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED77635
MAPYIPFVPTAAFAIATEEEWHDAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWVGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAYQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPHDMRLVLAGKDESTLPKDWMLFLDILLNINKIVNPEKAQGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKSKAKDAEAASTEAKKYCIICKSKTHNTDDCYKLAKNADKRPNTQGDGARKVQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIVEVEESTLAGNDEPQLSAKTEPTAATSDFWKKYM*
>EED77636
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELTLRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYKSPKPLPDIHFQRTKILLRTSEYNKMFAATADRLEPVFARMEKEEGNLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELARLNITFKYEV*
>EED77637
MREPGPPIFAQLVSMQIGTRGVMTPFADEAAFATAFRARFGHLDDEAVAQGPVDQSGYGDLELRDKYLSGIPSHVYRKIERATEVKQQLDISRARWPELNSFFSARGRGHGGARGGAP*
>EED77638
MTIRPRVTSPIEYGDAASFWVEYPSGLVDLTRHAHLPLGAQDTGEVQIPPLQTSTQLEIPVDGERVVRISKERSAIVIIDMQKNWGLTEHELHTIPPALVRSFMKNGRGGFGSQLPGTFGRLLMRGEYNSDLYGPLQGLYEEGKKEGTDVWVHKNRMSGIWGYQTALDLYLQENGITTLFFAGVNADQASTV*
>EED77639
MGRLGQAVRVGPCAAGLCAACRRGPGGRVVSISGGEGGAGDAAGIVGGGAVLVEVLEGAATPLDVPEPEASALLVTTGINLDAVEDLPALPKLKEFSLERLDPAAGVEREGLHSRAIVDLEEHRRSGGCCGISSMPLVDSISYCETRDSAGAEASVWKDDLAFGVTLALAVPDVDLRELAGAYPAATIAAVVARLFNSAVLVDVDGSLLSRCGGVA
>EED77640
MSVAVQIRQHWPGLEGMRHLVIFGDSYSQVGYNSTAPHPTHDNPLGIEFPGSTYNERGQPNWVGHLITKYVSQRLLVYDYAQGGHTLKGVRTQIDREFTPHLATKPEWAPWSEADSLFVTWVGINDCAFTNNESAPELVDTLFELQDSLYAAGARNFLLIDLPPLERSPAGVRLMLVQIKRLKGARLRWVAPHQVWNASLVKATARFAKSHESATVLMFSAWDTFRSVLDRPSAFGFKQKDISKAGGGIWHDRLHPTSKMHDVIARDLKDFLAKVPAHTDSSSPKTEPFVTKPRGEEGEDASGCTCTLS*
>EED77641
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRHFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEAFPEHGTQIKAHQILMKLPERQKDRKTVLSFX
>EED77642
MLALALGRPLATEDADCDVELPVYALDKCRDNLEPEKRAELQRSVDTLDKALTKWCDDLPAVFKSRPSTDKQVSMAAVLCSHYYFVLTTLHRNFLPVKFDQPVTPRSTAKAVHTARACIRLAPSIKDVVPPSHHLAFFIQNLFSSAVIILLYAMHAPDPAISATAMEEATSCLGVLQSWEGHWPGARKCKELLEDLTATAREAIRSAAGGLANSGRAQAMASPSLPEGCMSAAVPMPISERLIRGKMRRNRSRDPRLASISGLQFRPDSQRARSTSRKRPHDDDLVPDSQSFSVLSSSYPGRSSLSNHSSPASQNSQPSPPGPVLDFPNESSPRIMPTSSFGPLNIPQSPASAVSTSHFDYDFGLSQSPSERWATPDGNTNGLKFFPSGSQSQPPYSPTSFAPPSSAGPVEQAYLGYDGVGLDPTLFMPGSSPPSSSAFAATGLPFRGLDFIRNYNPAGYGAGSDQEWQAFDPGAFVYDPEIPFTLGDASSLDGQQRWNGQ*
>EED77643
MIHVHKRYSAFAELYARLRATLPVSTVVEVAKYTRLYLVVTSQEDQHHSVPHLPPKTPWAKFRPTFLDHRRRLLQHWLSAVLLHPEIGGCQAIREVKTDENARDWCCDQTTEAAGGDGGRAAPDLSSAAVYRGLVAASVGRYALVTRSHTCAGYKAWLLSIFCGLRLPCVQRRRLCFIIEPVIKDMQREGGMKLGDVCLSLLLMRIYQQLQYDT*
>EED77644
MATGPPHVTALPPELFTNILSLLDAHDLCICKTVSRYFSMTIQSVPALQYIIELELAGMVDEPLCPLSSAEKLARLRSLQHMRRHMNTILGNEERRWTIDAASLGVVIQDICVDRSQDLLILVGLPSIPDVSGHRGGLLLILSLSQDGDPHSLAAQSRIELQNVDSRLMHIEGDLVGNLVGIPESFLYGHIDIRSWKTGEFLWWLCSWSGGR*
>EED77645
MSARSATPASTPSLVNHRLTSLLVVLEALPTADAALDVVEEWAQDLSPLVLAYHKALGAIRDEETELCVAAAVKQLAERASESWVEWARGDWPELVTAIDAEVERRLEEQKRLAEEEARRVEEAAKRVKAAEERRLEDERRRKDEEDRRKQAEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRTRVDEEVFELSDDPSISNVRSQ*
>EED77646
MSRDLCARHWDDRAELRVSVAHRRRCRRASKAKSKRWETELKCRAGCDCRKEVNRGPEGLGAYHRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFKNEAAFAAAFKARFGNLNNEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVY*
>EED77647
MSMIEMEERKGLSDVDERSYSENSFPGLSDETQSEETVSRDGLLVKITKTLGRWGLEVNGIDPVPPEERSDPRLYQIFFVWFSANANVLTMAAGTVGPAFYGLGIRDACLVIVVVDIMYAFRFDINLHDSCVLMRPGQFALVQSSGQSWGLDRWCKHASPGDYDVNSYYGAIIPSILNVISLQGYVILNTIIGGQTLGAISSHLSATLGIVIIGLFTLAVVFSGYRVLHWYETFVWIPNVIAFVVMLAVSGKHLVDAPLTSPSPVLASAVMTFGATLAATNVSYAPLTPDYGVYHDRKVSSWRIFLYAYLGFLMSSMPVHLLGAAFTATAYYVPTCIPRFFLAIVSTAIGLK*
>EED77648
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADATLDVVEEWAQDLSPLVFAYRKALGAIRDEETELRVTAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEDCLRQAAEGLLDKGKGRTRVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENTTTCEX
>EED77649
MVLRAIYLSCTLREKPDLANVRADCLTQSEIAQSDILLKDDQPMIYYKRPNRAMMQFYSFGDGELDILPSREALDILGSKTDPARATLEHDFSRPAFNAQPALDATVLSQLNVAQLLADLVERAIHTTGHAPEDERSGAQLLHDPTPVFQPKRHHGCQNGSPAEQINVRTEQALFVMTQSTTFMQRDSSRTIDFISRYVKFYNCVWLVLNDIIIGVAFGSFLCENRLLLSRILDQCVQLYLVDSIKHALLWLDNWPAGLKLNTELSQFYCHTLLSVVSIWGYVLQCAAPYFPVFLWIAGTMGGCGMTMVVSLLSDALGFLTAHLYVCYLLTTTAFSQQLSLAGSLWNLFRGAHHCRLAG*
>EED77650
MSSPTTAPDKETLKLLLPLRYX
>EED77651
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALHAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRMKILLRTSKYNEMFAATADRLEPDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAMSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED77652
MSEVDLFFNKGVFKVQTGGVRIKNIGTGTFLDLYHSLSAENNPVIGFQNNGTDAQLWTVQVVDESKGHIKLLNAAGGTYARAPDHIIGGQVVGSNVDETFKVSESGGKVQ*
>EED77653
MAGTPGPATRPGTGMSVHGADGQQKAPSRPTTATGFVQQHQHQQQHQQQQQQAQVQQGQNGNFLGMGHPNGALQTPTARSPGGFPAIAPAPAMPGSPTRGAKRRLGTPVPGQPQQLQLQPQPQQIHPPPQTPHLPMPSIANVNISAGGGGTGLTGMGIMGNVGPAGSQNAAANLGVGMGLLGGAGGGMMGPPVLPRSTSQGQIHQAHGGDMGGMGMGMNANMNVNGGAFPAPGMTRQLSAGPMGVNPNFPSAPTPAAQLGLPSTTGLPASSPMHVPGLGQNGAMDTMGPSRAMGALPNGVGLGLGTSGLSGMGGIDVPIPQTPMQQHARQTSQPPISSPFPHGSSPIAGPNLSGAGLQNGLIDRKPSEGLGTAANAQDNAATKTANGASNAAPAVPPAPTVIPQLAPLPANVQLDPKVSRVSIVPLKDSATLIPPLTEDQIAEIKTYMKIDKEYEGRYKHMREKMTDELRGTVGKPHAWWEKDTTLEDGRMGMRKRQDKFSLTGQKHFKERELKERRKAGKREGFKLPRRLPSEDASRIEQLVPIRLEFDVEHHKMRDTFVWNLNX
>EED77654
MWNTSANFNSEVWNGPRQDTSGWNQNAYAYNQYTKYGFVRQDQVWPVDPVDEIARTALGEMTKCSQAFNAWDSPLTAEFRGALQGLQSQSNAAVDASKEVIRRHLEFCHLVSDNLHSASQAGWVQYDQRIIGASNGINFASSDLCWKVKILQEGIDYFLRIVESVNTGAAAHKASWWSWGRIKEAVPAVLRTTGYIMAGAGTVLRIIHPAGALVENMMQAVQNLLSASANRMERSLEASQRKQQDISTIVRLMSTLAGQIQSVQEALVNVNTGQAVVQLHNQALAMQMRIDVQLASAASMQWSGLGMRLNSVMQFSPLMWQAPQNPPY*
>EED77655
MLAGQFILAIQFILLQNPLRELRHERDHVAPEKLPFWRRTYWALCVLFSSRGIGWTYQIAHLLPRPSTPRREFAYQQVVKAVWWYLIVDLGRAYQHSNRLFFRDAADLFGEGFPGYLHRCVNIVAFLGPMISITGVMYCLIAAVFVTLGWSPPRDWPQVYGNWADSYTVRRFWGRTYHQNFRRCTAAVGKGCCRLLGLQPGSWASSYTQLYVGFATSGFMHCVGDLVVNPMLFGTSFPFFIAQAVAISLEDAAIGVARRIGLQSRYPAHLWRMLGYAWVVLWFSVSAPWFIAASLRVGALSPVSFSLIAMLSSRMEHVLRNQLLLAIAVTKLQPAEM*
>EED77656
MCCCHYVYTRWLFPTRRLPLPPGPPRTPFLGNVHQIPLTYQHKKFAEWSRNYDRSHQCVKRHVGDLLCVKIFHKPMFIISSIKVARDLLETRSTKYSDRPHSVVLNDLPVLAVMPYDEQCKRLRKWFQGALETKASLQQYHHIQRKEVGILLSDLVHTPKEFFSHIRRYNGALMLEIAYGHPVSSAEDEYMVFADRATAAVAEIGSIASTLADFVPILKYIPTWMPGAGFKRQALQARDMWAEMTRIPYQRVCDDMALGRAKPSFTTFMIQEVSRDGSLTADDEHDISNAASMMYGVVDKAQAEIDRVIGTSRLPELADRESLPYLGCIVQEVLRWNPATPLGRIICSK*
>EED77657
MWAAVHTHGHGQLVEGGVHTVDGDKLAEHEQPRRHVRHEDIKARAAAAAAISAASVDGRVHAAAGLGCWPWFTRDQLVVAAAAVWVIVQARKSAGTDLDSDVLSSSTLTGAAFPLSADAFVETRLYAGSGTRGAVLLDRGYWHEPIPSRRTIE*
>EED77658
MRRPLPRLSKPASATSTMRQWPR*
>EED77659
MVVATWRHWK*
>EED77660
MSAEYLDTTLSQIRELIARLQAPVPDKLTLLQLLSAPLDHLGLLPPKFRRYNVSPLPSKQETSWEDCVRNLAAIPAKVANALGGKADVPVELQQGTYFHGLSIRCERLIDTLAADSSREWLAIMAPDALELAVTLGTRPVSVAEGDDDEEPDEARTGEKQNTREKGRGKDAALLTAALELALIVLDGSLELDEGRSLGLEHTALLFGAEEWAKEVFSKLESGVRTLGEGGEHEVRLRRAAAGVLLKVDELTSKWRRSMIDFASL*
>EED77661
MLKPSSLSIILYAGSNIPWPVNKPSSVGDSKPPRRPVPPITLARNKAGTLVNPNRTYKPKVSRGRARNMTLNNSHRPYQGRRVSSKRKVNKPCARFTTTGACNRGLTCMYQHDPTKIAICWNFLQGNCPNTAETCALSHDPTPERTPLCVHFANNGRCHRANCPFPHVRVGKREGVCRDFAVLGYCEKGLDCDKQHVRECPDFAEKGECTTKGCKLPHVIRANRNRKAATSAGSKDASAGQTSPRAAASVSPNSATADASSSHPVTAEEAQLGDEFISLTFHESGSDDDSDEDEDDESDDDEEDANEEVTETHDSE*
>EED77662
MVSARITITRPP*
>EED77663
MPAMKPSVQEEVEDDLAAEEENKLINETWKKNAPYLYDVVITHALDWPSLTCQWFPDKESPPGKPYTTHRLLLGTHTSGQAQDYLQIATVQLPKRDDSASADRLDRADYDDERGELGGHSIPPQPRIQIIQRINHTGEVNRARYMPQNPDLIATKAVSGEVFVFDRTKHSSEPERGRPYSWCLRGYNCVPLVRDINSYTKAKNTIEPTTVFRGHTSVVGDVDWNSKHENILASVGDDKMLMVWDTRASSEPVNKIQAHDREILAVAYSPAVDHLLLTGSADSTVVLHDMRAPSKRLHTFESHTDEVLHVAWSPHNATIFASASSDRRVNVWDLSQIGVEQTPDEQEDGPPELLFVHGGHTSRPTDFCWAPGEAESWTATSASEDNIIMVWQPTMHVWAGDTVKIDERELEEPMEGIESTADAEDDAGPSGEGGSDISKRVDGES*
>EED77664
MPNVVNGYMDKYKDGERHNILEDSDEAKIHEYCVIPHPDLDNSPIREGFTYRFDKVGIIRSHAKPHFMVLNTAMKLKEDTEMCVMVLKVFYERIHLQVDTSCFVEDILTLSDVWTAAAPGEADLIMKEEKEQAAEEALSLPVIISTTSELMASKHPRGTRAATALLGPGGLEMDKHPKSKAHKPEGEPCGSNLQFKSSPHRDVTLSREEPTSIR*
>EED77665
MRVFAVITALSARSTLSAPAARARRPPSPSPPTRDTTTRRRRSRPWRATGPLAPGSAPSPSRRSARSPHSRASAARRLLPGGTRPRAGTCGEPAYARCTVAVLVTDHAGAGLNIPVEAFDQRHGGTAARRSTSPASTPRRRTSTPRGAAVRPVLLSLRAYVHPWRIIACPTRTCATRMAQAGGGGSACAWDQGLPRSESNVVAAELPPLLGDKQRWCLRGLKLFD*
>EED77666
MSLLRLLSPYLCGFSVSSTTIGSITIDSHIAHVPAARGEVVHQMTLPQLPIEIWHLFIDYLYLWGCFDDLKACSLVCRTWASRSRFLLARNVRLGGHTTMMQLVRKMQSGVHGCAPFQVEFVRLGDPNAETLLHLMSFAATFGGHFVGLTHLELNGVWPELMHPDLYIHLSSFSSVTRLDLRTVTLPNVSTFGRLVGALPNLVHLTCRQTTIIQRIGDDDYGVHHTKFALFRTRPLRLLHFEFDLGFWNWRIITFMIRAGWNIGLRDVRLMISGHFFKSNIPCLVPFLAGIGSSLRTLSLVVLDVPSRNVISDIDLSTNTRLETLAIRSHILLKGDEDMEYYWMEHLLSQVKSQAIREVVISVDSDQDNDPAFGLEESGRWLRAFEGDIDMAAPTSSALRCVAIEAALMLPQFERLERIVIEYRFGLEFEADIGITEARWSNLMRIRFPRLYERGILQYVFNLP*
>EED77667
MFHTLSTAAFIVNFALLQFFFNFGANATTYCYPAEVFPTRFRASAHGMSAACGKAGAIVSALAFNSLSQSIGTPAVLWIFFGCCLVGAALSLLLPEVRGRDPDIIYAEELRQAAAQGQ*
>EED77668
MPGVLASIRTSEVAVVLLSLLAYYLWTRWTHRKYKAFPPGPTPIPFFGNFRQLPFKDQHETFREWAHTYGEVVYAQLFRKPMIILNSLRAVQDLMEKKGAIYSDRPGFILWEDVYDFKPVTTFINADDDWREHRKRLQAGFETEKALTGFHTPLKSSVNKLIIKLLNSPDAFFAHVQRYASDFTLDIGYGMDELTADDAYNRTAEDAVHAVFHSDSIVASLVDFFPICVYIRQTFGAQLTIFRARSKVCPFMDAWGSIQPRCGEGKTRDTSDAGRTI*
>EED77669
MSMPMSISSALEQLHSKSWDILTILAAAVLYLACVRLIVPKNKRLPPGPQGIPFLGSLQLIPRPHQFKTFTEWGSNYGDVVYARLCRKHFVILNSLRAAQDLMEKRGAIYSDRPELVLFNNIYEWRPILSILPFGDDWRHQRRWMQDVLQTRNVLLSYRPLQRSGVDKLVTRLAISPGSFLDHIKRYISPPILQTVSS*
>EED77670
MTLVSDLGIERPMAWAVLLFVVAHFAYARYLNHKRTLPLPPGPSRLPLVGNVHQLPLQYQQRTFTEWGKQYGEIVFAKFFVRPAIILNSLEVAQDLMEKRGSKYSDRPRFVLINELFSAAMMMEIAYGHSVSSVDDEFVKFADNALTGAIEADSLVATLVDFFPFLRHIPTWMPGSGFKRRAFIARQRVREMLDTPYDKVRREVAAGTAKPSFLTYLLDELSKDNEITPEDQESIKYAVCLMYGG*
>EED77671
MSSVPHPKTYSAYGFTKKGGPLEPITFDWKDPQPGEIVVKVLACGVCASDEAAKHQGVPAVKYPIVPGHEIVGEVAAIPSTESKWKLGQVVGSGWHGGHCHLCAACRVGDYMMCEKQDVNGILRHGGYAQYVTLRTEAVCAVPDGMDPAEVAPLFCAGVTMFNGLRNMSARPPDYVAIQGVGGLGHLGIQFAKAMGYRAIALSSSAAKEELSKTLGAEVYVDGSKVDQAEALQELGGAKLIMCTAPNPDVIRTLLPGLAVGGELTMVQKFPLEKAEEAYNHRSTARFRAVIIP*
>EED77672
MSDASITFSGWASSSSMSRLDATPKSRKSLVNITNGKQKKRDDPDNYNGWRHEILNKVNMVEDTPVLKFIDNKYFHRTVSSEHRESAHPELGERSHMRLVLGTVGRQLKNFLRTWQFIFAIHDAILGHQLAYMAGILHRDVSPGNVMITEESKRFKGFITDFDYSSLIDSSADGGTPLTSDELSVLSSRDAELRERTGTFAFLALELLNLAPGQSVTHSVHHDMESFYWLLIWIVLRHTEHTHFKVNGACHEVFRSGDEASAAHAKQAFIVSDRPIEVKGNKPLSHLLSTLWHLMTQTVKSAINPRPARLTHAEMLPAFKEALDMDGWPENDTAIPFKPPQSSPNSPKQSRRKTTTKRGRATVSGSSEEVPVSKKTKTARRFDNEENDDIEDL*
>EED77673
MATFTQEDIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSRKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSSWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTHFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNADAAKQGALVVTDTRDYGKPMDIDAAAVASTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRLNQGGSGKDNFHARIKTLSADEKRELYEELTMEDF*
>EED77674
MMPRQSLLSRLVASILSRRSKAPRCTFSLGVMRRTTILMTRKKMSQT*
>EED77675
MQDSSNNKLPERALSKAGAPEGADVQREGVSADEREEGVAETVREVDGWQAIGRPGGGQRALDGTDVTRPNRAARKSARWARDVAAGLGTERGVAATGKLQRKVVPRPRMRGPPSVVSTTVSDVVADTETVSDVVADAETASDVVADAETVSDGIADAETVTNVVADAGLGQNKKKRNRSAAKRLVVATQRRAEAARHAEAAAQVVDFDGAVDNVPTDPVSDREVGASARRRRAQQWVDDESGDEAAGVEGALLARSSLTSLARSSLTPLTRSSLTPLASSPPASDPPVGSRRVLRSASVRTGVIRAERDSVTGSPVPVLGKRKLVPYVEIRSQRAGTRGARAVNRSGPKDGTSNAEALKRAVLAMAAVKKDALQAKQNDDLPPRQRRRWNAEAKAAAAVEKRLRPARVDFKAKKILHGNLRRRWHKEHFPQQNEVVIMGKRSPKLRCCQNICLKRKSRLIAAKELAHCLTIASFHVGQKTTSRQLWSHWGCLKQGQLHFHRRVDQNDRVHVSGLGGFNRLADKQKEVITATIVAADQPGPPPPDPKSAAGIARKAKKAKARRESKQKRSDLAKLGECLATVQRRLGKKTFVSDSI*
>EED77676
MTGWADYLDELDLLKSRRAIHNLVSFTQLPTAKEPVLQELEDWVWHYVTWIHDSYVTKAPYLVRRMILHCPIDESNGEAFRPLPKHQCDRGGTLYRYSQMMTRFLATIMRSIDDHHPYKYQFPLLKTQVDLITALHDSLRDNKTSLSAFHTVWYFLIGEPFAFTEVDKWKCPVLCWLALASIREDGRFIDANEYTPILAEWEYLMRITHLTQAYRNFEAECQTTDIRPNFITICSVQFSQFLKEGVNSPYNSVREHQHFASSIAKNTAAAPHITWSSDMTELACDGNNLQLSRLRFGLNAIALDIETRITKLMNGHIIPINVPDSLTENMTNRDLGYGWMELPNLLAKSFPLLEILQDHPHFKICEVEHNGKLHWIHRGIMAVLAEFTVINEELAILCHMLPAPPPRGTELVETRIRNGQIPRNLYKDRGTWLIHIHVKTTNITGNLSFVPTLCPPRLAKLLDWYLLIARPLETLLAAQVYDSESTPLYHEFLFCQHGHVLTSRQFSVLMEQYTARFMGLSLNLRTWRHMAISIQREYIGEQDTIVNNLGDLLANHSTNQARRTYAREVGSLPFLTTDAMLESRDICNSWHDVLGWGSNLPPIPHRLLHRLRTSSTTMDPTTFHTSDFKEEIKGMVNGAVTIGMGNLKHQLEDMLANAFAKGFASHFMIQGNNGLSMPSPINNNNHILDHQLQTHAPQAIQPQHSAQLIHVSNAISTILSPLPANLSQASLEYATQVQPVMATTVTHTLASNTPNYFLDDKLFYTHLGEHLKLATPQPDQMLRLLKWARQDSRATFKSEAQMQMLFYIIKRDCNLMVVLPTGGGKSLAWEVPGKMAEPKLITIIMIPFLPLIDDQLRRSAASRIVAAKWNSAAPPTNPKLRLLFASYESLATST*
>EED77677
MTCSEHDRSQPAHEKPATHEEESQMINFIKCNPGFAVIPQVLLQSITMRMTLHPHQSSESSHPPDDYEHGHRVTQARIIHICPPPSRQKSTSCSRASSDSESTGDRRFGQSVDSLPMRYLHQGHEELQRPECVESEPFELKSDDIVEFGIDIVGEDNKMIIHHKVAACVVCVLTEQEAQAAARAEVQAGPAAYGPPNAPGQQRRPSLQQDLIELGDRGRNMHTPGKSGLTFDHILSCLQGELRKSHDTGTELHSLTSAMNEIHDTLGGNLPPNLPPHPSNLPPVMPPQSQQQQQESEQQQPPAAPSSSESGLALSELQSQLRETQMSLASHIDKIRSLETMLAEHEAIKREVGSLRKLMEECKREMDTSRGRSGSPSGRHHQYGRDDNMAHDQHYMSDDDDDAHSVSTIVPHELDRVDEEDEEQLAAEEEEEQRRRRRDELGRPRTPEPTGMGMSDDDYDHNHDHSRYELQQRTRSPSPPPAAPPVPIPAAVPDNISEQLTTLSKQLESALELSRSLEAQHSVAQSTISLLESKVASLESLVHDTRSQVQVQTEATEQLAEAMRSEQLPDSAAQEAEQRTRESLTEMVNEWKKNVEGRWSNVQEEWTEERERLRRAKGEWETRIRVVEDGVGSNVSKVESMLGTLAALPVQQHSFLNGNGKLTHSGGLVTPPSPRSLSAESMRPQQRQKCSSSSRGRSRSRSASMAASMEVGSSALSISGDTTESHSLGLRRLPWTTDDLSISNTESHAASDRATAAGKDEPTRSLKGMPFLITPELSVLNHPLSSPDDASGTATDS*
>EED77678
MRCAQVEKTRKERKAREAFRDVLQSLVKSGQMKARTKWKDVYPSFSDDIRYLDMLGNHGSNPLELFWDLVDNL
>EED77679
MNGHIIPINVPDSLTENMTNRDLGYGWMELPNLLAKSFPLLEILQDHPHFKICEVEHNGKLHWIHRGIMAVLAEFTVINEELAILCHMLPAPPPRGTELVETRIRNGQIPRNLYKDRGTWLIHIHVKTTNITGNLSFVPTLCPPRLAKLLDWYLLIARPLETLLAAQVYDSEFTPLYHEFLFCQHGHVLTSRQFSVLMEQYTARFMGISLNLRTWRHMAISIQREYIGEQDTIVNNLGDLLANHSTNQARRTYAREVGSLPFLTTDAMLESRDICNFWHDVLGWGSNLPPIPHRLLHRLRTSSTTMDPTTFHTSDFKEEIKGMVNGAVTIGIGNLKHQLEDMLANAFAKGFASRTHAPQAIQPQHPAPLIHIPNSISTILSPLPVDLSQASLEYATQVKPAIATTVTHTLASNTPNYFLDDKLFYTHLGEHLKLATPQPDQMLRLLKWARQDSRATFKSEAQMQMLFYIIKRDCNLMVVLPTGGGKSLAWEVPGKMAEPKLITIIMIPFLPLIDDQLRRSAASRIVAAKWNSAAPPTNPKLRLLFASYESLATSTFIGWIKLHQENIARLIFDESHEPLVSGNYRPKMQMLTVVQEFTFPKNIKKRGKPWPWSWFNTWISIISSQTLVD*
>EED77680
MSLASHVDKIRSLETMLAEHEAIKREVGSLRELMEECKREMDTSRGRSGSPSGRRQYGHDDDSHYMSDDDDNVHIDEEDEEQLATEEKRSSGGGGGKSWVARACQSQRERRTRSSSPPPVAAPAPIPAAVPNNISERLTTLSKQLESALELSRSLEAQHSVAQSTISLLESKVASLENLVHDTRSQVQVQTEATEQLAEAMCSEQPPDSAAQEAEQRTRESLTEMVNEWKKNVEGRWSNM*
>EED77681
MATFTQADIDQRIAVTLAAYQSQQSTAKRPLRLDIPAPEPFSGKAEDLRHFIQCVLSYFVATNNTRLSDEAKIAFMVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPKRQKNKKMALSLRNYPGTTKSETPTPPNKGPSPSQTPGTMASQWILTPLQSWQPLPPHREEGSGN*
>EED77682
MSRDLHARHRDDRAELRVNRGPEGPGAYHRVLTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLEQECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKQKERQTKAVPISPPRSANPEPPANPVAGPSRPHPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED77683
MRRMEEDVGVGDEKETEAGRKGSGLWMAMTDWKCLVDGVRHAKPGRCVKLQRILPHPDRDSGLRHNCVAVALCATFRHSSDPRLRQFQHSDKTGERFWHMTVPYLTGIVGFIIAMSTMNTAARYVSLFLMAQQYVGFIVLYTWTSNTFPRPPSKRAVAVAFVNAWSQIGNVAGSYTWSSSWGPTYRYSYAICIATTGLAIIMLYILKLHLLSLNRKFEKEEEARGATEKGFRYLV*
>EED77684
MNSLTYLTRQFDVLASPRAPPSTPVSETSPSLGTEHQDVVHKRVRSWSTKSLRVSFPERSASGTWILKRRPSLSSTEKAIKPKRSSGAVLRSIFFVRIILQFWNAVCTAWRTLARRGVEVAVEEGTDEDEKDSEDESKDEKSTLVLDLDETLIHSTSRPMMHAQSSGPGLLGLGIFGRGNKGAGHVVEVVLGGRSTLYHVYKRPFVDYFLRKVSGWYTLVIFTASMQEYADPVIDWLDAGRGILQRRLFRELKTTSQMFA*
>EED77685
MSAFFGLGKTRTFKPRKDVPEGTKQYQLRKYAEATLGSGNLRLAVQLPEGEDLNEWLAVHAVDFFNHLNMLYGTVTEFCTSQECPIMSAGPRYEYLWEDGVRYKRPTKLPAPEYVDALMNWAQGLLDNEEIFPNKIGVPFPRSFRDTIRTMFRRLFRVYAHLYSNHFDHICALGIEAHLNTSYRHFFLFVHEFDLVDKKELAPLDELNEAILAEDKSR*
>EED77686
MSRTSAPRSQWRHLRERM*
>EED77687
MVTRELGPPPSSPSLPPSKLGSRERRPPSSTKKPSFRPSRPVLAISTTPLRHRWSLPSSAPTKPCARSAPPQNSLRCSRVRRTVPGMGTWNYATST*
>EED77688
MQAESVVVLAQSFVLARLLTQRSAYWTSWHGYHRAALLSAQPFVALRVRFTEFGCDNVHLFVVSPKKRTSGLYANCDLPLVLQPGNARLDDALHAAPPLPTWTRQLYLHTFPALKTQRGIGGMLQDEESDKFKLEEPSFQRFWSKAWSETVRYREKEWGFETMKPRSSGGDEAVQDNWDTFSKDVNDVEHLHDPFGRCVFERLEFKDLPLTEEPSIYRELAIYDQLAADYSWRGVKRSIYWVEAMRNWYLLHARVYLTMQAESVVVQAQSFVLARLLPQRSAYWTSYHGYNRAALVPNRPFVALRVRYTRYECDFVQMFLVSTRKRTSGLYADCDMPLASRSDDARVGLDGALRAVPFLPTSTTSLYLHTFPGMHARDGFTVGNSVFNDDEGDKHKLDESSFERFWSKAWNEGLRFTGRGWDSKTMKPRSSGGEEPVQDKWDTFSKDVNDIEYLHNPSGRCMFERLEFQDLPLSEEPSIYRELAIYDQ*
>EED77689
MSLDQFGIPLNTWNTSALTGWEIWMAAIVNDSSLQASLINDVYQYLTDGRSTAPFPDLYDTVSGDTAPLFYRNRPVVGGNLALQQSTVSHVNGWSFVVSRDPGIGKNCYKPMGLPTARDAYISPIPTPAHDHVTWAGSDACPTYPKVNTGHILCQNAVKKHLPAGLARQVLCSGSRNMNGHEGYTTTASWTSGAKRGVTAWRGGGTALNQAWPQFWDGDVRRVLNEVAADVCSNVFTYTYPTPMQLRRSRRALK*
>EED77690
MAYYPDWAAPTFPPEKIDFGRFDWIDFAFALPDQNYNLTWDEPSSAPGLLSRLVSAAHRSGKKVKLSVGGWTGSKYFSPAVSDADSRQTLAANILALYREFDLDGIDIDWEYPAQDGLDGNEVSPDDSANFLSFLQLLRTTLPPTSKISAAVQTVPFADANGNPLGDVSDFAKVLDWVLIMNYDTFGSSNTPGPNAPLSDACHNSTQPSSNAAAALGAWTAAGFPASQLILGVPSYGYISQSDATRLRARAQDFASEAKPLPATRRKTRSRDRGTRHARRRASARDWPGAFRVLAEAGPAIQATNDDGGTDDGQVQFRSLVAQGILQYAPQDDDGGGGGGGGGDNGTSAAPTRMTVDGQEFDSLYEGWSGFTRYWDECSSTPFLRSGAADQVVTYDDPLSLGLKAAFARQSGMLGVNMFDVHGDTDQWDLTDALRKGLGVS*
>EED77691
MKYVALLSGGKDSCYNLLHCARNGHELVAAASLGPEHGKVYTLAEELDSYLYQTVGQDAIEFVAQALDVPLYRRVISGAAVEQGSEYGGREPSQASGVSGDETEDLYALLSTVKRDQAELLAEMIEAGMEAILIKVAGIGLSVKHLGKTLAEMQPELIKLVDNNNNCPLFKRRIQLTETETVIHSDNDFATVAYLRIKNATLEDKHSRLPIQPTVPSLLSEAFKQIHHTVHKSLSDPPIKSPSIPDENIAQLPKVADCPTIKRIGQWVAIADISVISHLKAYDLQLSHCTNINIFLSSMDLFAQANAVYATFFGTSPPARACVAVDLPSPTNPADRQALHVQGLSYWAPANIGPYSQAIVVNERIFVSGQIGLIPSNLTLPSPPSLETETALSFQHVDRVVSALKNNSGGGWEGHEQGIIYWLARESDIPHVKIASEIYEKDASASILFVTVPALPKGALVEKQVLLHTGRCLVPDEDDVVIRSVSPSFQRGDQMGRESSRTHWEISYFDETASAVTLICFRGDEIDVTALLTQVPELSATWTNALSVRHFYRHHTTISTCTCMSHLHNLGD*
>EED77692
MAISRAQLPSVSLRAGIGCAGPCAPSRALSTSKGCFPTTAADFKSWRSPMSQHPMRTLPP*
>EED77693
MLLTFYFGLLLFAGLYRDSLFTNQIRAAYVAVSQIPFVVVLATKNNIISMLIGIGWDHINYIHRFTGRLLVIAANVHAIGYSRLPLDNLGEVHSAYRATALHLGCRPPSQKLQIGGTAHSGVRHLHLGVTCPHPPEVVRAAIYGDPRQCLVD*
>EED77694
MSARRRRDPRRSRTSQCRPTSLARLSAYTPSASHLITAGKESGSLC*
>EED77695
MHNPFRPPPAPPAFGEDKVVPQQQASPLSKLIFNWLNPFLSVGFSRPLQKEDLWQLPKEKLTGTLTDVVERNFYDRCPPEKRPRFLRDAKPDAPTRRDSVASTQSMTVEEISSASGDNEAGDEKAELGADEKTLPATEKLPNSAKGGSKSEPGSGKKKYDESLLKTLHDTFFWLWWRAGILTLLANTLNTTTPLLNKVLLAWLTDSYVYWKAPADERAALGISQPRGVGYGVGLAFALFVMQEASSLVIGSIFRKALRLSGRARLEHSVGKITTMISTDATRLERNAAFAHLLWIAPTQIAIGIGLLLHNELPGNDSIQGTEWRDQLGYSALVGLGVLLIGFPIQFLCVKAMFTQRKKGVVLTDKRVRTITEVLQGIRLIKFYAWEEFFAWQIAQIREKEISRVRGLGFARANLIGAVTIIPTLASILSFITYGLSGHDLNISIIFSSLQLFNIIRVPLMFLPMVLAGSADAVVALRRVSQFLVAEELDEPYTIEPAMKHAIDVEGDFTWETAHKPAPSDAKPEPGKPGKPGKDKKDKKDKKDKRTPGGNDGKPKRRLFGRKGKEESVLPVAAQNSEAAEGTESEGKPFELKDLKFKVPAGSFVAIVGPIGSGKSTFKSSVSYVPQSSWIMNATLRENITFGQGEDDEKFHEIVQACCLEPDLRMLPHAERTEIGEKGINLSAYSGADTVLMDDSLSAVDAFVGKSILENCLLSGPLADKTRVLVTHALHVLDKTDYIYVMDNGTIVEQGTYNDLMENSVVFSRLMEEHGNLEKEDNNDVQRVEALMSTVLREDKKDGPADALMQAEERNIGAVTWSTYITYFRYAGGIIWVPIIIFCLVSYQGAQVANNIFLGLWTAESIEGFTQADYMGTYAALGAASALFALLLSFTLTMSMKALRAVMHSPVSFFDTTPLGRIMSRLSSDQDTIDTELSMIAWQ
>EED77696
MSAPPEPRKVRPWALARVGGTAVSRLKSLPSMASFMSVTESVERGSPNGSMLSLPTSDTVKSDDVRDDPKTVEELRAKLDEANAALAQKNETVTTLLDRAGKAESALSAAQAEIAQQKSLLDTSEEAKAKALEECTSLRERLAALEEDRSHDQSALEVVRDELEATRKAAERKDAQFEAERDARLQVEEALKRTQADKRAVEVALALSQEKFGAQTEELHAMAARADTLTTQMATVQAESVEWSTRAREFQAELATTTQGREEAQRELTDSLERLHELEERLASLAAEKEAAARETEKKEADLQTTERALELVERELDEVHAENTEQARRLEELRVDLEKALDEHEATRAEAVARAQEHLRTLTETEQLHQGKQDMLREELTKATSELEAREAKFNADAVALKSEHDRLMQEAVQQAKVLQHFVYEIGFLTPHLQMDAAISFQNEMSVVRADMEATEERLRAAHHAAIEQVQAEHNAALEEQAKSFQVRVAEQEATLRLMQAELASNAE*
>EED77697
MSQTPEAVAFLSHVAQLPKGPGAFDALSDVLQASIDDEAALRKLFATDKTNPRLNDPHVGLVDVFDAPTDIRTTRARVVKNESDLSAKFILPLSEDRRRQEGAPAMVQTFDEFKQTWALFTEGSLSQLIDWNNVVAAGGAVQACLSPVPASAKVSKRALRKHFHNTAYPTSDVDLFLYGLTAEEAEKKIQVIYEAVRDSVPWDVTCVRSRHTVSIHSQYPYRAVQIVLRLYRSPAEILAGFDVDAPCCAYDGRRVWANPRAIVAMMRQCNTVDMTRRSPSYEVRLAKYSSRDFEVYVPTLRRDDVDPMIFERAISRIQGLGRLLVLEKIRNEIVRDKYINARRALGGRPNALPERRKQSRRYKSDLKTDLIGLEMNDYDSGVSHIPYGPGWDARRIEGLVYQTVCILLPPIKLNYKGRRLHRHPAFFGTMSECLEDCCENCPEPENDEEKQVQAKEDDTYVRGRVQFIEEDPGRQSISGSFNPIDVGEWAEQAYIGTTVRFFKAVATGDRQAVTALVQEDAQHLDRRDHVGRTPLQVAVLSRAVDIACDLIEAGARLTPRIAGGRTALHLAAQLNLADVVRKMLERSAINAEKAEASKTTAPQKAGGETRMVKADGGDELKEDGEGDDRHDESDEGWVVEGRGQDVKDVKDVINVDEVQTIDDMDNEGKPDILDVNAADWDFVFTPLQYAIYAGSTAVVDLLIAHGADASLVTVANGWNVPQFDHLTLTALTSNERVGCEIAPKLVAAGATSSQADENLMTVFHRIVRSGKADLVLTLLRCDPSAKAALNTPYVDERGNAVYPVVSAIGPGHYSVLAVLLAFGVKLVPSESEYNHFRTIRKPHWYPEYSGDYLARARMPLEAAARRRDDVVSLLIGVGVEVNVPLGITKGSQSQYAPHHTLLDWIQSATHKARAVRSKPSEPDTEDALQEAARSLSGQSGWKGKAGKVILADMKARLPVPTASSNADKERKERAARDVQDYADMVEELLLSQGAKTGYEGKEKPSGEPQRHLWHNTVSNFPEHRRLDPQLRHLSTPAAMAARYDELYEACAMGDNDKIQQLCLPGTGSKTAEPPLQIVVQFGTNNATRTLRPVACTPLSLAISGRHWDTAKLILAIASAQHVAQPSKETASDLLGSTPRITLDDNNGNDDESDEDDKPERQEKPLDFVEIAKRTSAIQSQAGPKDLMKAILHWLKDDGTVTCGTVFTRAIHDDDFEAFIRIADMCNIYPDLMENTLITVIVNDRHEMLDELIRRTGTGINVSTDADKAEGDKPGGQKKAQKIYLGLTVHGKKRIDFAQIPGKRSRTKSRARSRKPVKPAHMPPLWHAASANACCVLRYLNGDRPLAAYRYYASTHSDERAQYLRRTADLPVVLPDWLGWTSNPFNESAVTAAVMSGELDAVQKLLELRPTEMTEALNAKIIFSGFNHVLAAANWAATPELFDFLVNKGIPVTDIDHRGWNIFHLLAVHARQSHLKLMKHALSTLPQDVVEKMLMQQSRNRQYTPLALAVKRDQIDAVRILVGAKASPYLLRDVYGMIPLHVAIKGGRPKLTQLLIDAGPVEALYTEDSVGSTPLE
>EED77698
MTDDRAIHPDLSHKCHRIRRFSSHGQGHLCSLVRSGLSRLLNLSVDSLANGWCSAFETIPANPHHIVPTSLLYVLRVALDLTAEILDLLSHTPQLTDRRGATLQLRVSTTMSLSRRDAGPGAGGIVGIIIAVALAIALFVALCYCRRPRHAPVKHKDIELEPRRQNEVLQLETESEQAWKPHVDHAHSTLYTPFTAMFGIVPSISLSCHSAWMGNFFKGSRQDHQCIHAVADPLTTNSLSGNSTPASLTSGTARTSTNYTMSSSPSSTLAATSTTPPAPVGSSSSISQITHRPASAIGIAVGAAILGISLLASLGWYYYRYKRGRRKTERGAASDRDKLDDALGIASRLSTTQHVVPSPIHDPRSHPPLLGYVGSEVSTTPATVAPSTSILVASIAVLITSRIPVVHSKRQPVLAESPWNFWALLHFCKQILIAVEGKISTHTLLMPVINTLALAVNATKLNIVSFLNANVPECGQVPISWSGGIRTISPFYDYCDSDKKDLQPPIIYVDIGVSTDPYEMYGPLNGTSYIWDAGITDGNQVHLAVMDSTTAIVTTPSFTILPGNGYCESFSTLISVTSTTASAIMTSSQGSPVILPSASGSGSSRIPFRSATAQISSFAGSAGGAFGASMAASSSTAGARCNESGAKSPTLEIMHREELSLQMRSREKQMIDLQCRGSQNALDAAGEMLDVIEIEKERGEENGHDSLRQKVEFLRIEVERLRIAASALDSPPPSYGASVSGLHGTGAPPRLIGKGAPTVSAPSNAIVPSSIGGFMAHSVFKFVRTPYISRIVIAETIVLSAGLFGIFMQLGDANQITNYGIMLSVVTPILSGMVSTEVQLFYGWRIWILSSKKLLALSLVIVLLALGQLVSSIVSSLSISGASPFGNLVYSSGEGSIVPIVMWLSLSALVDMIIAITMFVMLNKRKRGEEDTDALVTRLIKHVVGSGIATASIAVLTLILYIVDIQTPNDMVGYADCPYACTALISLNNRDLVRRGQSDGVRPYGRRKQSTFEKRSLVERTTAGLRRLVPAVRREPYVIQPYMLDVRETDMSNETQTPEEMLDSQKSGQSSSSEEEVVDLEYA*
>EED77699
MLLQSMRLVRRLHRPSKPSSCVLTGRRVSIDFLPDEILEAIFLFGCDESRCNVCNQEPTEDVPRLRRYLERSRTRPIDLSIALPAAARAISLDSLSDVMDILLLHLLRWRELHVESPFEDVIRLILTKLAQPALFAVALEVLDVRRPIAKMLVPGSQPLPALRSFAVASAAWTLSDLGPLALSSPLLTHLSIRSSYAFVAHAPLHFPALKTLQVGDCYQNDTILRQLHAPNLEELHIEEMEIIRDETAGRYVDALRDGNSMNGRFPKLRSLKLHISEDSSPLILGRRLGAFLTLFPSIKHLILDGCHVRHFLKGLADLHSEVFAAETLLPNLEHIALGSEYGTYVMDNAHSAEYIQDVITLVKARHAAGVPLKRFSCLIARRAATAGKRRTFLRDSPSTHVYS
>EED77700
MDTTRNNYPNVRSKVTDEERTFKKPYQTVGRPETTDHDIHEEYLKDPPHNQSNELSTDRTTRGLKEGAREQVDPKQEGVLGEETTGKISKESELGTLTTENSVHP*
>EED77701
MSQTPEAVAFLSRVAQLPKGPGAFDALSDVLQASIDDEAALRKLFATDKTNPRLNDPHVGLVDVFDAPTDIRTTRARVVKSESDLSAKFILPLSEDRRRQEGMAAMVQTFDEFKQNWTLFTEGSLSQLTDWNNVIAAGGAVQACLSPVPASAKVSKRALRKHFHNTAYPTSDVDLFLYGLTEEEAEKKIQVIYEAVRDSVPWDVTCVRSRHTVSIHSQYPYRAVQIVLRLYRSPAEILAGFDVDAPCCAYDGRRVWANPRAIVAMMRQCNTVDMTRRSPSYEVRLAKYSSRDFEVYVPTLRRDDVDPTIFERAISRIQGLGRLLVLEKIRNGIARENYVDARRALRGRPDAQRNWRRQARKHKGDLKTDVDFTGLEMNDYDSGALHIPYGPGWDARRIDKLVYQTDLGVNSMFNPNNKGRRLHRHPAFFGTMSECLEDCCENCPEPENDEEKQVQAKEDDTYVRGRIQFIEEDPGRQSISGSFNPIDVGEWAEQAYIGATVRFFKAVATGDRQAITALVQEDAQHLDRRDHVGRTPLQVAVLSRAVDIACDLIEAGARLTPRIADGRTALHLAAQLNLADVVRKMLERSAINAEKVEASKSAAPQKAGGETRTVGSDGGDEDKDDGEDDDGHDESDEGWVVEGRGQPGKVVKVAADVDEAQALDNESGADILDVNAADWDFVFTPLQYATIAGSTAVIDLLIAHGADVSLVTVANGWNVHQFDHLTLTALTSDERVGCEIAPKLVAAGATSSQADENLFTVFHRLVRSGKADLVLTLLRSDPSAKAVLNTPFVDQQGNTVYPVVSAIDKGYYSVLAVLLAYKAKLVLGEDDFDHFRTIRKPRWYYTNGDYLDRARVPLEAAAQRRDDVVSLLIDAGVDINLPLAITKSYQSQYAPHHTLLDWIQSATHKARAVQSSKPSEPDTEDVLQEAARSLSGQSGWKGKAGKIILADVMARLPVPTASSNADKERKERAARDAQDYADMLEGLLLSRGAKTGYEGKEKPTGEPQRHLWHNTVSNLPEHHRLDPQLRQISTPAAMAVRYDELYEACATGDNDKIQELCLPGTGSKTTEPPLQIVVQFGTNNATRTLRPVACTPLSLAISGRHWDTAKLILAIASAQHVPEPAKETANDLLASVRGITLADDDDDGESDEDDEQEQEPQEKPLDFVDIAKRTSAIQSRASPYNLMSVILHWLKDDGTVTCGTVLTKAIQDDDFEAFVQIADMCNTFPELKLTLHAALHPIIMNDRHEMLDVLIRRTGTGINVSTDADETEGDKPDGPKKVQLLYLGLTVHGKKRKDLAQSLGKKSREVEKPARMPILWQAANANACGVLRYLLGDRPLAAYRYYASTHSDALAQLLRRTHDLAAVLPDWIGWSSNPLNESAVTAAVIGGELDAVQTLLELRPTEMTEALNAKINFSGFNHVLVAANWGVTPELFDFLVNKGIPVTDTDHRGWNIFHLFAVHSSKSHLKLMKRALATLPEDVVEQMLMQQSKGFQYTPLALAVKRHQVDAVRMLVGAKASPYLLRDVYGMIPLHITIQEGRPKLTQLLIDAGPVEALYMEDGFGSTPLELAEVRALRNITREGFPCRVDIPNSCGTNASAQLEGCRFDLPTQEREVTRLRAVISELLQEGHLKAGTKLAVALQAFSERMDAKIA
>EED77702
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHIMVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFIDKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLWEVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKAEDPRGWR*
>EED77703
MIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGAEQTCHYCGNRGCRVGICEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGSGTSKGVAAPSSTSISTAPPPTIPAASHAPPSPPAQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYHDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVTRDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANG*
>EED77704
MDPQMLNTMMAQLGISPEDLQAYQDTIGAVGIPETSTSPPQFHPGMSEAELRVAIPRYKAWFEEDRKIPPQRARYVSRQTLLSFHNRVRQARWSDGLPQGEVSTRMTISGFPRHSSIVPLERLERICFSQMLVRKVHLGSYLLCRSIAPSSSVQLLIEDPEGNTHYLTIYNFPTTSHCSVKYLDDLFPVGTIFAIREPTFKAPTMGNYPIVRVDSPSDIILVSPGHSVLKGVAWRTDNPSSKILAVSTIDSCRNRGNALFQASHWLPAALVYSRGLVANPKATVLLSNRAEAYLRLGFWSGTIADAKRVRTSPDAPEALRNKARFREAKAEYARGNYGTAEDLFLQQQSVRPDDEEVKGCISRARKRQAEKETGEYDWEVLFKQSRSDPHLDVAEFRGPIEVQQIFRRGGGRGIVAVQDIEIGQLLVAQLVAKPFHSVYERDLYPNEVMLNVDLITCEMKTRTQSALLVGVMQKLLGNPELHGLVFDLYAGPDSSPPSSYPPVISPDVTPVDPSQASIDIDVARLDAIISFNSFSPLVLEPISCRHEPGPEEGDPSGLYLLPALFNHACQSNAVWTCVGDVMVIRATKRIILGEEITIPYISGHPYEERRAELRRYSIDECDCSLCRDDRKDDESAHKLRDELLAKSRLIDASLAQLLSLEQQFAATYSPSRGPCKPRLAVIQHAIAETLLKPGSTASIPDAIEYEKRALESLGYIVLGKDAMQQSKSWEGTTLPIDTAQIPTASSFKIPALWMLRLAQDYLRLSDTSGAIGWLKAAQWLVATWVGGDKMFFMRVMAPVLEECNLKRLAATVASAQPSPDTTHCHWTREGSQRRLYNAMARYLHSIEIAQNLRCPPPKAPALYHVSLYEGGTSTGISCSGGAAPADEEDASTAPKRMPESACNPACAALLFAWFGEELFRTDISGAPELEPAAEAE*
>EED77705
MSSSSAGVTDVRSDGGRAMKTKQVFAYGKRGHRIVNVTEGRKSAQECEDGDIVVASTSTRGHSSDSFSVVSIRSDTDEADFMPSPVRPAKPKKKIHDNVSRQLETSVPKQCASRDERSRIQRTEPPPKQTQKVAPTKRLKKSPRAAKLGRIDVSMPVRHPLSVVSINTPGSSAAPPPARKKRKPAIGKGTPLKTSSPLVDVDIVIMDSQGRRVSQERRVSKAGVQMNKVIVSPGKPHKALAGLKPLEQSQGARLKPRKEYSSRGKTKARPIIISSDESESEDEPANLPSKLPSLNLCLSPEEDERHIPPPRSRMATSRPRTNVIISPETSPSTTQSTPPHPAPSGSKAVVLSPLPPAAPAFVQWTPHAIPAKDLAATFSSPVVQRGKARQLTPMRMRIGRTAMFPRPTSPPSPTTPTDIDIDFSFDFSNLTISPGAFGSLAPVPPAYLQPLLEECSQTTPHEFSAFIEMFPYDPIVREGAWIKGPAQFQKIGEASYSEVFGIGDVVLKVIPLRDEEKPRTAINGEEGLDMPAPSDARDVLREIIVTHAMGEMCSGFVQLLRTYIVRGKYPSLLLDLWDEYNEKKGSESARPDSFTVSQVYAIIVLPNGGPDLEAYAFESATKTGWRQACSLFWQVTRALAEAEDIVSFEHRDLHWGQILVKNLAPLPKPSLKATMKTSMDDVVHGVEATIIDLGLARMDTTDTDQIETYWTQFDEEVFEGEGDYQFDVYRMMRTHNRDSWEEYRPLSNVMVRDTAMPA*
>EED77706
MVFMPTWLEFIGGLPNPYNLQDINIVNVLQAFFDDVLMDVQYSISVHDAVYKLVMQKVYDWRSMINKGAISALIKYWEDEQLTDATARAEYVKKALTGPTPPFLYQHIKYDADGLLIERYGRFQHPIIAETLASHIQVTEEAINGCDKYCWGALVLALVTNVWAPRIAFYGKSIEELNNDTWDAILTKAEMVMRKQGLVFSVDPDSDSAILGNPAELASVLYSDVESDEDIAA*
>EED77707
MAHKRFYLALGIQFMQQMTGICIVTYYTPTLFQVSLHMSQQMSLFLGCWVQTWYFIASLVTWYTIDRVGRRKLWITMAIGQMILLVLEAACVAIDNPASGAAAVCFIFLYETCFTWGWMATVWVYPPEILPLKIRAKGSALAAAADFLGNFLVVEITPPALQNIGWRTRPNSRVDR*
>EED77708
MDPYHAYLKTIIRDAVHHDGRDMESNSDVPQKVKEYAHLLRDAFELPTWVEEELQSSSRTTCRPQARGDGEDDERSRDTSLRRIFNTVLNDCASIRNVLCADEEIGTCSPKESFLCILVRNALCITRRDLVVDEEALVALPRHWSGELSPLFRYMSTCVCAPLLVSIAADVPLSSPIVDPQGEGGDGDGETISTGSGNSGHEDIEESSNGCSPHDDSDAVNSEVIRVQQRPGALPVYIVPHRSLLVSEWLRLPAVNRDDYDEDTDEGEADKDQRSPAGLDLHVLECSRDKPLPTDVTVPVVCIADDDTLPVIMASILYQRRIWHVEEPLIGISFERYRSSISFHLGWTEAELVDGQCLGDIDIADPEGALTVAQFLVSLDRHIASIRDDIASSRAILADELGQRSIVRWRVDSVITADNEDSIGEPEELISLWLGGIEDPELSX
>EED77709
MVSALYQRHVWRVQDPLVGISFKRYDTSISFLLGWIEAAKGDDYLPNIDLANPECVLIVTQFFLHLHGCIANIQNNIALSRSVLAEELRGERLVRWRVDSATASEDEDCIGDPEDLVSVWLHGLEDSETLSFPASAMSASKRPKPTSVKSEPLPPARLLSAGARSPSTTSSDNTSAVSETGKGPEESLLKVLLRSS*
>EED77710
MGSPAAVTYGPIFVGCVLNIVLYGIMITQTFLYFTVYKKYVVVQQSSRAASNHYHDRDKLWMKLFVGTLFLCDTLNCAFDIAFVYVALVDGFDNPIGLDYASWVFATDPAMTAFIALFVQMFFAWRVKVLTNSIPAVLFVMFCSLFQWCGGVGTAIAVGMIPEFVHFQRFEVIVIIWLAFSAVADSSITAALVWHLVRLDSFHLVQHVH*
>EED77711
MSKSVEGIINEAKERKERERQTKAVPIPPLRSANPKPQDSLIAGSSRPCPDTPVIFRKVNPNWTPDTTQWTWDSSWPHQEHLSGEEWKNVGRNARNEWFDEQEDDGVDWELYGDGEQVAEAGLYTGEDKGRLCALVRAQLVRAQHADTAPGAGV*
>EED77712
MSEAQAERQPLLHANDAEATEVYPVIQMIRAHYIGMGYTPFCASLTAPDLTYTLVRPLEEKYNALQRRGNMSIVFCLLLNRVYFKRDDHLTTAALSRTRAMLCEILAIRTLRQHAHNMLELALAITTSWPVYSGAPEELLQRAREERDDDLEDRVGNAIELAILGQAKRFTNSSACQKVIDGIWWHLFLTHYVLVSILSNTFATINDDATAEAMFRRAVLTIEGVKADPLFSYQPPINLVALCIMLPASYILSPRWFHKVNVFMIRLTSFPTLLLIAWYERQAKRSGAFTFSETISAAADKIFDTLPRSLKRLTFFEGLSGPDADIDAIFELEDAYDESALDEEDSEQTVPMENSRQRRLSQMSRRQTSQASGPTTPPRSSVKAEQLSSSSSVPLPRTRVNSTFMRGLELAHNVSSPLAQVFQPLVVGDDHQDEQSSDHNNALVSYGPATRRRLSSMVRRPTAPPADPFGGPSQPQPIGARRIPTGVSPSKRSGVLNASLLSESPDAKSGSEHMATMEETEESIGMSALARRLDGIEQQQKRIEDLLLRLANRG*
>EED77713
MAESGATTAIKNAVGGFAETLPVLMKALDEIGKIHPVIQGSILAHELSGGLKNVKDEAVTAPDGVTIEARLQGLMKQTAGDIQSCGNVCDAYSKMSLLAKVLKGPLWEGTFASFVSKFSQRRDDIEFALSIHIAGKVDGLHEKVDDLAQIVERKTDMIIEFLKNYIPPEHKEVYEQIDRRGGREAVLKNDDALQSLVSAELETNSSRPSNQATALARNMKHELESQLIDPTFQIQKNNQSFDRKFEMQQRQILEGVGEIVHRESDRVIESVTSGPHDRILDKDLHQIWKDMGWRGSVKARHFVLALRDYEQERREEKLRKEATFQVAPTFDPDAWAFDWITVKRLQAITEALDDDASGFITVTEANNFTSSRPADWSLPHWLAYWAIGWQISATIYRDKIHEIFEKMFAIRPHLHEANVLMIDSYLSEIWAKLLERFQSYIDAEEERLKKNLEAIKYHVDDSTSLALVTGPGRIEKYLFPLIYLLLKHDYAVFCIGRKEIVNERHNIDASDSQWTINQAVCDRIDDMKASLKHQRLNLAHHFEHAYSKVYHLMYLAIEDPESPLPLKTIQTFPYKAVTYDDTKEDKDAPTAETVLFYAYDQSQLSAGAEAMEDIATDEDRKATGHLKNILGHWTGYHYTDTKYPFSVPFTVFFHTLNAESTQYEALVHAPDSQVEFKVAGDIVTEADGKVTYQFRFIIPVHGMTVDYDGTLNEDGSMLSGRWGPDGTRPASFFFSKMPEQVLICRPSPMELQTNRIRALWRFALTAVQEQVARRRFTWKFLAKRRDVRRRYVDLALRTHLGRPLNEAEDEERRKCLGLLSPLDALIAHHLMGLRKRTICIHKNIACDSCEDDDRDIIDGRIVCLTCGKDGGESVDLCEDCIDTEVDCTKRPDLAAPHLPTHDLYKLRSLVHHREFRQIASMARDALERARTAFKDAQDVAHEQTEEGGTGETEDHLTKIVQEDLTCVKCKERVTQPCWYCIVCEDAVFVCMKCDTGGGVSEGKHAKTHGLVRCQEEIVETEVPAEEKLESMVTNKFAKVDGRLDEMDTRLRQVDERLSRMELLLQAIALKMGAGQDGSS*
>EED77714
MARDRIVEADPEDLDLVLSLWYIRLSCLARLRFFNQTSTECVNLYTVLEGIEPASARQWLFDKKLPFELEVLHVRLKYWAGDHMGYLDALAGLLKQCKAKARHASGRKDASTAAMWQERGARMCLIIASQLIEMKDFAAAARLLEPLCRQGDVRSPHVQSAVARIYLQGGYVSAAARHFGAVARDPSADASLKALNSALLASANGDWPAAVEALQQMLAADPENFVAINNLAVAYLSQGRIQEGIRMLEEAIKLSPSTTLQAEPLLFNL
>EED77715
MYRPYHVLLWLAQALDLACPVLKGQPLKRKPSTGSLRTMADKTRPQRFLKFIEVPIDPNATYKNDHWTNRDLIPMPADRRTYAIWSYFIYWCISGLCISAYTTGSTLLAYGLTAGQAIGALVIGAVATGLLSISCGWMGERHHIGFTVASRFTWGMRGAYFPIIIRTFTTIFWDGLQGYWGGQAMAVGRSSLSGFMVLINHDSLA
>EED77716
MV*
>EED77717
MRLCRSGVVWAEIPAYEEGRRRQLGVGMAASRCRAALKHRSSAALLRPSASCSPLSSWTSSVRAEWCHLH*
>EED77718
MRGESFRSKYTWPQTIHSPLRRSRSAREYIM*
>EED77719
MAAGMKRKRGHATQTLPAKRRPSSPEKDADSVLSDADMLAAGDTHAPHSNDLLDESEEDEEAGTNEEQWAGLGGEAATAGSAGEHPGTHKNQPPKGQELRNIKDASELYRSTSFKLQIDALLPNVRPKYSRAAPLDRFLLQLHAFLNALPSSAAQHPLEAARDLQKKGISVPFALPAPTAETNWKVAFERPAEIVLALFQEKDYLHSRFFHKRAYYLSVIAAAISDKSGMNVEVFFESPTGDPRLTTLILRPRNGDSETDFSGLNAEIRIIPVLSPSSPIPLQRLSPARSNIRTSGDASDTPTPLYNSAIALCTAYKRHFLGTHNLKESVPAFADALALLRVWANQRGYGAGDRLCVRGFERRGMFWVSVLELLVHGEESAAGGFGKAVKRKPLGKGLSSYQLFKAALDFLARHDFSKDRVFVKSADGHRFPPETYASHEAVFVDSSSTVNMLAASDDPFSSVFLKEQRDIASRFDVVLRSMTDFGTRVDLSSAKLHKPSQHAILEHGSAYNALIATMLSALRTGFGNRTKAVAVLHPSPQARPLSQANPSNPSIVYVGLILDTEHAFRLVDHGPAAAEQESEAARQFKDFWGDKAELRRFKDGSIVESVVWAVGNQDERAQIPTFIVRHVLKRHCGIADDAIHAWQGQFDSVLRLPESVSAIYQTAGVPAGFKAAMTAFDNLVRAMKALDDKLPLAILNVSPVAEALRYTSVHSPVSVPASLASALPPSARYLAPMHIVVEFEKSARWPDDLRAIQKIKLAFFETLATALMATQKGLRAAVSVHDGGAPSEIRDQASLEIVTAEGWAFHARIWHDREATLLERAINDKPHISKRLQRQSGGDPRERQAALDAQEVYRRRFIHAPRHHRAVAALNHRFPAFSGTVRLVKRWFASHWLLRGHVSEEAVELLCAGIFLRHSPVASEDGVADRKAGVPGSKERGFALAIELLKDWDWSTTMFVPLYGSDDAAGSSGAAAGVIAGAKAGVWTLPTERDPDGHMWTAKSPDAIVARRVRALAKATWECLGGIESRKLDVAALFAHPTEHYDFIVELDPAVLPRYHQNIQADASVWARKGKYANARADDETARLLPGFDPARLLYDDLKARLRDCARDPALTNECN*
>EED77720
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPKPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFIKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNX
>EED77721
MELQARASNTDFHRDPYGYVPTEWICILFIVLFSLSAIVHAGQATYTRLFWLFPTICTAGILEIVGWSGRLWSSKNILAKSPYLMQIVTTIIAPTFLVAANFVILGQMIRRLGQCYSRISAKWYTIIFCSFDAIALIIQAVGGATAATAVDQNKNPNKRRKRETHADTQGGHIMLIGIVIQMFGITVYMALAAEFILRYLYDRPVRASGDRPLAAFSFDRKHKLMLAGLTFSSICIYIRSVYRTIELANGWTGYIIHTQRYFDWLDGGMITLAMFTVNFFHPGFLLGPARTWATGKEVDIPLKQMDSDIA*
>EED77722
MFASAVLSFAFAASALAVPALQARQSGPCAGFGAGSTVTPTYNFTLTAVPSGAGANATGAPLVLGWGPAGDSPAASEWVLSTEASWGENEWPCIALQDGALLPQPGTDEHGLGAYNFGTDTGDEVLFTIIGEEASPSTAEIFCAALVSGSYVELAVNGDAGNFALCNATTTWVSNQVNLVYAPNADNEDYTYETCTPVRVELIPYDG*
>EED77723
MSVSLSLSSLLLIFLVEYISTSFVDRLQSYSSPVPSPSSTPSRCSSPIPPYSSALFTGQAPITSPAQIPSPVSSSSVEREDFAVGHTADAPLPLAPPESAAEVNDSLSTSSSTSSSSSSSSSDAHERTPLRAPSHAHAHPHLDGTYGSTRPAARNHAAYAHTFPRSTRRPRRASDPSQGPSGVGVAVAEIFAGGHHRHEARGAHASHHGGGKGAAWRWLFGLGAGEPSVRPVLEDGEVGVERHVRNEHSGRKRSHAHVHEREHRHGRRHGHARGHGHEHHYGHGHGHTHLDMEAWGSGEQVGGEELDETEMQVGRRRQIIGILMLEIGIMLHSLVIGLTLAITSGPEYTSLATAIMFHQLFEGLSLGIRIATLSAVSNAKGRMGLLKPALAVSFAITTPVGIAIGLGAFKPGQSEGGECTPDFRWYRRM*
>EED77724
MKEHPMREQRKRIRFGSPSDRQSASQPEQPHPFHAKSLLSIPAEILGIILDELDARDLYTCMLVCKVLAAHIWNTTSLMYKMELAVAHVENGPPNNLCSADRLASLRELQRSWREPHFPRIWTVPCDSVFWSASCGVLALTSVWGSLQLYQVPSQLLGVPEKQWHIENSDTEMLVVMFEFDLTQDLVVFVQANDGMYQCLHPRSLSKPSEKHPLAATPHLVPFDFYFDDCPCCLYVQGDTIGWLVYDHEERVSSFQLWNWKTGRQILHIVTRENEAPCTTFMFLDHRRVLVTRLDQLEVYAFDADAADAHVEPSRICTFKLPEAARDAEYLRSSFLAQNHSNVQPSPDRHPAFRTPEKHELFMVYTLLCKRHAHVGTGFVFMVAAPVILCRIEHAYPGQCFAWDDWGSLDTRVIELPEGHVDTFVDTTGHSVQFFAF*
>EED77725
MQRSSALPDLLDRFRITIDLRTNTIPARVLPAPGIIYGNGIRVVRDGLWNVKDTRTLSGATVSNWAVLLVQGGSPSRFTGIDDPNFRGFVSLFVQKCRAFSMKIPDRPFVMQVSVEREDADVMARVQEIIISRLRFRPSLLIVMLPWRKRQLYAAIKYYTDVVLGIHTAHILLPKAHGDGYLSSLALKLNAKLGGVNYYLDDQSIRWLSDRADMIVGLHVRHSPPSTEMVWTTAAMVSNVDGFFHNFPARLKVAVEFQKPDVGRLFGQCLALYRSKNGRLPERILIYRSGALPHNLLCEEVPQISSAYFKAQGRLGDPAHLKLSIVHCCKSRNHPWIFVDQDKRYVTATGSDKSLLSLHVKAGQKGSLPLHYTVVYDTNGYNPDDLQQISHILTLKNDHAGAAMSMVAPIKYAQWR
>EED77726
MPLARSHFRNRSRSPAPNTLPSSAFTTIPQRSPVFYPPSHYAAAAGLARPEPFMPETPNPHQPREQVAQTPPSFTPASPLSYPTRTPVVQPVEAEQRPPQSTSHLNRMSRFIARSPSRSSPKSPRRNSTKASDRQKIIDDLNTSNGTLVDLPLVEMQLIPTLRDTIDKMTHPSPVQREESETESMDSGTYARLQASRIPGGGRRASVWTDDARSPVGLSFRTDAAYSPSPTPPDLPNPHGMSFKPAIPARVAARPRPLEEASAKAEPTPRTTSRIFASPKPALKSALRTPIPGPSAILEERMPDRKSLRTTRPVVLDPETPSLPQGSRTEDEHLEGYRNAPPRARSRTSGDQPVPSRAVVSHLPVPKFKMKSNPATPQQPSRPSSGKSQPPNLPLPIQTRIPPPTNSRSNLPKPGHSSVYTRDSGSESESRAKPTRLGGIIVDNVRDILSSSGSEERERSKGGPANRMRMFSAQERPPATSGIPVCGTRYGRSAAQHTQDIRDPSNRTRQPVGLGFRMTPAPDTSKPHHSAEEDVESVYDEDNAQDDSSVEEDLYRREAQLGGRVTPEMSMRARLLDAVQHDETDSDPASFKRK*
>EED77727
MAAAAIEKRQSGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSGGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGVVDED*
>EED77728
MSDPEKRPSVSPLDVNLSSSGSSVEKHGEHDVVNAYDVDVAAQLTSGTGVAIDPAESARVRRKIDMHLMPLMCVMYLMQFADKTTLGQSAVLGLLTGDHLTQNQFNWLGTIFYLFYLGFEFPQNYALQRFPVGKWVSINIFIWAVALLCHAAAKTFGQLFACRVFLGICEGAITPGFMIVTSMFYTAKNRPRELMIIFGIITFVVSILFWFFFPDSPATASFLTPEEKVIAIERIKVNQAGVENKHFKMDQFVECFKDPKTWLFFFFAAISNVTNSLSNQRQIIVAEFGFTDIQTTLLGCVDGVVEIRLY*
>EED77729
MSPRVFEGGCLSQCHLWRPVFCPLDKEMAAVEQYYTYDIVHDEHRIKGSHLNYYERFIENNTAHGRTALIMPHNPKERYTQKTTFMDAIKVAKVEGVLCSKAGSIASGTVHLTAHHLIFHYDDPAKEEMWIPYPLISLVTRLPLTLQGSCPLTIRTRTFEAASLLFGSEREAIDVFDSVKELTVATSVTQLYAFYYVPNPPYTANNX
>EED77730
MALPLLHELTRPITLCLPSPRTALHAHDDPGVCTRDYAYVHDAVPAQAPTHRAFSNPGAPFSLQSRTSTNILQYNLVSARNGNGNGNGRSSSGSSGSGMDALPGLWQMIGTLRPQSRRERSRVREKERERTAREQDAYNPPAQAPELVRRERERESPLERQRRSGAGMPLERLFKKRFRTRQSGSSGGIPDIMVESPRPLLPLPEFYLTTPSAVGIANEVEQQLPAGFVPMSAADPPHDFGATKAKGPRYSYEVAPIPPGVEKRHAWEEHYAAWRCRGGAPALAIQPPRPARQPERPFVAPIAPARVYGISFCPRAE*
>EED77731
MPVALATIAGARASAKEQSCRLQRANATTMMSSALEPGHGIRALRPQNVGCVTSDLASPIGTVAEKRQGRETASRATRKMPPSLCRSAGDEAETREGRTGRTGDLLTTGWPFARSPGSFGQRRRASSPSRGVATRGRAGHLMQREYGRLCGVRNLRRASWARRHDQLFIFSGHTHTVATKANEPVWARSVSWRGHGPSVSAPALPISPNSTRSPPGILSLGSGSGLERNSKSPSTVMGDTFPRLESYSCLRSAPDAVRPSRGQRAGSVLHDLRSVEAKGQESVRCTIEQNVLHYGYFWLMIFLTAMGVYVETSVGIGFEQASAKVYGSEWRGKRSNTKRFVSSTGRGDSSPKQIHKDLLDMGTAGKVTGDPNARMRWTSQSYINHVWNKYWVALQGWNPHVPFKNPSNLPGGTRVFDNLIFRCERGIIRFVKIPEHERCNIDMTKVVPGGIVARKPWPGRPDIKHARHRPVSNPHNLPLRRQRPGPITPEYVWENADAEIRDDGTLEDDEIVETWDEECAQAQQQCEQMSTNGYFWQTLMHRHGLCTPRWTSCRTTSSDHIMALPPPHELARPIAPCLPSPGTASHTCDDPGVCNYAYVHDAAPAQAPAHRAFSNPGTPFSPQFRTSTNISQYDLVSARNGNGNGRSSSGGSRSGRDALPGLWQMIGVLWPQSRGRACARESGSGLRGSRTSGAGTPLEDLFKKRFRTRQSGSSGGIPDIMVESPWPLLPLPEFYLTTPSAVGIANEDERQLPAGFVPMSTADTPHDFGAPKAKGPRYSYEVAPIPPGVVYPDPPGRRSATPGRRSATPHAAAGVSLPPSPSSTPSPRGRRGSLSGHFSPLSLPLAFVASPFARAPSD*
>EED77732
MSDRIRQLEDALAILQSSVTRDLHPLLAHDLLKIKSGLELHSAAHLQGRAAYSEDDSAQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSESLLLDENEKSGQPPPAAPHASLPRALARLTTAFPAAPSGLADADADMDVQELMEAHLPPWPRAAQLCDLYLEQAPWFFGAVTRRQLVEEVLPLFYAEAVEYRAHAGASGSGSIEAGAQLSSSAAAFDLQPARTPGTAHDLALLFVTFCFGALTDVELPPAPHNSEAEQYFQLTRAALNAEPLLERPPSVVTVQTMAVCLRQFGAPRVVETARVAETM*
>EED77733
MTNSVPAGRKHRAFCGRCFCSVGCGAQWDRSAYDRGTEANQRPAFDQKPKGVARGNESDVDDARSAAAIPGHSPATCTGASVAATATTACAAAATACAAATTGRAMPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLAHDLLKIKSGLELHSAAHLQGRAAHSDDAGEQGDEESQYIDAFGTLASLLLDENEKSGQPPPAAPHASLPRALARLTTAFPAAPSGLADADADMDVQELMEAHLPPWPRAAQLCDLYLEQAPWFFGAVTRRQLVEEVLPLFYAEAVEYRAHAGASGSGSIEAGALSSSAAAFDLQRAPNSGTGTAHDLALLFVTFCFGALTDVELPPAPHNSEAEQYFQLTRAALNAEPLLERPPSVVTVQTMAVCLVSADGG*
>EED77734
MDDRHGNMAH*
>EED77735
MFTLIALALCVAFLAYYRSSYRSNTLPPGPGGLPFVGNIFDLTTHESWRRAVQWGEEHGKPPVSPDGLWFLTKTLRCGMGDLVPLTRYGGRLRYERRLMQNALGPSAVEKWQRIVMKESYMLLQELSVSPEAYLSHIKRMAASLIFATVYGYTIKSGDDPYVQCAEEFMEVSSYAITAGWIIGQFAHCLNPTVRWIPYTNFRRTAITWRAKLHDWIERPHMMFKGLPDSAMKRTSFCGNLLMSEDETSAFSDAPLEEHVKWIATSMYGALVLNPKVQLKAQEEIDNAVGKSRLSCFEDRPRLPYVECVLKEVLRWGTPVPLTPPHRLTRRDEYRGYTFPEGTHFIANMW*
>EED77736
MSTRLSRASYVVALVGATGDLGNHVANVFLTSYRPFFSKIVVIARDTTTASAKRLAEQGAELRQVNSADPVQSFAQAFAGVDVVVSLVGNAPLEYKDALFDGALKAGVKAYLPSEYGSDYRVNDFPGWDDVAWIAKAKHVRRARELAQGKVKIVAVYTGLFLEGALGPYSSYFGFDTKNLTYTAVGSLDAKTATTTKADIGRSLAELSLLALSPELASQVPDDVHIAGDNVSYRDVQGIAHRVRDELGLNKGDIVLKSEDLEAYRATVREDQLKKPAPGPLRHIRILIAEGKMDFSKNHNELVNPGQKVWKWRTVEEFIRAKGSKFFE*
>EED77737
MSTKVSQAQYTVAIVGATGDLGKYVTAVYLSDQYRTHFSKVIVVVRDPESPGARKLAEAGAELRRVDAANALSSFTRAFSGVDVVVNTVSNAPVRYHDALFDGALQSGVKVYFPSEFGLWV*
>EED77738
MLGAGMVIIQPATANVVLIHEAAKNFWFLPKGRKDVGESLEQAVLREAHEEVRHLNTGMPDEAHYQAHVLKLRQALDLLEG
>EED77739
MLQL*
>EED77740
MTSSSVSFYSRLRTSVSVVIKHATKHTGVGLVCSVAYFDPGNWGVDLQAGSQFGYKLLFVVLVSGLFAVYMQVLSSRLGCVTGLDLATHCRLLLHDRPKHTLRWRWLVLYPLYVLAEVAIIATDLAELLGSAIALNLLFPRLPLWAGVLVTASDVLFLLAMRNPLGAQPVRMFEIIVAALVFTVLICMAIIISRSDVHWGEAFFGFVPSKDVVSSSGLYTSIGILGATVMPHSLFLGSALSTQEREKPHEDQAIDCFLVTKIVGPAGDAKSHAEWENHSWAFVHTHLYHGIVDMCISLIGIAVVINAMILILASATFYYGFGQTGNESPATLFDAYDLLKQILGTPVAITFALALLASGQSSSIIATLAGQTVSEGFINWHMSPVMRRLLTRCLGLIPSMAVAAGLGKPGISALLVISQVVLSIVLPFVVYPLIYITSSKRFMSVKKPVAQHSDGEASAVVAPDQSSCACVSETKEVAEDMIDCSNGWIMIILGWIMWIIIVLANAYAIVTLAMGED*
>EED77741
MSTPATRALRVALRGDSILTHPRFNKGTAFPAHERKAFGLTGRLPYRANTLEEQCRRAYDQLITRETPIRKNTFLQSLKDQNLTLYYGLLSRHLKELIPIIYTPTQADAIANYSHLFRRSEGMFLTFPNQDTMEEDFLEQTRGRDIQLFVCSDAEAILGIGDQGVGIATAKSAIYTLLGGIDPSKAISVTLDVGTDNEDLLNDDLYVGWPNGRVRGKAYDKFIDKFVQLVRKYHPHSLLHFEDFGVTNAKRLLDYYRDQHAVFNDDVQGTGAVSLAALLTAVGVTKSRLADQRIVIYGSGTAGLGITRQLRDAMVQIDNMSEADANKRFYLMDRYGLVRQSLGPSKIREDLHEFVRPDDEWTGVPTNDKGEIGLFDVVRKIKPTVLVGCSTRGGAFTEKVIREMAHGTDRPIIFPLSNPSRLAEVDPKDANNWTDGKALMASGSPFPPCKNPRGGKDYIVAECNNALIYPGLGYGAMITQARSLSDSMIISGARRLASLSPALKDPDSALLPDFAEAQHVNTEVAIAVAEQAIEEGLADVPWKKDEVREHVVSGMWQPVYADYTYDQDGLH*
>EED77742
MHMAPPRPAVPGWLKTSRSPSHTISVIRTLLQREQARASARSTSRQRSIPSKTRRLSRGLSFTDKSDHVDHYSITAGCNPDNEACNRYMDIIPYDRTRVVISDRGVEKGENAPNGGRYLNANWVRERAGGKWWIATQAPLPNTAHAFLSAIREPLAHPPASRVVGPSSSPASMTSRVRTVVQLTQNIESGMRKAHVYFPPLEGQSWIVQPEEGCLTPPLKVTLVRFQEIDYAQCIQCTVSIELLSANQPEPVVFQHLLYGSWPDHGVPSAEDRANFLNFVRLVDEANRDLSSQPQGVDLDPDPPIMVNCSAGVGRTGAFIALSSLLRAYGFLSPAATPPEQDPLTPSPLGPLPKELSDDLIAQEIDALREQRPGMVQKEEQVTFIYDMLLAAFDVVA*
>EED77743
MPNAEMKSNIWPLRTGSRREGMPRGSIPNRERPATSLAYRKALGAIRDEETELRVAAAVKQLAERAPESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKEEEERRLEDERRAQEAADEELARITAAEGLLSDPAPAGVNKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMAEVDMAAAAIEKRQSGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSGGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGVVDED*
>EED77744
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHIMVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFIDKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLWEVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNIEIEG*
>EED77745
MSSRSATPASTPSLVNHRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYHKALGAIRDEETELHVAAAVKQLAKRASESWVEWAHGDWPELATAINAEKRLAEEEARRIKEAAKRAKAAEDRHLKDERRRKDEEDHLRQAAEDERRTQEAADEELVRIAAAKGLLDKGKGHAIVDKEVTELSDNPSIKTPRTVERPFAMTEVDMATAAIEKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKIKKTCGGGSTTKKHIRPTSPGPSVADSSGSKKRQVDEPPRPLL*
>EED77746
MTAVSNPCGKSPRVYPWGSVRNLRLDENVWWKFSSCSIARLLRALPSLENLEFATADSHLANVEITGWWPLPSVNWFSMPDRHSTILVFFSKAIDTTVLSQLMLLQTDTVNVISLRPQNPCPFEFMVVKRPALALHERGVLGIEICDENWRVKVRLGLIWSDETNSWTRYDSITDKNGNFRIVEVPAYKGHAHSEAMAAHTAWKRSPQTRSGRRSTPEPEWPILPQRWMTEVTARGRAYAEDARGVNDI*
>EED77747
MASSDRFLLPIVPQIHGSSCSTFKMPPLGGSLTLPELYEWHAEHCPEHPLFVFAQEDGSLHKICWPEVLRAVYTGVKIIRDRTHWQAGMTKAPVVAILSNSDSVPYATTTMAIMRANCTAFLISSRNSPAAVAHLLNKVGAQHLLIGDEPSMTGLCKESLDILKTQYSEATVPETSAMLGFGELYLPVSQNPSRNDVPYEYKGAEEPAVILHSSGSYHPYFRVSSAQNLVSWLFSCLVRLLTRWARVPSVTPFLAEVSRDWEYLKLPRHISAKMIPSGEGAFELVILANEYGCLSVFNTNIDGVDAYATSDLLVEHPTKSGYWKVIGRVDDQIMHSTGEKTNPDPLEAIMNLDPHVSASLMFGRGRFQVGMLINPKADHVFDILDEGKLPEFRNKVWPTVEKMNKLAPQHSRLFKEMILVTRPTKPFSYTAKHSIRRGAIIRDYEDEIAALYDTVDASAQSSIQPPTDWALPATIEYVRTVVGKVMVHPVTDNDDVFQHGCDSLQATYIRNMILRALRDTTKMDTRNIGDGFVYDHPTISSLAAFVSSIAQGMHDAAAAGPTTSARILSMRAMLAKYSAHFSARPQTLLPSQPERDVVLVTGTTGSLGCHLLALLVADPKVGRVYAFNRPAKSQMPLRERQKLALADRGLDACIVDLEKVVLLEGSLTSGNLGLEEIMYEKVASPSTNNLYTAWRVDFVINLESFEDSIAGVRRLVDFALTSPLPQPPRVLLESSIGVFQSSSVTSDVVFTEGPIEPDLAAGTGYGESKWVSEQILYAAATKASLNTLVVRVGQVCGGLDGVWNVHEWFPTLVQSAPRLQCFPDDNKEVNWVPVEIAAGAIIDLRHHTSNTTRTVHLVHPRPVSWHSLASAIASELAVPLVSYSEWLAKLEQAHQADNDLGNTEQGNSRDLRALQLLPFFRSVANKIHLTRMAMGMPTLSIEHALLRSPTLADPTVCQLGEEDVK
>EED77748
MFFWNDLSDIDVTRICSLVPLLPWGAFQNLLPAPVVTLEANGYESVYVLPDLTSFVPLVSPKYAAHFNSIGFDWARLAGAEVLEIEGLPAYEYADLIADTVTGNYLDHGVRVNSAFSSYRISDNAFSQRFGDIAGPLFPNQDSLTMTVILVNQSDPEVVTIPFLAAYLGEPFTDATSYWEANCAANNATNGVDYSLSGTMRSEARRLARAVVASKSASGTFPPQFVPTLPTVSGSEGVIKNFILEDNTTGVVRSFH*
>EED77749
MKAWYDEVRNADAAEQGALTVTDTRDYGKPMDIDAAAVAATFASTSGGRKWELGAVLNETDRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKREX
>EED77750
MATHVITDLPVELLVMIFFFLDARDLINCKQLIRDSTVLQYFYELELAGMADEPLCPLLYVERLRKLRSLQHMRRTAELVPGTSFPQWTALVWTTTPGGLFAQANMRGGIDFIQLPSAALGVSERRWSIHSESLEMEMETMCMDPSQDVVVVTGWDQVPNGTHEINLSDMGKYDEQDNVEAYRREGRQTRLEGDYVAHLGSWNHEYAVLVWDWKTGDLLWESI
>EED77751
MAHQGLDQGFDGSWGDAGIQQPPQPAPPEAGVNPADTADRILFQAVAALGRHQDVFANNQQLQSAALESLARSIDKLRQCVSATPAPSTSAGPRNIKVCNPRMFNGKSSEVVPFLREDGSPIQWFNTIEAKRSELLYDWEELQRIFTTRFQDSDLVSTSLCKLEALKQTGSAATYANLFEEYLTYVDVSDYMQITYFDRNLKPRLKEILVNEKRPATLDEWILTVITADNRLHELERETPSSSTIVPMEIDADCPNMSNKAKKARAKAVPSGKA*
>EED77752
MPSGSTRTPAVAFCSSGSMLPSIEPPNLGPAQHNQYQQLRPGPPGKNSVMPPPNPGRQIVHDTQVNSRQRARWVLPRVWYSTNHQRWPICHRTLTPPGERKATAGILLPDGIRVLLGSASTRLRWMRPCACVLDAHPHTTANNPATAADFRAQRQCARARACVLDAHPHVTANNPVTGADFRAERLCARPRAQKAQLASKLDAVRTRWFELSANEENVTVFRLTQFFQTVTRVLELTEALSDKTAEAEMNVLKSDLQSMLNLISSGPDSSGETKPLPKSIRTALLKLASQPQVESVRAQIMAIINEEQPEVVALDFESLPEGTWKEGTEEYATLTLDKAWEHLGLGSTKRIPGFAEKLDLNDTYDPWSIEGLEVLRSEEAVPLELKWHQVIGVIKLVDNLLEGKPVLLMDEVGIGKTMQAVAFCLYYDYMRQYFDEHGHFPGKWRDVKYKGGESNLPDLPMVICMPAALHPQWQTEIRRYVQQGWVDLLPYTGTHKSRSKWWDDVYAKSHHSPSRRIILATHTAMSSDSEVAFRLADKKMRDARKHGDVREKGRYRASTLYGHKFGVFALDESHVVRTLNTIYTAARQLRIQSETMVALTATPVMTKATVSRHFGFRYLND*
>EED77753
MDVADGEEARLERELTGSGSDTDSSIDIHTLLPNLMLRDGLLSPNSKLLPQASRMGTPLLSNGRPGSLPSVASIADSVLTKSGLYKHGRDTAKRRHRHRDGKLLRGGIGLTTGLGWSDSEDEDAPSPLTHKLSANTLKRKATPSAFRSPHPLSRIGSAANLGTLAKDGRPRELSRSPFPSRTFVSSLRSTSSASRLAAGTLGYIHEREETLESTSSTSSASVSMPVTLFGHDEPGLSPILGSRNGRTMQRPKLDAGLAFMGRSSGIWHARDGAYQPTLSAAARPRIPLPSPALASHDDDDYGPAPLPSGTVVQEKDGVQEFPEKEEKRLIDPTRLTRPRQFARASAPARNSDNSLWTETPAERLQRLADEVAGKRRCVTDGAPDPEEERDGRKRARHDVEGVADAMLRLSRCEQKKVRSGMLIERHAHASAKPKDNGDSGGEESPPAIWDHARDMALSGRLSRARGPSATRAALESDWSRAKVADSCNSGSDVARDERPGRSRTPE*
>EED77754
MPHCQFFLLFSLGLPTGKLFDLDYFCHMQIAGILLWVFSLSMLSLTIVTQYYQSSRSQGIGVVLCVGMLLLPTIFFQAHNWTIHCALVMGTILAVRTIARQSPCQASKASSGTRHTFWDLKSVEPDDLAQTSTFSSHGILSTFSFYTTAILNVDSVFGRLLLSRLADSFGKINMICTVSVVGTALLVALFGVNFEAVVIVFAILYAIFSGAGGHLHRV*
>EED77755
MGYWGITCPVHHLRLWHIWDEDILGYRPYIAKDSVATLMSLRRMSPVILTLEGWVAYLYFGTDSTHWQALVDVAPRLRVIAIDFGYLKSRDCDDWTVQVPRALMRSNIVGLLIYQGGRTGSFWKPLVCVLPSLRYLATTEGETNEYRWHGEDKETPGRLDKHLRWRWWRVVEVNGIREAVPMSAEVGARIASYLYSPECDYKLDFDGEHP*
>EED77756
MCDEAILTSNFVDTVFYKQYLRSTDKAHPYYLEGIPKSVCSVNATTSHSFTLSIKIVKLNVHFLRYTSLEPLTGLTMAPHKLECKPLDKTHVARVSISPPLTSTNDVLNEDVLLMIVSYLAAPDIHFLSATSRQLSYVARPRVLAKLVIKRFHEFDKAYELYMSDGPGRLEYLRELKTWLGDRFVKRIAVSFADLLEKAPNLQHIVLNNAETWL*
>EED77757
MASHTLLTLPKLQDELLAHPIMTSLGSLALSTFISRSIYGIIDWRPVLICVSSDVITIGLDHYNDQASALADAKKTSNSAVMSVFTRARVLLITNAILLVFALLSSPPSTWFITACFITPALLWDTPLFRRKAGPKVKKTKAEKAQESKNGFVIKRIPGMKAVFIGIIRGCGTFAVVHSILSRSFPPDGIASGPWTPTQIIVWSTINRTCHAVMADVRDFTEDWELQVPTIPVLLKSVHRTKVLLTAIHLLTLAVFFNNIYIIFASLYAIALVWMLDENSPRKLYRLSFHSQTLVALVYGAIQYYNQFINNH*
>EED77758
MSFIAHRSITYPCKAYSGSAALRCEAQLFRTNFIKGQHRPLMNRGNSQASNLDSRGQRLNKLLDGVLNGRQALGTASSPLFLEAICAQPDAAKCIDRLIVSKTGLSSVQTAMRADLSLSFFNGHASSFIIYLQAPALRIVGGGSFLQQLVIAMVEPSIFWNTFSDAFRAGSLQEKGELSFGWLLLQLVVLPASKAAPYREIAQDQAVLDRLLSSPHSETSAIGRRIKDVVATLHASIPDGSDTFPGDRHDNDFADFRLISIHPTADEITCRELPFLRPASFLDDAETADSRVATYLDNHFRLLREDMIHEMREEMQIALGKKKGKHRGLVIDGLELVGVHFGPDNKRSKWAIELQCKQDLPVLKRKKDRRAFLRDEGRSILRHQSLACLLVNGKIIAFPTILRDEDQLAKLPPVVLLCLTGESSTKKALMELKDDIQAASPGVLLVEEAGEILESHIISALGSETSQLILIGDHHCQIDRQLRPKVNNYFLTVEKGDGFDLNRSMFERLVLKGYPHTTLSEQHRMRPEISDLDNRELYPSSSKRNTYEAEMVLKIVRYLAQQGYGTEKLVVLTPYLGQLQLLQSILKTETDPVLNDLDSFDLVRAGLVTEATAKLTQRPLRLATIDNYQGEESDIVICCLTRSNVDHDIGFMSSPERVNVLLSRARDCLILLGNADTFLNARKGKEIWEQLFRLLACGKHVYDGLPVKCERHPDRKACLKKPIDFDVLTPDGGCQEPCGTMLSCGLHCCSSKCHQLYDHSKMQCEHILNDVCSNGHTLNWKCFQGRPPVCKRCEKEVKLAEEKRQRDFADKERRDAEEREHARNIAKLEEEYEQQTRLLRDRQLAEERANAIQQKQQDLASITALTAKAPPTSSAASVVPDNGGAPAATANGAASTLPTSADALPASSIHHSIPPPTPTSTVEQVQQVSTGSEPSSTPTSGAEQEWERQKVMDGASDPSIDAIMSMTGLEAVKKQVLQIKGKVDVTKRQNTSLKNERFNIVLLGNPGTGKTTVARHYAKFLTSFGIIPGVAFVEASGSSLANDGVPGVKKHIETILKSGGGALFVDEAYQLTGQHNFQGTQVLDFLLAEMENRVGTIVFILAGYSKQMEKFFEHNPGLQSRVPYTLRFEDYNDAELLLMLERMIKKRYNSRMKVEGDFGGLYWVEDERALETLGHWRISSLRSLVDRQTE*
>EED77759
MSLASDDMNESRDGDEMLCEAMSKMVEAGRVDSHILLPPPPSRSRGPIRASWMAAAKFDFHTSGEGAMRDAVQHIERITFGETSAQRVGHGSVGCMAMIWARXYAGCVTGIVLQQTERAQYASPQHTQECRAGTVRGGKLTMHGVPACGHGAERKGERAQWQHVALRARHLSPSFQTASAAAATPVPVNVADAKSESSEPAPRAKLERKPAVSPAVAAKIAALFRSRRAHHASLGTIQEIEDALRVLEASAEHADRAVQTRAQG*
>EED77760
MGVGMNAGGAGAGGGGGVELFPMESLLALEEHSLNMALFRALGLVLAVKEAMWEELLGRVARGDRQLVRYGWGKNDYSEGASRVLFDGLVEQYRKDVRARVALWHSMVLSGWAYPRQDPLTKAELMEEERLRQDILEARRNAKEEDLEGASRFIRLMVGVKMPDAGSMT*
>EED77761
MEARFVHFPNLIIVTFLDSETRTSEVTFVRAGGRVALTALNNIHVIYRDVLHDKLSASEGTEELNKLLRSRPLYNIYVRCLFAFTCASIICVLAFGGSLLDMFISGASASVLQYLGLRAAAKSSIYANVYEISVSIIVSFLARVLGSVPGEIFCYSSISSAGVVLILPGFTILTSALELASRNIMCGSVRMVYAIIYTLFLGFGLTI
>EED77762
MLNDIIQSVFRFADTDAKTTAKVLKEHEDQLTRVLKDTVPGLVQGSADNATQATLSAVGTDDRLTGTSQHHRVLVHPDAFHVSVLFQPTLAWLDRIAQVLPSGLEAARASSVVLDEFVLNVYLPQLEDKVSLVFHHTVTSPDAFEPDPASTKLSTEPLVKVMALINSLCAMLRTTPFHRENYSRLILTVIGQFYQRCSDRFYDLVSMKDDAAPQSGPRVAVAAQWAQKAELVTCLAELFDAIGNPDMTTKRLQLCRQEAHLEQSLLGDRAIGKKELVASGRNLSALASLYRSVTWFASELNALKSAPEHALSPTTPLRLEPVSAVTPYTPYLPAIAPVQNNEPLKLPLSSAMAMRFQALHMTYAQLAEMVLYSLRIDIRCRAMHHLDLALRHGVYRIERDVSEPDPNVIDLNMELGRCDDWASSTLPVKERQFIFEGLGHLLERLLVSNARYIRAANAFGMKKMMRNMLALQQNIKTITNDGHDAQFERAKRYYSLFSLSPQNMLDSIRQKQEFSFDEYKAMLDLQCGVDPSRSEGGGSQASDRNYSMYVIDLHGLELENSA*
>EED77763
MKSTTIASAAILYFSLIHCVVAQLPRLGGVNTPGYEFTLYENGTFTNNPHTPPAAQFPHFSAEGANLYRVPWQQMTPVLGGSINTSFLAQYNATVQAALDSSADAHVIIDLHNYARWNGKIIAQGGPTNTQFASVWSQLSKFYGANDRLIFGIMNEPHDLDIAAWATSVQYVVNDIRAAGATNYLLIPGSNYTSAQYFPTYSGPHLLNLTDPLGGTGRLIFDVHKYLDSDNSGTHPDCVTNNVAILETLVHWLEASGNRQALLSETGGGNTASCKTFLGQELAYVNSTYPTLVGYSIWAAGAFDSSYVLSVTPFRNETDQSLWTNADIMTVAVLRVVMR*
>EED77764
MVSSKAVLVVLSTALASSGVGAQLIRLGGVNTAGYDFTVYTNGSFTNNAAIPPAWEYAHFSGEGANLYRVPFAWQEMTPELGGPINTTFLEQYYNVTVAAALASSPTAHVIVDLHNYARWNGEIISQGGPTNEQYGSIWYQLGQVYGNNDRLLFGVMNEPHDLDIPTWAESVQYVVNSIRASGATNYLLLPGSNYTSAQTFPTGAGPYLLNVKDPLFGTPERLIFDVHKYLDYDNSGTHAECVTNNTEVLETLVSWLQEYGRQAVLSETGGGNTASCETYLGEELAYVKSAYPSLVGFSVWAAGAFATDYILSMTPYANGTDQPLWIDAVRPNLP*
>EED77765
MAPPKGRNPNPSGLGRAIINRKVKDAHKARESGLYTTDLDPTNRLQSITQERDLDEFLNTAQLAGTQFTAERRNVKIINAPTGSQHNPYLLSEEEERSTIQKQHENKQRLRVPRRPPWTKGMSAAQLDRQEKDAFLEWRRGLAELQERDRFLLTPFERNLEVWRQLWRVLERSHLIVQIVDARNPLRFRCEDLESYVQDVEGAEGEQGTGKKKRRSLLLINKADLLTAKQRREFTEKRLWADYFDAQGVRKLRERLSLLPWTSHRPERRGASDT*
>EED77766
MWSCVHHSSIIGRELVTRPLADSSDVVVSCAKLAQAFANCRRGAGSGRSPWRLLARVAQLANDNVLRVWTMPDPSELRQQSPFAVAAPSGARQSPRLARLGAVLPFQQLYRAAHPLTNPRPHSWRVTEISQILLVLLDARCPLLHYPPALAAHLATAPIRTILVLTKVDIAGPARADAWARFLHARFPGVRVVQAEAYAHGEPEDAEAGKGKGTSAPRLPRAFRETLVSALRDAHAELLQPRGDVQDDPEREWEWNPRVRREVDWEQVLHVTDAQAGSVVSGAAAPRSHRDAPDEEAGTEGKREENDEDPEFLTIGLIGQPNVGKSSLLNALFGTHKVKASKTPGKTKHFQTLFWTPEVRLVDCPGLVMPNFVPRLMLATVS*
>EED77767
MPSPEEASTSASPSQAPAPTFKSLGLIDPLLEALEQLNFKIPTEIQVQALPHALQGRDIIGVASTGSGKTAAFALPILQKLWEEPKGLFACIMAPTRELAYQISQQIEALGSAMGVRCVTLVGGMDKMAQAVALAKRPHIVVATPGRLNDHLEDTKGFSLRGLKFLVLDEADRLLDMDFGPIIDKILKAIPRERTTYLFSATMTTKVAKLQRASLSNPVRVEVSEKYSTVSTLLQYYLFIPLVQKDVHLIYLANILAQNSIIIFTRTVHDAQRLSIILRTLGFPAVPLHGQLSQSARLGALGKFKSGGRKVLVATDVASRGLDIPHVDVVINYDIPTHSKDYIHRVGRTARAGRSGKSITLVTQYDVELIQRIETTIGKKMELWPTDAE
>EED77768
MTPIISLVGLDWASRQAVGPNEHERLREISPIDRRQKAAEMCLRPIGGQAKWYIYDQQCTKSFRRQIRLLPTVYLREYFKLKTSDDVRAILDTKKADLRARKIKRFEKELRKITLANQGIEKKFEHVLDLAYGRKGKLKWELINPLLTDPSIPPPERIIPAVEKSRPPVYSKELTALLTSKVSHKNKPLGHTAIRRPPTLPARADLNSEDARLLGPLSKRREVNIYWRFYTEQVKRVYPPFQMILEERDSPGETRHLTDKGALHRAGIRVGGVQGEGVYEEIETLANAPSPSQAGGTSGTDIFQASPLRPRFLRRRFASLLGRTPVLVYSDPRTQAKEHPGSSKYPFHVTPVIMIYEIPRIIFQKPMLLTLPGYKRLRRTKMRREMLRDTVEQRDWRG*
>EED77769
MRFALAILAAVTVAIAPQGARGQFSTRLGGVNTAGWDFSVDTSGDFSGTGDAPPVSQYTHFSGEGANLYRIPFAWQLMTPTLGGTINSSFFEEYDTTVQAALSSNSDVYVIVDLHNYARWNGDIVGQGGPTNAQFASIWTQLADEYGSNDRIIFGIMNEPHDLNVTEWVASVQYVVNAVRSAGSTNYLLLPGSTYSSAQTFPTEAGPYLVDVTDPLGGTDKLLFDVHKYLDSDNSGTHSTCVTNNTEVLETLVSFLQENGNRQAILSETGGGETSSCYTYLAEELAYVQSAYPNLVGFSVWAAGAFDTSYVLSVTPYSNGTDETLWTEAVRPYLP*
>EED77770
MTRVPATSTPIDRAKVLLDKISEVYPGRSVHLIGKHPRASPHWRAHADRRQRALGHSMGGLDCRWLTTHLTDRPFRVLSVTTISTPHRGSSFADHFLSTVGPARMPSVLSLLDLLPNGGGDGKAFEFLTVENMRRFNEQTPDVEGVRYFSWGAVYDPGLIDTWKWPHSVVMEKEGPNDGLVSLKSAKWGTYLGTLEGVNHLELIGWVNTARYKWAEIMGREVKFKPVTFYLGIADHLARVVEGQEHAPGDAAGSSGERAETRREGERAAMADSLDKGGSFMSKRGESEERGRRH*
>EED77771
MPLVRRYQTGSHFAEMLSTAPSNRTDRRWRNVDWSSAAQGTISGEVFAWIDAIHSFVNDLKFALQRARAIPNYTQKRTFAYLAPLYVYVILFGAAVVALHPRSYIHRVLTSPYEHASEARQYLVGLVLSLVVGLLILRLVIWFAAELVDVILENEDQPVRISSIDTDERDELRNDSARNLWLGGILD*
>EED77772
MVLGFLLKKRAPPPPPEAVLPPPSPSLPATELPPPKLPTSEPEQTQLRTPSPSVVSVSAIHGAPQSPSPASRLANLSVEGRVRQHSPTRQATASMSAPLLSPNPVITPPEPTVSSLVNHITAIPAKTLHEYTLAHIPLVPEPLLPALAEFFAKAAPPPKLHCVRCHKDYVDVENDDRSCLVPHDDESAEVERVGRGAKSGRSAGDPGTTYETIWGCCGKVTEGDGDQGPPDGWCYEGKHTTDIKRARFRADSTPQRDKLTSCLRLNCHGIRDQLPRSSLRKRRRSLNLKEPETEEDGSEGEEDSGIDEIVGKATSNGKGKARGKGKTRSEDSQMDVDREQESASQAGSARGRGRAQGDCDAEA*
>EED77773
MKAWYDEVRNADAAKQGALVITDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAILNEADQKLHRDGNLCFYCHIKGHSAKDCHKKAAARQGGGRPNQGGSGKDDFCARIKTLSADEKREX
>EED77774
MQKTLLLVVSFLALYVVKKYQDWRRAVRSIHDVPGYRHVFGPHSFLLVRRIRYLCTGSFTPWLKKHDDFAQYDSDIISGDALHVISVELWIKALLPSWFVEWAPIPRIRAFRTAYTELERYMIEMIEERRGSEKKEQRYDLFTSLLDANEEESDGITKLSDSEVMGNIFVFLIAGESIHWNNPLNFVLILSLL*
>EED77775
MSARHELPISAEDVAVVDTITKSHTGEVQVAVVAPDRKDEPLVTRKYENGEIPAEEYEREESLEKNRISNISTTHSNIGYIVTLCLNLSLLLPLQNNPLVDNYTLVLTNAYWVITGIWWFIFQEPRPGPPLPKGEHYITVGWKQIWIAFKQYKKLPYTFIYLVAFFLLADGLNTTGTLISICQNDKFSFSFLQNTYLGLAQAITSTASTLGFWYIQRYWKISTKKMFVVTNVVTIFIPLWGMIGIWTNRFGFHNVWEFWAYNVVFGLFQAPYYAFSQTMMAELTPPGFDNMFFGLFGLSNRASSMIGPNVIQAIINNTGDNWKGFPFLFALCTAASLVIWFGVDITTGRRDAVAWAEKHRAYAETGVYTEEEDESVDAKEYGKRA*
>EED77776
MKLSRAAVR*
>EED77777
MGRRPARCYRYCKNKPYPKSRYNRGVPDPKIRIFDLGRKRASVDEFPYCCHLVSDEYEQLSSEALEAARICANKYVTKTSGKDSFHLRVRVHPFHVIRINKMLSCAGADRLQTGMRGAWGKPYGTVARVNIGQIILSIRCKDANAPVVQEALRRARYKFPGRQKIIVSRKWGFTNVNREDYTKLKEEKRVLQDGAYVQYIRPRGNLENNLRAQLRA*
>EED77778
MSLTREGEWANVVPSFYLSVRRALLHPSCNAEYKWTPPTSREEWEAIATAKISALITILKYHLEQDARPPLVSVPVEDPPRPQSPSSDTSSDEPAAYEERPANNLAPDPDAQPDPRDAHSKPDKIVVYVVFPSCFDPLLKILQMYGIEYETLTGTMSGRRRAEALHKFMQSDAKGVRVLILSNVGAVGFNIACANILIIIDTLWSAQDDSQLIGRLWRQRQLKLVHVYRLIARNTSDVFLNNISFDKSIMHNAFMGSSRALRRVFDPKYDLDVGDKDLTHEEEPQELPDVQGASGSASAKSTIPFLAEDFSSAGQQQADPEPQPKQSAKAKREAARAAKKQLEQQERLDAVAT*
>EED77779
MSAPHFEDPLVAPRPHKLQPDLPANMARNLDAQIAHDARRGHSPAPNLAPGFVIPRAHSRGPSPQSQGAEWSPWAPVAGQQPYPQGPPQQAYRSAPPVRSASTYSTHDPSVQPQQPANTHAPPSLTAPLPTIPSLFAQLPSVEQPNYDPGFKVAWCRDVLALVDRAQQLHQPDSSTTEFPEGPARIEDPELQRLVDIALPLLIRLSSTSPAQTPAPPHVSESIYLLATCEASGAYPQHVQRDPRAAFRHFEQAAKAGHYTAWFRLGRDYENFNDAEHARQCFERGVKRGVESCIYRMGMAHLMGQLGLPANPEAALPLLQRAATVATIECPQPAYVYGLLLLGEFSHVQIPPEYFAPYIAPGSSLTVEARKHLERAAYLNFAAAQYKLGHAYEFAIPPFPFDALLSVQYYSLASQQGEVEADMALSKWFLCGAEGSFEKDEGLALTFAEKAARKGLPSAEFAMGYYSEVGVGLPKDIEVARKWYNRAAQHGNPDAAERLKVLSEPSPNALSREQHESLADTTIVRKRTLARQRSDARRGGPGGRARAAAPSAMQGEAIMEDVRRRSQSSGRQPIAPNAPGYGPPPVMNPSTSAPQINGGYQGPPPGQYPASASQPHMHAAGAAGAPPQRAFANAPRYSLIDPGSRPISPSSRPGTAGSGAPRAPTRQASGPGPGVSPAGEPEPPSGPKPRPAQTFEEMGIASGKLQEKECVIM*
>EED77780
MASRGSTPGIALSRLTLEASLARTGSPVPSAVTGAVEQPAAAEGASKDTLPLMRAGTFQEVLAAVPTPYRTAVEADLRTVWGHAGSLAACMVAKRQLARHTTNGAAGNPSFPSSFGGMKVPDVPMSDVFAKSPEGAASRQKVRDDVLAGKQAVLKSVVLARDAEETFLHGLIDKGRRTKLMLGLLKTVYDRDVKPFAVVPGAASGDTFDEDAMHLDDVDLAVAEWVVPSAVVNEYRRARAVISTIIARILQLRIAEKRAWEDRERAKAETKKVADAGLGAPVTQQTVQKLVATQVKAALRDKKSSKLAKASSKSGKAGGKAKSSGKGPVAAKNKSKTHKRKRSGGSGEWHGFSGESSSSKPRAKKRQRRQS*
>EED77781
MPRPHGTRSSC*
>EED77782
MHSGMTTSGRGIL*
>EED77783
MPMRPRGPKTPRAVERPFTMTEADMAAVAIEKRQAGQKAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSSGLKKRRVEEPPRPLLRLPLDGASRLGLEQDNLNVLDLDALDLDNESWGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDNYAMAHVILYRSCNHVIKYRVDSRIKNVALGLDKT*
>EED77784
MKSQFVTLTPEELLSITPDVRTKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGAMLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYNILLG*
>EED77785
MAPRRRSRTSSLKIDMSFSVGDATPHEKRYAKTAEHQPSHSDSVRSKPPSSPLGVTTEAEHWTEQEGRRGKSTLSSGQDQARYDVIAINQGSQYDIGIAYSSGVME
>EED77786
MEECSTASDVSALLTDDANDPPRLVVKVERVEVKHVEVVLFEAKAAGPIKRQSHLVEVASLLELRAPLAGARGDWPELTTAINAEVERRFEEQKRLAEEEARRIEEAAKRAKAAEERRLEDERRRKEEEERRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMTEVDMAAAAIEKRQSGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLDREERAHIARRRVLLHDMDLDLQKMEKDALAKGGIGFVRGVVDED*
>EED77787
MDRLVRAELRQLYLCCRLVVEISEAGPEGGGKGRFVREWGRGPLYPYLHQHELGEVGSGPGVGVSIEQAKCDLHLEFDGGQRRVDQPGRQRERTLSATRGTKDCLRTKATVVTVRRADFSGNECLSGLTAATAVKSVEEARRQGDNAAECRGARSWEAFPAAAPGRTGGSLEGSLKAVRLPQFLEGDGDLLALDLYWGQRIGVQGRGGVGLVGLVAVAVWVVARDVDLLLGVEGVLKLRAVEELVSHGDEGEEGEFTWGPVLLLASMQQEPKPRVREDKYCPLKCRRGKMSVFVFGTPAALGSFDLMSRLRVKRQGKTKCWGRSTYLTEAMGRLCALVRAQLVRAQHADAAPGAVDNDVSVSGK*
>EED77788
MSARSATPASTPSLVNRCLASLLVVLEAPPTADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKCAKAAEERRLEDERRRKDEEDRRKQAEDERHAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTLERPFAMTEVDMAAVALEKCLSGQKCDRCAGYRSAPVDCVWVENGTTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGVVDED*
>EED77789
MPDLPFELTMSCGLIFIGSLFGTLLFGIACAQTLYYFRRYPDDGLGIKILSMLKPDIYRAFPAQYFLAVSTIKNVSRHLIAMNVREPQCRLPSCSFFIYTIFTVVNRKWFQYHLTIFMVLGPVTLAGYCITDIHIAVSLCLILWKQRTGIVRTNWLVSRLVLYSINRGVVSVVLELAEFTALLAGISLRSTSYKLLWIVFHFVNSKALHRGAAKGMQTYDMGRIAGQSTPNTGLMS*
>EED77790
MSASGSAATAADDRSVTPGANPGQTTAYTVTLPASSSELSWYDPTNCTYATIEDARATGVWTYPADLHERAKCGVFRDLWEKGNFMGGGIKFGGDFLVYPGDPLRYHSHFVATVHDSPVWTIKPMEIVAHGRLGTATKKAHLLCGWDDEKQQVAYFSIEWAGFG*
>EED77791
MASSVLEVSQPIAHKTRQYQSHPGSHYVLPSDEPERERLNLQHRLLTTIFDNRLIFAPLPSIRGDEAFLDSGTGSGIWLLDVLNHVPSSVKLYGIDIEPCLFPRDNEALLSRGNIHFSVGSITKLPAEWTDTFTLVQQRLLVAALQHAEWRVVISEIFRVLKPTGWVQLCEAGPFKAGPVTDKFRILLYALFAHKGLLLDCGVHIPIWMREAGFTNIHVEERAVPLGQWAGQLGVDGSNDIISIFRGMKTPILNAGGLGLVSSEEEFDGFLDDLEKEWDETEESLVLFWMSGKCGECGETTAWDQELASTICTHCGTLGDPNQVLLTSHADFQESSTRDTIWNPSSGSTLKGRNGWALAGQGKEARDKKNRTVASRLAHAGSASRAQTIFDQAMNRGQYRWGRKAKLTAGASVAIALREARKSDALHDIAYLLEEHMAPISRAFSSVISLLQLGLASADPSMHFSALQGYLHSLVQDPTSSLPPKLLTTLRPLMAQLPTVMCTAKSLANLLSRSNTLSHLPTPPTACALMLLALEGELRSSIPNASALADALSKRLGVX
>EED77792
MRDADSITVDPHKSGYVPYPAGGLCYKDERSKHLITWTGPYIDGGAGDVASMGVYGLEGSKPGAAPVAAYISNEVIGLHRGGYGALLGEAMFTSVKMYAHWVTMSLDSDVLIVVPLVRLPAERAGRPAAEVEAQRRFVRDRIRDRPNRELVQDAEAMALVKEMGSDLSINAFACNFRVTPGGEANTDVSEASYLNKRIIERLSVVRVDDEARDKPVLLMGTELDARRYGACLRGFKRRLGLDEDDAGSLSALCNVSMTPFPTTGNFMTELAEAFQKVAEEEVQNCRKRSRPAPAIHSFVMQGTKTLHLTYMPMFNIGSYRQQLIVSAKLPENVIAAYAKARKSNPAAVFTAHTTEKEHLSTMLQKRRCIVDIHEELPMLHGVAGNGTAFAYRGVELTDITIIKHTSLAPRSLAGDLAASMPFFLHGASNELHLEHVILKSPSMQLTACDVQLRAKRPDGGDFTLDYSAIVNFCDMREYAMHPLRKDLHGPLFKPGQTFNVVVYADPFCGQYGIMATNIRTLMDKLEYKRPLARGTITFGRSVYLDDAHLNRHTVPDLCVTPKERLTKDELLLSVTEDYLTLAEDIDRVVSHHSVLAAPDVDTHIMSKANIRGKFALQRGSEAVDCNALLLAQPVVHISRFALRGPSDAHSRDVAVRQGWQDAFNKALIDHEVRSANASHV*
>EED77793
MLQKRRCIVDIHEELPMLHGVAGNGTAFAYRGVELTDITIIKHTSLAPRSLAGDLAASMPFFLHGASNELHLEHVILKSPSMQLTACDVQLRAKRPDGGDFTLDYSAIVNFCDMREYAMHPLRKDLHGPLFKPGQTFNVVVYADPFCGQYGIMATNIRTLMDKLEYKRPLARGTITFGRSVYLDDAHLNRHTVPDLCVTPKERLTKDELLLSVTEDYLALAEDIDRVVSHHSVLAAPDVDTHIMSKANIRGKFALQRGSEAVDCNALLLAQPVVHISRFALRGPSDAHSRDVAVRQGWQDAFNKALIDHEVSTVLLYGPYLNSASSSTLRSREAIEIVRGRGGHL*
>EED77794
MSANTHNNPYVSQPPPEHHVHRDSEVLPGARDAAASANYDASLTNDSQTWKDNNQRQFGAGIDDCNVMAGGQHDTSGAPDSGRNAFNSERPLDASGVAIGGQANLPEGKATITDKLIGKTQKVTGKITRNPDMHEKGELLYVSTSRYGGASQGDAWISFDEVVKAAIELAFGSHDVTLINTVHLRPAHAEMVLSVVNTLLEKPLLIVPLPLSMSQPGVKLLDFFESYAKGTTPDIMERW*
>EED77795
MCTPGRLTICPDLTIATSGHPSLPAFGPVACTEVHPQNTWCTLIAKRTFALQHGPRAGASPPATYTGLLTALATSAYGVLMVLTSVVLSLALSRVPVAGPPVGFAFLCWVDAHVWIARGLSLARRVRHLEERWAYYFAFGLPSAALCMWGSSLANAALFALVFPGYIIMAMHARPVPLDPYNSLSAASDANDDVLHPHPLVPIRIPVFALVLWLNDWVVRILTLGGGRAARAGQMSAHRRMLSDAAESVEEGERWHWTVIEISYRSRAD*
>EED77796
MLMDLFYETLPPHVTRKRRVHFHAFMIDVHKRVHAMKAKLGVNGGDPIAPVARDLANEAYVLCFDEFQVTDIADAMILRQLFERLLSHVAIRTNCTRTASSGQASSHASSFSRRTLRSRISTRAQASTTHHYRRIPRTLSHVYYDPLTPENRAEVAKIFGALTADPADPVQRNRELQTWGRILRVPESTSTVAKFHFEQLCGQPLSAADYLEITKQFKTIFILDVPKMGLNQKDMARRFITFIDAYGDSQTKIFITSEVPIADIFAADADAKSGEISDHMRSMMDDLGLPGDMVISSSIFSGDEELFAFARCCSRLVQMGSKEWAETAGTS*
>EED77797
MAIDEYNKPDSDKFIFLLTTRAGGLGINVTTADIVVLYDSDLNSRADLQAMDRAHRIGQTKQVYVFRFITEGSVEERMLERTAQALRLDQLVMQQGRMQHTKAANKEDRLEMIAHGAETMVNSRSQWEGEDFRAGNILIELGLQQRKALQFNPLALSKCKRKLNYSVDSYFKETMRAGPSKTEKAPKMPRAPTRIQMQDFQFFSSRLAELQKQELAAHKRANDIPATLREPGGPEDTPEKLEAERLAAQQSIDIDQSVVAYYVVPSLTCNTSVEPLTEEQQQEKEELAAEGFEDWRRMTELLAFGIQDKTSDEPMQELEFTNEHIYERIKKDITEFPVFRFDWFFKNRSPQKLQRRCNALLGMIEKDAEQKQAEEIKTKGPKGKKRGIEAVDKSEEKKPSRSSTPTGTAAAPAPNKRAYKKRKIQTCCTT*
>EED77798
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVSDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRDYDEAVKAADEAINHHKWLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAEAAGDADRLDTGYGTVQTYDAQDAEKKPLDTWSESAEPGR*
>EED77799
MHGSPPSRGTPARRGRSPRSSTASPGGIALPVQNSAKPPNTFTTCLSISVEGAVPIERLEITSANGNGDASAQASGSDSKRAPRKSKTDAIAALQSHAQSSGQEDLADMVNEDGAIQINLREGPPIPVKPALDMSTVKTPNRQWEAPQNVERPFGLTDCPVFRPTLEQFKDPLAYIKSISEKAKAYGMCKIVPPLGWECPSSPTLSKIMERHLSGFHMFCLDPPLTTIPKGQWFCHTCLCGTGADFGFDEGEEHSLSSFQARDLEFRRQWFKRHPPAGRQGNDDGDVKMAAPLDPDDPRINVFDDVVVTETDVENEFWRLVQSQHETVEVEYGADVHSTTHGRVLHSGMPTLETHPLESSSKDPWNLNNIPILPDSLLRYIKSDISGMTVPWTYVGMVFSTFCWHNEDHYTYSINYMHWGETKTWYSIPGADADKFEAAIRREAPDLFEVQPDLLFQLVTLMNPNRIRDAGVDVYACNQRAGEFVITFPKAYHAGFNHGLNFNEAVNFALPDWLPFDLDCVRRYQEHRKLPVFSHDELLITITQQNQSIQTALWLNDNLQEMMVRERRIRDKARSLGLKDRPEKTDRPEDQYQCSFCKVFCYLSQITCDCTTKVVCVDHIDELCKCPMTNRYLRLRFDDTEIQDIQMKVSDRAAIPSTWRAKLDRLLMESARPSLRSLRALMAEGERINYPLSELHALRKCVVRANEWVDTANSFLIRKVSRKRARKSRGRTSGPSGEITGEEVTDKPERTLDELYTALSEVEDLGFDCQEINALKALANEAQKTREKARALLRPSSAARDREAFVQECERLLIEGSTLNVLVDELVEIEKIVSREQLLKELEEELEDGQLSLEDIRQFAARASQCELSTDNPQMGRSGRPA*
>EED77800
MAMFTQADLDQKAEDLRHFIQCVLSYFVTTNNTALSDEAKIAFTVALMRKDLGKMWVDVYYEKSAGGTQVYSTWAGFVDTLEQAFPEHGTRLKAHQKDKKTALSLGDYITHFEQLAQKAQLKDTEVNGTTHVKNNYHTLHTNFIRGLPNELYLSLTTRVARDRPKTMKDWYDKIRNTNTVKKGALLITDTRDYGKPMDIDAATIASTFTSTLGGRKWELGAVLNEADWKLHRDGNLCFYCHIKGHSAKDCHKKAAA*
>EED77801
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAYRLRTDHRVYVVVLVKKET*
>EED77802
MRDERVLVVWSYSLDNIISTCRDFDEKLIQLAWKHRSAFTSLASSAVASANASHTNLNDWSRQPAINEKEVAALAKEKELQPKRKRSCRLGYFVSDKNDVEKTAHGPSHRPVRLFAPFYSGLAAALSTFFIASGVSVMIQETVLDDTYTRFVLLATTPLLFCVSLCPYDVQFFSLQIVTNVSYVLGPVAQFHENSKYYSAIPPAPNKDVDANLPHITIELPVYKESLNEVIAPSVYSLKKAMQTYARQGGTSAIFVHDDGLQLLPESDRVARIAFYADNNIGWVARPAHDNAPDGFKRAGRFKKASNMNYGLALSLKLEKHLLALEAEAASRGGEDALTEDGECLEDKAMNKAIEETFEESGHRWRPWARNGRSLRVGEIILIVDSDTVVPEDCLRDAARELYESPEVAIIQHESDVMQVAHHYFENGIAHFTRRINKCISMGCANGEVAPFVGHNAFLRWSALQDAAFVDAADGVRKIWSEANVSEDFDMALRLQLKGYIIRWSTYSEGGFKEGVSLTCDDELNRWQKYAYGCNELIFNPLIHWWRLGPINKQLRIFIWSAAPVHYKFSMLSYMFSYYGIAAAALLATMNYFLLGWGVTAKGILCNNLSILCCATLRGAEKHTNIATGTNHEMANSACLRRGSGLHPFLCHDAGTCASLSTFCMGWPRINGARTWRLFSVSRIHLPVKTHLLRRGRRETVQRHVRMEGQYDKSSESDVSVSICSSFRGGKCAFCPPIGARAVRPLFFRASSASSSRTHTRSRLASWMPSPKHPASSRHDTLMTTRDGNELSNNPQNGRPETQEDVWSLVPMARDPPKTSIREKHEDPDAGTISDGQSDPVQLMAIDLPVTAARPSLKLSRSELKVSVLSHRLHRV*
>EED77803
MLTQEIEKPWIKEKDIYARLSWWLTWTIAFLGVAGGAVRCYFSWKDVPRVGNLCLVMEDDFATFDLENTWTREVDMGGFGNGEFEMTTDSSNNSFVKDGKLYIVPTLTADVIGYDNVLNGYTYNISGCTSTNLTSCGAVSNSTTGSVINPAMSARISTRNSHSIKYGKVEIVAKMPVGDWLWPALWMLPVNETYGPWPASGEIDIVESRGNSINYAAQGRNVVRASLNWGPLSFLNGVAKTYGWWSDRRSTYADGFHTYAVEWTPTFIRMYVDTRLDRMLQVSFNEPFFKRGDFPATVLNGTQYIVTPDPWVNGTRNVAPFDQDFYLIMDVAVGGTNGWFPDGVGNKPWLDTSTLAMSNFAKAQSTWSATWPEDIDQRAMIIDSVKMWQSC*
>EED77804
MATSESLTDTLQLLVWTHSFLLAGVCLVLYEYIVTLSQEVSAMWQGKLTSATLIFFLNRYTTLAWGIFGTLLLFNSIKQSASSYTHLIFFWGSLNMIWQGIWAAFSALRVYAVSDRNYLLALLALLLGLVPVGTNAYTYAMSSPGHATLHTTKTLAVPGNEVPSAVANKLVIITHVSLISCDILVLAVTWASMLRLRRATGNAGERVAPLLTLLGRDVHKPVAIEMI*
>EED77805
MPLLGLGVYQNDDCTPACLAALQHGYRHIDSARMYRNEAQVGAAVRQSGVPRGEVFVTTKIAQNEHGYDRTLRAVDESLQRFGFDYLDLYLIHSPLSGTALRLETWKALLKAKEDGKVRTIGVSNYGVHHLEEIRAAGLEKPAINQIELHPFCQQRPIVAYCEKNGIVVQAYCPLVRGRFGDPVLQEVAAKYQTDVAQVLVRWSLQHGYSPLPKSAQPARIASNADVYGFALAPEDMAPLDALDQGRDGSVSWNPVDAL*
>EED77806
MAAVQTVISDALPGYMSSRALWATRSAAAAAGDGRALEIQDEQCLESLGQIAVRYRKIKDACATLSRSPSRYIAALCATLNQILRDEYENLVVETEAKVLRRDASLVASGSFVPLSSIRATFAEWDAPLAALEKLVEDLQDKRYAQPGPLVDLLLTRSHTGIHRIASIYSRLCNAAQRVWIAQLQAFVVHGTVADKDPLASKDYTLLEGFEPRSPSLR*
>EED77807
MAAVQTVISDALPGYMSSRALWATRSAAAAAGDGRALEIQDEQCLESLGQIAVRYRKIKDACATLSRSPSRYIAALCATLNQILRDEYENLVVETEAKVLRRDASLVASGSFVPLSSIRATFAEWDAPLAALEQLIEDLQDKRYAQPGPLVDLLLTRSHTGIHRIASIYSRLCDAVQRVWIAQLQAFVVHGTVADKDPLASKDYTLLEGSVPSCVSAQSRESIASSSSSNAGSTSLDFTTLRNIHTTYLERLITGSLLSNPPLTAIIRMILETCERFVAQAERWGGDILPELLSEGSLAGGGDVGKMVKERKVIVAEVNETLHTLLGSFYEQLSLSTTQQPFSATADASKSVLYSVSTANTTGFHTFLRPKRGRRLEGDDEVRRHVERLLLRLDFNGGFSMPKTGGHAGSNDGEEILKQGGLT*
>EED77808
MTSSCAASASIRAQKSLGLIGGTPNYEAVDSFQGPDVPARTYHYSADGRLFAYAVPTGVRIYQAESAQLLQELALPNIVEINFSPRGTYLSTWERPVKLEDGAQHKNLRVFSVSTGEELVAFTQKSLEEWDLQYTITESHAVRLVGQEIQVFRPAEWSSGVVDKLKVEGATNIVLSPGLNPSVAVFIAQKKAAPASVKIYGLMGLAGAPTCQKTFFKADKSTIKWNTLGTQVLILTQTEVDQANKSYYGETGGMYLLSAAGNFDCRITLDKEGPVHDFAWSPNSKEFGVIYGYMPAKATLLDQRARTLHDFGTAFFNFISFNPQGRLLAMAGFGNLAGRADIYDRRTLTKVTSIDASNTSHCEWSPDGRFLLTATLSPRLRVDNGIKIWHCTGPLVHVHPVDDLYQASWRPTPVDAAPPFPQNIPVAPAPALSVQTHAAIAKPAAARPAGAYRPPGARGLEASLVYKRDDDSPSAPSTPNGRYSRSPAPGRINGNGRKHVPGAPTSPSPVRNGAAEPEKRGRKKKGPKKDKEGGPAGEDLPRPPVDASVPPTPGVDAAVLDPIAKKVRNLNKKLKAIEELKEKAKKGDRLEATQLKKIESEAEIRKELGALGIS*
>EED77809
MAAIAPSRLATLTRLRCSIFQTSYNPTSIRTGAKYLRARLRGPSMVEYYPPEVSIAQFNRMSGGDWRIVDPQEDMRLADVEAKKRRGKGAPKKAKSKGAYIVQLLGYGICTSGC*
>EED77810
MSQPNHDFLAPAEYGLLADFSPWSSPADFSVTLPVQMALPVYYSPYDSSQAATSPLNSVPTNSVATWQPQVERSSSQSTPVTPLRRLRVPQTIQASQNSRPFYSVEFQVGGSCGVRIRDVLKERVRVDRSAERVFDSVGVRQFRLVIAWPGYSNSGTYIPVQSNGGYINRGRLATLICLHIARFMNRASAREWTIGRRGIKVENVWLLSVSPALSNIWLAEIEVQL*
>EED77811
MESNKDEALKCLTIAQNRRNTGDLAAARRFCQKSLALFSTSEAERLLELIDAGASASASAAETHPSSSGARQRHTASTSGGGEKANGNATGAQKKRDYTPEQAAVVKRVRSCKVTEYYEILSVKKDCEEAEIKKAYRKLALSLHPDKNGAPGADEAFK
>EED77812
MDGNIEELVLSGKLFNPPSRSSSPVRSRSPSPVHWPNDDADYDYDSDAERRRAIEERIAAQEQPESIGMGPGRTGVKGVLRDHAEAAALARSNRTQEIMELNKAMEKASLGGKTWAEEERERLAEKAALEGKASLLMEGRGARKGHFGHLMEIGVRSFVQAVEEDRSIFVVVHIYDPSLERCASLDENLSRLARTYLSTKFIRARAGALGFASSTSSTQILVPGRYPSAADEDDPYAGLDSDDSEDENRGDEGWEDDIVDTDVLPTMLVYRGGVLEHTWVRVDWEAKSGIEELLTRYNILRDSNAAGGVSASRGYSPSFDDDDLDDGELVFGGSDDEN*
>EED77813
MSPYDTYLGEVYRDVVHHNALDANDTNPIPRKVKEYAHLLEDAFELPQWVKEASGDGKNDEKLTETHLRRIFNTILDDCASLRHVVSVDEEDGICSPKESFVCILVRNALCITRPALLVDEEALVALPRHWSGELSPLFHYMSTSVCAPLLVSIAAEVPLDPSMLVPQDEDQDGESVSTRSTDSDDEDVRKSTACSPRRGSSPRNSVVIQTPQHSDSLPVYIVSGRSISASEWLRCPSVKEVVYEQESEDDAINEDQRCLAELDLHVFDYPRDKPLPVNAAMPVLCMADDATLPIIMASALYQRQLWHVREPLIGISSERYSSCISFCLGWIEAELVDGRQLPHVHIAHMAHLPEIDLANPESVLTVAQFLLSLDDHIANIQNEVASSQTVVADELRQQPLVQWRIDSAIAVEDSTGDPEDSVSAWLCSLEDSELSSKEPPLTTLAPLSPHTTGMTGDDDSLLYDVHEKSEQCSMGWCLMNNMPAVDANVAHFLEELKWIVTFRQEMKMPTLDSLLVKKLYTGRDQPFSAKDVLCLFNEEFAESVLWGQVDRLLTGSMSTILNTCLLSRRKVEAGIPLGEASWRHDLDRFFFYFVHAVIDTAGQSDGSEERQGQVHVSLERTIALSRNITASGDLTDLAGLKRTTARFMSTIANLGTKTELYPQHLTDDAILAESARHNNLLNLCTTWQNTLTLLDIKHRLAFSPTTARCDAIGVVRMPVNLSKAALKPYHFVKPRSGGSKPPASINRDQSDTANNDPSTPSTTSTPPSAAPTSALDYSQLDSAICDSRDVYQQAKQSGRANPAQADVNLVAKLDQLRFEDTPRTTSHATGDVSNREYLDLPLIVVEYKRPSDTHTDHIQGQNQRRIYCVSAARFLEAIGIDEFPIFSVLSDGPLTVLAVTWAKDGVIRIFERHMMSFDVSSPLGAWHYATVMARIAVFWGTALAKRFALVRNAFVKGVEKDDPKLRWTHAHQVVHHPPGNAPPANASQDGS*
>EED77814
MSFGAWVVDAHACRVCAKGRATFTWTEGPAADQSWEEEFVYMLDFDDQAKVTDYQVWADSGAAYLARRGELS
>EED77815
MDFIISLATVRVAALDSDELARFREEWREEVRKKRGLSKSSADSHVSGISSSRLESSPAAAEDIQHPASPAVSRSLVTPVSPDLVSSSTSSARPATDPSLAPYGKTLSRAVEVYRRAIQCEQQSRLDEALELYRTAFRLDSNVDRAYNKLEVQLQHTTGPSGVPTQAQGRASTTSASEVDEITQAVKALDMHSVVIPDTQKGDSGTIWTLASQLASWPRPLVFEPEDERASVPLQKLPDELLVLVLRCLDTTTLERFAMVNRKARVVTLDKEIWRGFVRAIYKPPQIPIDQDLNSLLHYYTADFRRLYIEHPRIRRAGLSENAWVNVSAQATT*
>EED77816
MSPVNPEREYVSLMFRASNCYASWDPQRPVRLGDYGHLQKDHSFVVEGNIFYEGYDQEFGITVASNDVSENRCIVSLRTTEVKASVSERVGHPVIATAGLKKTFTISSGWGAILIMLNARHQCLYNGGHVEDLIYSTAFSDRNVLVSDLYTCQSYARLLVPQQKHAVEVGLDAATHGGLARGNVDLEWKTSAEGGDFKYAYHAEREDGACIQPLFRLVGRRMRGPLASFTRRPVAEFPRRKWIRVLRRHMGPTTDLSDPEGDDEDGDDEDGDDEDSDSARTLAGDGEDDERFAKGSDFARCVRLAKVIERNNVPPDGVAIGVLLQAAFTMRPQTLWKWRLSTSRYMIYMKYNLKSSRALNVDTTQLTLYRCRSTCARFLGSRTLVRECAAECPCQSPGRWSQPQQAPEMKISASRPIAKAEVRLTMTILHPNPEHEYVSLIHGASNYYASWDPLRPVKVGDYGHLQHDYSFSIEGNIFDSDDLASNFDIKPIQSCEDDIRWLEAEKHEAVGVHVGVKLPEGPASGQVKKSATLKSGHGAILGIWKPQKYNLDHVGQIQTLLYSEDAWKHRVLVSEVYACHSFARVLVQHKKYEVDITLECTTAPGAQGHAKCQWSTNTTSGDFWNWSSNDDAPETLAYPLFKLVGRKHWFDHLLPVHAHRPVTELEHHSGKGPHLHLEHMRKFLHCGAEQFTEDLEVKGAAGRDVS*
>EED77817
MVSVSRLLLSAVAFAAAGVLALPTELSRPAKRAPSAPYWVEYFDASVSEVTGVPPVSDVTVCPMHYFHPRGCPLTLDTRARTHRDTMCCKCRSSRMHRRATDPKACARSSPHSIIAFLLTEGAWDNAEGWASLSASDRSTLKSQYAAAGISLMVSVFGSTDTPTSSGADPTDTANTFAAWVQEYDLDGIDVDYEDFDAFDAGTAEAWLVTFTTQLRNQLPASDYIITHAPVAPWFSPNYWTNGGYIQVDSEVGDLINWYNIQFYNQGSTEYTTCAGLLTNSSSTWPESALFQIAASGVPLDKLVIGKPATTGDASTGYMSTSTLATCVEQAKGQGWDAGVMVWEYPDAGTSWITAVRADSWPVS*
>EED77818
MLFSLSHIVAAVSALAYAGAALAAPVPVCSIGVRTGGSSNSTSSSGSGSSSAPHFVVYSDAWVSGENGPPDVSEINGYNVFALSFLLASGSVDQAQEWESLDAATRQSIKSSYNAAGVKLIVSAFGSTETPTSSGDDPVDTANTMAAWIKQYDLDGIDIDYEDFTAINEGNGAVDWLVKFTQQLRNQLPAGQYIITHAPVAPWFSNNAQFKGGAYLQVDQQVGDLIDWYNVQFYNQGVTEYTDCTGLLTQSSTTWPGTSLFEIAAAGVSLDKLVIGKPAVAADATNGFMDASTLASCVSQAQAKGWDAGVMVWEFPSASTSWISTVRGSTYPLN*
>EED77819
MSSRAATPASTPSLVNRRLASLLVVLEAPLTTDAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVTAAVKQLAERASESWVEWARGDWPELATAIDAEVKRRAEEQKRLAEEEARRVEEATKRAKAAEDRRLEDERRRKDEEDRLRQAAEDERRAQEAADEELARIAAAEGLLADPVPAGVDKGKGRVRVDEEVTELSDDPSVVSVRFYRASITNLSFVEDSSDGRTPVRDDGGRHGRRCA*
>EED77820
MWIVSTLGGGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINKAKERKEKEKQTKAVPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWLRQKHLSGEEWKNLGRNARNEWFDEEEDDGVDWELYGDGDEVNPVLGTSAGHKGKASERAKPLLMKCGNKGSEATMNRNGCVDNGQLGEVDSPTVGKRDAIDNIAIKAPRKMNARTVGEICGAKTT*
>EED77821
MPSTNNPTDVFNKLKTHHPEVTNATNRTALEVYLSAHHEYDEAVKAADEAINHHKRLLRQQDDRVLTELIRLDNLKVAHCFQPLLPHSIQMRHNKFIPRAIPNAYLPLPAPLLMSAFRCPPIPSPFLQAMPQSTIILADWQPNPGWTPKGSCRRCGSSRHWVRDCPDIQCTRCRKEAPGHLERECETRPMKRHISAPPEEPARRVGVVVDNVFLEGIINKAKERKERERQMKAVPIPPPRSANPEPQASPIAGSSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWDSSWPRQEHLFGEEWKNVGRNARNEWFDEGEDNSVDWELYGDGEHRSNPKFDLVIHSSLCSSSLGVVVILDFLAQSSGQRTVHKPSLVVYESGIGSTQFLWDYQPSQDHHSSSTTEQIAASSPPQKELPSTLEAAPGVVQPVQTRRSSPIKNLLATTRDTHLLTQKTYSPPYGSTSNLHKQRKACNHPSTNNHSNSLKFNIELPQAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFIATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTVLSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARD*
>EED77822
MTVSIEIRPHASSLDMYGQPDKSTAYSLSGDVVISLSSSYSLFERRRAIRLLLESLIIEFEGQCELITDETGYTPFRVCSVSKELVVREAVELSNEGHEDASLPSVWSVAFSLVIPGWLPPTSAYGDCESGDAGTRYGLYATAKFLDLDEGSSRSLFSLCTAPFRSRTRVIAAPRCEVTVNRFISTLVDDPSSPSTPSTIDYTVLPEQRKDQSSSGFPYEVISKLRAAVSVPEHIDVDDDSFSLCIRLRTQDLPESECKRLRITGFEVDVEQTEHYRITVPSAYKSAYPLPPSSHQPPCKPLHDANPVALIYEVGLAGICTPHSSCTRTFSLLPAGTSGRYVFAGDGYAFRDDANPGRDESWYSVRTQVPFTEPLDEKEGKTARRLRASGQSPLFGVGHQLHISLTSTYDLDNGERATEHLKFQIPLRFAHVVPAYPPSPSLSSFVMSQVPGHSPSSSLDSLSSVTSPLTPSPAYAQSLPAYSQLYDSNGERKIDYSVPLPLYTPTAPCQILPPSSLASLSTTVNA*
>EED77823
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTLPLSNPTDVFNKLKAHNPEATNATDHAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQAMPWSTTIPADWQPNPGCGKEAPGHLERECGTRPMKRHISAPPEEPARRVGVVVDNMFLEGIINEAKERKEKERQTKVVPIPPLRSANPEPLASPVAGPSHPRPDTPVVFRKVDPDWTPDTTQWMWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLY*
>EED77824
MCAXAFTVPEHFNQILALVQDKTFSYVIGFSGGGVVPEVVEGALANFVEQTMVHQTSIWDAAIRHLTTYHVLHHSSVCIVWAVHDSQGTRTVHARNLVQSHIQIRIWSIESTLLCWHEECVAPPGNVRFHTRHFRSLTAGNAHIDGQPRLWTDPHGKVYWHAWPLEPSIRYGFKDLQQASEEAVEKATNANAAKEAEDARIAAKEAEEQARALLAEVRGTKRKSGAQRRAMKKARTGAATEEGDGAPSIIPGFRSLASEVPRIAERTAEEQARALPAKARKSGRNRKSGAQRRSEKNARARAAAEKGDEAPVEPSIASGSKSPQPASEVASIADQAAEEQARTLLAEARGPNRMSGAQRRRAKKAITEAAMEMGDDNIHDA*
>EED77825
MSLLYGKDFSGKPERDRYDDRRVSDPRSRSPRRNGDPRPRPRSRSPRSQASLRVHIIDVRQLYCVLKQVAPLVDTVRSNASELGVRDIMGINESDGTFKMANDMNNGLCAGSESRLLGYMWHSMACGSPIDDQRMLRWHSKLSETVEDHATEESTSNANARDSDDEEEMDPNDMFQSVAPTPSGSSAPAKKSSLSILEPVRLYVRVHISLREMVKDPWSGHQYSHKSILEYLHTNNFTDAFNSMKSETGIDYKPDPKAKYAGLLEKKWTSVIRLQKKIMDLENRNAALQEELSLSPAKRAQMQTDWVPRAPAAYVLTGHRGQVLRVAFHPTFNLIASANGKPPETEKFVNVVASGSVDQTIKIWLP*
>EED77826
MAPIRQKASDSRRHTPIVRDSGKGTSSKLSYNATPLAPSSKPSTTNSSPLSSTSTRSSRPTGRFSYGRVVLVRTHEDSTIPELPHGAQFAMKAISKKGRNHRQRGNKEPREHSKRIKDTRNAERRFLAELPWHPFINGIMDAFDDDRNLYLMLELAPCESLHKYLRRKRDPIPSAHARFYFSNIVLGLEFLHSRDIWPAGHKANQDLKDLVERMLIPDPAERYGCMVLKGEHDEVGKNDEVRIHPFCYGKVNWKKIMERRQLVSAALLLLCRAWR*
>EED77827
MFCKSLLTVTLALIASATPITKPAGIRIPFQKRSSLTKADGTADRDAILRERVRVQNKHRNNLITIDRKMGLENYHVGAHIPPVATLPERLQKRQSESLTDQQSEEWTGTVAIGSQSFVIDFDTGSSDLWVPSWDCSSCQAQDTYDPSSSSSSEEQSGTFQISYGDGSTASGPIYKDDVSVAGVSVTGQTFSAVTSESGDLVGGPSDGLMGMGWPALSQLNADPFFWTAISQGVVSEGVFSFYLSGSGSADFVLVSGYTDYATERKHLGITNVFVACRFARDRASRLAM*
>EED77828
MTDPELHLVRYETVQEFLHEMKPFDESFMNLALGPLWDDISTNPTRSEERSELFNCPLFAVLSANVLVMTLTRDTAHQTWKLAIPSTLNPDSVHYQLTIAGASRLLASTLDALVEPGGIERIAAPSPVMDVFIDAWATSLSGKGIQITALPLDLIARSCYATRQTVPSSSACSLPANITIALAAAEDVSSLVPLFVDFTYQTHQVAMPEAARASLSRAVQGHKLWVCRFDGDLAGFTVVGRETPNTIAIRNVFVRPEHRRKGIAERMVGVLTRFYLGLDTVLEPHYPMGQPKREICLLVKDPAVERIYARCGFLVGEDVRDPETGRKACYAFVSRGVQTSRQT*
>EED77829
MPNYVVNGRRIESLPERRSCSSSKGTDFSRWGEELERIAPTYWPLAQYDPLRAAQKLTDRIWHNIGGGVVTILEDIRDERRRVRHTEILRKRLDALCTVLSNVYANSPRTVETEYKPRICDMIEMPEVREIIHSSNDPTLGSENEEKLRALFPNLVERWQAEAREELRELASSWVKCVDGADILALAATHFVCSGCLKLLPYPEILGHECLRASASTYGRHPYNQYCVYAIAAWKVGVNSRPWNGYKGHVATEPELAVAKKDGQERLWTRHKYPWCCSLCTPRGDDLARGRKTLLYTIRLHMKETHSIHDAREENGDFYAYPELDMQPF*
>EED77830
MAPPEIYPLAQTQAAITSHSFNADRSGNISSSLRCNRRDIDHETEVAIFAREGHEWKPTETLSEHDKLITSIDWAPNSNRIVTAAQDRNAYVWQQSPDPQTGRMIWKPTLVLLRINRAATHVRWSPNEDKFAVASGAR*
>EED77831
MPSLPPPHSPLSLVLLTASTVLSWRMARRVVVRHSP*
>EED77832
MSSAVTRLGEALYPFVSTGARIALNDAPGDESPLDSPTDTSSVTWSTTPSTPVDGPVNNPQIVSAPISPVAEESRYILKPRLPSITIPMVKPDLQPSRSPSPVDFTLDDRSLRGGQHQASIMGAESKRKASVQLAFGGLVDLPDDFASALPDISPIDPGVANPWTDSQHERKRPASDRKNQHNCTGEVPKRHFEIQSPDELVSPGTSGQVPACARVSTHGETRFAYSKLDVPLHVHGELIHRDAFGQLYLGATISAKTIAIRRIRKPNSSTYDEHYSQQVYVYRLFQLQMLVEFPTCGSLAACLHTHGAFDQEMAKLFLRQIVAGLIYIHGLEILHGHLRADSILLDADGTCKITNFFVSKSPDTISPEMAELHAYVHLENTMFWLPRAYTILPLEDAVFWMAPEVIRAHTDVIYSWNDRNLYGAKVDIWGVGCILYEMWTGHTSVLVDLYQKEQGPMLPADVTVSLLADDFRRRCFVMDPDERPTAVALQDHAYLIPRSTRWELTFVKAVYSGEVIDSRDDKALNSNFSGKTCIRGAALRYLAYFFAILKWNTILVAMAAMAVTLAPGVSFLARLLLTIAFAPLCMVIGSHALAKFWHLEPPFWLVCLLAVISEPLGFLARVIHCRWSVRRAAVRFGAILPPEWVGNRIGNLDNMATMVHSFRSGYPSAIFKEQMDSFLGTGVFNSDGDMWKWHRTMTRPFFSKDRISHFELFDVHAEYAIDRMRERLNAGYAVDFQDLISRFTMDSATIFLFGTCVESLRSPLPYPHNHSPTPFATQPTSQEALSRADAFSAAFLKSQHVIAEHPIVLHDETLNILLAGRDTVRERLRAEIIDKVGCSRRPTYADIKEMRYLRAVINETLRLYPLVPVNQRQVSVFAWQLNHSLMSTRRAVNETTLPNPDPSGKPFYVPTGTLVTYSVLAMHRRPELWGPDADEFDPDRFLDERVGKYLTKNPFIFLPFNAGPRICLGQQFAYNEMPFFLVKLLQRFSSMELAPEAAPPESLPPAGWAVTGSSRKRIDRFWPKSHLTMYSHVLSYEIWGIRLGLVQSLQPLVRQLCRTDMTTFTTDTTLSLRDIRERFVACLLPILLETPVLYTLSNLQRTLDPLDIEGLSTLWTNSYPQFVSSAVLGTGAQEPTLEEWTRFIDEYLSRTTATGRSGHHPDPQPNDLRYYCLAYLLSATFKDCSIMISMTPATGEQDAGRRASVKVVDLDVKGISRLEKWERLDKEVVSASQVYTASGGPVIFLLRVISTVQTPSLSALAAPIVLRARIIILAGRGGIAAGSSSPDFFGVHHKAALCHVDIQAWCYS*
>EED77833
MPTRPRGPVVSTARFGNFDDAAAAQVELVKLCTDKSVHEKHTAAEFSTLFKGPADHSGYGELELRDKYLSSIPSRVYRKIELETFATWQAAEKRATEVEQILDISRARWPELNNFFSARG*
>EED77834
MDGATHIAHGKFGGSKATSFDAEMMGLARGVTEALRDLLAHITQLALCSDNRPSQLAAISACSALRTFLAGNPRRRVLLLWVPAHKNIEPNEFVDELAKAALDGVQPDFVSYPMALARVRAHMKSKWDHVALDTNNTAYRGRHLWVAIDHPLHGATAKSTWLLRHAGTSNHNTARAARFLTNHFPCGAYRAKFNISGPHDCLCGGGLETRDHILFHCPYWIRTKAPTTSQRAAPHDHSSHQLRDQRGWSVDDVLEFLRLNPMVSTFGWSEILAEALADRERGQPHSRANARLLAHTVERVFRWQQFHRTRRKAPALTSSLSEDFADFTEWCDVEAHAENLLILWEERALARDAQLSAAPPPDAARTA*
>EED77835
MTFPSLLLLLFVAGATFHASRAQGANVTVTADNALINYVGSWIVQDEGGHKYASGPGCSLSLTFHGLSHALNGLLFVGTAIYWNSAHNPNGGIGRVSVDGEDPVDVDESVGTEVGEASVEATLWGKTGLDGNVNHTFELAYVGAGQLGGGYLEIYSLAYTADDTTGSSVSSSSSSPATSPATSPATSPAASPASNGIVPSPSSSSAGGGTSSKQIFSTTDHQGTSSIDPVYIAFETSGTSPAPSPSSTVVGANSHSASGNSNKTALVGGVVGGVLGGLLLDLVCTVLALWISRRRRRIREEKKRIDEEHRANPYRKHRDMSAPSLSPSSDAYTSPHTLVNSHVPTASYQSSSEPLSSTPPLSIIHIPAPQRAWDTLAPLPASSVLDSSDAQSAITTAEASLIGSSFSPRTPPPMYVERG*
>EED77836
MNPSETGHHRLPTSVEPTHYDLTVCTDLVCAKFDGIVKIDLYVRDKTSDIVFNTKDMQLGSVTVYSDALRTEQHPKSMSFDTDRERGILSLPTSLPAGSKAQVSISFEGPLTGALMGYYRSVGGADGKEVYSLTQFE
>EED77837
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDLVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRSNPRPLYYMPDMDTSLSTVR*
>EED77838
MSQNTTAPLMPPRGHSTAPTFDPSEVRSLRCYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCSNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLMIRDQIYEWHRRNPAAPAAPTMLFKIDDRSTMQTFTLNTSSRIEVLERELLQLQKRREVFNGVEILQRKKPTTPVVTRSVEASGSGTSKGVAAPSSTSTSTAPPPTIPAASPAPPSPPTQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYCDAVTPKRVSTEPVASAHIVEIGADEVQRWNPVLQSSPTPMKPI*
>EED77839
MRTSPSSPPSIVAACQGQIHAFDSQDLINVYIPDGPETVLYRCEQQPCPNRTPRSIEEDYPCYKAIRRAQHPLGPRSTLVSRSASQHSRPISPSSRLPQTVVADPSQARGDLPPNPAPEPEPEEGAGEEGIPESESEDSTGSASPTALAPASVVPDVRNPSPELSSAPSLLTLPRGRSSTRSSRSSTSGGPPQPPPPPQCPPSPPAPIMSSPTTAPDKETLRLLLPLRYDGKTVIECNWFLSQLRIYWLVNTSLTIIELKVQVALSLLDGDARAWATPYFAQLVSVQVGVQGVTTPFRNKAAFTAAFKARFGNLDDEAAAQVELAKLCTDKSVREKCTTTEFSALFKGPADRSGYGDLELRDKYLSGIPSCVYRKIELETFTTWQAAEKRATEVEQILDISRARWPELNNFFSARG*
>EED77840
MSQQRKALWDVDEDLQDVDLSLAIGNYGQNGIYDEGERSPACKYSKRHANARRAAEPRIDEATLLAVQQQMVQQAAFAQIPDVVKRFIVHFHHAVLENNLAEITAAYESGWNRLTEKFYSKTEWPEAEVIAPLVNDDQIFLILYRELYYRHVYSRLQPDIDDRFHSYENSCELFNYLLSA*
>EED77841
MKQGMEQFMVTGESSIERLLSAQDTIISELAPEEAVEVEVKAAPMKPVEVETETEKEDTPKKAKGGKAKRKEEAKRKEQDTKQKVQDTKQKAQDAKQKAQEAKYKEQEDAKRRAQEEAQARLQLELKRKEDEEARRREAESRQRMEDEHRRELLRKREEDEAAAAAAREVERLNEQRLLEFRRQQEETRRRLEGRQRTDSTTRAPDALKKQQEAKKAEQAIGKKDADAGRKEEETRRREDDIRRKEEEIRHKEEDLMLREQEMAKRERLEDARRKEEEKRRKPGAPRKREDDLRDREREVQRQADENQKKEEELRKREEGLNRRAAEMLLKIKALEDEAPDFLR*
>EED77842
MLLPGPEVWLTSPGCLAQAGMSGRC*
>EED77843
MLDFLKEIVEGVPDPSAGGTIDLEAEAGEGGRKRRSGKGKKVAEADDAAPALRKRRKRGEAKEEGEGQPQGRRRSRKKEPEKDADPQDDPEEEDADMDEEDVGDEGVVAPRARRRGDDDDDDWEG*
>EED77844
MAFDFCTPAWALQVFCDAMGLIATSRTTLFYSTMNHAIDGSLLFSSADAQGGWDQSGFQSSQPAILSLGMANDENLRDLDILRLSETRRASDMYYQSASDPTVFQPPQALISTSPNHAASPNNIIDVPMEVKERLVAILRTADLSGDDQLQRLLASLSSPQTVMRLESRSTAQVRSFPHYDPYRPGRPFPSSSHRQPVLPSDYPPASHFRPNFGTGRPPFPHTSYSGLNTQCLDGDQLVQGEIDSNSWSNHPLCQALTPTTPPSPHYFALNMESSIHNASSIPTVIPLEGVAQLPIPSQIIAESPHNSPKPPVCSWDNCGHSLADFHRSEVRRHFRDYHEVQRFTHCRWSGCGIPIKKNGMVKHVTNKHLHMSRYLKDIMSSICMPAPCLYENYD*
>EED77845
MPYWVSRTVRNGLSSFGIGDNEDQNAQDAGAPTSDSPSEDEVGYIVSGESDEYNYALEVHPPPTAAAVPRSQRALGQLQAPPASLLLAPPSSHSHSAATSSGSSAPRRSALTVQRLRRGIKGITNRFDLIYLSRPLPEARGYQSRISRLLQAQDVPRPAFPPTKLPAARAHDHCVSGPTASTQRASLDDNRRTRPLQRRGAFYRDSHPEIFNYSEWIESDDNTRAGPSSAPAHVQTQVSQLLRDPAARMEIIRQLQVEGNSILLRPDFPYGMPLLSLINTSQLRGDADWEGGIKPADWERTRTPARADDAADSQDEGFEPSDDSEEEDPQPSEHKLANIEDVPTPILAHNQRLDAELRAQGPLLRVA*
>EED77846
MKFTAAVSALALALVPAVLAQSSVTVAYDETYDNGSQSLSTVSCSDGTYGLETKGYTTFSSLPDFPNIGAAAAVSGWGSAECGSCWELEYNGNTIYVLAIDHAADGFNIALAAMNTLTDNQGTFLGRVTATATQVDASQCGLS*
>EED77847
MTPTNNGALDATSPQMSPARPDSGAIVPSPSKKSFGIPPSPSAGQTSLRPHILAYYGIPPPTPPPQGPLPDVPPAPNSPRSAQHLVPPRSMSADGADVRGAPSRPLPSPPPAGPPPTRKLPAPPVSAPTHAVRSASESDVMNPTGAYASVPVISEPIPPAQRGRVSPFPTSPIQPGMERGRISPFPVRPVSPRGEGSGLVRKLSNLMSPTTSPKAERTAKYEISKPMSAGGYVDQRDPERLDVRWQPRSASALDRPYAGAFSSPSRTRKASFTDEAEAEHGDDVSELDMGDESYEDETPEAQAADDDRSVYVDDSRPATMYDGSDGGDRSSVWSQQDSRKSFFDEEKSASTRERFVKQVEAMYGEYKVPPVPPLNVKPSEDGAA*
>EED77848
MAACKYSVFTEIRFLNLVRPFLPILPEVSSPDRKVPFNQKVLWTAVTLLIFLVCSQVPLYGIMSSDSSDPLYWMRVILASNRGTLMELGITPIVTSGMIMQLLAGANLIEVDFSLKDDRVLFSGAQKLFALIISLGQATVYVLTGLYGQPRDLGAGVCLLLIIQLISASLIVILLDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTVNTGRGPEFEGAVVSLFHLLFTWNDKGRALREAFWRERLPNIMNLIATVVVFAVVIYLQGFRIEIPVKSNRFRGQRGSYPVKLFYTSNMPIMLESALTSNVFMISQMLASRFPENLFVKLLGVWEPLEDSPQLAAVSGIAYYMSPPHTLKAALLDPIHTLIYIAFISTAGPRDIAKQLKDQQMVMAGHREGSMYKELKRVVPTAAALGGAILGLLSVAADLMGAIGSGTGILMAVTIIYSYWEIGMREAGGPEMAALSDLL*
>EED77849
MNERHSPDILTGQEILLGRILDHVRKQSDDVQLLRADPDSSEEEHFRIMLVQTEVERVKFVVRSYIRTRLHKIEKYARWISATPESHEKLSKAELDHARRYARLLEYHLTQSVLQSLPPEQRSLTDSVPFMPPMIPEPDKMRPVFVHARQQCPPVRLPDGTAIAMEKGRISLTPYYVVEQLLARGEVELI
>EED77850
MSMPMP*
>EED77851
MDPALMGAIATANWVEGGESDYLSIFNTDSVTAADKTSTIKRVHLACTGTLDNVRGIYSYHWRLFFQLTPVEANLVEKRSVELHVTLLNKGTGMANTIAMSRNYENTHRSVATTYWDVVDDEWTVNDMLNLIIHDKKRSRFLFDVASGSGCACWCIAVLGDIEDAGKFSSGVKKAAVEFVNEAARERGLPVPPPRGIFYD*
>EED77852
MFADELKTLRRLGFRHVLLQVLNFMSVLASGFMMWKGLGLLTNTESPIVVVLSGSMEPAFYRGDLLFLTNPASQRYKVGDITVYKIPGADIPIVHRVLETHDVVSNEKGLVAASPLAQRQLLLTKGDNNHIDDLELYQGLEWLERRHIVGKVRGFLPYVGYVTIAMNDFPQLKYALLGGLGLLALIQRE*
>EED77853
MSMIEMEERKGLSDVDERSYSENSFPGLSDETQSEETVSRDGLLVKITKTLGRWGLEVNGIDPVPPEERSDPRLYQIFFVWFSANANVLTMAAGTVGPAFYGLGIRDACLVIVVVDIITYTIPAYFLRAKAGDSIDGASMLLLGLLWSDYSKHTECDITARIRYLNTIIGGQTLGAISSHLSATLGIVIIGLFTLAVVFSGYRVLHWYETFVWIPNVIAFVVMLAVSGKHLVDAPLTSPSPVLASAVMTFGATLAATNVSYAPLTPDYGVYHDRKVSSWRIFLYAYLGFLMSSMPVHLLGAAFTATAYYVPTCIPRFFLAIVSTAIGLK*
>EED77854
MVQARFSWGYYGALIPSVLVTVTLQGYLILNTIVGGQTLGSVSTHLNNTLGIVIIGLVTLLVVFSGYKVLHWYQTFIWIPNVVAFVVMLGAPVTGTAPAPASVLMTFGAALGASVVNWSPLMPDQGIYHDHTASTWKIFWYSYLGLLLSIMPAHMLGAAWTAGAAFVPAWKAGLGNGNDIGGLLAAVLAPAGGFGKFLLVLLSLTTPSQCAPAMYTVCNSFMTLAPVCAKIPRFLLAGASTVM*
>EED77855
MVLRAIYLSCTLREKPDLANVRADCLTQSEIAQSDILLKDDQPMIYYKRPNRAMMQFYSFGDGELDILPSREALDILGSKTDPARATLEHDFSRPAFNAQPALDATVLSQLNVAQLLADLVERAIHTTGHAPEDERSGAQLLHDPTPRIPTQTTSRLPKWLTRLALPMPMVLRDISATGRLLRSIHRNTYTDYLVAEQINVRTEQALFVMTQSTTFMQRDSSRTIDFISRYVKFYNCVWLVLNDIIIGVAFGSFLCENRLLLSRILDQCVQLYLVDSIKHALLWLDNWPAGLKLNTELSQFYCHTLLSVVSIWGYVLQCAAPYFPVFLWIAGTMGGCGMTMVVSLLSDALGFLTAHLYVCYLLTTTAFSQQLSLAGSLWNLFRGKRFNVLRNRLDSWDYDIDQLLLGTILFTLVAFLSPTVLTYYALFAATHLSTITLCAILDTVIALLNHFPLFALMLRIKDPMRLPGKHYSRMRKYQED*
>EED77856
MCQVHAFDSQDLIDVYIPDGPETIIYRCEQQPCPNRTPRSIPEDYPRYKAIRRAQHPLGPRSTLASRSASRHSRPVSPSSRLPQTVITDPDQARGDLPPDPAPEPEPEESEGEEGVSESESEDSVGSASPTAFAPASAVPDLPPPPQRPPSPPTPIMSSPAAAPDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLINMSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFTARFGNLDDAAAAQVELAKLCADKSVREKRTTAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRAAEKRATEVEQILDISRARRPELNNFFSA*
>EED77857
MPNAEMKSNIWPLRTGSRRRRHAASVIVAPATARPLSSACGSRTPRPARGLLLRQGVHPGQDKENARRGIYHKEAHRLGLEQDDLDALDLDDESRGIIRVIRKERAHIARRRALLHDMDLDLQKMEKVALAKGGIGFVRGAVDDD*
>EED77858
MSMIEMEERKGLSDVDERSYSENSFPGLSDETQSEETVSRDGLLVKITKTLGRWGLEVNGIDPVPPEERSDPRLYQIFFVWFSANANVLTMAAGTVGPAFYGLGIRDACLVIVVVDIMYAFRFDINLHDSCVLMRPGQFALVQSSGQSWGLDRWCKHASPGDYDVNSYYGAIIPSILNVISLQGYVILNTIIGGQTLGAISSHLSATLGIVIIGLFTLAVVFSGYRVLHWYETFVWIPNVIAFVVMLAVSGKHLVDAPLTSPSPVLASAIMTFGATLAATNVSYAPLTPDYGVYHDRKASS*
>EED77859
MTLRRAGAIHVEVILPQTTICSTLPALPIDVWEHVFDYLEDEELLACSLVCKSWAPRCQFHLFTEPTILSSESATRLAKFMRANQRFAKAVKTVTLDSYDASLIGAFATSFACVLPRLQNLTIVSIEWYQPGLVHADVFLHLSTYTCITRLSLSNVHLASVHAFGRLICSFPNLKCLECLDVKCGSSSLNSPAFCMPRSGLTTLGLGGGVSDGLVDFIVSAQLVVGLSEISVGYMGAAFVEPYELTVQRLLQSAGDSLRHLNLRITDNDVVVSSDAEWEWDTITSVDMEISREVRAAIAPGSFPPLWEL*
>EED77860
MYTLFLFLFMTAEFIPTLPEHLHELAKYALLFFIPLIVAANELGSFVDISYRTLGNVPAVGFVDQAVHTGFDVLTLALLVVFQLLVLAVAARRLTKALAYRRELDAKAAEGGEVKTHLLRGLAWIVGGVFLGVVETAIGFAGGAFALAFARRTLRLLGRAGLIVGVINGVDTVEDFRILKAEQRRRSRLLMLISNPRNSTFRQVGGAAFDAEAGGRLPRALQQRMSALSDVLLEIRTVSIRYSGTPPSVSGVGSSTRAMENGGSSGMEYSLAASAGSAGRTSVQRPQRVTVHYVRGRAPILELRRFSDLLDPRSLHVLTQLDPFFEAHALRTKSLPSQAALQGWGARRSTLNAPQPIFAAARHAGDSLTPPPSAAMSTASASSSAVITPVTRARPAYARHTFAGRDFASRDPREPSQTTSDESLEALHALTVQFPRAPRTVSVIQPSGGKVPSVSRVPTESGHTTENAAPDAELVPEDPAQFIAVGADSPYGTDGEDAGLAADEVPEDATIRSLGESYESTLVEHEGHEAELLLEDEAQAAAALPTPVSMLTPVARRAGKEKYISAAPGPAVDYDSDARSTSSSKRSRRARTMSAKLQKPRHGMDSTLDLRPPPSAFDDLASVDSRVPRIMSVGSAPQRWTPTPTSSMYNRDSIAVVLDEAPIRRAGRRLRKQSIHKSRNSSPAERLAPATIPADRSYFED*
>EED77861
MGSMRTLCLVLYHYVSFFTNVTTQIQWSVVAHQELVNYYQRHPPAHPEDVFTILRIDVEPIQTAESAQSPTSEQPLELPEVQYIPIEIPDTELPPAPPAPTNAPVEVPMATFTQEDIDQHIAVTLAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSNEAKIAFTVALMRKDLGKTWADVYYEKSAEGVQVYSTWANFVATLEEVFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYVTRFEQLASKAQLKDAEVNGINRVENDYHTLHANFIKGLPKELYFALTTRVARDRPNTMKAWYNEPSRGLSSSQTPGTMANQWISTPLPSHQPSPPHREEGNGN*
>EED77862
MSSTLPFLDQFNAPSTEGGKRILIYTPKHTHVGNSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSAHHEYDKAVKAADKAIDHHKRLLRQQDDRVLTELIQLDNLKVAHRFQPLLPRSIRARHNKFIPRTIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWAKERKERERQTKAVPIPPPRSANPEPQDSPIAGSSRPRPDTPVVFRKVNPDWTPDTTQWTWDSSWPRQKHLSGEEWKNVGRNARNEWFDEQEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFLLY*
>EED77863
MGALPVNNDNVPSKIPALAITAVGTYTLFTPPWQPKAKESDSPKGLGTFERVFTHVVRLYCATSKTFFCIGSIIEAAVILASKDPTHSISQAVLGLLVPGSASIASKIGYSTPFVIGCSLVALGSYIRYCYYRALDRFFTYEVNVSEDQQLITDGPYTYVRHPSYSGGFAVLVGAGLCYGSTGSWLRECQIVDSIWGKATVASYTAVMAVWVMAAMINRPREEDRLLKAKFGGQWNAWAQKVPYRLIPHLY*
>EED77864
MATFFFSSPVDVDVKLDGEDERKKVDVKLEKERIVSCPVYYDGDAVTGQARSVTVRVRDGKRLAHDGIKVEFIGSIELFYDRGHHHEFLSLSQELAAPGEMRAAQTFDFLFKNVEKQYESYQGINVKLRYFIRVTISRRIADVTKEREIWVHSYRMPPDSNNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIVGKIYFLLVRIKIKHMELSIIRRETTGAPPNQYNESETITKFEIMDGAPVRGETIPIRLFLGGFELTPTFREVNKKFSVRYYLNLVLIDEENRRYFKQQKGSVLKVTTVCRDGSRSQSLSGARVP*
>EED77865
MAQRRLSHIINGLASPTDAPVGTLELGGISYEVPCSQTPSRLPHCQDVTLDLKDPFTLDNLHFMLQKFLLGQDIFLLSQPGPYARRLAFTFCSLLNSEYEYIALHRDIGETELKQGREIRRGGNLVYVDSAAVRAVKHGRILIIEGIEKAERGIMPVLNNLLENREMNLDDGTHIIHPHRHALLDSTSDAITGKRFLPAHKNFRVIAIAAPVPPYPGYPLDPPFRSRFQARFVDPVGALLALPPPSSPAPSAALYDRLREMIVATQYASETRTTLDAVAKSALPAFPQTALTKLHALLSVFPPPASGKLAPAQLARLVLTIHPGLIHAPFVAWATLSQQCEDAGLGPLGSPSLSGSGDELGLLGYKLDSVRRVNEHTAKAEFVGIDGARVSVDVPAGPRPLLQFPFAAPEQLGFFATGRFMGLLTSLLQAHALGWDILYVPPALPSTASCSTSLLVRTFGAVLGYETEDYHMYKELGGRELIMRRKIEDGGATTWEPSPLVEAAWSGRLVHMDGIDVIGSTAGSLARLMQDREVELWEDKRIVRQASQEELAQGDLTIARPSFRIISTASKSVPLKDWLSDEQANMFFPIAAQPMGHAEERAVLLQTGCAPGVVDALLVFAEKYRAGMSVDSVQKNRKLGTRALLRIARRVARFPGDDDLHAIISRAVLAEFLPPTETMNLDELFEEAGIEKRTPPFNPPLVVQQDGILFPEPSDPSRQGQGSTFIPAFDRIQDPEGAASYVPHMDHFYDNSLQTGLMRDLAVDLEILGEHLVLLGNQGVGKNKIIDRLCQLLGRPREYIQLHRDTTVNQLMFQTVLENGTLKYTDSPLLRAISHGRVMVVDEADKAAEHVVAIFRSLAGHGQLTLADGRRVRRERERDTDVVVHPNFRLILLANRPGYPFLGNHFLQVLGDSFSCHAVVNPDMSSERKLLSQLAPELSEDMILRLVAAFQDLRRAYDSGSLTYPYSLRELINIVRHMKTYPSDTLEATLRNVFDFDVYKPETIEKLAEILDHHGLAVKHLGLVAAREASRKKVLDIKFSPKETTLSQPKYGKVDPNNQPHTGGNTWAGGVSDELKNQVPEHVKEQARKMAREELARRLEELDMSAGEAKGYGSLLTAVQAHIAQLHDLLENLSAKEEERVWVKRQTDGELDDSRLADGLTGEATVYKRRGMAKPELGRPQIKPKRIRFVFDISGSMYRFQYDGRLQRSMETAVMIMETFNRLTRKDKYLWDSGDSPVISLIELDKPPTELRDRYKVVEKMELVSQYAFAGDYTVEAIEKAVDEVAKFDADDWFVITITDANFSRYAITAEELHKVMTRHPKVNTALICIGEGAEVQWIPQKLQGRGFRVANTADIPAVLRSILSTMVDR*
>EED77866
MGGRVLREVGRGSPGLFHMGKFRCHPRRGVP*
>EED77867
MADPVAAKSGFLCMYMSNHPDTLVGYVKHHGNVKESVESAQMTVIDTKGMTLSYKVKGGGAGKQVRVPFDPPLAGYEEVKPRLLSMKVDAEEALGMARAPQVTTIRLPSLGLLTGGLMLLLFYVTWAPSPSSLHYRSDLFWPAHAIRTALPPWAVAASWWIMLPAHSLEALYTFTLCRKYRTPFGIGLQIPGYLRRNSHNMDLSDPNFLRTLAAWADTGQGRSLSELLNSNDPLQGPESQRLRSYFTSNWMEPDRDLDEYGRRIMMGDLASVQDDFARRESQHMAEADTTEAARADAAQELYSLRWGPTRVPIFNLILLCTLIEPRSRAGHLAIARWLIADAKVPVDGTDLSGSQAIYHTISTKPTFDPEYGQLLYDAGANVNHRNRYGGTAAHEMALIWDYTKKEPAQRAADGLAWEDERRKRLGMKILLAMQEGVVLRSSESMPEGRLAKAQTDVQSFEAIDRRDDLSGREACTLGRTTIQKCIAFRATVFFSALNA*
>EED77868
MSSPASPPNKETLRLLLPLRYDGKTVIECDQFLSQLRIYWLVNMSLTTTELKVQVALSLLDDDTCTWVTPFFAQLVAVQLKAQGVMTPFANEAAFATALKAHFGNLDDEAAAQVELAKLYLELRDKYLSGIPSRVYRKIELETFTMWEDTDKRAMEVEQILNISRALSSQLEEEDEEERAVVHPDHTELRPASTQPSEKETSPAVALAARSKGGLEAGYYLSERGVSSKVSRGSSEHEKHPRKRLRTLGKVRGGSTRRRSYSEAVGGRYDYREWHTSTRGFALSRTKLPHRVARIKSIGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRMGVVVDNVFLEGIINEAKERKEKERQTKAVPIPPPRSTNPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPNTTQWTWDSSWPNQKHLSGEEWTNVGRNARKEWFDEEEGDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLYKHDHIDTMWSFSTSSLDLQDDTLSISPRSSSTSQASI*
>EED77869
MAAGTVVRAISDFLLFLCLRSSVLTTANAVLLTALFTGLAGGSYVVPTAQLAFLTDTSSPTKRTFVLGLALAMMPIGGFAALILSSALTAFKLHGVAFSVSMFTHLTYLAYLTFFLRELRKPPQLEAVAPEEKQSSMKKRVSKGAWFPPVVMIFSDSTLRWLATVAFCLSLVSQIPSTVQSEVGEHLGVMPLRRTPIQGWTTQDGPSIVDIGLDNAPKGANLHGDSIVDVGSGKSRFDCKVAVRQELLLCLICFVVKAMSLALIPLSRNASHFSVAYIVDAFSSPVDASLFTLTTLATEPGQIGRVLMGVSVIKDIALALREPLLSAISKAILEKTPFTACWFAAGICVLCGIMIARLRPEMFREDDRH*
>EED77870
MDSKTHGVVYDTNDEAATRAGRDVLVVLELLAGYLEAVTTGAWVMLLEQCGESKAGGQVVEKYLPHAREDSNLRTLLVCVIGGGHAGCEAAAGAARTGARTVLLTQKLDNIGELSCNPSMGGVGKGTLMREVDALDGLCGRVADQAGIQFQILNRSKGAAVWGPRAQIDRTLYKQHMQAALHNYPNLDIRSASVSDLVFDHDVGSTSAHAQWGTVGGVRLDKGINAFSDSGEVIKCSQVVICTGTFLSGEIHIGMKRFPAGRINEAPSVGLSGSLRSAGFQLGRLQTGTPARLYKDSIDFRNLEQQRGDSTPHPFSFMNSSVSNADNQILCYLTYTTPATHQMIRDNLHQSVHIQETKKGPRYCPSLEAKVIRFPQKDQHLVWLEPEGYDSELIYPNGLSCSMPEEVQLMMYRTVPGLENVKLARPAYGVEYDYVDPRELKPTLETKRIKGLFLAGQINGTTGYEEAAAQGVLAGINAGMSALHYRMFTSRSEYRMTIRSDNADLRLTEKARNAGAITDERWRQFEATREELTRVTDLLKSHVLSPQAWQNMGFDVKSDGHMRRQ
>EED77871
MLSKGLALLAWVFFVHLAGIYLYTRGFLLTRLSLSDTTSCDDGSCTLKATHRRAVLLVIDALRFDFVTPDSPSPPSPHHHGVLTLPQELTAMQPAQSFLFDSFADPPTTTLQRIKGITTGSLPTFIDMGSNFGGASVVEDSLISQLRSAGKSIAFMGDDTWTTVFSDAFASDMCFPYDSFNVEDLHTVDEGVIRHLFPLMNNASAPWDVIIGHFLGVDHVGHRVGPDHLKMRAKLAQMDEVLRRTIEALEEDTLLVVLGDHGMDRRGDHGGDGTVIPELFSHETFDRALELNAEQVKRYLDTYRATAHGGELDNAWTKLHWHWTSIKTAEDLEGRWEAMGAFTRTALDACRILWAQFNVTHIGLGLILQVMGIIAGFALYLKFGELKDDWVDWAGNVKQGCVRGMAIGAVSGLVCSVPLKHFVKGIDTLDYVLFAAPLIMLANAS*
>EED77872
MSSQRPIVFMDVNIGETPAGRLKMELFSDIVPKTAENFRQLCTGEYRVNSRPQGYKGATFHRVVPNFMCQGGDFMKGDGTGSFSIYGDKFPDENFQEKHTGPGLLSMANSGPNTNGCQVGFVDIYLPFHADPAPKFFVTTAKCDFLDGKHVVFGKVIDGMLTLRKIENVPTGPNNRPKLAVKIVECGEM*
>EED77873
MALRVSRHPQPGARCRLEEDRSKHEAVQDHRGHLAQDRQERAAFARQIPRRRRRGLHGDARGDPSAALSQHAAEADLLRALRGGRRVDPGRPRGLSDPPRSLHRDAAAGRPLHRGRRTRDLRLGRGLPPPLSRLQHLAQVQERRQGRRMEQRARAX
>EED77874
MYIPHAIVPYGHRYARGQTRPALFAPLFQHRQPLSLIQKAALELRNSTGVITSYGCRNVATCFDLDINLIGSPPGWAPRNISPIARMGLCRKISDGAMVSLKKVSTAVHPHEADIGSYLCSNELRSDPDNPCVPLYDVLKDPLEEDIVILVMPLLRKCNDPEFGTVGGVVGLIRQLVNGLKFMHVHHVAHRDMNRDFTGTARHYSRTERPTKYYYVDFGLSRKYSPDEYPPRKLPILGGDKSVPEFQGEGYDEAVDPFPTDIYYLGNLIRMAFTMRYENFRFMDALIADMVQNDPQKRPPIEEVSSRFIEATSKLSSRILRGRLVERDEPPVIRFLFGLGHLFRTANQHRARLPSLGRTTTLLGSNDFSSLGATPVCCIERASRYFFPATPVRCVERTSGNFLIATPISRVESAGRHIFVAAPVRCVKRTSRDVLGTTLDFPDVCTILCRAGYGSA*
>EED77875
MNRENSRTRSQRHRNESRRPLIVAPSTRCGRYNIKSTLRERRTLGLLHPHVLQLHTQSTLSSEAPCLTKGKVIAGVTQQQPGLAYIKPAKSRRTTHACHFKRHDSCGASGGTAISTPDLLDYIKAAGRFAAVENNRTRSQRHRNESRRPLMIHASLIGLCLASLASIFGLRVIESATLGMCRLRNKKYLSYTTEAMPDEAPGTE*
>EED77876
MWHGQSRVVGSACCQPARILLIKTIGVPKRYGCPSTSVTWSSELCEQHRSRRLRIAVADGEEVSGPWGGDIRRGPGVRVGGVVGRRFRRLTCPNQSINATPNALSPPRSPPGAVAVPLAVRAAVLRGGGARSAAISETRGSGRCRMGQCTKERTKHMIYVIGRTLTSSVSMWDLYRTKQVATVASPWRGMPLDPSRPYPLFSLNPHHSRPRVAMSRAHKSNMAPPQNMGPPQDVVMGPPPLPPQVAQSTSQKSNSADVVEKYRRLKRKYFDLEETVEV*
>EED77877
MPATKPSRCSDDVSLATCPTQDIGSVHEVSINSPKDVYACGPWRAWSTLSPHQYYQVFLAQGLGMGIGIGLVYLSTSVIVTQHFKQNKSLAMGVVMSGGSLGGFVFSGEAKACTSCLALNYLLHSSMTFGWTMRLSAFFCLGLLFLGNLLMFEPHPSKDNLARTDQADTSSIIDEKVLGKIRQDSIQTTNLNDQSTRQGSIYDAKYLCFLGMGFLTGLGKWFPTYYVQLFAEQHGVSQQLSFYALAVMNISNMLGRIFPNWLGDRWSPFDVYMVCLLCAGGVEFSMLACSTSYGLILFIIIYGFFLGTSISLYLPAVASLSNEKAREGKRMGLALVPVGVSSLIGTPISGAILGPDYDWWKGVVFSSAERTEWPNLPEDYNAGRGYTHVLNPIA*
>EED77878
MTGEDERGQGKTSKGKRPPGALLGCSQAAIAAIPRSHHTAKSPSRTCPLLVCRLARLASPHALLHHVHFTSLDSHDCTAMHARLQAGHRRRRARALEERDPSLINLPFPIPSIPILGPLLSPLIGGEPWPAATSTRAEQTTKVSPTTTQAQDTSPAADPSSSPAQDPTPEPQQTSTPAQTNPSPTPTDSGGGSKSGGSSGDGQSGGEGGGSEGDGGGQGSGGSSSASGTGASGGSGESESAGDGSGGSTSGSSVSSPSDPTDPANAASVSRGSQTASVAAGSGNTPAVSQSPPGQSTNGSGDADISPFTIAGASAYRTVALAGATSVPAAIANAQGGVVISSSLPTDGSTGENGVGSAATGVSGVAALTGSDRAAAPHQTSSPSSGAGSSGSGSTAGGGTGQSAGQSGSAGTAHDSSSRHHLSQGDIAAIAVLTTSFFLLLLFLFLRKRAISHRHDRRRRWLLGGCEKSFYGRAAASGSDTASRSSCFATPVEWEGFSPTNSNAQASSIRFAATAPAPAAPISTGDTEHVWPSNLSGSIATPLLAPTAVSEPLPTVRSPDRVSWGSDGPFMDSSDASSDGSGETDWLTAPSSAALHVRSVALPSPMSVRPFTPSEAWEFPKPPSDAAAWDDSDARRSRASTHATMITPDSLLGSTVESSTYATAPENPFADPASVCNGEGEGEDDGRTVESADAETASHFATVEVIRRPFVPTMDDEMAAAPGERVRMLRRFDDGWAYAEKVPRGRRGLIPIDCLRMPEEELPAFLAAKRLSSYRGARSPSPLWRGSEQTVGTAF*
>EED77879
MFGEHTCDTDTVPESEASTCPTGSAAKIAQRVQSLAAAARKITDQPNNTRTRMGSSPVSRSCLLPPLLLSRVETSVTARQCSRSLASMAADHPRVSARYCIRNHQRPEYITYGTPGRAGAEPSRGGMAGTSERRQTNGRVTTCMCVFSTYLIVSSRLQRRAPIFSEDGPQLN*
>EED77880
MSSGRGGYPLRQDDTYFPPPAVSGHQNGWAAPFQPAGTANGHPPLGPAHYLFVNGYMARPEAPQQPVPCPFPYAPMHTINIPAAPSAMVAATTATPNKSRTAKKRNSSPDNSKGTRSAKIQRVSQDAPDIEMLDASPAPPKCNGPQKRGTAHTRARARARARKGVPKAPPNAYIIFRSDYIRKHHVKSSIPGAGGLQTHICEYTPVAPSLKIWLMWRLLRSGYMERHVGARKSCLVPEAC*
>EED77881
MIFPVREISDLVIDKLPDEELELVTLVVELVLDKINCGGVDDSFGSNEFVETEMGEMDEREVGRGVYGSVLNGCKLEMLLEILVLFDVALSEEVELPEVVLGVEEIADVELDEIKARESDEVLCDELVSYVVTADVVDDQPTTVVFVTMTVVTASKSWLSSVRLIASVALRPSSSLAVASVPDRRVASLEAL
>EED77882
MSLSTVRKKCCFTTNKTSLLERHCNQCAEYQQTILQDAAKYRELRTRRKAKREEKIRKTATGQAIQSHSQDVPMVVDETSSDVYVGNNDYTDLPSPSTGSVSDAPSRDVAAEIGRPTRERRLPRRYRSMQDITRVRHVSRHLDVIPEGPSPAINPEPIMDAPPNPSAVRRVILHVWDSMRTAVNAFGVLREYPHRPSFDPDAAVRPEDLADYHNKGPCEDIQGGLLNSDSFTSTSAPPADRAPPWPFANMSIWRLMNWFSSGSGQKSVGETNRLVHDVLLADDFTASDLHHFRVAREAKRIDGSEEDTPDVASDAQSAPFAGDGWRKTSVDIDIPTGERDSPKSPGYKTFSISGLHYRCHDLVRRLAIF*
>EED77883
MISRTASDASFIFVRQDQDASQDLAASRLTESELLVDKSNVETPRAEVDRALAQPQSPAARPPAPKAAPSFAHDWSAAGTGGRLYTHGRHFVDAYGRVCNLRGVNLAGNCKTPTNHDHDTFPANHADVTFVGRPFPLEEAHEHFARLRRWGLTFIRFLVTWEAVEHAGPGIYDTEYLAYLRSILSLLPQFGLTAFVALHQDVWSRYTGGSGAPAWTLEAVGFDLHGLEEPGAAWLRGVRGGGHTEDERGLWPCGYQKLSAATMATCFWAGDAFAPKLRVRDARGADVSIQTFLQTAFLDMWEVVAKTVGDLEGVLGFEIMNEPHRGYAELQSMHQFDYNTDLHLGHVPTAFQSFTLGAGYPTEVGFWTRTFPMPTRLTGKAVLNTARQKAWRQDGPTGGRCLWEMHGVWGWDLKKNEGVVLRESYFKKHPMTGKTIDWYTDFFYPFVQRWAERVRSVSSPDKLVLVEPIPNEFCPSSWTPERQPPNVVYAPHWYDLNALFTKAFGDFTANVQGLSRGMFILKALYWGHQGARENFSLQIRNIVEAGYRSLGERPVVIGECGIPMDMNKGEAFQTDSWIWQTRMMDAMLTALDKSLVGFTLWNYNPDNEDHIGDDWNGENFSWFSRRRALTGDWLDLAQTSPTLDNGARIVRAFVRPYPAKTAGIPVRFDYEMNTGQFTFEWVIPGVDSAPPAEASVASPPLASHPALTSKDTQIFLPSLLAHSRKIVVQGLKPEDRYRYDEARQTLTITTADLVPGERHSVTVSLNPPLKDAFTVNSFWDDFGLHVVAATIVLASILIYVIMSMVS*
>EED77884
MAETASLDLNNAVGYPMIGITFNTVFYGIAVAQICYYTHNYTKDPTWLKTLDNRYVQGNKLHRDALVLACSTPRRSRCVVLSAHIEQIVDYVESGTVQWYAEEFLCIALPLTYPQSYYIYIIWTMSLFVFRHKHALAYNILKALMSATSLACVSAAMYYLSIEDTVALQNVDKLTIALDVISLLTDLHITVSLCVIFRSARMPSQPNATGRSEGILGRLTKYTANRGILLCVDQAIQLGTFMLNYKTGTDAGAIVSFSHGSLYFNAFLAILNVRHHINEAGEPASILVSSFIVRRSPSPRSVDASTGASLPHRSMEMPTMALESSSSTQGKAQQ*
>EED77885
MAFLACGRLSERYVTPGPDQTFIFEPVVAHGIGPAYDPEQAHLVFRHNSQIPERVKEIAESETCLVYLGTLEYREEPDPKEPIWTKDVVCKLVYSPSNEDHRNEIDCYERLRDLQGSSIPICYGLYKGNVTSGEGQRKIGVSCLVLEYCGLPTSFSKMNKAFRYDNN*
>EED77886
MWTRFLLHTSQKQYWAPRESRTLVSPRAPTRTSPSSLHPSWLKKPSIAWDLSTHSTPKIMLTSHASTIPAPSSSTVTGSRALIEPHAPSLLKTDALCPSNESTTPLDQISNTRPDLSPATSLPSHRVPPPRAPTSPNLSPVQVKREETDPDLPRRTEAVAQPSAAPQAIPQPSLGPWLERPPKTEPSDTPPHSWAGSSSAVTTSPSVPILRNPAPGLPPLPPPPSPPRGRTSTRSSRSSPGGQSQQSPSPGGQSQQSPSSADSPPSPSSLVMSSPAPPSDKEMLKLHLPLRYDGKTVVECNRFISQLLIYWAINTTLSTVKLKVQVALSLLDGDARAWATPIFAQLAAVQIGTQGSMTPFTDEAAFLTAFKARFGNLDNTAAAQVELTKLCADKTVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFATWQAADKCATEVEQILDVSQARRPELNNFFSTRGRGRGGARGGAP*
>EED77887
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDKQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAALFPWTSIRLRX
>EED77888
MRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCIICKSKTHNTDDCYKLAKNADKRPNTQGDGARKMQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSTNTARIKEIANIEESTLAGKDEP*
>EED77889
MPKAKPFIVTAKHEPTGLLERITIPNTHKFDDVGKPCRIVRPTIKPLICRPFNPEHTEKAKHNIEELALHAHLFKKQQLLDCISDPAPPLIDRIDMQAGPSYEYEPPKPFPDIHFQRTKILLRTSEYNKMFAATADRLEPVFAQMEKEEGSLEPEVVVKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKHDLKRIGHVSFEDLSNRLPEICNELASLNITFKYKV*
>EED77890
MNTPTMKVLTSSDGTTIYAEAIGDPRNPAVVLAHGMCLSAAAFDGLFRDKRLLDKLYLVRYDLRGHGRSGMPAGIKGYRSSLWADDYAAVLKAFGLTKSYGATVVADVCAHTESHPFIGLVFVAPLPYIGPVNVGTPTVLRLIPGLMNTTDVALSSRTRVKFVDSCFNEPVDFGVRTSLIGQTILQPPEVEAYVLSRPQDPQKMWEAARAGLPLLLLNGTEDKQVSGEAVVRELSPHYTKMEVRMIEGGSHALFMEKQDECVEALLTFVARLTVGDGCFPHALY*
>EED77891
MPPIVDYRGHISHPFLQHLVALLSVYELGPLSSPIPKYDGPADWQTDSILRSLGAMARRMYTAEEALASIRASEACGPESKKRRSVGSPSMCSSSSSSSRSDNGDVPTSISQTATNASPFFTTPTSSVESIPSTMVSSGSNSKDVEMYDGDGEPTPSAPVPTSARNGSRRTPSPMTISLEGVVNPLDLQKAYRSNSNGPASAPPSSHADHIACPSCGKVITDSATISKVTAFTGEPISSPLVVPPGPLAAAAFESGMSAVEELKLLKAQVQDVARVCNAVARGDLSQKITVPVQGVVMVQLKEVINAMVDKLGQFAKEVTRVSQEVGTEGKLGGQALVLDVEGTWRELTGVVNKLAANLTNQVRSIAKVTKAVALGDLSKQIEVDARGEILELKNTVNGMVVRLRTLAAEVTRVTWKLSSNFHLTLTAGARTSEENLVETLSLLSFPLDILSVVSTAKMPPIVDYRGHISHPFLQHLVALLSVYELGPLSSPIPKYDGPADWQTDSILRSLGAMARRMYTAEEALASIRASEACGPESKKRRSVGSPSMCSSSSSSSRSDNGDVPTSISQTATNASPFFTTPTSSVESIPSTMVSSGSNSKDVEMYDGDGEPTPSAPVPTSARNGSRRTPSPMTISLEGVVNPLDLQKAYRSNSNGPASAPPSSHADHIACPSCGKVITDSATISKVTAFTGEPISSPLVVPPGPLAAAAFESGMSAVEELKFRTVNVDVQGEMLELKTTVNQMVARLSTLANEVTRVSLEVGTEGIMGGQAYVPDVQGMWKVLSDNVNLMAMNLTNQVRSIAEVTKAVAGGDLTKRITVDVRGEMLDLKKTVNGMTESLSVFADEVTRVAKEVGTEGKLGGQARVTGVGGTWKDLTDNVNVMAANLTLQVRTIAVATRAVARGDLTRKVIGVSVSGEMLDLVNTINSMIDQLAIFAAEVKKVAREVGTEGKLGGQAEVGNVEGIWQDITMSVNTMASNLTTQVRGFAQISAAAMDGDFSRFITVEASGEMDSLKTQINQMVFNLRDSIQKNTAAREAAELANRSKSEFLANMSHEIRTPMNGIIGMTELTLDSDLNRSQRESLLLVHSLARSLLLIIDDILDISKIEAGRMTMEQVSYSLRQSVFGILKTLVVRASQNQLDLTYDVDPEIPDQLIGDSLRLRQVITNLVGNAIKFTPSKVSRKGHVALSCRLLALDDLSVTLEFCVSDTGIGIAKDKLTMIFDTFCQADGSTTREYGGTGLGLSISKRLVTLMQGNMWVESEVSNGSKFFFTISSQISPMSMEATLSKMQPFQKRNILFVDTLYDRTGVVQRIMDVGLRPYVVHEVCEVADKATCPHIDTIVVDSLGFSLT*
>EED77892
MAFKRLAAHSGCSKLCSPYVLYTGGEYNDENVSTGYSHSIGPEPVMEVGTLAGIVDQLTIQPLHMTRIFRAATTVSTLSLTEKEVSVVDEELTVGDVMFALKVIPSNQIVIEICLSSLETTSRTVIASPGKSNAMEMRRRIQPRGDDECAGLPRIQVFHCYCLSGVYDRIERRSAKHPIGFISSRTTTGHKYAISVNRVLGIRAAPSLQAVPCPISAVAAQRPSYELHNYPANVKRLTVYDSSPFPWYQGFPNESTRITEAS*
>EED77893
MAYPLSVLMQFFQWCNTFFAAGILPLLITSDASVVTLPYGSFQGSTSGHVTSFLGMPYAQPPLGNLRFAPPQAPNIFDGVRPATSYGAACLAQHVAPPPINTSASAVSTSASIVHVSEDCLFVNVVTPATVNTSEKLPVLFVGSFVEGASGGNPGNIIVARSITLGEPIIFVSFNYRLNAFGFLAGEEVEKARLTNIGLRDQRFAMEWVQQYISSFGGDPDKVTIWGESAGSFSVGLQMLINNGDAQGLFRAAVMESGSAYALRNVSEGQVYYDFLVDHTGCSGQADTLACLREAPAEQIIAAVNKTPTMFSYTAHNLPWAPRVDGDLFVRNPQQSLLMGLHAKVPIISGDCEDEGTLFSLGNLNITYIPVATPAEIAAVGSAYPDDPSLGSPFDTGNDYTLSPQYKRIAAFTGDWQFQAPRRLTLSVISKTHDAWAYLFRRPKATPQKYLGVAHASDLSEFFAHVDYIGMDALVNFVTQLNPNAPPGLPANVSHLSGVQWPRWRAHNATASLLTFQDPVPDFDFTPDTFRAAPMALLTNLSLKL*
>EED77894
MQSSHLLIHALQTAGLVLANRLSENSSVTVVVLEAGKAHFEDPLVDKIDGWLQQMMKPDYDWEFFTVPQPHAHDKPARWSRGKGLGGSSAINLLGWTKPEPADIDAWEKLGNPGWNFKRLHEYIKKAERDVNNTIGTDGECHCFMDHRSTLIASRPNLSCLCADEHWCRSIVPEGNAYEVGPQTNGAYKILSSIDPEKGTRASSVTGYLLPAIHRPNLKVLTEAYVNKFVTRHEGDKLTATGVEFEHGGNVYQVHATKEVILSAGAIKSPNILELSGIGDRRVLEPLGIPVLKDIPGVGANVQEHYTLTGLVLGESGERSVQNRWADGTKEMRQAPDAALSRPAHTSTATTKPEEATEAATYPPGLKEQYDIQLDILRDSQIPDLEIMLLPVSFAAPCKGLRTYELCSVCSHSTIVIGKPYISLPIVISHPFARGTIHISSADPKAAPLIDPRYFEEETERDILLEGFKFARKISNTSPFKDLVVAEVLPGPEVTEDRQIVVFNHVITFIDSAGSLSMMPQDKGGAVDPALRIYGCKNVRVVDLSVVPLTVSAHTQGMNAILITSVNTHLHPAVIVYGLAEMGLFLSPDFEIGNLCAGL*
>EED77895
MSYNHAISTYQEGVEAEICIFLFLQVANIYISNIIGSVMEMLLYIIGGVCLYAPLVGTSLYAAIVATYLVEPFGCSISYNYSVAVSPGLIAFSWCIAATRLFHTLKVLNMLFE*
>EED77896
MALVIARDAARGVVVSYPSPRIRTPPYRGGGRASDCAPGVGVLRARLEQPEDEHFAAEVSGPSRIPRGPGKSRCSASRYVVCSSWASWFEMGFVDAISAVRVGAKGLACRMPRGMPYNVCTGTSLRSIGGGIASLLLRGPAICKLDDVHAGLA*
>EED77897
MRNIGMSANRAGPGRNSAGFRGTVVSTTQSIKWRKPSFLGQDSLDERDSEPLGEDDNVDIDNDVFEVMYRETFRDIKVTSRTPSRNGSTARSVSRDPVSAPASTIVGRAPTRSSQYTVDAINQGLSLNTSRKAQTSATSSSFLQSRDVFQPHLLETVPVPTQMEALQKRPRGRLLKKKDKLIAGEIAVTPNILGKRKAINAVATFQQEEELENSIQMNHKHHRGVSWPGVASRQAQLQGVSLVSDFEGRGKVGLFRLHNEARLLYTCPSGASSTDATRTTAAQEPDAQAEERQHPTFMLFTGFPSIVRPSISVQYSISITVSHNAWGWHSRYS*
>EED77898
MFRKKIWGEFERGVKELADASRKALGELQTSVPTTAVEVRPSPDSSGGIKEVDRELLTYAAAARRNVPAAHTRVVARGDARRRQVLIDRDPKAAGDGIHNLSERELVKKATNALDNMGEDGADAPDGGVIFRSARRLKNGGVIFEMNTVAAASWFREPDDIPVSFPSMTAGIVLPKATSRHLQLSITTTHGSQLRREESPTARPSQKARTAAAAAGAIRQATLGRYTTIDSRPQDNGWGERARRQPSEQRQENEGNRFALPDVPPAYGRLRIWQQNLNTSLTAQLDLLNYLHPSTIDIIALQEPYFDHLRNTRASCYWTVVYPPGHKDSEKPPRSVLLVNNQTMSTNTWEPITVPSTDLTVICVTNETDTIFVYNSYNDQKYSDTLRLLETETKKSMTTNRNRYRTHALSGSVTTTDNRPYGMSPVILTYRNTYRKYGHLTLADGGQMIWRACGERYKEEVEKQKRRHWLQFVEDIDPDSIWIANRYIGHESSDASRAQVPTLCADIGHGVEGLSENSQKGEALYRSFFIPPPPADVPHIDPATGYPEPAFRWPPGPNGIVNVFFKEPGHVHAQVLPDEWKVSKTIVLRKPGRPDYRATKAYRPIALLDTLKGVPKELTDWIRRKLTGPTTTIAFDDYTSKLYDIPSGLDQGCPLSVIFHHFYNAHMTELARSKQRESTSGFVDDSTLLAEARTFPDAHHILNDMMTRENGALEYAREHNVHISPGNGSDGKVTRPERNQSAGPQQ*
>EED77899
MLRTDQLRTDQRAQPALISPCHLRFEPRSPSLRSGGAHPYGYAHRPVLPPSIVAACQGQIHAFDSQDLIDVYIPDGPETVLYRCEQQPCPNRTPRSIEEDYPRYKAIRRAQHPLGPRSTLASRSASRHSRPVSPTSRLPKTVAESSQARGDLPPDPAPELEPEEGDSEEGVSESESADPARPASPTALAPASAVPN
>EED77900
MREKAPTFWYLLESAACSTKRQKRNSHKRSNKRLLGVYFKFKGLSAKGFDTLHALGITMSFKWMTNAVEKLSHEAMKEVQALVDGWTKKTEFGSGTAATVYIRKDAPLRRPGLNQELEEWRARGMKNPISEADIHELISATSPSVQRQMIYHVLRVLLDAPEFHLDTYAGKNSSFLKPPMPIEALPCGPDHITMRYMLGSMPIPGATYDDDDRVLSALWTQMGYKTKADLKKLGEQNIEFIIGDQLTADRIRGLQRFRCQERNSFDRLDFVIPVFGWLYLQMAFAKSLHKQYLGTNADVGLKQAFTILERKGLDQASTKGPFHDNLERVLYHILEAHIRACWHLVANVEHIEDLRKLDPVDLLQLAEKLSREDASTDAIMLMKRTGKED*
>EED77901
MADLLREETLDDLDPLRHLRGSADADDADDADLVPAQPPSILDQTIELTFPSDASETVSITLAVSASPGCGGIAWPAGEVLSRYIARRGPAYFKDKTVLELGSGTGLVGLVAAKLGAPRVWLTDQAPLLDTMRRNTALNGLAPPVRVAELNWGAPLPLLPRPDVVLAADCVYFEPAFPLLVHTLAALVPRGSPSPDPDPDVLFCYKKRRKADRRFFALLRKEFTWTEVLDDPDRDVYAREAISLLRLTRRQ*
>EED77902
MVLNDVLWSLIVQVALVLLYTTNLELLYWFWENLVRLWASTLFDLYTLLRLRTFDELNALKVGDVVLSLLNMEFYGLAFAGALDVSGDLLIAITLSVLLHGSRTGYRRSDGLINKLIAVLPTAFIYVAFFFLMGRLHTNSLLATLNARKILRVRTVGDDVLSLSLQDLQGSDTLPSGRRNRIPERSPLDIDDTKSQSQERLVSQVRPVPRLGTLGSALAPSRSMMGP*
>EED77903
MPRIRKKTSRRGTTNQREKIKHKVSETRKKRKKESKKDQQWKTNNPKDPGIPNNFPYKDQILAEVAEERRRASEEKQRRKEKKAARGQLTGDDDAEGSGSEAAFDGVRSLNAGTRETAGSQTKAPIAEAAGVEEDIPVLLNRDLPNLKAVLDLADVVIQVLDARDPLRCRSAHIEEASKEKKILLVLNRTDACPREAVSSWATTLRAQRPTVLFRSASAFLPSSLEPSGKGKGRECADDAWGCDSVLACLRRWAQEKEGEAPLVVAVVGVANVGKSSFVNSLLGKAALPTYKLSSSSPDGPTTTIYPQEVSLDVDGKQVRLIDTPGLAWQAVDESPGVRERSRARDILTRSRGRIERLKDPASVREYRRMLHHCGPY*
>EED77904
MFAHAVLVAALLPLTLASPLATRNMHVLARRSGPPARFSMAGAASPDATLNLRVALTQSNPAGLEDALYDVSTPSSANYGNHLTKEEAAAFVAPTKEATAAVTSWLNNNGVNYTTLTPAGDWLSLTVPVSQANELFGAQFNVYTDETTGQQTVRTMSYAVPQTLAAHLTVVYPTTTKGKTGGKAGGKANSTASASGVAASCANTITPACLQSLYGIPTTPATQSSNQLGVSGFIDQFANQADLKTFLTTLRPDLSSSTTFSLQTLDGGENSQTAQDAGTEANLDTQYTVGLATGVPTTFISVGEKNQDGDLGGFLDIMNFLLNENDPPAVLTTSYGDNEDAIPVGMADNLCNAVAQLGARGVSVLFASGDGGVSGSQAAQCTDFVPTFPSGCPYLTSVGATTGNSPETAASFSAGGFSNYFGTPSYQATAVSTYLNTLGTTNKGLFNASGRGYPDVSTQGVNFEIVVDGSAGTVDGTSCASPTFASVIALLNDQLVAAGKSTLGFLNPWLYSTAASALTDITSGDNPGCNTNGFPAVTGWDAVTGLGTPNFAKLQAAAGL*
>EED77905
MDAYHSSYTRKLELTTTNYAVGAVSVYYHHASQPYIEDAARIPADGSRGLAGAHQHIGRIVSLHKGSSNCISSAFCDDQRWYSSRTGNELSLNPNSGAISQPKDLNAHPSVVQSNEANPEETLENIFLDFTAMEHAFPREFAELRNRIRKAQSQIDELQAEWKVRLIELDKWQAKIDGLLVEVDSQRARLDEEAAKLERARVAVAEEHARLEDDRFQLAAERVLHKEELVGQALSRRAESLRDQEAQTDQTEVQVPHPGAGYHGDRVPLCEHKKHGAQDKREEQTQQSAACKGADTLHMPTREYHRPSFPPDSDFGPWAFFPMFEDGPAASPNDSLWPGVRAPPLAPEPQIVYSTGPKNVLYSTQAENHTSHDRSSTPSSIHSALRHPSASPKNHQWFPGTYRPQ*
>EED77906
MLWLQIASHMGIALLAFVAQMQGHNTLYPTNTIGLLINAVLTHIPTITEIAHSLIATGPPRPVYPAQSYIPDPTTITSKDLILWTPTMLPVSEKRRPSCLITAPAPPPSTVAEMGNYTLVSQVAYLTMNPMVLEEHVELGEPMDMSRQTYLLWRGVLAVAIVWGIYIVSMAFMNSIMKKNIYANRWRSSIVVTPMLVSDTDVGASSLFHAELALKSEDASTDFLSSMLDIMSGLRVAAGPDWNIYDNYSDYEVHPAQTAEMTHESEAPNNVHITSEFNASPALTPDTAPEHFGGDDAVYYPDTLAIQDTQDAQETADIKPKELCKAVENVVAVNNPPESMDDDASAHADLTTTASTDETDIQEQDAGPDGWHALECVHLGQESVQKKPSERALTTGDDASPAEENVGEQEKLEHGPQAERKEHEKGATELIQEQEVKIAQEQTATVDQTEKNESCEENKVCENIEQLEKRRDCATLQQRNKQGSQDAQGRRRRKRRHSSANGDSACASARLFNVNDSHAQSSTFPSAHEHTPTAASGLTCVSMSYAKPHIIYQTGPEAFSINYTRAPSSFEHFVFSDLPEGPLGSQTPVLPEISCDYKRVYIPTPNPPRSLRATPSNAPNRVAPSVNVPSPSPTSLPSSEASGSAQGETTERRRPRNYGERAFQSAFAAALRPRSER*
>EED77907
MTGFAAIPLAEDGEQDNNSHLAGVAKILGPRWLQLPTLTIGLLGVQVFWSIEMSYGTPYLRSLGLSKSAVATVFLAGPVSGLIVQPLIGVLADNSKSRFGRRRPYMLAGTCICVVAMLLLGFTRPFASLFTPSDSLANQILAVWLAILALFTIDFSINAVQAVDRALLVDTLPPSDQADGNAWAARMLGIGSVAGYFIGNIDLTVAFRFLGDTELEVLSVLGSFLLYAQKKGSSSIRLQQCFDTGCSGPKKSFRKELRDIWDNMLHLPSVIRQICIIQFFAWLGWFPVLFYTTAFIGELHKRAHPDIAPDDPDLTAEATRLGSRAMFYSALLSLTANVLLPFVVAESAHGLPRRGIWAVLERMKVHLATLWALSHVIFATCMAATLFYSSVAGATFFTALTGFSWSITQWAPFSLLAEAILTSDDSKEDTGSIMLADTRTRRSPDPASVAADPERQFLVGSDDEDEGLEAEEFMGNAFARASHLDVQSDIDDLGDVAGGRRGSGLAAKAGIIIVSPRSNGGIHNIFIVMPQFIMTGIASIIFAFLEPGKSALGGHTPSAPVVNSTSLAEPSSTAATSTIISSSGQSSTNCNAALMFMDEIDGRRLGGIAAAVAFILTVRLVRELRRR*
>EED77908
MSDNIAKPFIPEIEDPCFFHFEPPRDDAAFHELREHYSKLRSAPLLRFTETDEEHEARIDATAGERKAVSIPITATARWKPSQAPWSIVTEGHRPVPAVVRHPDFQQLDQLEFRLVDRLKTGQQRCAQVYKGTLSLQSSSEPPAVVVFKIFQECYIPLPTVFHPERGMHYGFWPDGAQQARVHTWAHQQLTSMQGKQIPWLYGVFKFNLPSEEAYGLVTEYVEGMLGYCVQLDSIWSSKDELRKLKAEYALSTALDMSERGVVHGDLMKQNLIIRQGDAKFPIVFIDFGNAKGVEDETRIDNGWEMLVGRILQELVRMGFPKEVLREWLEDCRNNSPSQQLQNFITEAPIGYGWEKWMEKILQQLSSCPATATTTSGVDPEMNQLGARLAPTILFISVTPQIAMFKRDCTPALPAGSKHSCELYILQESKIAMLWLRIASQMGLALFTLIVQMQMHGNLYVPQPIGLFFDAAVPHLLLIAGDVSHSLLIIAAFVVVGARCHIHITTSEKQAIIQVQKSASTCLAGGLHHPDGRI*
>EED77909
MSWHAAQTAVAVTTTLALRRVRIDGRNLEEEGRWADAALRSQRGRPGPGILPPTLFNLFHDPNHTLYNISLKKSQSATLQSAASISHASSLEETVQAVPHPHAYFCKEHNGWVLLLWRNSSVLPKLARKLDRLPDHDRRKRTGSCVGQGEQPFGQVNATHHWHRHERAVDARDLNPPFMREDTLLDLYLVDEYARDRLAHPPIERTPMASVLMDWETFIFIIEKRLWQDEQRVLLVARVRFQQKVGWSQTVKQIFERTPGYSHNAPLGTPLNAPYSASTLGPPPTLLPSSPHLPVPGPSPISECDVVLSITAACLCCASILSVTSSSGYSQRNSTATLARNASSAVPSLSASVGATPADLSLRSPRSYPSNGSLSAYAQHADKSPLFEPRICEEDPAELTRLSLNEIPTPSPTPDRDDLSDDENMLELGLAPSLSHRPRHSANDPITSIYMRDLPPLHEVEVDVPVFAPPHVSDLYASARKPTSINKALPPLSPDSHAPDELPTFPRVWHREDGLRRTSEATLPVPERCGRPESHTELVYARNAWVEDLLVSDYGILLDGMCTPMDVVDGEEAHLECELDGSGSDTDSSIDIHTLLPNLMLCHGLLSLNSKLLPQASRMGTPLLSNGSHRSLLLGKTLGKAVGKAIGKAVGKAIGKAVGKAIGKAVGKAVEKV*
>EED77910
MLWLQIASQIGIAIFTFVVQMQMPNHLYVPEIVGRFIDAAAPHLVYIAEEVTLSFRSTNLTLPAPPTWHSLIPSSTTVACKEIILWTPTTVAAPVELHSPALATPFRASPVLTTSMSTPTYIQASGTGDAWPETITWDSDAIDSDSHFCPPYLASIVPGCYLRPVHIAAVALTFAMVWGVYALTKISDARRNEKAASTHSGLWRYTQSNIVAKLIEIVYRGAPSRSRTSSLDRDDASSTVPCASADHDPMPTVFPHAKSSNGDASNSMTVHIEQQTATSVDHGSSSTLVSTKSSRVPSRIAPMPEAVSLARTAYACERYAKVCAEAIKIMEYQSARPDLLREAMGGEREGLLAFVEQEVRRAAGVQAQWEDRCSELTRTYAAMTARQAEVNRTLELLKSTRARLDLECAATRKEHEQRARERAQVERKRALIESERMEAEKERKEATWRRVRAIVERKELEEQCEMSEKERFQHEAARAQAEEERAKAEQTRAEAVARRIQLEERREMLEKRHARIKAARMQAEEERLEAERRHVQALADRVALGKRQEMLEKEHARLEAERMRLEAKRRRDQEAQAEKARHVRDQVVQMDEKVLCERGVHTTHAEHRDAQTGRGGLCEDGMQTDGTQGVREQDVQADAADHLCHNEAQTDPEDGLPHQDEAQTEQAGRVREQSAETQQEELPREDHESVHHDVHDGHHSETEHIHQGEQDVKPVEQAGQVDVVEQVESVQQVVQPMPDARAQHGESSGHGFTIDCLPPRQPTPPASPWLQEHQQAVQAAQVVPLQVAARAPSPDSDPDNSATAEEQPSPPSPVPAAIPVDTPSPNVNAALILLGLSDQPRAQDVPNVQVANGGNTGQDGTRQVDDVNMDAMPQLQGGYQPALDASYYQPMPPQPRQGPSSTAGPYGYQWAAQSLPPAAATDQQFMEYEPAPPPPTASLMLNQASSSSRYVAPDVVTSHYAAPRTGQAPVSPPIAPGPEILEFFQNWLATNGPQDLQGGQEMHVEDGDHHAEDAQSQSDELEVDLGYDDDDDDYSATAYSAAGDDSQDDDSEEEDSEGDDSEGDDSEDDDSEGSTEEDDSDSEVSPMGHPAGQPPLAGQSSASPVAWGAEVDFTIPRPTYGPPQPWGTPMLVQHDPSPAASMSTPSSRPDEHAQRGHSGIDESNGVPTAAPIEHRPLSLHDLMMAEAAARPRELEDVNATAKTLVKMNGEMFFVGLNRSQLEVLTPLYTPVVNGFLKKHPRRSLCRTSEYLEAKQAANEKTGKGNRRANLNFNVRRPATMIAEGVGISCREVKFESPGAPASPQREMAPDVEQSCISLRERRMACITGMAKQRVCSSPASNVRKEKEKSCGGFRALSVVCIGMRFGRPKLSGCGPGLTRRYLTGNPSHTAGDRVAKSARAKRIATATERTGGTGRAGQHEPCERQATDDWLWRGGFCPSRSEGLFEETWQTDRDERGSRRGLLNEMMTGYHVYSARSVGAIGSVMEERGNSAEQELKHGVRHEVICCAKWIVRRAYTETPASLSFTLTFPSSIGVSGLDNWVTRRFHILLLLDSSPSAFVPPRRSRLILTREPQRPAMASTLTTESRSSLRDKRNAVPLLLSSFPAPPSHIPSSPFTPLGTPLSAPLGTPLSAPLGTPLSALHSASSALGPPPTLPPSSPLPPVPGPSPISDRDTLLFITAARSRRASKLSVTSSSGYSQRNSTATLASNASSAVPSLSSSAGATPADSSLRSLRSFPSNGSLSAYARHADKSPMFEPRICEEDPAELTRLSLDEIPAPSPAPDRDDLSDDEKVLELGLPPSLTRRARHSANDSISSIDMRDLPPLHEDEVDVPVSAPPVLRSHPSHASDLYASARKPTSINKALPPLPPDSRAPDEPATFPRSDSPDIKTILATTPRPHRKSSTSCLSGRSRSPSRSRSQPRRAPLRRHVSEGIPSARRREGELRRTSEASLPVPARGGRPESPTELAYARNAWVEDSFVSDYGVLLDGTGTPMDVVDGEEARLERELDGSGSDTDSSIDIHTPLPNLMLRHGLLSPNSKLLPQASRVGTPSLANGRPGSLLSVASIADSVMTKSGLYKDGRDTAKRRHRHRDGKLLRGGIGLTTGLGWSDSEDEGAPSPLTHQLSANALKRKAMPSSFRSPHPLSRTGSATSLNTLAKDDRPRGLSRSPCPQDRRVSSSSAASSTAGVPSRTSVSSLRSTSSAGRLAAGTLGYIHEREETLDSTSSASSASVSMPVTPVGYDGPGPSPILGSRNGRTMQRPKLDAGLAFMGRSVSGGSNLAATPSSLPSARTPSVPRPLKLPQVHAQSGIRHARDGAYQPTLSASSMSSIGSMRSPERPRMSGELQRLSRSVLSGVPRSGVPSTTHSTPAPRSVSMTAHTPTRQPVRTTSLPQRGAPASSPEIKQKPRTGTGMVYRSSSASAPAPRASMMRMPSSSRLRAAANLNAEVGIAL*
>EED77911
MSHHIDRPFVPDIEDLTFFHFEPPRDDAAFRELRKHFSKLRSAPLLRFTETDEEHEARIDATAGERKAVSIPITATARWKPSQAPWSIVTEDCRPVPAIVRHPDFQQLDQLEFSLVDRLKTGQQRCAQVYKGTLSLKSSSEPPIVVVFKIFQECYIPLPWDLHPEMGLDYGFWPDGAQQARLQTWAHQQLKSMQGKQIPWLYGVFKFKLPADEAYGLVTEYVEGMPGHCVVLNSIWSSKDELWKLAEYALCTALDMSELGVVHGDLMKQNLIIRQGDTKFPIVFIDFGNAKAVGSETRVSNGYGILQELVQMGFPREVLRYGWEKWMEMILR*
>EED77912
MSDYKFPTPSKQQQQSVEDLRRPAATQYTALQFLGHQPSALERWKFQDADSYDSAQGNSIYAGDAVQLKEINIEHALVREFRTTVRSVLGDKWTWSEENPVVHIVRREGDVAVHCVSQVLLPAIYVINKLLAEARGDKPPIAVTLRGQLPMPNDPTCTVDHALVIDSHQRIEPDPTILVLFEEKCIPHQFIRQALGSMASWNTSLKDLKDQPKKLQSKVDTAMYMQLPQLRKYIFGAKCVYALESDGETYIGMVWDRLNAWKSGMTLDQMPGIGGLINQNSHAPGIAHTARYFLTKIDGVAVQGPVKEYLSPREMVALYCYNALRDRGYL*
>EED77913
MLWLQIASQMGITLLAFVAQMQGYNTLYPTHTIGLLLNAVVPHILTIAGIAHSFVEFNASPAFTAALYPDAAPAHFHSDDVVYNPDTLAENDTKNAQEAADLTQEGPSIEAEETFPEVNHSAEPANEDAPGCADISTPAATDETDSQKKEACSHPAAEDSTSRTALHLDAAPAQFYGDDAAYNPDTLAEHDAQNAQETAELTLEGPCIEAGKTVKHFQESANDDVHGRAYISTFIASDETDSQQKDVCSRPCVELLPHGCGIPVDQIEQAQAKKPACDDSRLVEESAWPDKAHTQVEARPTLLEEKQVNQKKDLCDEDAHQSLKERKPQEDGGKEEQLTDGAREEQEEHEKEATEAVQEHEVKVVQEETDRDVRVERERTVPDDQIAKDARGKKSEADDDIECLDQKEHGTLPHRISEDVGSRSRRKPHLLSSTKNNSSFLPERSSSDDHAQSSTFPSAHEHTSTATSGLTCVSMSHEKPQIIYQTDPKASSINYTRAPSSFEHFVFSDLPEGPLGRLHVFRLGLHTEYRVDGFTNLLRVLDGWGICSDNTSETVDETPTLSSTSSVPDCMGVPPFNMFMSLAVTLDCI*
>EED77914
MVGFFVFLRRSLRTAARPVVLEHLRPLSKLFQDAFEIVSTGQNSLIQRDLVSAFLELVVKLNETAFRPLFRRLSDWAFTDTTSSANVSRAVTFCNIYSALLEYFKALMVPYLSFLRHPFLRILDQYAADVSQGPNLWTSLVIVLTKTLTFDEGGFWRGDKMQQLVSALIKQVPVCIHIDGNDGKKILSDCLVAAMDAVNDDTLLKLMNLDILMHTRSEDSRLRLYSLACNEALWRAHGGKLLGFVAETATFIAECAEDENDSVVREAHRLKEAVESIADYRAVIVERELPADLRFLGNVSPEVELFQGAAAQPDTARGIVPPHGGAHTNDVRPVPHAHLAVDPTAHRVAWKDLMPSWLLRAKRWQALSVVEDRKTLYETREVMAGPGAYFVRALLSSGLQQGFQAVADGLKRQAEQS*
>EED77915
MEGEPSSGASKANPSANLSETPVRVKAVQSASYMEGNLDAARKAVMKDLGTTAPEVGADFFRTYLLPRLPTGVDINQVVNKLHEKHIKGNRWVAFLKDPKDADGSENVCFKSLETVAAAIAEVASTMTGKKQLLKFVQNPNDAPESSTRTSKSRPDGFFIRNSGPEGKFRWMDIALSAEYKKVENAKTKDDLWQDVRKVIWSMHHCMREDARRRFTYGLTIENRTMRIWFCSRTELLVSEPIDFMSAHHEVVHFFVAMMYAAEHEAGWDPTMQYVRKKNGKNDELELDKEDKPRLDIDVRDQDGTVAPAGVGHYQTPEELRTAPAQKIYTYHPKVHYRIVFKEVCKPLREYTSLKEVFEILINAAFALVALHELGWVHRDISIGNSLGFVVNGVLYCKLSDLEYAKRMNDRSGHEIRTGTRSFMSVEVYDMEYCFLPSELDETEAQETTPPPSEADPDQEALDWLESFQRGNPVNINMPISKDGPIVPFRYNPLHDIESLWWVAIYFVFNKSVVKVGGEPPSMDASQRGHYFTQRGYTDLLTTSPQKRQSALGNERNLKNQIIYLHSAVRDIARKLNEIRKRLVSCYREAEKAPGAIHHKIGQDLLRGVFMRFFADIVDKNLREKDIQVDILPKDPRQYVEDPFEIPTQADGQDGVTDDNGPADDDGTEHNSDEGDQGSSLAALTREVSVGDVAEEATAKDGAQGVIVEDSDAERSVDKATGPSAPQISTGPIVEGRSTRPQRKRAPPVRYTGTEYNLKKGTTSKTASSSSAPTTATQAATGQSTSRTTSNTKATTKSSTKAKTKAKAKAKAKTKAKTKAK*
>EED77916
METAKLTETPPNAHKIGHAYQYGDTKTGRDEVIQDIGSVVPEVLVSDFLRYLLPPLDDIDVSDVVDALRRKGHITKNEEGESCWTLFLDNPSCIDGLEDECFKPLEDLSTEIFKQSGIKKEQTFMFTQNPRQTPQSVNRDSASRPDGYFVKKVQLPGDKIHWMDVGLSAEYKKVDNDDNRTDDVLKVIWSMHHCMREDPRRRFTYGLTIEDTTMRMWFCNRTEILHHGVVVHFFLALMTAEYCKAGWDPTIELVMGDGKIMKNLDGTPCFDIEVRSMEGAKITYRTTRRIPDLGAHPLRGSGTRVWEVKVLGPDGSLEGPAMALKDSWIDHDRKLEGHILQEIREAASKKDAASKKDAASKKDAASKNDAASEHDAASEHDAYSLQGTFDYMSVEVESLVYRFLPTEKVLFLPIIPQADQGQARREALDNRYVPRSPHVSDPVVESTPPFRYNPMHDLESLWWIAMDFIFNREVLSVGGKSVSELDTTEPANFEEQLKFVHELSCDKMHRLDAFKTTDVLKTRINNLHGSVREIAKLLNGARKLLVEHYEALEETAEKIKSIGPHSSQPVYLVMNNVFTFIRDGLTQLDIEVKELVRSLPMAQNAASKRQAVCKAEDAGQALEAGQDHQDRSRSRSESPLAHAQRNKSKRRRQASDSDYEPDKDEDCEPGNSSTGTVVGRASPSARHLRKKLRRTRPDTPDRDTEGEESGAMLSYPMP*
>EED77917
MLHSPSKRRYSTEEKKQLLANLDLEVEHRTRQIEEWLADTLENFRRHQEGLILRMPRLVREITLRDFAKYNGNVQECVKGLKREVLGTEDNAIDKGTRKRKWVASQDAFEKPEGGAVAGPSTLRDAESSRGVKSGLYMFILDVTPLTLNAARTMIATPKKRPGPSNGQGAAQRPRKLVSPSKLPHAAHASRPIRPPSSSIFNPSLPPQPRWPRRDESMLSVNGSPLANPFQMGLKGYLRTVAEDGDDFSDSDGSGSELPMLGSKSIRKKNSIIIHPSSSHPAPSLNGLHSRTNSQSNTLGLSHTSHATHSRSNSHTSTNGFMPTRNTHPPNGATAPNTTPKNPLLTLSALVSVPTKDGHVLEFDPLRTSPEELDALDGITDSAKKQAKEDMSRLIQAAVERWKIS*
>EED77918
MMLKGNAHWEAESLSREQRVRLLNGYYALTKMWEVLPSQPPILAHSGRCPGNQRCTKAFGQLWKYVVDMAGMHPGLQKEDVLNKFNMMEGYVKALVAEREGQAPGEQDGIPNCRESAVLVTAHKPSAPSSTRSAADSQTPNDSPSDENAPRSQFVLAMHDFEPQAQNVACLPFNAGQIITVLNRDPSGWWDGELDGRRGWFPSNYVTADAGMLTEEDLPRLTRACRGHAHSMSSASAASWATPAGSPTTRSRSFSRTDHRPLPADSDGTAVDPYCPPLMIPLLHGLSLLQNAAKLNRITHFQPSTAYIISCVRAILADVGCLQREAPNLKRHGILGQERKRILSDLASLVSQAKKASAPEIIEDDELREVEVETMVRLGGQLFAHVRGFLALIVQCGINIPIQGGQPPGTTVGKLHGRWGSSDGTAVQSDDSSGVSEFGQRWGPSEKMAKMTNGVERRSSTRKGDTHSVLSTPLRARSMGDLKTPKQAISEVDIAKMPLVAHHKSLAVKGAGYSTFSPRTRKLVDGTIARHKASHQSVSSQSSSSSFSSANSITTPATPAFPSGPSTATEVVEALRYTHDNYLSTIAAFIGHAHSHSRTSHASSTGHMYDLVREVVDMVCRLLTIVEAVLKHPEIPAPRTERLKAAKEGLYNATSKLADSVRLLTMPPSPDVSEEEEKITLLRCATNALKAGSDCVSAVKKCLQRPTGERPFVIQLPSPGEIGLPTSPGKFSNHVNTHVRAASKSSSMHALRDLYASQGMDAEEEDLTIQAQTDSSVDVTVRVDRSSGELKPSAQAILPNPWQPPETPTESIAESTLSSASFESKALPPLRIINEGIPSDLPSPVSLAPTDDDRTTWEGSSQKRAHSPMSFEEKLVNGDLPPCA*
>EED77919
MCKKHFLSRFSDTSLFDAPRSVILTAITGTVTTHHWPASEVLDLKAASCQKTDPRFTMTIPTGRYVIQNVRSKNQLQLPDPNDGSRVQATSQDTTGTGPLKVGHYYVLSPYSLRLTAKLGNGKYTIQNQTHASYACCGPRAPLGGEVVVHHSRDEDQRPLRLYWGLPDDALETPVGLASTFTDTRNHWQFISA*
>EED77920
MTRDVVCVFGVLLQAEFGDLFVRCKRSLAGDDLLEDEAQLRHIHGKLATTQGTHVIVNYEHRTRVKLEHDNEHKSIICSGFILNEPIVDAEKWVLEAAALGMHRLRNKKHLSYITVTTPDGAPGTELVCKPQMFTQAWWRLENHGENVYVIRHRTTANLCWSLDCSNDGTHVCLTEFRNQHRQYWMIVPESAPSIPRPSVPDTASLALDSQHSQDRDFFAPHTQCYGSGPESGGGVHDDDYFAAGS*
>EED77921
MTWLGQIFDLGDKTWLETHIWHAKRMKMENILTRILWRPTCPFNEDPVGSLFQKPSDAAQPASEEATSKRKRKGKKKASDQNVDSKWPPNRTVWIRVHPAVTSEVHVALRTAASFALDTLGKITGRPECKVDIADLRGGVNVFEIMGPKSSQVIKGALKPVQHKWRGDFNKFWQALDQVQTTGSLPRGMVIGFAVQDPRLDPWRADWEVVLGLEDPPSPETDFISAQRETPVPPTEQAAEKKVRPWLLRGVGVPAMLQNLSSMFNPGAGLLDFINQARVKRGLDPLKTGTRTDELWQSALITVRVILCGRGNPEDLAMIYALDDAEAKKWHDAEARRQQGATSLLDEGEDDTQSSTSLPAPKSIIGYVTTGHYSLARGTGHAVGAIPVRQLFELWQQAERLHTGSSWLVKIRDRGDNICRVARIEMLGD*
>EED77922
MGFSPRLIPVIVLLFLPRFLASQTIRTIDDHYGDSVTGVVPVYGNVWNYGPTCPGCMVQPNPELVFDRSWHDATAHPGDPEPHDVTLTFTGTAIWVFCPMPGYISEWVTTFVNISFALDGKADGTYTSPGTGPDILYNITVYSKMGLPNTQHTLVITPQYDVNPSYIAFDYAMYMYEDVETPSNTNTSISITSVGTSTAATGSASQVVTSTETTAPTSEPPTSSINSAVIQSHSSGNPTSRVDFTPTSSDESSSTTTTATSGTYYPSSTIADSGQVSAGSSTPSHGSRAHIGAIVGGVVGGFAVVVAVAVLYFCYCRRRRSQSAWANHMAKIYTAGSTASSHNQDQPPSWRQGEFTDEKSNPLLRFTPSSSRLPRMRTTAIVGTAADVDHDAQTGITEKARMQEELNRLEQEMADLLRQQASAYRDAMSMRVESSLDDAATQEAAVDGDATSKVTEDGIWENEGTSSSDECDATTSDHVNRMGPQTWFGHPSGQKPRSTGNSTAVLIASTLVPSEAGVNTHHWQHCESKAYVEAVWVCTRAWLRPEGTTSSTYVQAYKVQQFTICVDEGMGAGTVQ*
>EED77923
MRFSPRFLLCALLPLLLSRRNLAAQTNRTIDDHYGDSVTGVVPIYASSWNYGPSCPGCRVQPNPELVFDQSWHDATAHPGQSEPQTVTLTFTGTAVWVFCALPGYIEWITTFVNISFALDGQVDGAFTSPGTGPDMLYNVTVYSKTGLPNTQHTLVISPQYDVNASYIAFDWAMYTVITTDSRGHTVTTMDTTVFTSTSRSPTVTSGSPGRVESTASSGDISSATSKTPHSSTVTPSGSLSAPPSSQPSIGVIIGATVGGLLIIIAAAVFYFCRRRRLRRRLFRETQLRRIEELSPPITPSFDTYQDQPMTGRRVSFGDEEPKPLLRSRSTLSLSPLSLTGTSIGVEPSVDISDTVAQSSRRRGTSGATEHEDSRTDITKATLMEEELTRLEHLLHQGAAPPEPTAAVARADVPSETIAPQEAAGQGDAALRRQIELLQNWESLCQQMLCIMDLSMQARNQRGIPEHRHHLKQLLQTSRRFHSNLFSSDNEVRKLPLYQDVFLRMDFSSRLIPVIVLLFLPRFLASQTIRTIDDHYGDSVTEVVPLYGNAWNYGPSCPGCMVQPNPELVFDQSWHDATAHPGDPEPHNVTLTFTGTAIWVFCPMPGYISEWVTTFVNISFALDGKVDGAYTSPGTGPDMVYNVTVYSKTGLPNTQHTLVISPQYNVRASYIAFDYAMYMYEDGETPSNTNTSISITSVGPSTVATGSASQVVTSTETTAPTSEPPTSSINSAVIQSHSSGNPTSRVDFTPTSSDESSSTTTTTTSGTYYPSSTIADSGQVSANSSTPSHGSRAHIGAIVGGVVGGFAIVVAVAVLYFCYCRRRRSKSAWANHMAKIYTAGSTASSHNQDQPPSWRQGEFTDEKSNPLLRFTPSSSRLPRMRTSAIVETAADVDHDAQTGITEKARMQEELNRLEQEMADLLRQQASAYRDAMSMRVESSLDDAATQEAAVDGDATSKVTEDGIWENEGK*
>EED77924
MQSTRFPWDYQPSQDLPFSSTTEQTVASSPPQEGLPSILEAAPGFAQKAPTRRSSPINSLSAITRDTLPLTQKTYSPPYESTSNQHKQQKTYSHLLTNNRLSSRTFKLPPAPPTPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLHLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQGQEVETEVPRAAEAGLYTWGDKGRLCALVHAQHADAAPGAVDNDASISGK*
>EED77925
MGTWSYATST*
>EED77926
MICTCTYVRAVTPRLIDRNKEGFLGLFWMSARIGERLSPYVAMACVAMAVTIL
>EED77927
MAFPVPFQLLIVLIGLLLTCGPSLALDRVACQAATPDPLTGCPSGTLLVGPDSSGASFSSVQSAILSIPNDTTPYTILILPGTYTEQLNVTRRGPLTLLGQTDVPTNQSSNSVTIAWAAVAGTGDNAYTSTLTVAPTLNASLTGAGPDGNSVPPGTPFGCTDFRAYNIDFVNDYAPYSDDPSLAISISYANSGFYYCGFYSYQDTIYIGKLGNAYIYSSTIAGQTDFLYGFGTLWVQSSLLSLRSCGGGITAWKGTNTTFENMYGVYIHDSAVLPENATVAEEQVGQCALGRPWNAQDRSLFAHTYLSAEIRASGYIPWEGADRINFNTTMAEYKTYGPGFNFTGRMDAGIEFNTTQGPLTEHPILTEEEYVAGGYSSLETVFQDEKGTFGCTSWIDRTPWL*
>EED77928
MASSTSLRLLITVMMLLFHCSSAVALDRIACQFPTPDPLAGCPAGTLLVGPPSTGAPFSSIQSAIITIPNDTTSYTVLILPGTYTEQVNITRPGPLTLLGQTDVPTNQSYNAVTVAWAAVAGPSDNARTSTLTVAPPRGSSVGSTDFRAYNIDFVNDYAPYSDTPSLAIDISYANAGFYYCAFYSYQDTIYIGTLGNSYMYSSTIAGQTDFLFGYGTLWIQSSILSLRSCGGGITAWKGTNTTFDNMYGVYIHDSAVLPENATVAAEEVGKCALGRPWNAQHRSLFARTYLSPEIRASGYIPWQETDPRIDYNTTMAEYQTYGPGFNLTGRLDAGVEFNLTQGPLTAHPILTEEEYISGGYSSPEAIFQDDQGTFGYTGWIDRTPWL*
>EED77929
MAASLLDEEDFFGHGTDPPSCTGMEIMAVVLDVEIASDLFIYTRSRRWLMGAAARFRCVGFAWQHDLCPGLKDPFAPASLITHSVDMAPSQLPSGIYLRSTINAKPATDPRVLAAFSPDIIPMRSSTHPDLATLVAAYDRFIAREVGPAEAETHVYLRGRAVVNNSSTVETKVTLKAVPNELILWPQAWVNSPAIGQATLIASKDGEVVATSTPIVYTTTEGLGRHDVFVAQAASTSVASTPKDLVQQVQNWRDLVKHVGQDPTVTTYNVVFADPSSTNASLTTRFRLFENQANAVHMAFGVEAFGTPTNGIDVSLSCLGMTPDSTPFSFGQSRTTIFPSKLLHIRATVPKDFDGNVTLNIFNDQKQTFGDYSSISVNAYAVTVVGGQETYTTLGSEHLVFHSDIHVKKVLKFAQVHDATTTNVPTTTPFSIQYRESVTNNGQRDTLVYTSVTTPEITVQTDIGPGNYRIDVSDQQSVQTVVGNVSNHISLRGASIAPASGSARLIAVPSSISAYPSQYSQTPNITWDHTRGGDVEIGLRTFNTTSSTQSSSPVVVTETVNFTDLPRTSDRYRLVTECKSEAVDSDGILYEVAREETRDFVTVGETSNPYIVQRNISYISVPTAPSQVFQTSFTIPHGFSSAKNWKFEVQTINCPLGSYVEIDSSDADVAIERFTISKLDQCGVWAIRPTHDDVQLAGCEFTGKAPGFTCQVTIRWYANGTIIQSGQRIQGNLYPVQVVTSAISNGLFEHRKIVRQGYPVIRRQASYINVATQPPVLRRQASYANVATQLTSIGGGYTRQLVGHNYVPSGVIHVDTLVGADGVRHDILSKRKHVTILLSSQILPLTHSILVMCKARLGLKAGFMAWLEGARACQNGMPGPSTRLGSGSGPRFENSGARHWDVHHVNYLFAMSFEATYEVPKRTPAAVFCHLTNYDGRSINAKPETDPQVLAAFSPDIIPMGLTRPDQDALVAAYDEFIAHDIDSTESKTRIHLRGKAVVKDTSSTVETKVTLKAVPNELILWPQAWVNAPAVGHSTLSASKDGEVVATSTPIVYNPTEKLGRHDAFIAQAETVSAAGTPKDLVKQVQNWRDLVKHVGQDPTVTTYNAVFADPRSTEISLTTRFRMFENQANIVRMAFSIEVGNQIICVTPNTSQCVQAVGTPSNDIDVSLSCFGVTPDSKPFSFGQSRTTILPSKLIYINAAVPKNFDGNITLSVFNDKQHTFGDYSSISVGAYAVGVVNGQETYTLLGAEHMVFHSDIRVKKVLKKFARVREAQATNGAADASTYPFYFRESVTDNGQFPRATIAHSPGKLYHSLQMLPLLIVLALDIQPLGTLPDANVQTDLGPGNYTVDISDKRGVGTVVGNVSNYIYLRGTTNAPTSGSVRLFAVPSSLLLYPSQYTECKPDGTDSDGESYVWPHEEAGDFATGGEYAAWLYNQPYVCQRNISYASNPNAPSQVFYTTFTIPPGFSSAENWQFELRAVNCPPGSYVEMDSSDADIAVANLAITGPDQVAGCQFTGAAPGFTCQIVIRWYANGTTIQNGQRIDGNLYLTRPYTGAMSYELFKRQKIGKRSDSTIRTVRADYPNVDIPGKNVASQKPQPPKIGGAHTRRQLGEKYVSPDTIYVNYLVGSDGIGYNLG*
>EED77930
MATSQLSDGVYLRSTINATPAADPQVLSAFSSDIIPLGHTQPDIATLVAAYSNFIAHEIDPSESKTRIYLRGKAVINGAAKKVETQVSLKTIPNELILWPQAWENAPQIGQATLSASKDGQVVVTNTPIVYNPTQGLGRHDTLIAEATTGAASASTTTLVQQVHNWRDLVKLVGEDATLATYNVVFADPCSTNVSLTTRLRVFENQASSVNMAFGIEAVGTPASDIDVSLVGFGTTTGSKPITFGQSRTNILPSKLLTTPAILPKDFDGTVTLNVFNDKKQTFGDYSSISVIAYAVTAVGGKETYATLGAEHIVFHSDTRVKKVLNKFARLREAAVIQDVTNAATSIGPSFYFRMTVNDTDQFPRPESDTPEHSCDIQPWGTLPDANVQSDLGPANYRVDVSDKRNVYSTQGCIIYDHTSGGDPKIAIRKYNTTAAEAPVLFSEPFNFSDPPPPPGGDHYCLIAECKPDGLDADGNSYEWPHQETGDFATGPEFITWLRSNPCQPERTIPGLLYELHHSRITGDELFRRRNTGKPSVPAVRTVTHDYPNVKIPAEDNTGDKQPPKIGGAHPCRVLGEKYRLNAAIYIDYIVGTDDLLVARTLVVALASNDVHNRALRPKHAKPCLAEAHIAQHKSSKDLQEAMEPSVQAWIHFEAMLEQRCERRGARLSTGVAMPVRDNRVRGLRPPATRAPELSSSFLTTRGCAFQQARPGGQKSFSVDADDTVGQNYQEPGGAAVPFSQWKRLYEVASEDKRGF*
>EED77931
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQVTPRSTTIPASWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARHVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPVTSWYGA*
>EED77932
MRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMRLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRAARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGKPMDIDAAAVAATFASTSGGRKWELGAGWEPVLLLPHQGPQRQGLPQESGRTTRGWEAEPGRIWEGRLPRQNQGTLR*
>EED77933
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPKPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRTNQGGSGKDDFRARIKTLSADEKRELLATQPWLDPEGKLQAMRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDKEEDDGVDWELDGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED77934
MIWRELLQAQLAPASSQLTKRSSNTSNPILTSSIGAPPFPSFDFLKPGSSSRRKRQSDAAAVQFSSAAIASFATYGPLLPRLIVQNMLSSPLIAITLQRDTFDIGGNVGLLSVGALPVGIQDDHLTWVPVRAYTAAQGGLPPPSDAPDEVYPLTWEIPVDAVYFNDAKLPRSMLSPSSISLSALLDT
>EED77935
MHRKKEGALYWDQLQRPTQRTSWLCK*
>EED77936
MFNLRIETLFVAFIPIVIVANSMLGCHMLLHIQKAARRHQYRARGRTQHRNRRAFVIDSMVIPKAAHGEEEHPDSAYEPDEEARDLFDALDAPTPIPLLPIHRRPPSDVLSDGFDSPICETPRELEEAHYFMAI*
>EED77937
MQSWTMPLEIKTGRAVAGMEHRAQTMLYTLLMAERYGTEVPSGLLYYTRNDEVVRVPAVRNELRALLVARNEMAGYMMRRMRQQSGAGKLEAKHEAEDMSEVESFLPPTIDDTWQCGKCYALNTCMLYRKAVENVVDTVSPIADIYALKTSHLTNAQSEFFKKWEALIALEEQDLVRFKKELWTMGAQEREKRGRCFSDMVLDVSYRPGSDASQSTLKADGKIHRFTYRFVKNMHTTAASLLNGHMSCGDAITVSVEPNLLAMARGFIVDLTPEGVVVGVDHEVNLDAIRVLTSGRRAIHDHSVVFRIDKDELFGGMGRIRDNLAQLFYVNGDTRRLQLVVDLVPPRFEDCEMTVPSTKLTSMSQLNSNQRHAVTKVLSAQDYALILGMPGTGKTTVIAAIIQKLVDIGKTVLLASYTHSAVDTILLKLKDVADFGILRLGNLDKIHPDVHRFTLARKRPATTIEQLEHQVMTPPVVATTCLSIDQCAIALHERAVSMSHYSGGFPMRIPMQ*
>EED77938
MPKATKGSSKKPAPAPFGASKGTKTQKNPLFEARPKNFGIGQDIQPKTDLTRFVKWPEYVRLQRQKVILNQRLKVPPAIAQFTHTLDKNTATQLFKLLNKYRPETKQEKKARLEATAEEAAKGEEAAKDTKKPLFVKYGLNHCVALIEAKK
>EED77939
MFNLRGRLLSSLPVNKNRALIARLDQSNRTLGIIEPSGGLNTRSAPAAPTHPDLCLGNPALSPLVRASLKLSLVHVKKEETPISLMTLRQSQSLKQVKKESWSPSLHFMVRPHRRTHSPPRLQSLSPPHGQPQPPPLPPGRPPSPPPPVMATPAPIDKEVLKLLLPLRYDGKTVVECNRFLSQLHIYWQVNTVLTTIELRVHVALSLLDGDARAWATPIFAQLVSIQIGTQGASTPFTDEATFATAFRARFGHLDDEVTAQVELAKLCADKTMCEKRTATEFSALFKGPADRSGYEDLELRNKYLSSIPSHVY*
>EED77940
MTGVSLVAANLTTICLESFFYGIFFVLALASIYILAHRNSHSDPLAPPRSWIQALRSIITSPMLLAAAGLFIGNTAHWALGVMRLFQAFLYYEGGTKPTQFYGDLSQKTYIAQLSALAFCIILGDAILVYRLWIVWAYRKSVIMIPICSLIGLVVCNIGVTYQTSRFRPGDNVNQVSAVAWITPLWAFTICTVLIAWRVWREHLEISKSFGSRKIMRAVVTLIESAMLYTAWNIFYYVVYQLQSELQFIANQTTPGICGIAFMLINGSEATADDRPHEGIEFERMKIVTTAIDNSEPLSVSLEDKTMRFQFHHAPTTYMQCSLVSIKKHVNQYRCEATVGYMQLLSTSKPWAAADPANEVFILYDGGTTPGSLWTSGISLIVLANWACSGLACNDVSSSLRAPPVSILPASHWDTVAPNFEGAKPRLSVEKTWSQKPVTILASGVILYPSAISTRKKPHNTYTTVNSMVAFTSGMYQSHSQHYGQLPPPATDPSLLAIPTPVTAPSRTPSMTSGPAKAEQDGTTSTMIQCGTKRGREAEGAPHVVVATATESAPSKKKRLRTGRRKPHGHATSQARMDMKSGGNQPPSPKPPRQGTVHPIYLYLEEESEEQRPYPCIMKGCTYLIPLGRAAPWKHMEACHPDVAQTWKCPSPSCKDEAPKVSGDAVGRHVQTAHAPVRIWQCPKCWKKSKPRTRKEYWVRQCDSCNASGLSDSATSASKQSSSSVLNELEQNGSTKTSPKGGEKETTTQTLDDAPYAVIEFTVLVVSMRTSTYLPLREQSRAYSEVYTLHLRCNLKPSHGSSMGDNIPVVPFEFPAKS*
>EED77941
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSAHREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIQARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPAAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHRSNPKFDLVIHSSLCSSSLGVVVILDFLARSSGRRTVHKSSLVVYESGIDIGVKTIVSVDVDGAFGVDGMNKALTGSHNGREEGEIRWGGSAQHSSGSADTAALTHRPPGPRQHAAHGPAVHGPVRTASPKLPLYISRPLLP*
>EED77942
MPLDIIQERCMKHTSKETLWELSTRYCTPCKEKALNLWRFLGQPPPSLTRSNDYHLLLNHCDGWCVAADFYQLCDRWESLEGNPDAQLDFSDTRKAQVAEVENHANLCRQWEKAKAASRAEELKRLKRDRFQSALDKLRQLGWGDELDLLAPEYKPLTRHEPLRVAHELTDRVWQNIGGGVVAILEDIRDERYRMKHTEILQNRLNALCNVIFNKYSNPPRTVETEYKPHMRDIITMPEIREIVNSSNNAALGSRNEEKGPRRANETRITVDQVC*
>EED77943
MNQVPLQLAGLSPTPSLQAPPGFPTSGNGLWYTSPGASDAWSTEWLPIGNGYLAATMPGETIQETMHVNVESLWSGGPMQDPSYNGGNMLPSQQSTMAEDMQAYRQAIFASANGTINNIEELMTPAGAYGMSPHGVLEDRLRVTFIGSYSAAPDLLTTANLTGPVLDYFRWLDIDAAIARTTWSQGSVTYIREQFCSNPIQACIQYVNASDPSALPSLTYAFDVSLDVGLPSPNITCLDNATLMVRGLVNDPGMAYEILARVRASSGSSISCIPNGVNATLSVEGAGEAWITWVGGTNYDMYTGNAANDFSFAGTDPHASLVSLISAATEPSVSYAALFNTHVADYAGLMSPFSLSLGQTPDFATPTDQLVAAYQTNVGNPYLEWVLFNYGRQVGRDLEQFLGCR*
>EED77944
MSYAFLVFFCKRSLATSLFATPLPNGEDQMSVGRWSTAETSMASLLLPRASVVEETESYGCVQWAAASWQYKIKTASRKRRTPGFFYPIMPNSMVVLKGTHVIVNYEHCTRVKLEHDNGHKSIICSGFILNEPIVDAEKWVLEAAALGMHRLRNKENLSYITVTTPDGVPGTELVCKPQMFSQAWWRLETHGENLYVLCFDAALAYGIEPLQISVGVSTVRMTVCLTEFRNQHRQYWMIMPESAPFIPRPFVPDTAPLVLDSQHSQDRDFFAPHTQCYGPGPGSGGGVHDDDYFAAGP*
>EED77945
MHFHAHSSPSPGKTTDEVVRGDADIDPYLLSRHVGPDARKRAEAELKERQEEEAREARRTVRRPRGGAKTKDIGAPLTFAPAGTPPVLVSSSGTRLRLKPPAPPSSEGGSLVGGGHPQDRSPSPPSPLQSPHDEYGPPLAHGHVADMAPPPPQSQMQMTLRTSSTGGLAAATRPSDLQRHAKPKRLKAHTVQSKNYSIPTVPRDAKGSPILPLNVGIMTVHRLGEVCTREHFHTERYIFPIGYEVTRRYASTIDMRAEVQYTCTILDGGDGPKFRIIAADQPDKPIVAGTATGAWSVVVRSANHIRERQHSNSVSGPDFFGLGQNTIKHLIQELPGARNLKDYVWQHFVEGX
>EED77946
MPYTITVHTRNQSNVGFGIVEKSVWHKGTWSSRNGCQLLRIDGSGTSGVLQYRNRETGEAFLIAVGVHNNGKWCDVMMDLTRNDSAAAKHRSWFNGPSVHYQAKWKKQDNIQRKGLAGSNSGYQDDSGWHYDVDVTISDAQTAP*
>EED77947
MADRGYLYILSYLHHPTAALTLSTVQSAVAHYLANIHQSPTSLTASVISSPLFRRLSHDKLDVLCTAFRHAVQLKVKLLHDEKRGLFARSVHYMTGEWVKDVLEGFRGGHSSLKLACCGGLLLGLEDVEHELHARDGRMRRSVEEVVVLALAEVIDTYSYVRYPADWAKDFKSESEDGEEPLSLSLLLSSRGAHEKQILHDLLMSTIESAFQGGDYLLSATVSLSKGKEAAVMFRVRGWRAMSQAISRLRFLARSVEAGWIVGPFASLTSTNQDDIAHEERELLTQLWAILKTQLFTTLMLSQTILSTVVFTAPPHDATSSTSYSPYLLTEGVLCTLSHLAFVIQQFGGVATTTQNGFPELKRAFYMALDVLSASTVESARFVSELCQEINKHDIQLEAFLHAKKAYVLACIEQLIPVLSDAQVRSDVFPLCQPLLSDHVHRETYESAHSVMLAIFASYAQKTGEISPEPRRMPGKNTLTAFAEESVPFYTQCLIENSGEGRLSTTQLCLAYAALVRSASAFGRVKATHPESHTDGDVFAWYCIQALLDAIREIGHPTPIVDEQDEHLQRLGLTLIATVPSVSLTLLPRMLEECERIITSSRSWAKTASNFDQSPRIHLAKALFREISENVGDAEKEYCIQWWHDHRDTLTASVLSEEMETHIPVTSRL*
>EED77948
MPSSAYSMLAGAGGGLVASVATCPLDVIKTRLQAQRFKHGSEGYEGVVATVKTIIKHDGIRGLYRGLGPTVLGYLPTWAIYFAVYDGIKSRFGEAPTGETTPTRHVYPAAQAKGYQPIAREHPWSLHILSAMTAGATSTICTNPLWVIKTRFMTQPFTERRYRHTLDAILTIYQTEGWRAFFRGLLPSLFGIMHVAVQFPLYEQLKTWSRRRTQSDLTPQQFLMCSAVSKMTASITTYPHEVVRTRLQTQKRPINGVTHTELSPNLRAGIIQTVKNILHHEGWRGLYKGLSVNLVRTVPNSAVTMLTCAYVYALIALF*
>EED77949
MMDDLESDIEASVESKEVLVAQECQRVLTESEDSYVARSSSFTRDDSGMDVFVLAPTGMGKSLCFQIPAIAQQRGVTVVVSPLLEVAKLRRVDIPVVAFTSETSRQEKHDDLNSEHPSTRLLYVSPEKFRTAEFTKLLSGVYEHGELNRLVVDEEWGHDFRSDYRRLGSFRETFPNIPIMALTATATSLVQDDIIDSLGMSEEGLLRVTHPFNRANLFYEVRYISSPDPDSHMSEVYDYISNLHRRRGRASSGIVYCRLRATCDSLAAYLKGKGLNARPYHRGIKGPILDRTLAEWERGGTGEGGVDVVCATIAFGMGIDKADVRILSGDRFSALITRAQVARVAMAWYFGEQIDTGNPATMKSYCASMCDVCKYPDKTRRRKLELFSEELVMSQKATLRQQAVRDIDNDGHRHVQQPAIKRSNGLIRASDIPDTGGRKDFRQGSNVISNDHGHASRLTSTRSVGASRSAAVGSKRPASGVARHDAVSAKKVKVQHPAPLLGMSSRLKQTINKPFRSPFKSVIQSVSENRAQSVSAEMATESTDEDEEAAQASSADDALPPNGDAPIEVEELMSSPLSIPATDVELDASYSQKIPVPMRSETFTSLRRALHKVFSNATSGDTLWAKLGMSELESDVK*
>EED77950
MGNVSVPPCIAARGRGWTCAANTGIGFASRHFDRRAIDDNKVAKGGERRRREDDDEVEAVAAKRARGNSSASRWSKSEERPPKMEGGTRSSGTSVGSNPEVWQLLQRGGSAEEADGQDELDDDDSDADVNMTFSEESKRNDGDPGHSMEDVTPGADSVAAAQAGNSEDDDMGEGSEERKVEEKHLHSDDDSVPQEEGSDSNSEPVPDHIPDTELRALNDPLVLLTFARRKGRLSTAYVKMLCDEVDYILDQELHASEVLLAAQYRVATILHDMHKMQMAVAARVDKMTTLKQWAYGCKADVDAVSSMSDQMFEDTVGALTEAELGTDT*
>EED77951
MAGSDLIGRKAAFNATLFLTSVFGVLASFANTFVLLCVALFFLGSAVGGSMPTDGTLLLEHMPNGKQYLVTALSVFFSFGSVLSAVVGLLVIPGHSCRQQEALESLQLIARFNGDDLDLGLQDVQDHAPAAVLGDGISASPTRITHDTESAFGNPDQDSSALVFHADSTDGDVAEVARRSLSSARSRDMLRSASESDSKNYDAMGESNVPLDGHQYDTLPRRGSTYHVDSSRMHDETDEAKEPLVADPVLPSPTEQRTPRLRPGYQERISQRMSTTSSMYEARSKLYWKLPRRVRKPVWAFVDRIAMVLSPEWMHTTLLVWAAWFAMSLAYTMFNVYLPKLFEGRGASDITATTQNSLEDSLWDVVIYAVGGCPGAILGAYLIESPLGRRWSLAGSTFATAFFCVIFVWVEQSWAVRASTVGIGLSATAMWAVLYGWTPEMFGTKVRGSACGIASALSRIEEGWSFTIFERRPRNAPLEHDDKSIISSEKTGTPVMDTDQILDHSAQTALGASNKLLCKCDCKTTKKHTEGWAFIMPKYGRVIIPCSSGAVYWHRGNWSSTDNEVALEEKYTITSLGTGIIIVIRKAKGEDRLRALGAIYSLAVPSAFSKLDLVVVDLDPQRCFIAATSFHSSDSARSNIAGLK*
>EED77952
MLRMSNPWATASSVTSSSSGRSTLRRGRLQSAPRRGGAPSALPWPVQLPQLSTTLMRPGX
>EED77953
MAGHLCGCENMVAFTRHGHKSRRQRRLMQHALAVNSIRAYQPLLEVETQDLLKRLLRDPKDYVGNLRRYAGGLTLTTLYGYHASTNDDKLLTLADECVDILSNRIAAGGGIWPMDIFPFLQHLPDWSPGAGFKCKAAIWKTKVKEFINNPFEIVKDHMRDGTVVPRFSTTLLQELQERCETEIDSQHEVDICWTGNSMYSVSIDITMTTMLHFILAMVQHPEVLAKAQGDIDRVIGPGRLPTFSDRSSLPYIECVMSEVLQWGGVPVPLRLPHRLMEDDVYNGMYIPKGSLVYGNVWNMVRNPTLFPDPDAFVPERYLAPADEATMRRRDPRNYVFGFGRRQCPGTDLIEQSLWIVMASMVAMLTDKHARDAAGCKVEPEVVFDNAVFCMPRPFRCDIRPLSEQVLRVVRQAADADA
>EED77954
MSSTLSFLDQFNAPSTKGGKRLSIYTPKHTHVGDSTLLTLLLRNPTDVFNKLKAHNPEATNATDRAALEAYLSTRRDYDEAVKAADEAINHHKQLLRQQDDRVLTELIRLDNLKVTHRFQPLLPRSIRARHNKFIPLDGRQCAAQLGLPAPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQVGIQGATTPFGNEAAFAAAFKARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAAEKRTTEVEQILDISRAHRPELNNFFSTRG*
>EED77955
MNATSTILYSTPPTTNILDAQQRHRLIRSARKLGAVLGSTPQLREPAPEPIPIALPLGPTTSSKSARRHARLFSPDSSRPGTPSSSLYTSSTNSSYASLAASLPLPPKAQKAKSPKTPRACKELPRPLVLRLHAVPMPPSDPRLPAAPMTPTPATPATPLSPSAPEARRRRMAKLTRTLGENVPLDLVFPSRQERERRQKDMRREECHEPQENERESRRRSASLDLGASHVWAAGGNGWTGEWNRRDIREVQQQLRALRVR*
>EED77956
MDPEVPVLLTILIDNFYESLAGLLEDYCSGAIASSRDAGRNLSVDDFETGIDFKFTFSPPFSHTAMHRFMTVSEHYQGAFLEWRRSLDTREDRGRKRGLEPTVTRSGRMSIPTAACRAGLEATRERERRKEMQKKGASVAGIAPSTSSRLLGRQVQSATGHNDGREEDPDGPGVHCPNCGHKIQGMQAAALPPTSGLGLDLVNMPPALERVARPALIPLPPQVARGDENAVRVLAPLPRRQSESTTSTPVSHGRSQSVSARKADRPIPIPIPTPIERSYSSPGTSVGLMSGPSTRGRAAGAYNATLAHAPAQLSSLSVSFASAQTLLTQGMYTDSGLGLSNSGLSGTDVTTSSVARTGPAPQDTPAEPIMPQGQGSGNATKKRRVQQKKTRRR*
>EED77957
MIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTTAVPKSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAAAPAASSSSSTQSTSHPITKSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQRSNQVPRRRHP*
>EED77958
MAVATWRRWK*
>EED77959
MPKLARLLPDFVEALHSDTTQVRCLLCWNNRCDGAASAFVHELAMPVRDNRVRGLRPLVTRAPELASSFLNACFNMLCQSLDNTKEWFKKTLLQKHLRSEQHKHRANLHEQRHQETERINMQVLESQEKEDNYVILQSQADAELEGKMMIARSRTSADETALWKEYDVHGADFDVGQLTSDEDVNRNRFEEEADIFGLWNPTATAHELGFGLQENEACELENSEEDAILAELLASANPSNDNLSEEQPGSSTNDRKKEWYPYESKLVLNTMTSEDLWTWYNTRYEVRMDPIIEVWHGKKWNSEMDLNALSPMWDAGQGRHFYVQELARLSSREYVIPVWWFTKCGVVHADAFKIEITESCSLIYLIYVQKDWFLTYGMSMLPQRDILKACPIQFETWRRVIQYIPA*
>EED77960
MHAKGKGAHPSLHPQYIWVGYSLVQMDAVKQTFPLLWGHLALPDASTFSDHVLAKLQAVYAELPQNLEHFNLPLNGHGANSKRNMAPSHKFLDAIDGKANILITVESHAHVEYGTIVYGPKANPKSTSIDQILKAYLGDHLLQRMRSNDTETRGLALLMCAPAFTVPEHFNQILALVQDKTFSYVIGFSGGGVVPEVVEGALANFVEQTMVHQTSIWDAAIRHLTTYHVLHHSSVCIVWAVHDSQGTRTVHARNLVQSHIQIRIWSIESTLLCWHEECVAPPGNVRFHTRHFRSLTAGNAHIDGQPRLWTDPHGKVYWHAWPLEPSIRRSEKNARARAAAEKGDEAPVEPSIASGSKSPQPASEVASIADQAAEEQARTLLAEARGPNRMSGAQRRRAKKAITEAAMEMGDDNIHDA*
>EED77961
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSRKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEAFPEHGTQIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDTEVNGTNHIENDYHTLHANFVKGLPKELYFALATRVARDQPNTMKAWYDEVQNADAAKQGALVVTDTRDYGEPMDIDAAAVTSTFASTSGGRKWELGAALNEADRKLHRDGNLCFYCHIKGHNAKDCRKKAATRQGGGRPNQGGSGKDDFHARIKTLSADEKRELYEELMMEDF*
>EED77962
MSARSATPASTPSLVNRRLAALLVLAXRASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKEEEELRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSIKTPRTVERPFVMTEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED77963
MPEPTPGLSLLPIVIRPTLMHTLHEDQPRKFTYTRVLAPQRSRRAAGARLVSAGFLHAHPGSAAFISGATMGSIEAAPVWERLSKLQVAHQDSRASMCCAVADALRDHDAIHEHFGPCILNLEGLLVLESLGTETGWWSADTKGLGTRQGFGIK*
>EED77964
MSEQPSSSQPATSEAAHSQHSQSANPFELMAAAMSGELPLPSRSKRSSKKRCHTNEDGNSHQYNEQEDANEDSPVGRQVKTFTQLLIVEDKLSQVIVTQRTWEPSKDLNDNIYNISWSVIYSSKLPAYKGNIPTTYVLNIVRLRGYDLPPNIEQNPAAWKKVVKCTRTCLTDVRSNSKKKFKLSIAAKDSKDQWTIYKLTKELIGKKKDVKISVPLCARVALMRAVYVINSSGTFWNNVDKELESLRASARNDPARLVKGFQYLLQLDHQTYGVDDNMMDSVDEDVGEWQQSVDDIVGGLITHDGPLPFSDVEENDNDKENSSDELDD*
>EED77965
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQAMPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCRKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVINNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPTSPVAGPSRPRPDTPIVFCKVDPDWTPDTTQWTWDSSWPNQKHLSGEE*
>EED77966
MPRPLPLEVWLLIVDELGAAREYDALEACTEASGKELELLNERAKKYIPNELRFRTPEEVASIQVARKLGWLGPKCVRIEGGEHRGERLPIPHLATFASRLARKWYNVSVLVIERAEWRAQDLDFPSLSLSLCYFASITHLHLHDVAFPTVRTFWRLVCAFPDLLTLRAYDIEIANTAIDARTLTALRLLSAPVELRVIEFVTEQPRDLAARADCAKLFQVIITQAVPFLKTSPWSLVSELDFQHVTCPTAAAFARLLCALPTLKKLSIRGPCKFSEQSTDVPDMTFRLDRLDLGKDFSLQSESQSVDALIGLFTQPRAGGRLRDISVWLSPYLRVMTSTDVALNRLVKHAGRSLSGLGLRALPEDGFRMYSKASLLAAPNTVRSFNIAANTDLNFLGCSIDFKPEDKFQDSPLMELLNNVTSGWMTHVSVTFHFKDAAGLPRFWIALPQLDLALSRNAFTKLRSVRIHLCGIEVTYMIRNIITLCFRRINKSYILHIDTGNLAGIWNRYNSYD*
>EED77967
MVRLLSNFIIPRCLHVPDEAEQPDWDMNVLVIPSERMNGYMRITAQPGEVVFDINLGKLMDGLPQLDAILSAPTFDGLTDVDVDVRILDGPDAERDEELAHELRLCLPRLDARVNLAIGFNGILYAARRARRPPFSRRRLSRIGLDWDEDAGKLRCHRIERVSAQDAVVANEETSAEDDRCTNNATTGRILHEAAAYVDAERPSSSNPMDARVPSQPVCDDEPVPPHATAGLGMSVDISAPDNHDDELSALPGSVA*
>EED77968
MTVVKAMSEDEHNTHPHDVTFPTMLTLGRNGSSTSFNIAKQSRQGRRKHQPTSSTATEGAFIARENSRPATVTEALKPSEGEACDPSEARQIEDTETWPASLDDDVRVKSIHLPSCSPNSEPVDVHLFPGERRCGRHQVWNGDRLTLLMPLVPAPIDARNLLLLRLSGVNATGHSPPSMLTRTKKSSTTVIWTSRGFLKGCEMATQAPTRGHRKRRLFVPHAASNSSSSIFMVLLPVTPQPLEDHTLSTYHLCTACIAISNLMDGALEYGVPGRYCMRTFGDVGNFNPQTRGDLACVFDTGSRTTNRITHPAKPQQLNAYWTTGAYLLALNLIVPCPTTGNRAPECVLSFEAGGQCHEGSLEAALDAIAPHTMHAFGSRERRPLTMLLPTSRRGDRLKYHKFVAYYLLLRHSEMRFAERNQWGHKQKSAAATRIYVYLRRRERAGADPEYRCFCLMLCRPCDVCTPSTSIARNWVLRIARASKEASSAMRATPASKTELPTHCEDLHAMVSPFPNEIWLDIFHGLAKEGEYDVLERCRVACKGFEPMARECLEESMRFENVEEARRIKVDVSGGGLRRWGGPVSVYIEGADWKDGRGPIPHLATFASRFAGRWTRVKNLWIYNAVWRARDLNLDTIVRDLTAFAITRLCLSNVTLPSILTIGRLVCALPRLEMLSLGDVQFTQHPLDAFTISRFHLLPHTQLEILHLDNGGNDAKLRPSFVELVDLMAAVSNRKCLVPPPNFAQASPWSAVRRLILGHVTSPSVTSFARLLCALPSLESIDLRESYAFVKHGFDPRSVPVHPGLLSHLVNVDLAYDFLLRSDPFSVADLVDFFIASGLSENLRRIIAYLSSSPRATTACDAALERLVKHSKSLRHLFLRASPDADVRVHVDHSAAPYFDVSNNTCLEPLHLTVEVDHENISHPCALVVAILSQVTSTHISRIDLAFQPRYYPGPRLDVDVGRLMDGLPQLDSVLSRPIFSNLTDVIVHIMTLDGPSARDAESVRDLRLCLPMLDARGILGFMLNNVKSGLHQDMETGEWRSHKIERVSAQDAGVTNAGAGADDDRRTDNATTVTIPHDDSDVVSGTSQPVWVPSAVYADAQTPSLLNYTDAQVPAELACNDEFLLQTATVGLGASVDKIVPDDNGVDDKLSAEPGTLESH*
>EED77969
MVLPFPNEIWLDIFHGLAKEGEYDALERCRVVCREFRPMAEECLLGNMSFISTEEVERIQVQVPGGEIRRWRRPLQVFIHGGHWDDGRQPIPHLAMFASRFAGKWLFVEMLGISRAVWRSRDLDADAVFRDLARFSITSLILYDVVFPTILMLGQLVCALPRLKQLILCDVGFTRPPFDASTISQFRLLPRTQLEILSLGKTSNTPEPSPPFVELVYPWSAVRTLTLLGVGFPSVTTFARLLLVDFFITTGTSNKLRDIKFCPSRSLRVMTDSDISLNKLVRHSGQSLHHLSLSLWGRLPPLNSEDASPHSDQSLVQFYHAEFHDVKVGMLIEKLPQLDAILSRPFFEDLAHINVDIYTTDGLDIQDEQRANELRVCLAKLDERGILGILVNSTSLSSIGLRWDYKTESWKHYRVERGAAQENVKNSAVIGVDDESCTNDADSRVIACSDSGAVLAAFQIGSPSSADAQTRFSSLSSNARVATELASDGGIPQQDATAGSDTYLSPAAPEAQATGAESSVGAGQSQKD*
>EED77970
MKSYYVKMREGKDLGTWKAFVAELAQIYGQHDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLARKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDLAEKSKSKGKGKGKARDAEATSTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQVDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDNTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED77971
MSPTCASEHLLRESTPTLLDDTGSSFSRESSPPATSIFAVSRAPSIYLGDDSKHISIGDRTRVGGVEPDAVDPSLEHVSRPLKWKRCADISTDDVRAKKARTSGTWSDESIADDSTSLGLGHILVRECTSIRVAELDDVHAFSPHIDVHAPLAAIARPPKRRRCANTSSDEVRATRRRLSDSPSDASKIKDGVPFGPHPRCMITGCVSADVGACYVLPPEMPQLLIYKYCVIAEDEHLPDSCMTMGHPTTPSFMTAPCSYSSFGWHELKANIRLMILRADQKLSNSPSLTLSPQPPAAPSSF*
>EED77972
MNGLYILIPDTSHMLAAEHPPREMSTPALSDDTGSSSSRESSRPATPTSGHTPSGEGTDFRIVEADADSSPLEDITRPLKRRRFADTRADEVRAERALLPNIWSDAPRVDGGACFGLGHIRISDCTSVGDVEPDTVDPSLEYVSRPLKRKRSADTSTYDVRAKRARTSGTSNDATKAEDRACFGLGHISIHDYTKIRVVEPDADPPVAPGIDIHVPLDAIARPPKRKRCADTTVDEGNYHFGLVADKAALQDDCTMLCMPTNYNAFCCNTPANIIFLRRDLRILWETNRLLMIPHPGHIDHPDTRPVYTYHVITEDEQPLDLGTPQDYTIIPLATTADCSYRSLGWHELSANLHLMTIRVGREFMRRPLRYEHVFRMDALVHIPIVQLAQPDAAEPVSPHIERESPKLSKRPFHYRHILRELLPHKEINHTYEIVSRYKSWMAPLHREIVRGRRLWATGELAPFPDGYFRCFSTPYCSPLPDDDAVRFPLPFRPLVSGMKRKRSGDTRADIEIYTAKEDARREVSVRQWCRDCDQARDEWTMGPPAEPTDAELLAYRQEDASNVLPAAQKSWLVENYFAKWQWTDTDTPDPAELCVAILAKGRDVHRAIGCISELPSGRRGNYMHGPCEMPNNVFQPSVLVLQVTIPSHMAERGQFRPYVDQPLGIGSSYGNLLVLNRQEAAVNLLSMETASMCSRWRAFPQITFSHSRFRNYHEQTLVFGPNLDTTWEKPWPLQFKLLYACQCHDGLMDLSVQYSNYMLYSQNNPSCIYSSAVGCRKDQTADAISKTIWRSLYRSIDFVVSQAKRLHTDPTDEWRIGFLLQKWEPSLEANYASFRLHSDEFHWPIDRVWIWTPAGSLRVKNRC*
>EED77973
MVDGFKPRRSNRLKHLPKVDYCENKTADGKRKRGALDEEDEYTPSREGTPENQSTPTHAPKNERTPSRRVRRKTCITRSSRQRAVDASQNEGACIISGMKDKSVQQCHVLPRATKPDVLTALEWWWDIKELSVDSRHNQVFRASSFREACRYAWTYLHTVRADLHALWDRGYIAIMPMPDVTKEYLAKWKDGGRHKVLEDSDEAKIHEYCVIPHPDLVAGAPPPGNSPICEGFGYRFDKVGIIRSHAKPHFMALNAAMKLREDKDLWVEALTAFCKRIELEVDASRFVEELLTLSDVWTAPPPRDAELLMKEEKKQAAEESHSLLATVATGEPMSPKRPKGMSGCFVLSSAPVGPGGMVIEKRSKSKARKPDGELSGSNLKLYAAHLAPTGSRCLLSLQDDKSVQGCHVVPRRTDDRRCARVAAWWGLDEFHVDSPFNIFLLRADIHCLWDQGHLMFVPEPHIIKNYLARSVVPIGGASSLAELFAASDVPVYRYCVVAHRDLPDTGENAAFPRDVKTLAYVESPVPPQFVIYNAGLMLSKSGLEGFRKALDAFYKRHGIAYEAIDVLRNMLALFQRYTNKKPDSTLLHPITQGDLSL*
>EED77974
MPSRRLPVRSGHMLPFISAFGMTSLYRWTWSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTHSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEDPIPATSNLVLPTPSSFRAHAQPPIASSLRLSVIPSSDLAPPPLLAPSNAASINTTNMSQNTNTPLMPPRSHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERAHIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHVPAPRQQAAVHVHENTGIEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELL*
>EED77975
MPKAKPFIVTAKHEPTALLERIAIHNTHKFDDVGKPRRIVCPTIKPLIRHPFNPKRAEKAKHDIEELALCTHLFKKQQLLDCISNPAPPLIDRIDMQAGPSYEYKPPKPLPDIHFQHTKILLHTSEEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKVRRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPDICNELASLNITFKYKV*
>EED77976
MFDSLKVRQSGRLKDSKKVPYDEPSVASPVPESEHESEHVERKIAVSDDEDDYTPWREDTPVNETPHRKVKRKVLVTKGAQQRALKESRNGDACIISGLNDGSVQHRRVLPHATDTHILTHLEWWWGLKEELSVDSRHSQIFRACSFQEACRYAWAYLHTVRGDLHILWDRGDILIAPMPNVVNGYMDKYKDGERHNILEVSHCSLIICD*
>EED77977
MADSLELRRSDRPKDLDPVDHDECSVRGSQPESEPKPAYGKREREISDDGSDYRPSREGTPVNDTPKTPKRRVSRKSGITNGARQRAVKASRNGGACIISGLKDKSVQQCHVLPRATHASILTSLEWWWGINKEGLNVDSSRNMVFLRGDLHVLWDRGDILIAPMPDVVNKFMDKYKDGERHNILEVIGRKKIHWYCVIPHPSLSGGARSRAKRAIRQGFTNGFHKLKFVPCNAQPHFMIMNAAMKIMENKELWVKGLQEFYKRIHLKVDASRVVENFLTLNALWTAPPPGEAQLIRKQKHMLPMNIPTGVQRAPERSKDRKPEARKSNGEPYVNTLKSQVAQLAPTGPRCLLTHQDDKSIKGCHVVPRTPDDDLRKQLAAWWGLTDFDIDTPFNLLHELRADIHSLWDKGHLIFVPEPQIIGDYMKQYISPINVGMPLDEPFRVCEGPLYRYCAIGHRDLPRSEEDSAFPRALDTISWVFSRVPPGFVIYNVGLALSKGDGPGSFETALDAFYKKHNIEYEAINILTRIKNICAQLSEGMPHDGSMYSATEEACWTDSTTEEVFSMDPAAEAAFWMDSDTEEARWTDSTTEEVFSWDPAAEEAFWMN*
>EED77978
MSQDDAYRGLVPISCTNPSLMIKQIQLDSDDEHGGILPKMRSFCIIWRIESGHGNSDVVSRDNKDLRLCSRRILDRIPISDCTGVGNVEPDAVDPSLEYVSRPLKRKRSADISTYDVCEKRARTPGTSSDATKAEDRACFGLGHIPIHGCTKTVVVEPDADPSVAPNIDIHVLLETIARPQKRKRCADTTTDEVRVKRRRLSDSPSDASKLKSGGPLGPHPRCMITGCVSAEVEACYILPPDMPQPLAYKYCVVVEDEHPSSSCIPKHYTITPPVATTCSSYRSLGWHELSANLHLMTIRVGREFTKRPLHYELHLSKDALVHIPTITPVYPDVVEPVVSHIKRDSPVEVIPRLPKRKRSPDIETDEVPAKRMRTSSSARNTSQVEDSVPFGPHPRCMITGCVSAEVKACYILPPDMPQLLAYKYCIIAEEEHPPDSCTTMNNIITPSVMTAPCSYRSLGWHKLNANLHLMIFRAGQKLSKRPLHYRHVLRDLLPPNEVDHAYSILEWHVTWTAPLPCELVPDRRLWATGELSACPKNYYRPSSKQYCSPLLDDETVRFRRQFRPILSGIKRRCFGDTSGSGQDYIGDAGREVSIRQWCLDCDHARDEWMTEPPAEPEDAEMLAYRQEEAGDVQPVVQDLWQLEDHFSNFNTWNRSACCQNCKNACQVMTIQNGLSENLVDPLSQLELISCGIGCISDFTSGRGDDMQLHAWRWRDGVRHLPGVGAYRLASIENIRKSAMPGMLKNDGS*
>EED77979
MVKVPIVKKRTKPFKRHQSDRYKGVKEAWRKPKGIDNRVRRRFKGQLPMPKIGYGSNKKTRHLLPNGLKKFLVSNVREVDLLLMHNKSFAAEIAHNVSSRNRTAILERAKVLSVKVTNPAARLRSEE*
>EED77980
MESGAKGCEVVVSGKLRAARAKSMKFTDGFMIHSGQPALDFVDYAVRHVMLRQGVLGIKVKIMKGWDPEGQVGPRKPLPDSVQILEPPLDKIVAEPTSEQREPAIISAPAPPAEEPAAYQDSPDAFAQSYEQPAAF*
>EED77981
MCLRAILCALKIRPTSSSSLTAPSSVPSLPSIKTPNALRRRPRSSSATRMIVSSARRL*
>EED77982
MGGTTYAVKEFRPKRQGESLREYQKKVTAEFCVGSTLKHRNIIETVDIVTDHGHYYEVMEYAPYDLFSVVMSGSMSRPEIYCVFRQICDGVDYLHSLGLAHRDLKLDNCVMTTNNVVKLIDFGTATVFHYPGKKTTLASGVVGSDPYLAPEVLSEQGYDPRKADVWSVAIIFMCMVLRRFPWKI
>EED77983
MSDSFSLPDAFTVFVIVDIFRYLLACRHLPYCASKSGVLVLPWLCYVGAPNFFLKPYDYIARLHDQFAFSWLRFRARNHEVIVMFGSEARKKYFNLRRFSFSEGYTFLHPNVKLLGPTQVPEGRQALPWYIRHFMRADILEQCLSTEGVVDIYDVVDRVVFTIVSRVVASKDLAANSQKLQSAWRALAWVHKGSHPASLILPWLPTPSRVRTIIGGTTLLRMSSAILRERKCRQATSHMDVVQVLVDEDTDSMHAAMVMIGVIFAAHTNTSPVLCWLLIMLAQNPLWLSKIQDEIDLFFQLHNINGPPQMDSLAGMNLSLIEEALPSLDLCLHIIMNNVHLNNDFYTNPLLFNPGCKHPIDAEFLGWGTGNHPCAGQRLAKLIIKVFCLVFLYKYDVQVVKKNRQILCEAVKPTYHLFEVASHEVDVYVQYKLRVAGTNSDTACRNLGSSNRGKPKSWGRSTGSYRSDACRRGPGGRAAEAGLYTWGDKGRLCALVRAQLVRAQHADAAPGAMSCPYPCEEFRGGALLTHGFVGAELASSSRWPKHALKAVAKAALLAKGVVAPWVPICTETSWAKIGVAQVPRGTEDGPGAEAVVVAVRQVDFGGSKCVSGLAAATTVKALKKARRRCHIGEGLEAWRGACPVMRPNHEVYARAPRFLLHSHSSLQALALVQVWGRRELGETARECQDAD*
>EED77984
MVEPVARIRLYQPEDKKLVQFIIGKDDMEALAVANKRAYFHPLTLAIWIGLSCIFAQVVGWLPKPEHGYLGYLSPLPAFASMAVPIMFLCDWLNRPTFEDRAQDDLRRPDLVDIPSYYSRSPSSGLWILELGDKFVGLIALDASSDSTSTETISTSAPPVKTRDGKVKYTEGTSSTATIRHFYVEELYRPAGIQHDLLTHAVRMAFSRDPKVQTIRASDTPLKPYVSEALRQARFQLEAKTEKVGMLRWQNSTHILRRKGWKS*
>EED77985
MEFELPEVEEVSDYEEQCSLTRARNKTFLESLNLDAPAFVPKVAKKPRAPRKRKVSALHSPEPQRKSKVARVESSEDGSANTGLRRSGRNQGKKVDYASDNIGHAMPRLASVQAGLREMVTEPRSLNKRMHDPKTFGTIPGVPVGSWWLTREECSADAIHAPWVAGISGGPDGAYSIALSGGYEDDVDLGEAFTYTGAGGRDLKGTKTNPKNAWMEKGLNPHGFKVCKFAFKRVSGQLSLAEILEGTGEASSQNDEDAEDTSQATSN*
>EED77986
MDLLENLPRPIDDAEPGAYATRYTSDDENAYAHVAVHSEHEGLRLIFEWDDSDGWKYHNAAMMPFPSGSCLDVQPALEGSGKHSQHLPTLNHGSAQLENFDEADSDDDYWNAYGSQDDRASFDDGRHTAGKDVDGATEDAYWARYSSVHGTADSTQPSPPPQPKRRLHSVYSPAGDGTHSPRPLPVPTRSYHGLEDALPILPSAIRQPDLHSKWDPASPRALAQRLANVSARPSPWPSRARTPDAVVEPLGSDNASSTVGGSEGSAASASLQGPQGTSAPRADGQQLQQAAFRESELDLSDRGEEGMHEAIVSLQEGIQGIWKLWRASRPGSAGFSEVNDKDIFVEAVREIIGSSPRTVARNNELETRVEELERELSVWKAALKTADEDKKTLSKTVVQLERSIGSLRDDNPLILCLIDGDGNIFSSELLSTGQAGGRQAAMLLTKGLTDHLASTDSLDAALPGRGQLWLTIYCNKSGLVETLTHNNVCTAEQFDAFCAGFNQASPLFSIVDVGNGKEAADAKIKECLRVFTRFPQTSKVFFGGAHDNGYTSTLTYLQNEGLLDKIILLRGYKDLAYEIKGLELPHLDIQGLFMTKRLQTHSSKKNNVPNQAAPPTPQHDTDKTRGKAPTPPSRNSASPVTKSKQVEPDQLLSKCWSAVSFPANAISDQSASETPAVQFLLLSGVQARGKLPFCT*
>EED77987
MGAYKYIGELYKKKQSDVLRFLLRVRCWEFRQLNVIHRASRPSRPDKARRLGYKAKQGYVIYRVRVRRGNRKKHVPKGATYGKPVRQGVNHLKPQRGLRSVAEERVGRRCGNLRVLNSYWVNQDGVYKYYEVILVDPSHKAIRRDPRINWITKPVHKRRETRGLTSVGKQNRGLGKGHRYNHSPGWSTWKKHNTLSLRRYR*
>EED77988
MSSPAAAPDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDAAAAQVELAKLCTDKS
>EED77989
MVAETNPFPTGTSEQSRRGFAFWMVFVGNLVVDLLSALDLTAVSTALPTIVEHLHGTDFIWAGSAYTIASTAILPTVGGLVSVFGRKPVLLTFVVIFAVGSALCGGARSMNMFIAGRVVQGLGGGGCISVTEIIYADLVPLPERGKFLGITASVWALACAIGPPIGGALANSGAWRWLFFLNLPLCGIALALVTAFLNVHIPKASFMDKIAGMDWLGLFFIISSTMSIMIALTWAGIRYAWVSVHVLVPLCLGAVGLIVFFVLDVFWIRDPTIPPFVLNNRTTLSGYLGTFFHGIASMAVKFYLPVYFQASKADSPVQSGVELFGLAFVISPVAIFTGASVQIFHRYRPQNYVGWMLTMVGFGLLSTLEVDSSKGKYIGYQVITGIGLGIIWIGTQFPILAPLPFSNNAHALAFFTFTRCFAQVWGTVIGGAILQNALRERLPATFVSTLPSGTQLAYSIIPEIRYLPLSLKAEVQAAFAQSTAIIWRVMIGVSGLGLLSCLLMREIAMRTSMDEQWGLQEHKGRDDEPEKAEIA*
>EED77990
MSGLFSFLKRIHNINSPPNVPAKNADALRFGILGAARIAPNALIIPAISHPDVVVLAVASRDASKASAYAKKHRISKVYSGDDCYQAVLDDPDIDVVYIPLPNGLHYEWTMRALSSSKHVLVEKPMADTPQEAQQMIELAASKNLVLLEAIHYTFHPATHRVKELLDSGELGKITGIRADFAVPDAPHGIFFLKDDVRFKFDLGGGATMDMGVYPLSAVRFFTASEPVKVSSAHAVGHAADPARIDREMTARLMLQSSIEAETSDIFDDHSGLLSLQMSTGFLEDGCRERRKTIQELGKSGHSGSHTMRRRQDTLQAR*
>EED77991
MPKAKPFIITAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHNIEELALRAHLFKKQQLLDRISDPAPPLIDCIDMQAGPSYEYEPPKPLPDIHFQCTKILLRTSKYNEMFAATADRLEPVFARMEKEEGSLEPEVVARVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED77992
MAAAVIEKRQAGQKCDHCAGYRSALVECVWVENAMTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVVDSSGSKKRRDDLDALELDNESWGIIRVIRKEHTFIARPRALLHDMDLDLQKMEKAALAKGGIGFVRRAVDED*
>EED77993
MHYLRQQIGEPPVFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYTERFRTLGRLTEYDNSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTEAVSANTARIEEIANIEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED77994
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAIKQLAERASESWVEWARGDWPELATAIDAKVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERHRKDEEDRLRQAAKDERRAQEAANEELARIAAAEGLLPDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTLERPLAMTEVDMAAAAIEKRQAGQKSRKYEIQSSWDGILGFRRRTGSFEKIRSRKVVVGSSEA*
>EED77995
MSSTLSFLDQFNAPSTKGGKRLSIYTPKHTHVGDSTLLTLLLRCGKEAPGHLERECGTRPMKQHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKATPIPPPHSANPEPPASPVTGPSRPRPNTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDSVDWELYGDGEQQPRWMGGGWGGSESECFWVPMNAGRYGSFDLLSRLEVKRQGKI*
>EED77996
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSRKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYADWAAFATTLEEAFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRGARDRPSTMKA*
>EED77997
MSARSATPASTPSLVNRRLASLLVVLEAPPTADATLDVVEEWAQDLSPLVLAYRKALGTIRDEETELRVAAAVKQLAERASESWVKWARGDWPELTTAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEDRRKQAEDERRAQEATDEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTVERPLAMTEVDMAAAAIEKRQSGQKDDLDALDLDDESRGIIRVIREEHAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED77998
MTEVDMAAAAIEKRQSGQKCDRCAGYRSAPVDCVWVDNATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKCRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREEHAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED77999
MPASHRMTTLATAGCVAGSRNLGARSTTVFRPVMRLATVGYRKMSQISEGAFGFVGLGMSQGHRVTPTCVVVMMGRAPVTTGVHVSCPAGDTEAQLDGSITGGWSETECRRGEVGGNWDLDWRRTQVDGSECRNGRERRSSDLQLHLNGSCRKGAAMLMPGEGDPVGDGLGDLGGVGKGFGGWPQSAGIVALNNIDFY*
>EED78000
MSVYATDDDAAPRQYSEEMFKLSPDLGSRHRIYLACALQPTTANDPTSPHRPHIDGVVRFSPPMSSSAPDDLENELLGRIEYDKIEIFGRLKLQLVDDNLVHQCRLAFDRDDRVAEAKDKLKTHVAFADGLSIDDLDDQQCRSLLNSGEWELEMYPHLRTLFDFITDFSPATCLRRLVETPGPLQDDDNSHTLGFLKAVPDFSLLNEAPLQAKASEQPHLWSDTFAFCVVKPSNKQSPKPEDPSDVVSLITLQSSQYARLYACSRPFRLFAVCLLIFGSGFCVGIFDRSGLMLSPIYDMWEHTDVFIRVIRGLTSVATDVDLGQDPTVRPLPNDMARSVLGESEPPVHTSYIVEPIGDDERVWCTIGSPVWSSLSLFGRGTWVWYVREYDANGRCLKGAKMIMKTAWRQGNRSSESIIHRSIKGEHRGLAKLLVGADVEYRVAGQHPERMSVRYLRSGQNDVNAGSPVLHRLVFSTVGRPLWEYESELELLLGVRAALDAHEFLCDQGIIHRDISPSNILLRDKTYDPDSEPDGGEGFLIDLEFARPPDVVTATEGVGDSQRSYSRWIHRGAPLTGNVQFMALDILRAIKNRSTSIEHTASHDVESFIWVLGYCVLRKLVNKLVNKVPSTDPGREAVEEAFHDAFGHNNVRSVLISRRACMPLVWLTGGSSKPKQMLGPIRENISVAMAALFGNLRNLLSRETFYPVLAAKMRGSDLPAEDPPITHAILREMLDTTITYIHAGGTSTRSSRRQDSRANIGQSDSERNGGGDANIIGPQRTSLLVAVRCCEHIAGELGAPSSASSRAKWTPYAELQQDKEDKEAEPGQSLYTSANEWQLPDSMRRQNELSNAIASTGPRRRLFAPLSALRVRRLKAMPPPRPNRRGVHLAQSAVMQYLGRMFTHFCTACAFGRYDVRTCLPVRRSASATLFASDTAGDDASWQGPAALNVPQGSRMHDKVGLSNLALYIFFPVAAAGRSKRKCPGDTFFFGSWGAG*
>EED78001
MGSSSKRVRFASDYRVPPSPGLSSSSSWSSASSNGLYTPSTSTYYHAHIIPLPQVTPYLSPVELAQLDTPKAAAYAPAKSAHLVVHPCLARGPSVLSWDMIKEPYGLPLPPNILAESATQPPVPRLTVTIGSGYPWRVDVEPRTSSYITVADVLHCIYRNLRSIVGSAEWDHPSTPAELKARVSAAYHDRLRCCPDPDPRKAGIRRIDYLGDMRAFRGLEPCKSTGHVKGQPDTATLQLSLGRAS*
>EED78002
MAVSSRSYKRKALVIGINYDGDAASCSSESASLYGPLRAPRRDAMDFRNLLIDLYNYNPEDVILMCDDSGLPHLVPTKQNMLPVMRTRFLANITQKMTTETKVLILAVGHEGVGNEEKYIMDNPALILPWLASAPAAREPTPVGDTDDYLDHYTCNNRYRPWISKGLRGSKTLQMRVARKDAIDLQEPERKRSSQSPLRHLLPPQLQRTVKSVKRACTLDGRLTVDGAAAKLGIKPPKRSATTFSLETVRGRLAFGQPGMLLAQAKSILSLVVRRCLSPESTSKCNGYCVHAQADVPHVISVSACNDAQSTWERGKKGSATQDLIEVLRANPHPTLQQLYTDLSYKRYEQSTKLHDWSCRMNKRFHDGKLTRAPVTEAVNFSDIQTGSSVRRKDDVQTVAKLETVYYSDPGTWTPPLSVIRGSLSGARNRHLQPVQMMVFDRCQVKAMSYVLSNSSRHSSADGTARLRPASLRVFRGARSPSLAELRNSCPAAMRRGILGMSVGNPWFLIGRAYLVGNIMVWVCRRVEQSLGSASWLPLLFSRNSILAVMWPEFSPDADVTSYREASEAISFRPRITRCSALFSKMSSSAPDDLENELLGRIEYDNIEVFHRLKLQLVDDNLVHQCRLAFDRDDRVAEAKDKLKTHVAFADGLSIDDFDDQQCRSLLNSGEWELEMYPHLRALFDFITDFSPATCLRRLVETPGPLQDDDSSHTLGFPKAVPDFSLLSEAPLQAKASEQPHLWSDTFAFCEVKPSNKQSPKPGDPSKVVAQIAAQSSHRPFQLFAVCLLIFGSGFCVGIFDRGGLMLSPIYDMWEHTDVFIRVIRSLTSVATDVDLGQDPTSEPPAHTSYLVEPIGDNKRVWCTVGPPVWSSLSLFGRGTWVWYVREYDANGRCLKGAKMIMKTAWRQGNRSSESSIHRRINGAHPGLAKLLVGADVEYRVAGQHPERMSVRYLRSGQNDVNAGSPVLHRLVFSTVGRPLWEYESELELLLGMRAALDAHEFLCDQGIIHRDISPSNILLRDKTYDPDSEPDGGEGFLIDLEFARPPDVVTATEGVGDSQRSYSRWIHRGAPLTGNVQFMALDILRAIKNRSTSIEHTASHDVESFIWVLGYCVLRKLVNKLVNKVPSTDPGREAVEEAFHDAFGHNNVRSVLISRRACMPLVWLTGGSSKPKQMLGPIRENISVAMAALFGNLRNLLSRETFYPVLAAKMRGSGLAAEDPPITHAVLREMLDTTIKHIRTRATHGTPMSASRSRRRQDSRANIGQDGVPVELCYSSIIDPTRSQLVPLTWALQNATEDEAFQARHRIMKVSLSNAFSLGRVGRRHMHRGTEGRLVRHTSQTRADAAVQLRKSLKRPYDFLYDGVRTGRTHTIGSRPYRTSAGSNTKADHTHMASNLNAHAFASVTLAASDTAGNASGWHGPAAITSLRTLESTAQLVIKFGIACSSPSLLQTRRMETDVASARFSRDAGEAASRVEPVRLGRHVATQSAPDLYCCGARNQILTTASVQSAPDAVVEMRAMQSVRRSAPTVEAMHSKHTRHALGERNMVRGRTTVDQRVPRDRWISHVVVQPRHMPYRVIPLALRQGKFEMHRVGSQECGRMHVMIVIWGTLVWSGTVWQYAGGHIGPHRAQAPAVERGAAHDYRQASKPARVHQKVDALHALRPLLLPLPLVANEPISRMERGMYALLGVGVQIGELDLGDAEAQYAVERVQHVAHGDDGTAGRGGDRDGPGEDVADEGQRGQRGVRRGLGEERGGERQRGGRCEHVPCEGRVRGDERGVDAYLARGIRKRAERGEGREGRGGIWHEGLFLWGGVLGPGEDARDALERAGEGGMSKWTRFVVAGIVGEGGMWLRLRLKSKAGRLDSIHINLTTPPFQSCYPLRFLPENGLCGRADPPGQLESRGKERERKIDLSLRHLPALRQTKQWLRPRGFCLDTARCPKSLVALSTIGDGPRPVPAPPAERSLTTTVTPERATPQRELTRDLPLRASSRSPLRPIPVPPPNQFQFIPTPGASASGALRSISLTRRAGRDRSFGPVTFQFSAVFCHIRSFGVTICLPRYN*
>EED78003
MGSSSNASSNGLYTPSTSTYYHAHIIPLPQVTPYLSPVELAQLDTPKASAYTPAKSAHLVVHPCLARGHSVVSWDMIEGPYGLPLPLNILAESATQPPVPRLTITIGSGYPWRVDVEPRTSSYITVADVLHSIYRNLRSIVGSAEWDHPSTPAELKVRASAAYHDRRRRCPDPDPRKAGIRRIDYLGDMRAFRGLEPCKSTGHVKDQPDAATLQLSLGRAS*
>EED78004
MTYAPRPQKRKALIIGINYDDEDLSTSQASRGLGQLLASRKDAIDFRNLLVDVTLMTDSKDRAHHLIPTRKNMIAQIRSLVRGARPGDTFVFYWLILSKARSQDAGHADQIPCKDHTEEDDMDEVLLAVDHEGTKNKGRYIVDNTLRKLLVDALPPGACLIAIFDACHSGTILAFRAPPKFSSYPHSAVRQDDAQFDDVKPKSALRSPFRKLMPLPGLSLRKTVTRMRKVCTLDGKRSADGAAKKLEHRIPKRSPTSWSFGSVRGRVAFKRPGIVFEQAKRILQLVVPKNASPESSRKCDGFCVQGEIQAPHVICISACNDTQSTWECGRKGSATQTLIRLLRSNAHPELGKLYADLSFKRYRTSCKLHDWSHKQKQLAQEQRRAEALAPRSGSHQGTQTPEDLAIEMVNFSDIQIGSQEKLDWNEVFTF*
>EED78005
MGTETPNRPPLLVLAAIAQLRLGTSGDGQVHSRLWNHQRHVLGLLYGLVITLTSATGHPFMDGNKRTAFFLGTAYLRAQGLPGWVDDLQFRKDVFAAADRFIDAATGKLDVEGLAGGRV*
>EED78006
MGQAHSSLESLPSRPPLKKAVVIGINYSGRGADEEFTPLHGCSRGAREFKDFLIDVCGFESENVILMVDDEGHPLQFQPKERNILRELKNLVRGVLPDDILVLYFAHEPPYLSDAGHGTQLPCKDHTESDGFDEVIVAADCDGTGKHCGHGLIKDNVLRKILVVPLLEGVCFRIWITITATGVRLTLSVLLGNGRHT*
>EED78007
MTQGRARGDEIHIPIMAADLLEVGSRMQDRAPAPRKWQYAAEIGFGTGFGIKGPNQRILPDSPTTQCTLGSADHGAGPEHLVITTDEGDNASAHRSRVVGPEWNWQGCLTRHSQGGKARSIPWQLCAAVGPTQTARSHPRYGVLRDIGHGEVRGIAACRGRVPDTARQSASHTSTVISVHGTPCSEDPGISLHWVPLRTSSGRHRQPQGRCACMDPEGPRQARQAKRDQDPTPSAAGAPIPSFSSGSGSGSSERPLCLEGVIFGGPQREKIVEADPVQRGPDPDRHCRPEQELASHGESAARASELAADSEAVCMGCIAGCGQDAQAVPRPQDAMLVWTRRFDDNMAATVVVSPAAPRTLTGPQSRAAMPPSHGFKRRTNAPNDFIQRGRLSSARLIILARRTSSRLAAKLTKLARCACYDAAGLWPTMQCMKVPMATFVNCVPCPTVDRAPRAEGQMITFVPVAGPPHVNLLRDGSTNSMRADAGHTDGNTLERRGL*
>EED78008
MSSSAPDDLENELLGRIEYDKNEVFDRLKLRLVDDNLVHQCRLAFHRDDRVAEAKTELKTLVASANVLSLDDLDDQQCRSLLNSGEWELDMYPHLRTLFDFITDFSSATCLRRLVETSVPVQEDDSSYTLGFPKAVPDFRLLDEASLDAKASEQPHLWSDTHAFCVVKPSNKQSPKPEDPSDVVSLITLQSSQYARLYACSRPFRLFAVCLLIFGSEFCVGIFDRGGLMLSPIYDMWEHTDVFIRVIRSLTSVVIDVDLGQDPTVRSLPNDIASIVLEQSEPPAYTSYLIEPIGDDERVWCTIGSPVWSSLSLFGRGTWVWYVREYDANGRCLKGAKMIMKTAWRQGNRSSESIIHRSIKGEHHGLAKLLVGADVEYRAAGQRPERMSVRYLRSGQNDMNDGSPVLHRLVLSTVGRPLWEYESELELLLGVRAALDAHEFLCEQGIIHRDISPGNILLRDRSYNPDSEPDGGEGFLTDLEFARIPDAVTVIEGVGESQRTHTRWREHGAPLTGNVQFMALDILRAGNGSIEHTACHDVESFIWVLGYCVLRKLVNTLKDKVPRRDPGRKAVEEAFHDAFGHNNVRSVLKSRVACLPLTWLAARKPQETLSSIKGNVSILMAILFNDLRFVLPIQEEADPHVEKVRRALGYPATTSPKPPLTHAILREMLDTTIKNIRTHAAQGWDIEEPGN*
>EED78009
MTNDERTNNDWGRTKSTNYDGEKREARSDDSDDSDERKANVYIHTKEPRQDDVTTMLEGRTMTYKSIRTSKPVWLIETHLNRVNHCPNPDGSPVLGTSKLDPALQIEFATGNVPADEAREP*
>EED78010
MCSHILPAYTPNIDHESSTTSIVLALLTLSPILINPAYAVLVVQTRELFFLEMWAGQMLCEAFNWGLKHIIKEKRPNFELGDGYGFPSSHSQWMGYFAAFLLCHITFCHRFVSTGYRLLDLAWRSLAELVCNSYHLSYHSAHQVLWGVLIGAFFGTSYYTVIELIPCKYPASTLGQVRAAVLSNPISSWFRLRDGWAVWGDSGTEDQWQRWRSEWNRRRLQIQKDIKRE*
>EED78011
MAYTYYQSTSPRMWGTPQGADWTISVHMLFMMIPLSINPLWAAWQLQWALDIMKQDIGTVAFTVDRSCWLRRSPPILVAQQATHLWHYSGRSLDNLGLRGACETAAATASRIATRIMGVDGYSNAEMSNYGRAPSYDSYNDDYATRPRALRRRSSYSVGLSTVPGASYGMGATGYAPPASPWAGTMSMARSTTPLPVTQGAYVQPGMGGIGVVPGNPGTYMGAPGVLGSAYGAGTTVGTYPGGILPTGVPVPYSANTVSAVYPAGPVGTVYPAAPPTYSAGQYAVNQNRGGVAPAPPGVYGPGYASIMPVPGLAAGLDQRSYPVNLDIIIIITIGPTAWTDTERMRHPNHLVATIRRTSSATRARNLAPGVAVRHQASPLQQLPCTRCNMTILLRAKTPSPFKARVLQMWEAASLLTAAAPRMLTGAPAGTCFLGDDIDPDAIPLPGQNRRPPRGRCRTRCHPQRPARSIDRAKPRRRLPATNPAKTEDSRQRICPRSQPFGIREGSLGV*
>EED78012
MAIRLVIFDALHTLLKPRRPIYVQYSQTFEPYLGVLEPEALKNSFKTALKQLQTEKPVYQSGAQEWWGEVIRRTAIGAGADQEGVSMHEALHVGDELAADYFGAKQSGLSALLLRRPGPEGEGEMKEANEDLRSIEVVSDLLHVVDRVNNANERG*
>EED78013
MTWLELPGINDYAFPTVSYPAQAGIYAEATVPSVANIHWAYAAPPVPAIEYCIPPSPRAMYHHPMPATSRKPSSAVHQRQNHRRDPSPEEYGLYESLHTNASYGHVSPGATLDMQSAIDSRLHSGTAAGNGNISEKNQLNVGAIEQGKDMRTTVMVKNIPNKMSDKDLLAFINKNGCNVGYAFVNFITVGDLLHFAKTQLGVKWNMYSSEKVLQMCYATYQGKEALVEKFKNSCIMDERESWRPKIFYSDGPDQGLPEPFPAPTHLRRKERSSHNRGALFVPGPNYQRREASESPSLFHRRPHPPRMSAR*
>EED78014
MAKSKRKRSGKLARPRVVNLPMELVLEILLLLDVYELLQCRLVHIYLCRMVVTQFFKDRLPVPQRLLTLQTSQAAWRDLNFGTKKSFKDDAFPNKYVLWRFRGSVLVLAYLDGEHDFEDGEYSYFNMLDVLFVRCTGQEQAHRTHRLGKVFTGLAIDPAQDLLVLWEAHHFDTKEEGLPMTCLSLCHGTPHEQTSLHSVTIPLPDEFDEDAVHILGDWITVSSINTKQATIEWKSDTVNSVSDQRGCMISANCFLVPRLRETGTLSLNAYAYVKTKKSGSEFRLAAIFELPCPRETRGVKTTCQAFASGCFQPKPSPLFPPRPYISAPDPLMVLLEITFEDYGQTYEVFVRTQPIIAVIRAWKPARARKQNFVTVAWDDWGPDSTYWFHNNRFGDTICSHEPHSWRHSFYGYRALTPAGLLDFNPADLPPDVHKDNARRRRFSIAETHYGTVAEDSDAYFQHAVETNAPYCRREVPEESWLAMTCRYWMLDEEVLAVLDDFGWHRCSMLMIEWLCKGIFHKVIISDPQLQHATERIVSPRVCGLVTGVSPMSELAAVVTNPPASRVWQTASKPQYSVRKYTKWSTEGDLTLANKRNGRGRTCGSADVSDAIFVLQRPQDEGLGVSSPLNLEGSLAAAYVERAEGSPEGWRKFGLHVRSMWSTSQSSRNIAHGADAVRPGESCSVTPAQKGISTAVAAGSGAIAHCIDLGLPSDVRRQMALGAAMCEDWLWGSTQMHAVRCTLVARGRRPTKHSRRNCEKPRRRSVHWTRRATRMWISRADGIARAEDASRSECFSAVHLECSQAAEHSMSSAAYRVDAWYGTAIDVISQLTVQIRVITAIIGFAVGRQRRVGHNQRSVALVAWEGSGHQQGLLWKACAVAQGANKSPRRTATGPAFGSGHMPQGMILHITSEEPAALNGNLGQGWQRPFFTAMRGPRISLNGFVPIKLKTHASCILRALEPNVLSCLAEGQG*
>EED78015
MASDSDLVTQLLPLDELIQVVYQGSSRFVVLSSVESDWTVHVGLSGPGGRWWRGAWGSKDIRGAVGLKASSDAIEAYAGRLADAFAKGEMCIGNWSSQKGAGVNLVLGTTTDAPAHVALAELPAEEAAAFATKVFTDIAIQAQSRGSRLHPSPFAAVSTIATLPSKPSGSRDPPSKPSTSEVERKAEAEITALQTELAKAKSAPSGAETPSSRTTGKRRADADADGATSRTTKTSRPLTKAPSNDASKLRSIAKSGRGPVAAAARGASLANPTKKARKYQALEFGSDDDD*
>EED78016
MPRLGFGVYQNYTTTESVREALLVGYRLAYRNEAHVGAAWKGSDLPRGEVFLSGRLSNKKYTYIDLFLIHDPMSGCDRRLQTYKALQDAQRAGKIRSIGVSNYGVHHLEEIRAAGYTMPSVNQIELHPFCQQKAIVSYCSAHSIVVQAYCPILRGKMDHPYHKEAAQILLRWSLQKGFVPLPKSATPERIRSNIQLYDFSLDDDAMTRLNALDKGKEGALSWNPVDHP*
>EED78017
MIAIGGTIGTGLFLGTGRSLAQGGPASMLICYGIVGAIVYVTLLLLGEMATQYPVAGSFNAYATRFFSPAYGFALSWNYWFNDAVSVASDLTAAQLVLQFWTTWHPWVISLVFWVFLVGVNATHVKAYGELEYWLSSLKVATVIIFIIVGILVNVGVNREHEYIGTRNWHIEGAPFVGGFGGFARVFVTASFAYGGTESLGITAGETKNPSRNMPRVVKFVFWRILLFYVLSIALIGLNVPWDYPGLSNKSTTTSPFTIVFKEVGSNVAASFMNTVILTSVLSAGNHALFAGTRVLYGLSVTAPRQAPLIFSKLTPGGVPLAALLATSSVSVLCFGSSFIGSGTVWGWLQNIVGVSNQIAWLSIGLASWSFRRAWIKQGRPLEEMKFRARWTWPWGPYFVVISVVFLIIVQGWSSVIPSFSAVDFVSFYVEIPVMLVMFVGWMVVRR
>EED78018
MAVAALGW*
>EED78019
MVLSLYLPLLHLLYLDSPLILQATRGQLFQYPSSNTCAYITASSSQGINVPACSFTTCPNAFIATVIAPSSSGCTRPHAFDRIVALAATGNTLTHKPTESGLFRKIVTNDWPGFQPKGVYRPRTDELVEARKTHLDVDRIERTRLERRLEKLINLHFPSEQKSKEKTQESEHPSRPNKRLSSIFEMDFSELRSKNASDLWRNVVQSQAQPAGKNDIRIICSLPVKYPQRPQTCSLLFVADPKTGRIEEVDEGVDYGVRRRTTSSSQAMGRGSGDGFSEEEKFLKGVRICRDCRPVLLRHQHKREVHSAPIFIKLYDAFINLEREIEDALPQFQELMISLNKQERPTPEASAARKRLLEAFGQYDILAKRIRRLPCPGGPGSSQDRMQAAILTRANMFLQKHMFPLQSLPKPKGSINSSQDAKQEDQPMGVDPDSEAARVLQPLLEQEALLETFVEEAKAHRKFEDVQTLKANLKEVRTEINRIVANAHAGMGSESHAKGRTIKVITK*
>EED78020
MAPTNTRKYGVQPTNDSLPSSPPSESLSSPSHKRKRSLLEDTSVQNSPPAKKPHRTSKLLANISTKSQKSKPKAKKQTQQKLTQLHFALDTSVLRTCPLCELSYTRGAPDDESLHRKHCARVQRGLEWGKEEERELLKSGVEEIATGVKLKNSAKGRVICFRPDVGGKIGAKVPEKVSLDRLQTGSGAEVPIPVFSEAGEETSALAAAPLGNVTNKTHKSNSSSRPTLPTISVSKPPAPLPLSLPTPEPLESPTSEMRPSADDDIEANGDMVMREIDLGHRRISAGNDDEPPAKDEDDSPVLIHADDAPLPDTPIRLVGGGGTSGTVDESLQNEGVLVEEPESVELSPVRSNDTAVTAVAHGKKKSISSSLKNFGQLGGGKRSSVSSLGRWIMSQSVETLLEERLLQLQQISERRTSLLQEMYHLIHKRENFGSSLSFELKDGEQMQSFLDRFDLEKHPETGHISNMPESELLGDELDIEMSESVDTSPPSVKIESPITEPPTPPERLSQDILPLPEEMDTGEMEKWMRIDFREERRWKVALAFGLAQSVMAWHEAGTLEERVRRGICVLWKRPQPEQDDTAADRADQDAVEIPDMDDETGPDSRDTNTPANDYGSDDDSDDEQEKEQEQDRRDVFDALAPGTLLAEALADKEAKVDGSGPEHVQFKTEDVEDTSGLRNTLDERADAMDVDSKPAIEDNVEPDSGTKDLENEDLTATALKDSSSDPVLTHHSTDTRASHSSGKARSKAKSTAYAPLREEIIYSAVDKLFIDCDELDLAKGMSELSTDDYLQSLPPPPDLTSIFPDLTPFGLPEPVTSGPSPSGGNEGRRKSDKRTDKDDPNRRVEETAYNKLYPMSDFMHTKPTLLGALHPSKHWHDGEWDNVEDAVIATEFDSPSPRVIEESSCRRTRGAANARLNLYGTRLMMQS*
>EED78021
MSYDPLPARSFAPSRPRPSTSSSPAEMEPLDSHLDASPPGTPRNPFMGRALVDDPAASRPSFSGSNNSGPSSHAATADEYQSSLRALNFNGGGGGSGGDGRRPSFALNEPGFYGLNYYRDYPASGDLNASEQTLGSAVPKLHNSPGSNASPYLSEKRAAAYASPRTRSRRRAILIVGGIALAIVIIVVTCAVYFSVKSHRDANASSDLASGSSGSSSASPTGASASGSTSNAIVTGGDGSTVTMADGTTFTYTNTFGGYWYYDPSDPLKNWARAQSYTPALNETFQYGTESIRGVNLGGWLVTEPFISPSLFEPYANTSFPAVDEWTLSENLGNDTSAGGLEGVLTKHYETFVTEQDFAEIAGAGLNFVRIPLPYWAIETWEGEPFLPKVAWTYFLKAIEWARKYGLRINLDFHCLPGSQNGWNHSGKLGSINVLNGPMGLANAQRSLSYIRIIAEFISQPEYAPVVPLFSITNEPVGSTIGQPNLETYYVQAYDLVRLASGIGEGKGPYVVYHNGFFDLNLWAGFLTGADRMGLDIHPYVCFDGQSAAPYSERLTVPCDTWAAAQNASMSAFGLTVAGEFSNAINDCGLWVNGVGDGTRYEGTGSYTSYPHIGSCDPWTDWQSWNATFKESIEDWALASMSALQNWFFWTWKIGNSSVTGTVESPQWSYQLGLQNGWMPADPRQADGVCQPTATWAGPLSAWQTGGAGAGALPSTLSAQYPWPPASISNPGFSASEASLLPAYTPTGSVATLAAPTFTASGGKTVSASVGNGWENPSDTAGEYTAVATCNYLFPWIGPTNPPAPLCSGGNARREAAPVPVPMPMPTPPPS*
>EED78022
MLTRPRGPAQKEKKKPKAPKAAGEAFLSMFFALPVLLSAWYTRWGWGAVFAVIDMVTFTRLRDLWSSKCLEEVHLLLQLLHQFGDSCLFQIIRRLEVAVSSETAVSPEPESYSIQVPQNEPNNDIEENMSNPSVMATSLEDNGACSGRAPVKVVTRKKPWMDSNTQTSSLLALLASGNADNSLEEWLNSNVSLPTRPDGTILDKLATIVQRCQVKHAQALDVGFHVMINLMELAFACESITIKTKQSLTTIWKNLQTGNNNKPPLGTFQHWVINGIANILRAPDIDNIKVPAICLGSNNYRWTQTERILAKDASKATNLHDLDKKLHQHFIDGRKDPNTPYLEMSPSCTSDNHEELYNNLKDVFKDIFTWIDEMLRHYLPKEYEILSIDTECLPFGDHSVFHPFLSLVLNINVVTTGCCLIYMRENPPETGNRPLVPSQTGYICPEIVPHVGAADSCAPCLSYRWTPPITCSPPSHAILNAGADKEGWRCDPSYLVNHLKHARSLSPTASPNSRAIRRRLQQELQKLRPYIVADDLGDSPSPDNQVDNNANDLGDADDNNNTHNDDANNANAIINGEANNNITAVINTSHSRTVQSRCIKIFKAIGQAPVAAREFCEIVSTLPAGTYVFVILVHGLVHDDGTDILHSEGLRIPHQCLRQLGDNNARR*
>EED78023
MPSINIRRGDLPNEAKIDDRALEGKGAPVYQHVKGSAWVLRDEQTRCSNVRARRDRGMRLYDEGDSAAWPGFAPVRCVKGEKFADGTVNHDPENYSDPRVTNWSGEDAAAPAPSAPAPDDKEERDKAVQKFLARAELGKSLFSSILAPPPAKRARTIHNPEDPPVPAPTKPRAPSPPPRKAAKSSRGGEGTSKSKSRRGKDGGKGKSRQHANRQTADVDIDMKAAATLTSFLMSSRPSVSASSPRSSISAGSDAGSAHSYAQYAQSSARTITAETSVLPETSYGMHEATPPPMSPVESDLRQSYPQPSSVREEDKLTPPKSRKRPFPTTGDSGTPHVPSDTEAADSLLFLATSPSPVRAAAAARDGSSKDLSSFRTLSGSSTLKGRVLFPTQGGGDDASSAGSSRALRRDDMGSFTSTASAGSSDFAPEGSFPNVYNRHIGTRPQHTSLDAGLAAAAAQLQRPREPTITPPTPTESMPTQLLPAPPLAAATHVPIHAPASAPAPTPAPVSVSIPASEILPAAPARSDLAQLADARASSPPHTDSKRLADAPPTPGNTFDFSDFLNVSPSPATAIQQPSRLIPPDLGRRLFEELQGGQGSDADVAAAVQNGLGAGIDLQRH*
>EED78024
MRFPSSGGDQPSVVYRQGANGILQYQWGKHHFD*
>EED78025
MALWIGQT*
>EED78026
MEDELYSIFEDHPQSHTNDNGEPVIPGDALVDVLRAFSSNHDSVELMTREEETQMINLIESNPGLAVTPQVLLQFIAMRTTLSPHQSPEGSPPPDNFDLDHRGRSEERDWDEDHSRSSSRDSTGTSVWRPSSRGPPVPPKTPTNHDSPFDTSRRQRSTPLSNAAPSSWSRRPPPSRRKSTSRSRASSDSESTGPPTAYGRTSGRKRAPSNPSAPSSPISSPNMGNISRPHSRAQSQPQGYFQSMDSFSGDFSRSFSPEHEIDYRGQARSNGGLMSPPPSDLDSSFDDDQHFEQSVNSLPMPRASRSGSDPSSDSEDDTMTGLVMDRSAASSTVSLDVLERVDALQRINTDLGRKLLEAERTLQNRLADHEQELEDMQGRLEEVRSELSATKREEKELRAKERTNQNQISALESEIAMLQKSLDTARASYTSLQKQYQEQCDRDALAIRVANETLKKWHKGLKLPIEPIVGGVSVDAIEDWKALKEELGVECSAIDRIVEESAKTGLPRTPKEGEHPRRRRSRFYNIYNTYFYGDPEGGSYMPTSQFLFCIGASAAVAFVVGQAMAPQYVVPGGATYYDRAAWSSFNSIQASGEGFGSDGAAVWSFLGKLGGGAARTLRGWPT*
>EED78027
MGPTGSGKTSFINTASGSELRVGLGLESSTENIQKSNTFPLDEYDVTLVDTPGFDDTNISDVDILALIAKYLADEFENRRQISGVIYLHRITDNRMGGTALRNFRMFKELCGGPALANTAIVLNMWNEVNEGIRSARKNELVSKDNFFKPAVTAGAKVLTHDNTVDSAYAVLRHLATRRPRPLLIQTELVSQKKQLCETSAGAALLEDLVKREQKQAEQLRDMQKEIEEAIRK
>EED78028
MSPSLLDARELTASISDNFARDASAPPDSDLPAKAKVADETTIDASPLNGSEAISILAADADRDLVQELRTELDPATIQGHDLQDPNADLIIPASSGSGNEVPSQPDREDQSLPILHAEDKVVRPASPVDEAVGRMVADDERPPQEAERVASVAPGIEENVGRSPSLLTSSLEQGEVTMSAPASDSASTIEEGQITPVHRTQGITAVSSTDKAIISTEPADIPIQQTATVPEAPPSISTAIPNVVVFGCARGTSDNHVLFEFDVTSEQHALVSQWLQRYKTRECLSFGCYSFDECVKRTEEPGMSLEALTIDVPTSWPRDGRLWAQLQNAAGEHNVMLSPPFMPKSQSFVDLSRSVAVGKNTLRVYQLRDHSDCVFASLKCGSDEITRTTGINS*
>EED78029
MSRSLGHSGRSTGIRTMRLSSH*
>EED78030
MTEQIAASSPPQKGLPSTLEAAPGVVAHQELVSYYQRHPPAHPEDVFTILQIDVEPIQIAESAQSPINKQPLELPEVQYVPIEIPDIELPPAPPASTNAPAEVPMATFTQEDIDQRIAVALAAYQSQQSMANRPLCLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVVLMRKDLGKTWVDAYYKKSAGGVQVYSTWADFVAALEEVFPEHGMRIKAHQILMKLPERQKDRKTALSLGNYVTHFEQLASKAQLKDAEVNGTNRVENNYHTLHTNFVKGLPKELYFALATRGALVITDTRDYGEPMDIDAAAVASTFTSTSGGRKWELGAVLNEADWKLHRDGNLCFYCHIKGHSAKDCRKKVAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED78031
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQQRLAEEEARRIEEAAKRAKAAEERRLEDERRRKEEEERRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMAEVDMAAAAIEKRQSGQKVSSTLRRSGSKLTFR*
>EED78032
MSSRSATPASTPSLINRRLASLLMVLEAPPTTDAALDVVEEWAQDLSPLMLAYRKALGAIRNEETELRVAAAVKQLAKWASESWAVKRAKATEDRRLEDEQRRKDEEDRRRQAAENERRAQEATDEELAKIAAAEGLLDKGKGRAIVDEEVAELSDDPSICDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRLTSPGPSVADSSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDNLDALDLDDESQGIIRVIREERAFITCH*
>EED78033
MAPYIPFVPTEAFTIATEEEWRNAIFQNVNVSDEQAALLQTNQQTLVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDNKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGLDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPLSTKAVSANTARIEEIANMGRQRTAQLGPR*
>EED78034
MEMPVPGPLPTSPSSHRCRWVYKGPRPRSQTKRPLLPPSGPASEVSTTRRQHK*
>EED78035
MLRTDQLRTNQRAQPPLNIPCHNPTDIFNKLKAHNPEATNATDRAALEAYLPARRKYDKAVKAANEAINHHKRLLCQQDDRVLTELIRLDNLKVAHRFQPLLPRSIWARHNKFIPRAIPNTEAADDADRLDTGYRTVRTYDALDAEKKPLDTWKPVRRVGVVVDNVFLKGIINKAKKRKEKERQTKAIPIPPPRSANPEPPISPVMGPSRPRPNTPVVFCKVDPNWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNVRKEWFDEEEDDGVDWELYGDGEHLHNRVRVHFMPGIVTSWYGV*
>EED78036
MVDSPKKVNYYETKAVYGKRKRGVSDDDDEYAPSREGTPDNGATPHRRVRRKVDMTADARQRAVTNSQNGGTCIISGAKDGSVQHCHVLPRATESSVLTSLEWWWGMKKESLNIKENKELWVKAMKVVYGRLHINVDASRVADDIVTLSDLWTAPHPLEAQLIRKEEKGEAVEDAPSLPVIVPRGKPMTPKRAKAPVGPGGLEMDKCSELKAHKLEGEPYVSNLKSFAAQLAPTGSRCLLSLHENKSIQCCHVIPRRTSDDRRKRLAAWWGLVDLDINSPFNIFLLRADIHYLWDKGHLIFVPEPQIIEEYLKQDIVPIDVGMSLDEPFQVCDGPVYRYCVVAHCDLPDTKRNAAFPREFKAIGWMKSRVPPPFAIYNAGLALSMDAEPIDFTMALDAFYKKHKVKFNAIDVLQNMGALVYRWISKMPNDESVPLASQDALFN*
>EED78037
MARGFAEMKWRLEKAAGDAEQTQMNSDDEHLPASDKEIHLRRNAISFSRESSPPATSIFAVSRAPSIYLGDDSKHISIGYRTRVGGVEPDSVDPSLEHVSRPLKWKRCADISTDDVRAKKARTSGTSSDESIVDDSTSLGLGHILVHTSSEFYLII*
>EED78038
MVYSPKVRQPGRLKDSKKVSHDEPSVASSVPESEHVNRKIAVSDDEDDYTPWREDTPVNETPHRKVKRKVLVTKNAQQRALKESRNGDACIISGLNDGSVQHRRVLPHATDTHNLTHLEWWWGLKEELSVDSRHSQIFRACSFQEACRYAWAYLHTVRGDLHILWDRGDILIAPMPNVVNGYMDKYKDGERHNILEDSDEAKIHEYCVIPHPDLDNSPIREGFTYRFDKVGIIRSHAKPHFMVLNTAMKLKEDTEMCVMVLKVFYERIHLQVDTSCFVEDILTLSDVWTAAAPGEADLIMKEEKEQAAEEALSLPVIISTTSELMASKHPKDTRAATALLGPGGLEMDKHPKSKAHKPKGKPCGRNLQLYAAHLTPTGSRCLLSLQQFKHTQACHVIPRRTDIDTCEKVAAWWGIDEFKVNSTFQYLLMHVYHPTIIHIFSPFDVYERWLTASRASNKRYTSYVALEHLPSWPSQDSTRSTASHNSQPLLANSARLPECVLRDVVLVGLGLTTATSILQTYRHTAHWGFFPRWCMILYTEAAAIAARYNTLSRGECARRGIKDLRSVYHKRSADTSTYDVRAKRARTSGTSNDATKAEDRACFGLGHISIHGCTKIGVVEPDADPSVAPDVDIHVIALPPKRKRCANTTADEVRVKRRRLSDSPSDASKIKDGIPFGPHPRCMITNCVSAEVEPCYILPPDTPQPLVYKYYVVAEDEHPSSSRISKDHTIRPPVATTCSPCRSLGWHELSANLHLMTIRVGREFMKRPLHYEYHLSKDAFAYSPIIRLAQHHALESVSPHIDQQSLVEDIPRPRKRKRCPDTETDEVPAQRMRTSGTARNAFKVEDGIPFGPHPRCMITGCVSADVEACYILPPDVVEESALVLKYDGAYGFGFILFFSLFLGTFLSLMRLKARLYPFRSDSRACKDSVEGEGKQ*
>EED78039
MTGIPAFVADKVNRKMDYITFNMRTNYNALRFHMPANIKFLRRDLRELWETNRLLIITHPDHLKSPLQYGTVYKYCIIAEDEHLPDSCTTMGHPITHSVMTAPCSYRSLGWHELNANLRLTMFRAGQKLSKRPLHYQHVLRGLLPYKEANHAYSIASQYMSWTIPVPSEMVPDRRLWATGEPSACTDGYFHWPVRQYCSPLSDDDAVRFSTPFRRIVSRLKRKRSGDTSMGTLAYTTKKSAQRAVSVRQWCLDCDQAQDEWTMGPPAEPEDAELLAYQQEKVGDVLPAVQDLWSLETHFSSTFSHDVGSYGAVGCISAFTSGCDDGTRLHALVHTTFNETSCSDSSINDLSTIWLNAVESLDMKIRLALLLSPGPYVDQPVGIGSSYVNLPKWWLLWSSLLRVSGAVKDFRETRQTMFSRDCWRITRLRV*
>EED78040
MNGLYILIPDTSHMLAAEHPPRDMSTPALSDDTGSSSSRESSRPATPTSGVSRAPSISFDDYYKHTHAGDGTEIRIVEADADFSLPEDITRPLKRRRLADTRADEVRAERALPPDIWSDATMVDDAAFFGLGHTPISDCTSVGDVEPDEVDPSLEYVSRPLKRKRSADTSIYDVRAKRARTSGTSSDATKAEDRACFGLEHIPIRDYTKIRVVEPDADPPVAPNIDIHVLLETIARPPKRKRFADTTVDEVCVKRRRLSDSPSDASQLKDGIPFGPHPRCMITGCVSAEVEACYILPPDTPQPLGGCTTLRMLTESNAVPCSTLANIIFLRRDLRILWETNRLLMIPHPDHLDHPDTRFVCIVYTYYVVAEDEHASGSCIPKDYTITPPVATACSSYRSLGWHDLSANLHLMTIRVGREIMKRPLPYEYHLSKDAFAHIPIIRLSQPYALEPVSSHIDQQSPIEDIPRLRKRKRCPDVETDEVPAKRMRTSGTARNAFKVEDGIPFGPHPRCMITGCVSADVEACYILPPDMPQLLMNREMDYITFNMRTNYNALRCHIPANIIFLRRDLRELWETNRLLMIPHPDHLKKLVYGTVYKYCIIAEDEHPLDSCTTMGHPITPSVMTAPCSYRSLGWHELNANLRLTMFRAGQKLSKRPLHYQHVLRGLLPQKEVNHAYSIASQYVSWTIPVPSEMVPDRRLWATGEPSACPDGYFHWPVRQYCSPLSDDDAVRFSSPFRSIVSGIKRKRSGDTSVGTLAYTTKQYAQRAVSVRQWCLDCDQARDEWTMGPPAEPEDAEFLAYQQEKVGDVLPAVQDLWSLETHFSSTFSHDVRSYGAVGCISAFTSGCDESIRLHAQDLVLTLH*
>EED78041
MAQQGLDQGFDGSWGDAGIQQPPQPAPPEARVNLTDTADRILFQAVAALGRHQDAFANNQQLQSAALESLARSIDELRQRVSATPAPSTSAGPRNIKVCDPRMFNGKSSEVVPFLREKQKTTLFSMYLKDGSPIQWFNTIEAKRSELLYDWEELQRIFTTRFQDSDLVSTSLRKLEALKQTGSAATYANLFEEYLTYVDVSDYMQITYFDRNLKPRLKEILVNEKRPATLDEWIPTVITADNRLHELERETRELKSKPSGHRHSELAQATPRVASTPAPAALSSTVVPMEIDAVCRGPVTAEEKECKHTIQDCPNMSDKAKKARAKAVPSGKA*
>EED78042
MVVAVHWLDFVESECALALAQGVQGYLFMFDLDQQLVKGDGSWGKQDKNAARLIKIKVDIDEVLRVEGMDEVFTGSHNGWGEEEEEPRLHVHENKYRPLKCGYQREVKCQVTGRIQTKGAGGVQLGLTKVMREIKAGYAHWFMCSWCSMLMRPWGLYRGCGFELA*
>EED78043
MTTRVGFEHHVAGAKRWSERGYKQGRLSLIFPSPLFLLRYIVVLPRTPSASTMSSRSATPASTPSLINRRLSSLLAVLEAPPTADAMLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWVRGDWPELATAIDAEVEQRVEEQKRLAEEEARRVKEAAKRAKAAEDRRLEDKRRRKDEEDRLRQVAEDERRAQEDADEELARIAAAKGLLEKGKGRARVDEEVTELSDDPSVKTPRTVEHPFAMTEVDMAAAAIVKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSSGSKKRRVDEPPHPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIIRVIREERAFIARR*
>EED78044
MPQNRRSSLETTSRPPSRSQPGGNTSINVKMESANADVGTDTNNLFGKRSTTPYAPKNRRTPAHSVLTPLSPAEMERYRNYPGGVGTMLLRKRKREREMQRRPKRERKVGDVGLVVEHYNARPDVGVSQRQESPIIGLKSFNNWVKSVLITRFAHPALVESTGMLRGRVLDMGCGKGGDLTKWSKAKVREYVGVDIAAISVDQARLRHAQTRSGPRFAASFFPLDCYANRLRDGLPPGLLVRPFDVVSMQFCMHYAFESEEKARCMLDNVSAHLRSGGRFIGTIPNATQLLGHLDALPKDASELTFGNSVYKIRFETREQRPLFGFRYWFYLQDAVDDVPEYVVQWDNFVKLAAEYGLKLVYKEEFHQIFEEHHEHDEFGPLLQRMRVVDANGESHMDEDQWEAASVYMSANFLIIY*
>EED78045
MSNSFLHDGMLRLSIPGPSRDPSYYIADGNTVLLVDNTLFRVHRSILMKDKSAFETMFQLTNEADSASSDSGLSTPEGDGDHNPIRLQGDTAEDFRALLWALYALPHELMLATSPEANSTQLVGLARMSHKYQFRSIEMWVLTALHGYYSRPGAFENIPCTVLPSLSHSSPAANDPPSLVQITELAALCERQDLLDLAVARWKRQIGLGKDLALAIEIGERLSLRPILGLAYHAMMLKGKAHWEAESLSREQRVRLLNGYYALTKMWEVLPSQPPILAHSGRCPGNQRCTKAFGQLWKYVVDMAGMHPGLQKEDVLNKFNMMEGYVKALVAEREGQAPGEQDGIPNCRESAVLVTAHKVREFRDTLTDYFSDDF*
>EED78046
MHDFEPQAQNVACLPFNAGQIITVLNRDPSGWWDGELDGRRGWFPSNYV
>EED78047
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHIGNSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIWHDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNVYLPLPAPLPTSAFRHPPIPSPFLQATPRSTTIPADWQPNPDRTAVRGTARAPLTQPL*
>EED78048
MSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQVGVQGATTPFANKAAFAAAFRARFRNLDDEVAAQVELAKLCADKSVREKRTAAEFSALFKGPADCSGYGDLELRNKYLSGIPSHVYRKIELETFTTWQAAEKRATEVKQILDISRARRPELNNFFSARGRGRGGARGGAPSLHGTSASINAAVGKGNFPGTCFGCGKQGY*
>EED78049
MGLGRSRLCWRCMTRGRSSRITASHVEAGRQVISLLGRRAIALWRCGMYAMPRVDGVSMALETTPHLCTPSSSRALAFSERHNHVRLYSTSVQILTRRRIPRX
>EED78050
MALPLLLVILIAFTPMLPPDPGQPSNSSRRVSSPTAASFFLAPTPNLPRLSRPFRTPLDHLQEALQRRARHPISPSLPRPEVPQIVIKQEEVPTRLEDIGEPGYFIQGQATGKHRQMMLGAFDWVLPKRCWSVRSMLTRPRGSMRQRVKTSTPPGARSLREDADEEATPREQRSREARDMDTAPTTPTRLRTVIKPTGKSTSISHRAQAHRAQLMPPPNPEREGDAMAAAPETTGLHSMELRNTTPQVQEERAPAATIRGEQEDPSSAPTSSEPPAQPGGSIAHAGGSQPMHYAPAPRTLREDMLLYPYHAAPFAPTHYSAAGLDPHNLNMYYHPSPYQNLAAPLRSRAPSSVSRADLAGPSAEPLAPPSKVASTSSRVAPTAPRSRASSNASHIHPTDPADPLRYKSALAPPGPSDMPGWTILQVADPQPLLPQQQALPRRPLTPPPSDSQPSKRALDPTPKATSTQEDPSTDVPSAQQAGTADNAAASAAGSPMDESGDNAVHDTSHDGSPEWDEVPGMSYEEAAEAEEQALQEQADNDVFGTPGRLSDDVRQILRTGFAKMNTIVEQVSKDSGLPAARVQAMFGQQHARVNTARNHWNIYGRYFKMNQAQECKRLGLDASLADTVEIRSKTYALFKEAHTDIWRDILETFEEVEVWAANHTVGQRKRDFAKAVAKMRQLCDNLTLRYDFSTAFLMAGNLVNTDTGLAQLYETATAKNFLSTYLRGNKDTSLAHFKSYLYHQTSMGILNEASENGDEDVGGPSKPSGPAIAASCQQGSAPSKQHAGPSEQAAGPSKASTQVPGPSKQAGTSSNEGTIKSQKDLDRRKFSLEFLHPDWRKKLSSTDKAGVIRFNVREMEVAAGGPWERKYNNLFPWNHHAKLLVTQGLVWKNYPDDREETRFDRVDLARTWFEVQRSSSTIAEVESGPIVRRMREMSRDLRASHRDDRAELRASVAHRRRCRRASKAKSKCWVTESKCRAGCDCRKEVYRGPEGLGAYHRNKAKGIAELSMPELDRMIEGLVDRDYPFEFERVDKEALKKNKLPVIICAPPAHDATFKQARRYFANGTSDRKGPPRREAPAPSGEHGESSSPDTDELSKDTASAALSPVQAPPPKASLTRSRVIEVVIRKSRTSQPTTASKSIDVDDSEDDNAPVKPRADKWKAINVEDSEDSEDEDAYQPSEGTPTPVKPRGAKRKALRNGSDTENEPEDGTKKEPSKGKGPSKGKAPARSTKRSKASAGGSDVEQQSTPRMPEKPRAQTAKRPAPRPVHAGARTRGGAMDTTTNEQCNTSTEAPAQQGAPTEGTHDQEHHQEAPTQRDKDAPAELHCPQEGRSKRAHAPAPSSGTAALPPSLLHQRLAVYDDEDGNSTSTAGASGMLGHWMFPPPAPFAHAHDAPPGYAPPGYTPRPWPTLPHASRYAPRGWPVDSQTLDSYEVMDPRDAGHYYCPLPPQFRAPEFRAPGLMTPDGTYIPSGRVHPHFYEDFGQAAAFADVPQGMPYAGFEVAAPPARRMPGTAGTQTAGVQSHDEGEQRGDAGPSMQA*
>EED78051
MSNHSNFLKFTPPAPTNALVEIPMASFTQEDIDQRIAVALTAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTGATFVTGLEEAFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAKVNGTNRVENDYHTLHANFIKGLPKELYFALATRVARDRPNTMKAWYDKVRNADAAKQGALIITDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAVLNKANRKLHRDGNLCFYCHIKGHSAKNCCKKAAA*
>EED78052
MSSTLSFLDQFNAPSTEGGKRILIYAPKHTHVGDSTLLTLLLSNPTDVFNKLKTHNSEATNATDRAALEAYLSARHEYDEAVKAADEAIDHHKRLLRQQDDRVLTELIQLDNLKVAHHFQPLLPCSIRARHNKFIPRAIPNMYLPLPAPLPTSAFRLTGNPTLVGPQREAVDDADRLDTGYGTVQTHDAQDAEKKPQDTWSESAEPGR*
>EED78053
MPALQSNSLIVVTGITGYIASHVGLAALQAGHRVRGTVRDQKRAEELKNAYAKQGVATTKLQFVVAWENGTAEDKTKPVWGFVRYTAAKILSEKVAWTWVEENKPLFDIVTILPNANFGPVLYGEPRSTAVWIHSFLKGDSEWAQSVAAQWFIDVRDDGRLHVTALTNPSLSGRRIWGVAEPTGWNKILAILRKNFPRANVAPDIVGEQGEPTEQKIENTVATEALGGWISLEQSLVDTGKSVGF*
>EED78054
MSAPSAPSAPSVVDLTSAKRVQLRHWIDAINQNAGKKLIVLLGKVDELREHVARHYGLNLTQAIEPVSPASGPRPINEEIQRRQWAHLRDLADEWREKAARGVPFVLDEDAARLVHSPARSAAAPLLVSPGLSTPTAAASLVASLPSTPSRQAETTFDTVRSWVEAAQAGNPYAIAGLSVAQRLLVPDGTSVAGPSLLSVNTVSMSNQVPTADTTTLLIEASSEELSTPSSVQENDRAILDACQKDFAALEHVTGIHDVVAQIENGSVARLRTQYGGTRAWETIKVGICRREHLYGELQDAFGGDKDRFYAFFAEPPDPRKRRKQDSLRSYRLVVEAIPHRKKDIAHEQLKLEYQDVFGTFSEQCWRERWKSMNDWEIWRKLGLERYR*
>EED78055
MASEAELISLTKYTLYGVMVTQTFFYYSTYSRDQMWIKIYATAISVFETEEAMAGIIAMQVQLFYAWRLHKLGAIAAIGTSINIGIKPIITNFAHYKAIVIVWLVSSTVADVAVAFALTWRLYVRLQWKIVMVLIKVLLVTMSNGLLIAAFALADMIAFLATCSYGNSAMTTLNSRAISFSSVDNPKAIRMSSVQVVVNVETHEIVDAPTGRVNTDDEEWTHSESEVTETKVLAI*
>EED78056
MDIDFIKANLIGARDLKQHADARKSWNRAFTSSSVKTYEPILIRRTTQLIDELRTKCINGKGGRAHVDLALWLGYFSSACEEDDATPFDRLDFMGDFVFSGAFELMRDGDKQGLVPLMEAGTYIAALTQHIPWCLDIISFSPTLFRLAFGKMKGLGEFAFRQAIRRMKEVPMQSDLMYYLNEEGQMDSNPPPAPLVLWSAVIAVIAGSDGPASALSNAVYELLCNPQCFERLRAEVDSAFPPNAGEPINTARLSRMEYLNAFINEVLRLHPPIATCLQRAPTEGSGGHMLGSSIFIPEGVAVYAAPYVYQRDPRYFAPDPDRFWPERWLSAKDTDIVVNTNAYIPFSIGPANCVGKPLALIQLRMVIAYFVQAFDVCFAEGYDKQCWETELRDYFITHRGSLPVILTPRPSAR*
>EED78057
MLAKLSAGLPVRHRPEDSLIVPRRDGDVVLVTGTTGSLGCHLLEALSLTPTVHRIYALNRASKDGTPLYVRQKAALIERAIDARILNLQKVVLIEGDLNHPTWGLTEGTFEEIVSTHADLTAPLPEAPRDKSIAETVVDISAATYSGYSMSKWIAENLLLAAANASGLDPLIVRVGQLSGGPGGAWAANEWFPLMVQSAPYLGCFTADPRPVDFLPFDVGAAALVDMLDASSPTHIAHLVHPRPTSWNAIAGPIAAALGVELVPFAEWHARLEAAPNVRELRARRLLPWFRGQALRMSSSPNAMGWPGMDTIYAVRASRTLADPALRQLGEEDETVYNAMHCTRTASEQVLVGNLGSMLHRQRSCASTIREQIEPTTLRVPTSHHVAYASCRLIPRYPDDITEIQGRLRCQHGA*
>EED78058
MTAVERIEGAFIMELAITVMLYGITTAQAHFYWWSYPQDAKLIKRIVLIVWILETVHTVFCLHLMYNYTIVDFGSLEHVVNLVWSSLQRLFKVSDRNVVITVIPAILLFVRVATASLTSLGPRVSTHGVSIITITFGLVLSAVVDLVIAALLIYYLQSNQSLSQRTHHIISSLQAYVINTGALTMIVSIAIVLTFALINGSFLFLGLVEIQSKLYANSFLATLNARQHLNQASADPAREAISMSTSIYPRSQNFTGQTHSIEVYRTVERDSTFYGSELPSPGIAGTYIGSLEGGKTDLYKAPPLSEYGEAL*
>EED78059
MATDIETLAGGYFVEVLLAIMLYGVAITQAYVYWWDYPDDSKRSRWTVIILMIMETLHTGFNFAAMYEYLIIDFNNIEKIQILVWSYATSVVLAILIAAIVQGFLIQRIWICHHSFIPSVFELRSWQLYRDTDVSRHGPSYQAGPDVCFASDCDYVYTITFLATLNARQHWRSRRRYRMNDIVSMELSPRVTSAQAQGTQAPQIEILTTVSKVTDNMLHREHGVPKPLVSSVVTDDNMEPSKIKILNDDY*
>EED78060
MPSAPHPDTYKAYAFLEKGGDLKPIDVTWRDPQSGEIVVKVLACGVCARHVLVVLEASLYLNCFFPFRDVPSCPGSRDHRRRRRYTGDREDLEGRTTRRRRLARWALLDMLWLSGRQFPPVLLPTAVASVPEDIDPAEVAPLMCAGVSVYTALRDMNAHAPDVIGIHGMGGLGHLAVQFAKAMGFRPVVLSSTSEKEELAFKFGAYKFLDGSKVNQAEELAKLGGAKVILCLAPDDNVVQSLVNGLAPGGEFFILAVSQGGTVPLGLFVGKRLNLRTGGAGTAKEEEETIDFVRAHDIKVLVNKFPLAEAQKAYEHRSAARFRSVIIP*
>EED78061
MSSPAAALDKETLKLLLPLRYNGKTVIDCDRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFANEAAFAAAFKARFGNLDDEAAAQVELAKLCADKSVHEKRTAAEFSALFKGPVDRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAAEKRATEVEQILDISRAPALDKETLKLLLPLRYNGKTVIDCDRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFANEAAFAAAFKARFGNLDDEAAAQVELAKLCADKSVHEKRTAAEFSALFKGPVDRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAAEKRATEVEQILDISRARRPKLNNFFSARGRGRGGARGGTPQSHAASASINAAVGKGNFPGTCFGCGKQGY*
>EED78062
MVLQCGTSTHLFRLALGKITGNPVIRMCWTPHTFHCRIRSHYGVELVGWPQTLPFTNLSKLPGGNKPLDELLIRWRSGELRFEHCKDLGIAPPEPQRRTRCDLGYRRPQSTQNPLSSRRRKAGKSDPKSVEIIEDSGSETGDRPDTRSSDFAKDWEDDAEDESSVETIEDWSEPELVVDAIEDANDWVDP*
>EED78063
MRESTAAAYRSRTARTIRSQGLPPITPSMPSFTFLPQPSPAAPPPMDQTASRANAAAAAAAAQGQSHGHAPTGYDAGRAMLHGHGHVMSPFSPFSPGVTMSPGAFWGRPGSGAVNPYINPAVGAPVHAHPMPGSPGGYYWARTGTRGEAGAEEPQGYFPPVPVSMAMAQGQAQAQGAEGYFPPVPLSGSMGPARGSASTSADAGASEASTDRPEAHGRGRRPEQPRANSSNSEATTATTDTHAGTGTGTGTGTDASPRPPSSEGTSWHTDDKAHANKEGGVCDAVKGMEALAVTDGEHGVPDSSGPAKPRAFSDDAQQQQQQQGTSSHPKMQRADSDPVRSGSDISKVGLGINMPGSGNSR*
>EED78064
MALGSPSPSSSTSVPSSTGSASSTSSAASSPSSSNETNSSPFSQNGTPALILAFLAIGLFIGGMLAMFGLRRRMAIRGPRRWFTSDPPPEALSAGWAVDLPEIINRSRSARRRQRDVGKKPDLWDVYAARGSTTGPLWRDIKPISAKIVCNERAQTLPSTAVIPPPPGEPGLFSHVSVDPLGFFRRGRSAPEAASATAPPISRRMEIAVAVAMPTPHRPSSEPFDYTLGLAVIPWNRDITLIEFEDCAEPPS*
>EED78065
MMQGVSVYSFKDGMGAFVAALKAALERAENVQIVQDAKVSQVSSNDGRFMTLPSAARPPLPHLASNLSSSVAVVNLVFASPPGAPALHPPGFGFLIPRPAGGDYHAHGDNPMGILGTVFDSCAVGAQDAGAPITKMTVMLGGPYGMPDTDDPAFLDRLLRELARQLAGPPLPRPLLVRVHRHAACIPTPAVGHEARMAELRGAVGKYWGACAEVVGAEVGGVSVGACIEMGRSVGREW*
>EED78066
MTVMLLTKNVFGYLFSDDEAVVDLVSKVMPFVASFQVNVCVIGPEPPDIADALAGSCGGVLRGQGRQHLGAIFNLVAYYILALPLGITVAFHPRTHMGLKGLWLGQDVALFIIAFGEYAVVWLGTDWDKEVQRSIDRNKEEAKRRRMHEGLEYDDWITTSASSLLTLVLYITVHWQQWYHLARRFYVMLSGTNVNLHHRTLRDNVSILTFGSQFLGTIARVGMLRLSDLLPACCYWNTQQQTRYHAFVRTPCTLMAYPKSNEPSSAAFLFHIPEVLLSNVLGIRHAQGEKGGSADRVISRLEAHNPAGFQRSHSRSVMTKHRWQPHSKCVVCAVALEAANVRLATCTLASCRRLTQASRFSSPDTVKTLDQARGRWQRRVFCTDVTAHNLIPYNARLGGVCPCASEAKVGNAHQVRACLTSNSLWDFGSWAGHLHMPRTSRTGTVYHGIPARPERSSLFRTEALRGRPFARRLTGAFTLGSAAAASTLPDRPPPLLRRVSRVPVSCAVGPGSAERFHAQPGSEKCAFDASKLGPGPGGMCQRRRPCILAAVSCTARGSNVERCPMGRARPIVSPVLCVSRVEGVRNYRAQPRGRCTRVTAPGGLVLARTRQGEMCGVRCGAGANRPHRVHARKNIGWPRADALHCTTSESLEDGCEVPSPNKLCPTDRVTGHQIFYPSSPPTGVPELARRPIGQRQANGLRGPVT*
>EED78067
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWTAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEANRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELRVWTPCGLELSLYCFSRMICYHVSEIPEMSAFPVLFQVWMLMKQLSHIREDEERLLRIGNHHCNFSSAFCDSLSEMPKLLHLCEDEPREKSYQVWAGMIDDSMLRVCGYDTEARERGKKKVSPNSQELEQKKDGDPWKRRAAYDFTACLAHADITYIVQSRYPLRITGFFEHNNGCQCTLLKRFPAIPLHPHVYEIAIRQLQQGASMNQVRDVNMSMFKASLYRGQTASRVMLNHRYEILPGDYSRLYRLHYRRTYDIDVSVPAEQNIHNWLDKDSPHYKPLIHTSVFYYAARAAQNERLKVFIATPEMRDAAWMYCHHQQVVLDGTFGLCTSRLLLWIAMGINKRGQGVPVALFLFSAPTGNQATHAGYDTSILTELLKKWHEWLGKRNNQDFEPYVGMTDTDTKERGALVTVWRNIILLLCKFHVRQCWTNKRSSLLSKLTGFWKTRVEQELQQLEEVMLQTTEHAVALSLMDKARHDFSIISNDLEGKKAGAAGLSFLEYLQSTWMPVELWQSWSRRGREDAAAKMGTAIEAILPTTNHLESFNGTLKKRDIPQWQHSGHRLRFDVLVYHLVMSILPRWLEQKELAIAYHFPTTITDAELTERQNHLWYGSHYERCITQPCGRSGVPNTGEQLPAHMQTGPPTPELLHPHELVILPPVSHADDCASLEQEAELQALAANQGHQEPRENNVQDNPVAAEATSGRTDNTEMQ*
>EED78068
MFRKISITRDTTLDYLLYTSCTSDIAGYLDNLRELVVANRSYREDHLAYRLAVLFPHLPSTVRRLEMYNTSWSYTPPALVFFTLFHSLNTLILVNCKFVSFSAFRQIIHALPVVSDLVLRCVKWESCDSDAPTDSQLRLTECLLCQVENLDIVLRWLYNSSSLHSLRVFDAPTTSAVWEAIDLSADELDLADLDKRSLSSMAELVSQLVMDDFAQFQLHIKPGHSLGESAELYGQDPQSNWITKRYNMVWSFATPPRNPVIAGIVRDKTPFACQWGTPPCGASLDGTMFGLQRHIADAHLTKKDEAYFQTGVFCQWQRPIPLNNDTSGVCNTALAEDHIGEHVFTNHLATYPRMPFADTDSDEATDDDFRDEMDSRCGLTYRRYCVNCYLPSTESIIRNLRSDFGIVKMSLFIYYVYHTQFVEDHPVPSPIFLYENGQKPPSLIETLSLLNLSFVSSRRLFENVQRCEATLKA*
>EED78069
MTAITKNLPWFVKDLGISIVGSKCYTSLVENLDIHDTECIKYSISKGLGVGIVVGGSIMKVPQLLLIVSARSARGLSLSAYSLETLAYAITLAYSYRKEFPFSTYGENLFLTFQNVIITLLITYYSSHPPSAAIRRVAAATVPMVLSAFALVAAPDAPLALLQLATLPLSLFSKIPQIRQNHRAHSTGQLSAFAVIAQIGGCLARLFTTATEVGDPLVSAGFALALLLNCVLGVQMWMYWGQDERDQSGKGRIGTPVEEKPEVWQPQSS
>EED78070
MREDQQTLKKLYRAISALEAKILGRGMRGGSACFSRGDRAQGHGDEDGRRRVGAVEEAYDRPQGGRREMHQMLTLTLAPAVPASLRNIPTKYNLIIRLWTHAFHRLLESLRRVATPPNDSPIALEYLQDFIYYSYTFYCGLLKERNLFDFRSTWVEALGDLARYRMATCTLLDNMQAVSNSITPFGVAPLLNAHLSAPQPVDPEMDDSSDKLAIPAGKPVSPTPAARINDSPLSSPDMRQQQQNVPSVGQLWSPAAQARRQLPEAGLTELFVALHGMLFTNIQLDDFMRVL*
>EED78071
MPSMPFQRS*
>EED78072
MTEDLCEITGNRSIKMSWTAVDFHQRIELPYGIALVGWPTDIARTNLSKIGGCTILDNLLTKWRTGKMRFELLDPARRPVRLELRRHTRSDAGHSHVFADKREPMKRKRRGHKVPKSALIIENSDASSDADAAAGGNIGSQTESADEDESQICKRRRLDLDIVDQYGNSSAPETIKDFSSDARSLAETIEDADDWDILILPIATLRGCACDQHGHKHLRYIELAIEHCAEVHIFLPFDILLHREDVPFDNIDACMQQHSALTYSVLKHYLPANADPTPLIDAPVRGVVSPEERAGLTPSTFVALTALERLTQDLARIDLAAYFGLLWSIALADCARIDLAVYLNLLWRVPLGVRPADLVQELLLILRERLAGEGGKDTARRDMYKATLRTADACSCRGGIRRGVEPGRIAQSVVEDAEFREQDAARRASATRECVGVPGRLLCRRPSLYTPLAMRSYTPLTLRSLVVSNGHLCHTSPSAPETIIWMAPGHILVLACHTESALAMSTGNAAPVEAPRAGK*
>EED78073
MNRRLTAERCNINLRGETSGSCHMVAVMTRIGLFFMTGENHLQPLSRPWQLYKTRYRRLKGALRDVMTEDLREITGNRSIKMSWTAVDFHQRIELPYGVALVGWPTDIARTNLSKIGGCDILDNLLTKWRTGKMRFELLDPARRPVRLELRRHTRSDAGHSHVFADKREPMKCKRRVHKVPKSALIIENSDVGSDADTAAGGNIESRTESADEDESRICKRRRLDLDIVDGDQYGNSSASETIEDFSSDARSLAETIEDADDWDILILPIATLRGCACDQHGHKHLRYIELVIEHCAEVRIFLSFDILLHREDVPFDNIDACMQKHPALTYSVLKHYLPAGLVCLLDMMAPLATLIMRNVIPSATHLRITALAALERLAEDLARIDLAAYFGLLWSVALGGVDAGAARCYVYKAALRTVFDLAEEAADACLCDELWRQWRQRATPMFTRRIPPKAKGDADAPVRGVASPEERAGLTDCARIDLAVYLNLLWSVLLGVRPADLVQELLLILCERLAGEGGKDTARRDMYKAALRTADAYSCRFIHPSIQRYTPPTLRSYTPLAMRSYTPLTLRSLVVSNGHLCHTSPSAPETIIWMAPGHILVLACHTESALAMSTGDAAPVEAPRAGKQDSGSERGVWELENTAAMWSSPRVGTEATQRLQTPAVGQQTCLHESKEAKDVDHALEVSGHLRCAPSKGWSLQKVLQAAYGHAQQHQ*
>EED78074
MGRHSRLCWQPLCRQALASQALAVRDSARSAPVVRQDTVAQDAVAIPDAHGAPAVPLPSKRYRGSSAQRRANSAGTEGVRGLLTAIDAPPLTVLYTTGQTANMQRPAARATIAGVAKEESDMGVKPAYSFMIRARKPKQRLLMDQAADERGSGTFNITPRQYHGVIKGRLNAQVSSSERR*
>EED78075
MQIASDKAHVSESTLREAVRIVPLRLRVIAHGAPAVPAWPGRPTLCVEGETSGSSQNGAIRRVRGTVSALADGSARWSLGLLGADDEQEQWMSEGVQLGGPSSSMGVLGLWTGSQHERTDPVGLDNVRGAQSITISDAFEELQG*
>EED78076
MSSPTAAPDKETLKLLLPLRYDGKTVVECNRFVFQLLIYWAINTTLSTIELKLAAFHIGTQGATTPFADEMAFLTAFKARFGNLDDAAAAQVELTKLCADKTVREKRTAAEFSALFKGPADHSGYGNLELHDKYLSGIPSRVY*
>EED78077
MSARSATPASTPSLVNRRLASLLVVLEAPPTADATLDVVEEWAQDLLPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAAALEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIQPASPGPSVADASGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDGESQGIIHVICEERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED78078
MEMPKPGPLPTSPSSHQCRWGYKGL*
>EED78079
MEMPKPGPLPTSPSSHQCRWGYKGL*
>EED78080
MASANGTPKARSSFQMEVSAPALSQVSQYEIESTSGIEEIPQHPPLRRCSSRSTIARLCRPSHSTPAAGSRRSSENSFSFGSAGRSSTASRFYSGRSLRRQPSRRARKPWDLHHQYTPLQTLATQHTPHQTFETSQLRRSPRTTRARNLRTRPLSPLSSRSSPKRSSX
>EED78081
MWNVFAGEKTVAFDSHDGFIDTLAFSPDSKKLASGSVDFIVRIWHVESGRQQSLCKAHNALVMVVTFSPDGTQLASGSADCDTRVWDAETGVEICVLKGHQGVLYSVQFWPDGRRLSAASDDGIKRGLARPAWR
>EED78082
MSSTLPFLDQFNAPSTEGGKRTSIYTPKHTHVGDNTLLTLFLSNPTDIFNKLKTHNPEATNATDRAALEVYLSAHREYDEAVKAADEAINHHKRLLRQQDDRVLTELIRLDNLKVAHCFQPLLPRSIRARHNKFIPRAIPNTPPDPIPFSPSNAVEHYHPGRLATQPWLDPEGKLQAMRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARHVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPRSANPEPQASLIAGSSRPRPNTPVVFCKVDPDWTPDTTQWTRDSSWPHQKHLSGEEWMNVGRNARKEWFDEEKDDGVDWELYGDGEHLHNGVRAHFVLGIVPLRFFLH*
>EED78083
MASGVGPAAHLAEKNIAVVRASPAVGNNLYDHSYDGWFLVLEYWRPLPDSTCSGWERISHLVYGGCSIPWGSESRPKLPYATHSAGAGAPDVEISFAPMSVINRGREAPPHGTYGVTVGPILLKPESSGTVELQSGDIWDKPIIDPNYLATESDMNLALESMRLCLRLVRQEPVASQLELSGAPKDVNFDAFWPTWADPDNVSDDDLKAWMARHGTTAWHPTSTVKMGPDPVTSAVDPELRVYGVRGLRVIDASVFPSQLSGHTCAVVIAFAERASDIIKETA*
>EED78084
MVLNPVPLGLPTLAGSPLLQYDRADRCFVTSTKRAPIYPRGSTWCRATGPNPQVVAHQELVSYYQRHPPAHPEDVFTTLRIDVEPTQTTESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQEDIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRHFIQCVLSYFVATNNTRLSNEAKITFTVALMKKDLGKTWADTYYEKSAGGVQVYSTWANFVATLEEAFPEHRTRIKAHQILMKLPERQKDRKMALSLGNYVTCFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEPSRGLSSSQTPGTMANQWILTLLPSHQLSPPRREEGNGN*
>EED78085
MDSYLSYDAVVVVIALLFLLYTFGKRRSYPDFLLPPGPRALPIIGNAHQFGSRSPQEVFDDLAQEWGGIAYLRIFGAPLVVVSSARVARELTETHSRSTSDRPQLVLFTELMDFSNDMVIMRYGDRWRRHRRWIQDILQNKKEVFDLWSAEMMMKLAYGFEITTTDDKRLELVEEVLEASSDTSALAVTLMDVFPMVKYIPKWFPGAGFKLYMLVRFAIGSRTVFSRKLNRRW*
>EED78086
MSPASSYEFQLNPNESTTSRIPKLIEDGSNWILYKEQFRAAVYAKGLVRFLEGRDKAPVPTTAPGVDPDADERYESANDVWVAKHQSIRMMLFQTLPESLKLRIASLQKASEAWQVIVDEYDNQGEFVQVELLRQMHALRCAEDSDPRPTLNQLEKVRSEYAMAGGQLSDTEYKAIILSCLPMSYRGVLHRWMGHAYAPALHAMVKNNIVTGLKLEDTTIPC*
>EED78087
MDTRPSGTMSRVERSKNQGDTMSISRDIRVLSSRCSERREARDLYYTLGRGKMGHKLAAGAGGGAEMTLVDRSEIGYQMRIVSKTDDQIGEAAGITSWGAESVAVYYCFQKQRATG*
>EED78088
MSTCDLCSFSGPEANRYLALASFMFLVAILYYDYFLTLSMEIDNLWKAQHKVSWTSILFILNRYFAVAGQIPIALEYFADMSPQLFHQAFSVATQVTVSAYSMGAIAGIWSVTASNTTGGPRTDYVPTSTCNALLTIRQADYLIAPWGAMLIFDTMIFLLTLCKTIRLLHLAPRTGTLLQIFLRDGIIYFGALVIVNVANIVIFLDYTRGMVSTLTNVMSSVLISRLMLNLRDPGLNELPQHATHSQADPLETSKPHSPLIFARQTRAEGGQVQSTMSSGGRSLTVGRSTVICTKS*
>EED78089
MASPKTLLSVPTAAMALGSPSPSSSTSMPSSTGSASSTSSAASSPSSSNETNSSPFSQNGTPALILAFLAIGLFIGGMLAMFGLRRRMAIRGPRRWFTSDPPPEALSAGWAVDLPEIINRRRSARRRQRDVGKKPDLWDVYAARGSTTGPLWRDIKPISAKIVCNERAQTLPSSAIMPLPPGEPGLFSHVSVDPLGFFRRGRSAPEAASATAPPISRWMEIAVAVAMPTPHRPSSEPFDYTLGLAVIPWNRDLTLIEFEDCAEPPS*
>EED78090
MQDPNRPIPASSPHSLPPSHHPPSVIYSPAASAHTPLLSALSNLGSSTPASGAVSPRSPASAFARPTLLASNPASVAGSSAPSSTTNPTTTSSPANAPPPPSNDDIEAVIKMAMTSSAAAAPPPGGRDTRTQLFVGNCRDGCALTYASHFGALMARPVLRRDAGDMSSYNGRIPTNLCGSFVLRTCAARISHHSAIRRSTRGDLFVEVAPGAYVSRGRNDDWIKSSTALRCDTKAIEDNVRATCGDLVAECIVVGNGRPSPVVFVEALVAMDAEKLRREIVRRTRQFHARRYVHERITSARMVVVVGRGTLPRTATKGNIRRRVVEDMFKVQLDAIFAS*
>EED78091
MRSARCVCSMGTX
>EED78092
MRESTAAAYRSRTARTIRSQGLPPITPSMPSFTFLPQPSPAVPPPMDQTTSRANAAAAAQGQSHGHAPTGYDAGRAMLHGHGHVMSPFSPFSPGVTMSPGAFWGRPGSGAVNPYINPAVGAPVHAHPMPGSPGSYYWARTGGRGEAGAEEPQGYFPPVPVSMAMAQGQAQAQGAEGYFPPVPPSGSTGPARSSGLANEIRRGDSGSNSGSASASADAGASEASTDRPEAHARGRRPEQPRVDSSNSGATTDTGTGTDASPRPPSSEGTSWHTDDKAHAKRVGGVCDAVEGMEALAVADGEHGVPDSSGSAKPRPFSDDAQQQQQQQGTSSHPKMQRADSDPVRSGSDVSKVGLGINMPGSGNSR*
>EED78093
MRESTAAAYRSRTARTIRSQGLPPITPSMPSFTFLPQPSPAAPPPMDQTASRANAAAAAAQGQSHGHAPTGYDAGRAMLHGHGHVMSPFSPFSPGVTMSPGAFWGRPGSGAVNPCINPAVGAPVHAHPMPGSPGGYYSARTGGRGEAGAEEPQGYFPPVPVSMAMAQGQAQTQGAEGYFPPVPPSGSTGPARSSGLANEIRRGDSGSNSGSASASADAGASEASTDRPEAHGRGRRPEQPRVDSSNSGATTDTGTGTDASPRPPSSEGTSWHTDDKAHAKRVGGVCDAVEGMEALAVADGEHGVPDSSGSAKPRPFSDDAQQQQQQQGTSSHPKMQRADSDPVRSGSDVSKVGLGINMPGSGNSR*
>EED78094
MAAAYATDVPFNGADDDDGMPPLVDAPAPSMTFTFATDMTPITFSTDPLSFELPPGDIPADLSAYSPHTSPALGPIRVSHAKKRDASYIPRPPNAFILFRSSFIHAQHIPGKIEGNHSALSKIIGKYWKALPREEREVWEAKAVLAQAEHRKRYPDWRFRPAANALAKVKDGPKRRVNKKGRGEAEKEEHSREKRCAIIADLLVAGKTGSALEAAIKEYDCTAGAATKIKEESVGGADAPVSRQEEAKAQSPATVEVPIEHPSSAKPARKRADTADVRPMTPDPAFDARFKVPLTAMFKRSSSAPAPHTRSGTGSGYFDARKFALVAHGDLAAAGASWAANVPYTNYPIAPPGKHEDAPRADNLVGTASDGTGAARAGGVSVAQPLIPEFFAAGSFSPVLGQWNEMYAASPAQSGCWSPPLPAFVPDADNFSSPLQSPMSAPFNLRDAFPDADAGVFGAAQFSHEPHQSSYSSLKGWAGDAYFKRVNPEFAFAPPFALPPVADAAMMHDPLEGSVSAMHGDWYGNNGVFVASLNRPYLRWDFFDGSEGVPIFPQAHALNCLPDDRLFFN*
>EED78095
MPTGINKTQSITAYGRHRLFGRPPLLFDLRMHPCIVWLGELPALDGDDEPWRIPFLPDGANGAQPATHPPVSLLHISALADDNFTRFPWPFAVRPHHERLPVLVMDVLNACVANFEEFMRAEEVAALPEERRNQMYNAYWDRVRRMWSGRIPGDDDGLRRIDYLGDRVLFRGLEPAPDGSGFVLFVGPP*
>EED78096
MSLPRSNYDPRRVCLNPFPVLNIGKHRRTIGIYLAGGLFALANWTFLDAAILSAHAHPPYDEPQLPAPVHVGFTDWVPGICSLLGMLIINLIDKDRVRGEEGFGDSGAVWRARLILFIGFALMAGGLAGSVTVLVLKYVLKEYAEQYTYYGYANVSQNVALMLSAIVLWISQSVGSEYEYNLSI*
>EED78097
MPPHQESMVDVEGKWAVNDLKQTLEQRGTEPQEDTDVWIRYNPALSYPGDEIEEERKFGEADQRQVVHNFLRKAEIGILAIDATLLSGGWLENVTFPRQVLLLQNADPLKLPRFEPRHLAFLWKVRRLVIDVPWNHVAVMCLPPPNPLKRYLVYDGIASHTVMHFFEGLYNLTHLCIRINVGRMAEGACPVTKWLHEIVRAKPHLSQLVLQCVDDVSGSPCSRRHHVWRLLNQYVVSNKLHQVNLVPFAMKLSDEWHTLGETGEFELWEKARNLGAGTGFE*
>EED78098
MVRAYTTEQTVASSPPQEGLPSILEAAPGYYQKHPPTHPEDVFTTLRINFEPAQTTENLQSPVNEQPFKLPDIQYVPIEAPDTEPPPASSAPTNALVEPPMTTFTQADINQRIAAALDTHRLQQSTANRPLRLDIPTPEPFSGKAEDLRCFLQCVLFYFVATNNTRLNDEAKIAFTIALMRKDLGKTWADAYYMKLAEGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYITCFEQLASKAQLKDTEVNGINRTENDYHTLHANFIKGLPKELYVSLTTRVARDRPNTMKAWYDEVRNANAAEQGALTVTDTRDYGKPMDINTAAVAATFASTWGGRKWELGAVLNEADRKLHRDGNLCFYCHIKSHSTKDCHKKAAARQGGGRPNQGGSRKDNFHARIKALSADEKRELRYIPTRTNMSSTLSFLDQFNAPLTKGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNMLKAHNPKATNATDRAALEAYLSARREYDEAVKAADKAIDHYKRLLCQQDNCVLTKLIRLDNLKVAYRFQPLLPCNIRAQHNKFIPRAIPNAYLPLPTPLPTSAFRQPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRQCGSSRHWVWDCLDVRCAGCRKEAPGHLEQECETRPMKRHISAPPEEPAQRVRVAVDNVFLEGIINEVKERKEKERQTKAVLIPPPCSTNPQPPTRPVAGPLRPRPDTPVVFRKVDPDWTPNTTQWTWDSSWPNQKHLSGEEWTNVGRNACKEWFDEEEDDGVDWELYGDGKQLCTLVRAQLVCAQHADAATGAVDSDTSISGR*
>EED78099
MVSAYCVTGTSSEARSGKDPDVELSRASKETSEESRTKGAPILVLIRRDYTAYAQQVYGRAGGERERDITVTGTSAARMHARDTTDRYLQMAIGQRTVHKVLARRLQVRHRYRSIDRCIKGSKCASGLAVETTVKAPEEARRPVMDPWYLAW*
>EED78100
MEQATRSKLKDQQLVRAQGKLKELEAQVLEWRNAGLVPQPASGGATTSKVPTSGSASVPPKPAPTPAVNAAAPKSLPRRPSIAPAAPAPEGAGRGRGAAIRGASRGAAHGLNIRGTAAVGRGGALAPASAAAGVQIVGAAGKRTREDGDSTPDDALAKRLKPAEVRQYGFQIRIVTKTSRHI*
>EED78101
MPPPSTLQIGDDLLPCPATPPRSQPPRTRDNQKVIPRTGAHFLTPQKRRLGGKKDQVLEIPVQEPSDASPPAKRSKRLLPDAEAHKTFDRWHIVPATFNLVHSGRTVLRGLVLQHPRSEYLVLVLEPIPQVLVHHGLFPVSPSHPRTTVSIDLLEFYHALFERSADAVTALAGALRTHYARRGFQTLDHKGDPIRDPFRRGLGYATQWYDTLRHSIQHCLDAAIDAAHACLAPDPIDTLVDNHDAHALSTPPPVDALTRCARLLSTRCPACFGEAAFGRPFDEGADIHVALDATFSQRHSMHAGDSPHFYEPEFFIPKAQVDECGRRIIAARNKPPRPSCAPKVPAHIVDECEKSYEAADEKKVKTSANRFNDTGVMALICRHDIPIFLANIDTPGEQQKYAIALLEHLFTFLPPNATVAAX
>EED78102
MIQRVRVHESMHGPSRREPAFDIDDDGVATQPPMSSLPTPLAVPIAIPVVQQISSLGLVSQNARTESPNKNNSDASRNLSTTSTTSAKKAYRNALAIRREAIAREMRGIREYATEFQRPRLPFPGIDNNSRNSEDHADSLSLSFAAEELKQQIGSLQTQVERLQVVTAALAAPPPAYDEEVGVVHAPHWEDSCQLQKGLFGNGVIQVLSARASDKSCISKTEE*
>EED78103
MLFVFMRRHRQRKRRAMLRGGTSWQKMEDYQEAGGTVLRERFSRLGSLSPAVSSRDETLSSCLGTRSHSPFLDQHASWAASTHTLAATLSSLSLDIALHESAGQGPTLSKSSSLREEVARERRGIEEHVAELQRHGSSGAVSRVSANTQDGEEAAPGYGVDEDDNAASRRQMEYLLAEIERLRAIESALADPPPAYDCSPSRGCMSCEHFIYPILMSLPNKEAA*
>EED78104
MATATILHAATHTVVSAQAQPNSPPIVALLRVVSSWSTIVLSSVLRAVASLARAGAIPLSALAIALYYALLYVLAPAIVFCDIVLDVFVRTPYAIVTSVLANVYPLYVFVGASCICAACIGLAARMCTNVVKSALFPPTRPAGPAPAKMRKRSMNNTFGATNRVHTWQPLHSTAGPQAYSDDPSVPTPWSTSPPVVAGVERISRSAMSKIKDIDIRNYWFESNGLAALSAWVTALPMDVFVHRVVGQGPHLWIRSREGTATGWFGDRDPANLTIPGGGRKAKSHITDESKMKALKGLQIGDP*
>EED78105
MDHSRQVRAEEMETPLHVGPRGHWFENGRREWQ*
>EED78106
MLRHPFLALSVSARPANILLSHENVPVLVDFGFAERYDVNSCKAFHSNLSYGTPEYLSPERARGLPHDTRKSDVWSLGVTFFEILVGRTPFEHAEGEQFTTKEELEKYWNRTLRGKWVGTWKMTRDAEKLLRRMILPNADLRCMASEALLDGYWSPELLAPSHRKATSVSHAPSLSLGLEKDVFKFSDIISPWSPRKTDKGKEKDNSKVEIVAKSTPTPATDESKLRPVFKPTHDKENAATDAKAVTERDSKKLDHRSSLIRSNHARSQSQSKLPLLESQARKNIVAPSMLATLSPVKQSPAAASPVVVSSSSDGKENASPAINSKNTRAQRRPLGPRSPTPPTSPAAPLAIKENAPLQLFNAAKVKEREKEKQARRGRPFKDVTSASRNVAEGVSGIPRRVEKPQVQSNSVRDRMHGTDRRANKGGEEERERARREREAEVARELEREKEAERRREWECQLQLEAERRRQEELEVVRQQELQKERARALHQVQDRLRLQQSDSDGIYARIAPRRGSSVTPPDSAPLTPLSPLKEEPSEISAAAEYDYPPVGNESGISIFKHGLRMSIDKTLRLYKSSTMALGRSPALILPEEDDDKLSRKSISVRASWEDDALVRKVRNEEPAAANQLDRMTLWARNVESKLIFKYVVKSDSNLYCGTEVVEDARQTFAASSSSTDPAPPLPLAPVSRRASLTQGNRSTRVPRKILAANHIFADGYESGIMDQTMSSFNGASVDHAEQSRILDAIADVTLPTIPSEPPSFALDPQSPLVPSTPSRKRRATVVTRSPESKAKRNSLTIDTASPSKRREKSKSQNDLARPITPVTKLEFELERLVDRGLFIASPPSTPLPDIEIITEDVKNELTESPFQVEPYPPRAELSNSVNMLDTPGRKHLDDIYDRFLMSTAGVKRVGKGYQSNNNRPMSNVSRDAISASKRNQRFFLSNRKPMPPPVSSEDLRRASSVDEFGVVVHSIVGNSTNNHDQGKNTAAIVRKAFKAIVSGKPMTVRS*
>EED78107
MLMIFVFVPFVLRNSKSAQSTKIGGLLAHLRGAHPSAKIGFVGYCWGGRYALTMNPQFDATVAAHPSLVKFPAELEDIGNPVLFLLAANDHGYDGARGRETEKILKGKGLTEVAMHVYDGVNHGWTLRCNMEDPKQKAAREEAKERAIGWFEKYL
>EED78108
MSSILSFLDQFNAPSTEGGKRISIYTPKHTHVGNSALLTLLLSNPTDVFNKLKTHNPEATNATDRAALEVYLSARHEYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRLPIPSPFLQATPRSTTIPADWQPNPGWTPRGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECRTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAVPIPPPHRTNPEPPTSPIAGPSRPRPDTPVVFRKVDPDWTPDTSQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEKDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED78109
MQLRLSTLLALVPALTTSLAASVPLRRQAVVAAHGSISLPGTYDAIAPGATFDFGFDSVNYCESGYEPVTIWLLETPPTVEDMTTNGTFATGTYLFEFGEWLVPNFGLPEQDPPPPPSSLAMPDFSTQEYGSLFFSNATFYLTVVETYLDCPGNVAKEYGVTSTPVIYNATSSL*
>EED78110
MSDKSSPEHKNFKVALVGGGICGLTCAIALIRAGVPVQIFEAAAKLGEIGAGVGLGPNAVRILRTLGVLDDVLEKCNESELSTRMFRFVSGMEGHEVLYDLILVKYPESEENGGLGAHRAALLDALQKFIDPSIIHFKKRCVSVAPIENDPTRVVITFDDNTVYEADLVIGADGVHSAVRTAVTGGGENKAAFSNAICYRGLFPADMLKKASVKTDVTARSVCFVGKDKHLIVFPIKHAELINVVAFAADHKAPIGSKELEYPSVKDVSQKELLNEYEAWGSDVIDILKCIKTPSKWSIPVVYPPLETYVKGRIIVAGDSAHGMLPHLGAGAGQCIEDAYVIAQLLGHPGTTGSNIEAVLEAYDRVRRPRAQMVWEGSVKAGEIYDGYGEHGLSPQGIQEDLGGMWDRVWHHDVEDDVKSAVSWLRATTFGA*
>EED78111
MYPAEMDYVPGYGQSPYPQAQTMQPRRPRGTPRPQQQQQQQQQYFQPEQYQYMQTPQPPQGFPQPMQSTSRPVIPIVPMSSARPVMPNRMPTPGVPQSQGLYSQQSTTMPMPQPVIPNIPAQQANGVPVVPRMGQQYTLDPMMFPEPQVAGAGSRLTPGIHEQYDSRRRTPTPPTPPYNPLPAPPRDVFEDSPYISLLRELRRPIDESTIRRNIMIPPSQPMNVSMTAGYMTGSRRSHDRKHSRKGSLFNVFGRHRRDDEEDEEPVMQAQPIYAAPMMQQTPGVIPVYNPTPQMTQIGMNGVAVPAPVATPMPVPTPIPARGPTPVPMTMPTHTPARSIIKIDRGNELGGLIHTSPHLVHYNRQSYPSAFHLHEAMKFMGHRPDIAERIRTSRTVEEARAISAANHEHVRPDWERVFLGKMDEVLYEKLMQHPTLRAVLLSTGVADLIVSDMNDAFWGDGPLGQGANELGKALGRVRERMRAEGMGT*
>EED78112
MPWLCGLGSLHKRGPPKGYILAIERRLHQVEALLGTIIGSDDPRANGILQDLSQDKLASQIIQRVDVGPFGPKGRVAHPFGSTKEDFLASIMTGVSEDPSGSSKDGRTRSDSLALVSPSSSWQDSLQRLLLPRASATAFSHNDTATEMTGTSTPDPRARRASFPLMSNNVTFPAKAPSISPMVSLSSLASFPSENGSERVGWESLEGLERIDSESADSEDLEVRMPPKIDDVYVDQDGQLRMASRISGLQLLHQCQSILTGRREGHFEYPWRSETPGAGVNAAATSLCATPTEQQQRSMIQLYSEYVHPMFPIVSRSTFATLYSQKLSSRSANLYVTFIPSLYYPDVGAPQIQFTDDPTRSFVKLYYCLGITALAWICLAADIDNALGMAVRMAEALGIHRTAEELAPSGHGVLTIEDQQMRQQIWSGCLVADRYMAVLLGRPSAIQLSAFDVAPVDISQSREEGVFYSSLHVDHRVQLGNESTALLCFNASRSLSCIIGSVMDELYPITQPPKSHLERRAKHLEYRLSQWRQLLPSALLFDQSRIGVLPPPCVLELHLQYWRTVILLHRALGFYSGPDSMQSKALATCRDAAGQISSIGSHRHSFPDIFSVLDSG*
>EED78113
MIPAGTACPFACQRCHCPVRHSSSTEPMDIIARARKLPPAPPPNDDPAQIKGNMTLEMKRLGASIFAWHIANHSGSHVFGHDALANLKFAEVCIRRVGMGGQHDLIREDEDPEELRKISLESQTVCELTVDEGMLNVHGMLAGGCSAHLVDVCNP*
>EED78114
MARRVKSIASDDSQKENSSARRVNSEKVKGKMPATRGLGRWRATEEEELQEEEIAQEEDDAEGEVVEGGFEVDDKEEDEEDEQEDGASPKGRKRVRVNEEGDSRPAAFTPESKPRIQALPRDADGFIPGSIVRIQLKNFVTYDYVEFRPGPYLNMIFGPNGTGKSTIACAICLGLNFPPSIGTDNGHIEIELKSPKGKPNLVIKRTLSAKSKSSNFTLNGQSATGREINARMAELGVQVSNLCTFLPQDKVSEFAQMSSQQLLRETQRAAGNASMTSWHDTLISSGKDLKQMQEKLNSDRDQLKTMQERNANLERDVRRYEERREIEKQIELLELVFPFRQYMEAKDRYFETKTRQRNLHERVLRLQAKNAPINERKKALERELRDLDERRNQKKDGIRRKFDKIQRKNSEIEKLEAKSENAKTELENSKKAEKERVKKISTSEKTISQIREQLDNPPKVEDLDVINDDMLGLRNRMEELQSKQRRHVEQESRNRAIVDQNTRGLQQLDDASHRKLDALTNWDHDCGAAVKWLRDNRHRFKMEIFEPPMICVTVPDRRFVNAVEACFGASQLKTFVAQCEEDYQLLNRLLVDTPDAVGRRLRLHTWYRRKDESQLAPPPMSMQEMHELGFDGYAIDYVSCPEGLKWFLTTNMNLHRIAIALQPNVDPKRAMEMVSRIGPRGEGGGSSYIIGNVFNTVTRSRYGKRLPQNSTREVRPARNLVSIVVDESQKRRFEQAINEARQQLSLCEQEAQELSTEEATIKHETKELKAQHDAVRRRKETVMEVTRRLTNLGLRLERETEELAKLLSAPPVDVQREEHKKTLLATARTRAELAKECLNTIQSVFADQMEATRLSLRHCQVSANKAALENLVSAREEIYQRALKEFSDAHKLYEVAKQDSRAKLDISKAKLASVDDETRARFRDMEESGEANARSAVEIHTELEAKRAQLEMNLQTNSGVVDQYRRRQAEIDLLSNTIDEREKRAERVERTIKNARDNWQPALEGLVDSIGQKFSAAFDRRCYNIFASLGCAGEVRIRPHEDYDKWAIDILVKFRDHEKLQLLTGERQSGGERSLTTILYLMSLTEEARAPFSLVDEINQVRVS*
>EED78115
MSLKRYSTVHDLSALRLHPDGSRVQNRDANRSLRKANYVALDARGNWIARDAGGIGAVKVRRTVRTEEDENSGNGQCDHGEEFELKDDSSSDGSEYEAGPSRKSRAKGKRKAGHEPKGTRAHKRRRFHEDYSFLGNTTSAQVPTDDTNALSRSGSYGQYDGLAELPVPSSDLLKCVHYFATTYYTAMGQLYDASREARQQKRLRRLQRLRGRPEX
>EED78116
MFP*
>EED78117
MSSRGGSATRVPDQAISLRCGTALLHKWVRARTFTFGKIVAGKIHEAVCGCAGIQTLFVGSAFLTPIPGRGDVDSKGVRSASAALPRAHVNLTRGAQGALSAQQVDGGSVGSECQPRPHASHRACDRVKMKDGSSSLSPGYRHRMPDTKLLAGTGLAYCTQSCRRSAWMLRGGCENAMSEGVPDTYPFHRSARSCRFMWSFSGDSAVGVYMSENNGEVPEIVETQPTFQSAGSCGQDCNADNQGPVASFVNSVLQITSAATSRRAVKGLAIAGNIHARSG*
>EED78118
MLPFIPRSVARSAKPTPEPRVASLSASLRHSYISGNDGAQSSDQEILPAAKGKAKQSEATQAADEYAIVTFIALSDYALWSEPGLRRLVASGDEGYIPLSHILHQPPFTTAFRTVLQETAVVKAIRAHANDSFDLRMIVSASSHPTWQEPWVAGNVGGYEIRRKDWKEALQRSRNYSKHEWDDRTIYMENIPLPHRSVVSICRYTLSMLRAPPTPSGSMHVQHIWLPPHHQDNPGNSPKCKGFALVTLTHSEGAGRLLSEWPWEPRHIDLRSVAEPAEAHEAARYGFRTLSKTQWNQLQEEYLAYRQKLVDEIARAEEAVEDLAGPVGRPDVQIRPSVARFTLATPNPAAAPTDKQDHSTHAAVTICAKG*
>EED78119
MSGNNLIFVTGNANKLKEVRYILAKSGVEIDSQELDIPEIQGSTQEVALAKCRRAAELLGGPCITEDTALCFEALNGLPGPYIKYFLKELGHVGLNTLLDGFPTRAAWALCTFAYSAGPGTEPVLFEGRTDGQIVSARGEGKFGWDPVFEPSGTGKTYAEMSAEQKNTLSHRYKALEKLQTYLSTMNV*
>EED78120
MFAKSLLALGALAALVSPEPALACPLAGRYEVPTPAPIPRDDTSTCKVVTVTVDDSAATSTVRLLFSVSSIWPETVQDNMPQSTITGERNKAQNRACFEADVLKLRGNCW*
>EED78121
MVEIHAAATFILHGTSSTPTTAANQATASMSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENTGAEQTCHYCGNRGCRVGTCEFAEIDIRDSKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSGRIEALERELLQLQKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKEVAAPSSTSTSTAPPPTIPAAAPASSSSQPTQSTSQPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGANEGMAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETEDREAGSILPGVANRIF*
>EED78122
MYFASRLLLYALLRLLLSRCNLAAQINRTIDDYHGDSATGVVPVYGNEWNYGPACPACAIQLDPHYVFDQSWHEATVHPGNPEPHNVTLTFTGYNKGTTTSVNIIFVLDDQVYGIFTSPGTSPGVLYNVTVYSKTGLPNTQHTLVIIPQYDVSASYIAFDWAMYTYEDDDTTSPLTTSPTTTESQNPTSSPSRPTSIVSTGINRSSMVTSISSGRADSTPISGDSRNAASKPAHGPTITPSGSSSSLPSTGAIIGATVGVLPIIIAVVVFNFCRHRRLCRRPLRQALPRCVQELAPAMLSAPSSSNASLTRTSIAVEPSVDLNDTVAQSPRQSGTSGATVRDDTCTGITRTTLMQEELTSHQRPASPERTAAVARADVFSEAIAPQEAAVLRRQMELIHVELGRIRLEAAAANVEPPPAYEPRTHVVQPESLSTLTELVSTA*
>EED78123
MEPHDIAAAWLHRFALAAFRGDVAATVDTILPDSFLRDWLVFTWDIRSLESHANISAYLVPTLVSTHLYDFKLDDRAGAAPETVLDVGVGAGFTFEMPHRRRRGYVRLFPSTCDITKEWKAMSLFLMVEDIKGHEELGPELGVYGGHTLSWAEVLAHLSRRTHMSSFPVGAGQNGLNIAARFKQWNIPTLVVEKNHRVGDNWLLYQPFPENWPTFSPRDKLADWMEQYAVSQDLVVWTDSEIKPTGYGDLRDRLRPIFGIDVIEQAGLVWGMDEEGETRGSYRPAGHPGLWYGADQGSTNRDSFDLKFTAPR*
>EED78124
MATQPGTTASSSATLVDHFRLPPNAAASPNSPPNTVTATNADLWTQHLSTSFGTIADQITAASHALAAVEVPAGTPAVLPTDSTTAIAALASRLDAIERTQEHLAEELDAVRAHMGKADNAPSTNGALAVEEERRAPGTGAPEAEPTDLAKTVEELQKKVDKLAETVRLDQSRLYARLRNAVIPINKTPISPLVMANGKTPANFPGTKGEFERMTKERYEHLLKSYDQPVKGDTAAKRQATREFLGLPPVF*
>EED78125
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKATPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED78126
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLSYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEAQRVEEAAKRAKAAEERRLEDERRRKDEEERRLEDERHAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTLERPFAMTEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED78127
MVVVAVKDAQGEVGTGRRNRRKKIDWAGKARISDLNLPPHCAPPGDDLSGRPLDRDEMAKTHSGHDASFGDLRAGAVVGGGGCAGRGVRRAARAMQLAARREKRVGDWFRPRCMEECVLFGPHPNRDRLTKHARPSVPFLDLRNPPAEMPSLPFPAEDAVTAAAGALEIDALRIANRQATISVITSPDITSLPRDTPSGADSDIARHMRTYKSLLQPPRRGPAMRTTPFAAAHASRDRQSGAPDFASRGLDSPRGRTAPRLTSDMRGLCEGGTFSGFNITPRSDVRSSGTTIVCLGRWPAAPPSALSALNSSRARTFEGAGGWRLSREWLVLAHLFVGRTWF*
>EED78128
MSRLSRISWARYDRHWRAELREVELFENERWNVGTGTGAEDDGEWAKSHLKPGERKAWTRGRDGWSGVDEDGASDVSSKLTFALEPGWAFVETEDWRPDVEGEWAVPANADDAGWVYTNDSWLDPRPLPLSEWKIAGMTRRRRWTRRVYYDPSVATQ*
>EED78129
MSATSTLPTALTPENVPLRSGPPTREELLVYYSAKFTWEQLKTFVNSGDLGLLKRDKKLQQRYDQWSAGIRKQYGSMVNYLLTHRLQWGKRDSLSMLHSQLDNAEPHSNGIPSMNGTTAAENSETAPGKLPLIPAGAPSYFTADTPQGLISIIMNDWPYSVPPEIEHTLVWTRLPILPPALPPSIEKRLLQDGLWGFTGSLTPPPSPDRIPEYLPALAEWGVTLDSLVRSPPGTEEEETLVKKMGEHVDKFVKMRWKESEWETAWFVNPPRLQSVPGLAHIHVFSRYKSREETQEWIAARK*
>EED78130
MSTRPRPRPKPRRLTVATAAASSTGPSSIVGTSPPPVSAVVDLTIEDEDALFIRNRNRTAQTWKKLNRATEDSSGNEEGIASPRRRKIQKKGHKEQSLPAWTRGSSAVHDLLSSDEDEEAIRRRIEAQTPNAKRVQHEIMGIIPRAPSPTYEEEESADVFELDPELARIRAQIRSQSDSFETPASQDTGGPPTVAVKVRWIPHPQNPAARSDVWGFKLNRVICDNLRVSYENKRVFPSATPHSLKIWSEAELEACDVRTYEYLQDSRRQRSLSVQPVDLGDHLRSKARAPSPIPEESDDTGAESASDTFRLTLRSGKTKDIVLTVRPSTACGAIVKAFLKKSGLAEQYPEPTVDKRKGKKGKPAEGPRLMLDGDKLDPASEIGAADLEDGDLVEVVGLWLEVSVLGLRPGPDGSVWNGEVSECGAARGPAQTMARIGAPPPVAPLAAVFHSVPGGGRHGNMVGGGSRSTLGNVSRGPVPCGTRDEWSVTRVTTTSVLVQVFDDNENRDRSFNIGLVALIGGCGYYQKRWAPREKN*
>EED78131
MQYMITFRPEETIAREKNLQQRRYAQSVYGLRSAQEYGDHVPKVNLLADPAVSTLWSAVERQMLKRVLGQAQELRKAGGTLCTAGQGASDERGGISPEAVYNLIIDLIDRDLNQMHREVWRCLRSCMASYPNSSNMSSSSDPETTPTTSSSNKKIVFQTETTGSYWSDVKEAIKKIGEIPCARNSLLSGIASGAGVGVIRGMSAGPFWASQWAVGTFVLISMGTWTICRKSMEEERRRIQQVVEQIPKRHAKKQDDQPPLPSGPQS*
>EED78132
MRP*
>EED78133
MTQIDVLSCATNTCVSSEFERYNRRLSLYQEFVIDNMKERDIRASFYNACAGVPEYTAASIHALRRREAVPLKDSDIASLRLRSVIQTGIASHNYLPNCEDGQRLGVSGFKVLVSQRSLVAACAVIRLRNCPLAASKAVGKTDEGRRAQEQKAGGKQTSSSLADMTPAALPSPVVTVCDDAHASSHVASHSQHLPVPLPYAAIGSGISVHATLSTDNNWGRRNALHLRQSEDYLRTGVQLWFDELRVCDMCGERLEEQAVGVQISEILLKRSVSINDLIFVTKELLLMRHKSVVTSSFGTEIQQSPSPSQCAMILLAVSGTMTDAVSRIGCFIRGSGVFTCRAIGLFPISVHVYYLQRGAEDSVSIYELPRCFSNVAGALAGVESVHESNSCGTLLWASFEGDIVEYKGCDDTGEQAPGPNKIAQAEREVKTLWKVNSVCTENRKKTCRVGRYTTIFLWIEVRQLEHELVRKGHSLGASRPRRRCAILPYMASVGKRYARLAQSDRASDSYEHGRKSSGGI*
>EED78134
MATTSEHITSQPVRTIFEAIEARLPSRLELFSKLAKQYSDVTLHNMTVGQVLGGMRGLPCVLWEVSGTEKAGIRYHGKSLKELLEILPRWRGSEQISPEAMIWYLYTGTVPTRTELEVFAADLARRAEPPVEVEEFCDSLSPDIASATQLMMCLSVWGNHSKFSAALVQGAPRAELWRHALEDALDSHSCTSIISARIYVNKYRDGRDRTTPLNPQGDIAENFAIRMGRAGDHDFTELIRMYWSLHMDHGANVSAHTMRLSSSAWTDPYLTLASGLISGTGILHAGAISQALRYNQAMAAALGPEPSAQAVEAYICRTLEKGLVVPGYGHALLRDVDPRLEPITRFINSRPVPASASGEQGHMLRLIGRNSTIVPEILRRRVPRMKSTAPNVDSLSGCLMYAHGLEIDFILLVMGCSRGMGFMTQYVWDRALGLAIERPLSITMDQIMAKM*
>EED78135
MNAQFDATVAAHPSLVAFPAELKDIGNPIMFLLAASDHGYDGARGRETEKILKGRGLPAVEVHVYDGVNHGWTIRCNMDDPKQREARDDAKARAIGWFEKYLVVESESES*
>EED78136
MRVQKAENVNKALEFITSRGVKLTNIGPEDIIDGNLKLILGMIWTLILRFTIADISEEGLSAKEGLLLWCQRKTAPYKEVDVQDFSLSWSDGLALCALIHCHRPDLIDYDKLDK
>EED78137
MLIPRLREEVLAFGRAHAPFANTX
>EED78138
MASNGRYGHQKEHIIYLSGSMTNGQLGKTTRARRCLLFLAEADSDGVDLDKRTKIGTSAWIITYGCRKQPLRDKGTSPRGWGAAGPDNEVSQTRSGKRYRAPLIREALTAHPQNNGFGFREARAINMGETRILSIKKARARPRAQRNSLSASEDGDHPPFARPRRASESHGPNLSDRAALRVGVLEHQEQRASHARPRPHAAATAHTHGPGTLRARTHGPQEPQGNDAQNIDASARPGWRACAMLCVLDAHIEGLPPSGGTAPLPSMARKPGRSWGTVWAPASGRRAMLRAGRENCVHADHLELVSLCESSTMNTLGPRWGRTRVRVFSFLLVSVRAWDRMGDGLLPASRRPAYGFQAQAQMQCVPALYADHAAYSGAGAQNNTNQPRIPRRSPPVLSKVAIWIPAPCARAPTHPIDGDLLQSAACATCATRCGDRSAEGRAWGGLGFPGERGRGGGPWERRGVLSAGCGGAESYGAVAAVALGRSCRLCERKRAVVAAAWSGGEVYVL*
>EED78139
MKVFLGVLEGDVIQMDVGLSGVDPRRLAAGEWDEVVFIGELLCWLGKTTGLLPVLPEDTPVEDGPGRFVKTASAERDMRGGAVSPSLPSDTASNTGHSYLSLSRTVPAGSDTTVSSSLSVPPAPLPHADLFDPGSQTISSGQTQARRRAPRCIHEVEELSFMSQDSQSTEDTYCACAPQPLEPLLSSIRPTSTPVRHTGWVHRVDNASELRSFEAHRPHSADRPSPAYGNLISTPRTPLTRATPPGRIFTRHNSPTEYTLALLNERAKLLAELAELKTARSKT*
>EED78140
MSDSSTDEAQILQSDQYSLIEYYIEVMAMSLFAYDSILSFNLEWRAVWSRKITGAAVLYLALRYVTLMNVIMFVVATTVIPCEGYFITTQVFIGTACGTYIAQAGVSVFFRQSFASLRVYAIDGRRWTKATVVMMLELVPVATNIASIQPPVYVISLIHASFTSFVLSDTHTPHSRVILLLVTRICAFMSNLLVVISTWQATRADRAVTALNSRKSLIAVLFLDGIVHFALVFGLNAADVVVTLLMVEHFDMSDAVELISTVVLCHFFLNLRRLSSSPDINDSSMPSPGSSSLNFASRVIGNLGEMLEDSPGALEDDLDCELDAYLDARERTLQTMTTTGQILPQMLKRPLRQFAGEKCMRGALQIARHLQLPIGVSNGAR*
>EED78141
MSGLASLQPLSSLHAWLLALSTRLSRLESLTLKFCLLRSFAADTFKLPLPTLFPALRKLIIRSSSFDRECLPRMLFALPQLSHLELKDICCMKHPLASLSRPSSSEATDQTVYLETLRWDFVPDDLIEWLLWARWPTRIRTLNLLLNTAIAHGQNTVDRLLEVAGGSLENLSLSLGVTCRYKPLDLSRNPGLASLQLILYAHAPDPEWFAIQDHYQIVTQWPWAMLDRALSAVTIRHPNVVLDIDFPGCSRKCIESVAAPTPCRNVTGTSSKARSGKDPDVELSRASEETSEDSRTKGAPILALIRRDYTAYAQQVYGRAGNYIETVGGRAGEGYYRNRNQRGARARSRYKQIAIYEWPLILKSDIILGPTRANNFEIFS*
>EED78142
MSSVITEELLNILDAASDGATVMTASKPAVAFIMNMLPSTKLKRGDDYQESTLKLLEASRTIMPPSDFEEHFGEYESICDTREKLVVNGLVKSIKIRGEVRKYKTRAKTLHQSMTISSQQARRAALRILPRATSLDSNTVSNPGTSTLQEANNRGADNRDEDTQSMDITEQRNVYCSSASTLTLNDPFRETASVVVQDPDDISISGFNVWEDGDEQTSGRSTPTLPFGSIASHS*
>EED78143
MRNTEGMYIADENVGDDTVGAYVLSPFSSLMIPCWILQEPIPFMPADYLDIINGDVEYEAHHDLESTYWLLAAHREHGWPLNDDMLPWVPPEPAGDTEDSDWENDSVIILCTSEVDEENVESRGASRDARLPTTSEVDNQLDAQVDDVTDDLRRWALDW*
>EED78144
MPRDLPGLYWDEEKKRYFPLASKPKTLPGRVLPGVHPEHAGHRLPLQAPLDEGPLPKRRRLYAERYGHPTWDAAETVRTSLGSSRRSRALHRDGTFDGFLGQCMASRTVEYAYEEVNTPPIYSKVNTIPAHEYSVMAGDAQGWLSSLTRNGEHNAAELNVTSKISSVSSWGTRHVLLYVKPFQQSAAKRGILLPDIETGRGFQVLQTGSDVFAIQRRDNLVYVGARNGSISRFDTRLDTNNRQELLQSRFEPTRSSITHLSVVQEWQLLVSTIRGNLETHDLRFLRSTTPLMRLNGHINSYTIELGIAVDPSENYVFAAGDDCKLRGWSLHSGDEIVPSPPSPRDIESAATSSLFGKTFDNPIRALQVTESPMETCLWAASGSTLHQFWLGQRAVLS*
>EED78145
MSSPAAVPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQVGVQGATTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRNKYLSGIPSRVYRKIELETFATWQAAEKRATEVEQILDISWARRPELNNFFSARG*
>EED78146
MPLSPSQQIIHTPYYSAWRRDGGPVDITFAPSATDAESDMRPPNTTRQIDEHGEVNYYAAADNSILEDRKLLANWKEKLGEALTRDVVKAAVIQQKDTWTGKTENSTIRDFPAGYELFIHRKGDHYSPRIDAYLYDAQMQDTERFVPENGSPSKLLSKQQRSSLFPSPAATEAALILLSAFVITNTPGSLMRAFPTYPQMGNASNSSPHNADEENEDSFVARESQRIKNARDCWAVLREDFAQRKSDIFPSPRKRRSARIEAHEDNDDNEEPPSAVGQYAWPVLSWIVNVLEKDEALAVESGQPRHSTLLLSQIPPPRTGSGARWDLNAPLDVAFCAIQQVNPKYRSLGARLLTLLINLAATTHIDLPIFLNSVGSRVFSLPPGDVTLLLGALPSSREVLQLKLALYKSYLTDFGSGANDDSSRPKPHARAQPRPVAARRRQDSLADGGPVTGSPALEAHSQSIVRKYPRTSPSEVVELIRKSPLDVSIRVVSLRVKADLAITYWTLQRQTADAADVEWLSIFKTGQVKDAIEAAFAAVSQSSGCEDDLTIRETRPMVALVSAL*
>EED78147
MDDLFEEAIAKLAQASNSSDVLEGVGIPRLEDVNYLASFDCVQNSMKRICEVKEIGSDMTTLTRKMARDSLMEDGKENLLELARMRAACDIVSQYVSQELYNKLLATYDFTPLDVYLKAFEKENAPSVPEIVKETKAKAKSKISETSGTKRKASANAGVEKLKKANVKGMANISSFFQKPTK*
>EED78148
MISGAATRRTRTRTKDTAKYLINLDPSSAYYDPKTRSMRDNPLKGIAPEEALFAGDNFLRHSGEAPEVQKLQLFAWNAAARGNDVHMNANPTQGQLLHSEFQNKTETLKDMTKVSILAKYGGEEYLQKAPKELLQGQTEEYVEFSRTGQVIKGKERAVARSKYPEDVYVNNHTGVWGSWYDPASGEWGYACCHSIVHLSYCTGEAGKEAAQASSARALLASSASAPAPERPAAPAETTDDRKKKAEELFSKKRLGEGELSLDRERLADAIAEERKRKARGEDGDDRLGKRQKGVSGASAEVTQEELEAYRMNRRMTEDPMANYVDTEL*
>EED78149
MSESLTAAELQKKHGIEDAQELNGTPPAAVSPAPANGHLDTGSQEAFPSLAPSPVSQSANKPAGSAWGSSAGPRIRPAVTKQIMFSDSFILSSSDIATAGRDGKPISLGQVMKQVMVQHKVKIEASTNQKSRQTTFFLKAEYQKDLDKAKRSLLALLSPVSLCFEHAKNYPKRCTHTHERYGLCLPVLSIVSLVLQAPVSTIPSLVGAKGANLIRVREQTGVRIDIPRRDTLPAVNGNAQAMLKETIAERRSSSTQRVRDIPAHILPFLIPLRASFLAADGNDVQLVLNKDTGEIAVTGEHDAVGRVAEKIRSAMAYFTGEVSQLKLTLPKRQHRLLTGSGADEVMAKSKCAVLIPKPEEASEEIVVWGKQGELAGGVQAVMEKANSAYIHEFPLPGPIATSRQLLTYITRVDFAQTLSDANPGVSVYTPPLSAMDKATVLNVDMVGDKPAVDAAVSQFSALIGKLIGATKDVQIDWLVQPIINSHKNAKKLMSVCERRIKAFHEVHNVLVFFPPESAELSSVLLVYDPTSPSASPSPVDKAKSLEDVEQDLLKLARDAADVKTETITVEKKWHEAVIGRGGTTLNAIIGEDKTLSIKFGAEVSDASTEDVIRVRGIRGDVDCAVKAILKIVEDAKNDEIESSYSTEFAIDKEFVGRIVGAGGAGVNKMRDLLGVKIDFADDVDDKEKEVTKKKKAVHAKSRVTIVGRKENVEEAKRRIINTADRLADETSEVLKILHKYHAGLIGPSGKEPLKPDEVLVKGGKKGVANAQSELLDAVEFEKESNHEIKFTVPSRAVARILGKSGATINEIKDRTGVQIDVDRVIDDKETNITVRGTKSAIADAKEAILEISDQVGEETTDSLNIESRFHRTIIGAGGQGLKELIVRCGGPTDSKTQAGLVRFPRQGEPSDEVRLRGEPKLVAKLKAELETTVAALRDRVVLAVEVPAAQHRTLIGRGGQHLNELQNRTGAQVQFPGSRSYHPIGEAENASDLEGVDPADIVKVVGPRAACLKAVEELKTQIKPPAPEAVTGTITVPLKYHHAVTQQGNLFRTLRFMGTQTELSRMPSKPAVPPHPQAGPTEARIDDADDAAGHPEVQWQVVPNYQDAEEGEAEWTFKARDQESLDKALKLTQDAIEHAETMSHVGFLTLPDRSSFPRIVGGKGSNVARLRNETGADITVRLFGLWSTILLTLLVFRI*
>EED78150
MTSPNRPLRLWEPAPEPPTKLGRHPRLPHLSWRDEHRRPVGKYNFGEMDKESSFKLLDAFYAAGGNFIDTANNYQDETSEKFIGEWMETRRVRDQMVVATKYTSNLKNWDKSIPQQTHYIGNNMKSLYLSVEASLKKLRTEYIDILYLHWWDWDCGVEEVMNGLHSLVTKRKVLYLGISDTPAYIVAKANMYARLTGKTPFVIYQGAWSILQRDFEREIIPMVRDEGMALAPWNVLAAGKIRSDAEEERREQTGEKGMLLVISDTTDVETDARVQAAGYGTTRGSGRPTSVKSAKRLRRSPPR*
>EED78151
MIDHLLGRPTPSWKRVQVFLVIFFWLWRILHGNPGGPRLLWLRQSCCTERFTPWQLIVSTLTGVYTVRNLDKILGLGGKCSTLTEGYSPSYYRATWINTGLDAGFATAMSIRPKWLKDFCSVLFAVYYIIYAHEADEKLRKFRAVPTVEMLRTTWEKTANPYVSVVPPVLSRRASYLLCRYVLLPRPHDSAYKRPVTAWLFFAPPEHHLARATDLILDIPGGGFISMTPEHHEERLRMWAVRTGKPVVSIDYGKAPECECTPTTSPSDPYPYAVDECFEAYRSLVESGGRIVGMSGHKLNIVLSGDSAGAHIATCVVLRILETQLAIQRPAAFVLSYATLDFNFTSWMTPANLRVLHPLSMVGTRRPVRRRRSWRDALRTLSSTSPDAVHTPALAERLPGSASQDDEAGDMADVEDDAEPRRSSESAKALQARVRFHPHVHEVAPEGNQKEKESPPPSKDVVQSTHLRAPEEPHAPAQENAPLGTRLTMTSRTGYFQDRIISPSMMRAMAILYIGPFRNPEFSSDYYLSPILAPSRLLAQFPPLLMSCGEKDPFVDDTVIFAGRVREAKRARRAELDAMLAGGKGAGKDHDVRALRRERDLLASQGDEDWVTMHIFSEWSHGYLQMPMLMQEARTVINDLADWMDGLFAKLNLDPVRSKRERAAAANGFVR
>EED78152
MTGSGRRYTLPKMGICGSTSKYFRATLKT*
>EED78153
MSRVNFDGPRGEGMAGERGTISDQLDLLSDTEVKHPADQGEIESEATGENRFTSFCAEKMEFMTGIYEEDWSGDTDRINIRGSSDVACALGCVDAVAVKRLISEPGINKPSDWHHFYYLRHSAFVRAPTRPVGAKGQNMRRLQER*
>EED78154
MSPGRRARARLLPSITPLEQPTELL*
>EED78155
MRTSAIFLTISSLVVGSLAGTYNIDKTYIGEDFLNTWTHEAISDPTHGRVDYVTQATALAENLTYANGDTLIMRADATTVLSASGPGRKSVRLQSQDSFGTHIVIFDVRHMPVGCGTWPAAWETGPNWPANGEVDVIEGVNDQGPNLVSLHVATTCSMPSSGRDMSGTAGSLNCDVNTDGNSGCGVNNPTSNSFGHDFNNAGGGWYAMERTSDEVKVWFWSRQDSTVPGDVQSGADEVNTNNWNQPVAYFPSTDCDIGNEFGKNNNLIFDLTFCGDWAGGSSYAAAGCSGTCVDWVNNHPSSFHDSYWDVAAVRVYT*
>EED78156
MPGLTSIRTSEGKIEIVNQLLLPHTTEFIEISTIEQAHDAIKSMKIRGAPAIASLAALTIASHLSRALQADPSPDFLTSPLTLQEHVAGHLSYIYTARPTAVNLGAATRRLSNILEQSVRAGKDARAIAEDLIREGKEIDEEDVGRNKQMSRHGAEWLLEQWAAKGLSGSNLNVMTVCNTGSLATSGYGTALGLITYLHETGRLQRAFYTQSTPYHQGSRLTAFELQTLNIPSTMLCDSMVGSLFQSHGIHAVGTYNAAVLAARHKIPFIVVAPVSTVDLDIADGSSIPIEHRPALEACVVRGALYPVRTDAEGLKEQATVMITPEGLDGVYNPSFDVTPAELITAIVTEKGVAVKRDGESTFDLTSIV*
>EED78157
MLT*
>EED78158
MSGFERIPLDHFKQTFSTATELSSVALGGQVVSVSDEFFAEAFHLLLVEPAPSLKGQFGPKGALFSGWETRRHNPTYDWCIIKLGTPGSIIGVDVDTAHFNGNEAPQVSIDALYTSADPPQENDTKWEEILPKSELGPSSRHLFRIPKSTRVNYVKLNMYPDGGIARFRVYGLVAPVFPASKTALFDLAHAFSGGRVVFTSDQHFGIGSNLILPGRGKDMSDGWETKRSRQKGHKDWAILKLGDAGYLSTVEIDTAHFKGNFPESCELHATTSDALIPDDATANWTLILPRTKLGPHRQHFFQLQDVEGKPYTHVKITIYPDGGIKRVRVIGRRAP
>EED78159
MPDPFQVALDNSPVPVGKPARLLLQPMIQLVKPIAHPPDFRHNFRLQAPFFLFHSSEYRFQPVGCGGKHLVVLGCAQQNLCALEVNVGERLGWFVFVGGSRLHINTIDERGSRVGDTIEQLLLLEEMRAKCKLLDVVFRFFSVFRVEGAANEWFNGGAYDSARFANVVKLGPLVVLRVGVLLLSLSR*
>EED78160
MSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPTPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPASEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNCTENDYHTLHANFVKGVPKELYVSLATRVARDRPSTMKAWYDEVRNADAAKQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGKPNQGGSGKDDFRARIKALSADEKRELCYIPTRTNMSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKSHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPMSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARHVGVVIDNVFLEGIINEAKERKQKERQTKAVPIPPPRSANPEPPASPVAGPSRPRPDTPVVFCKTWDSSWLNQKHLSGKEWMNVGRNMRKEWFDEEEDNGVDWELYGDGEHLHNGVCAHFVPGIVPLRFFLH*
>EED78161
MTVHTSVELQKLAELVKFAEDYRLTRIIWISVLAVVLYDWFLTFSDELFIVFQDLAMLSSIYIVRVWALWGCKRSVLVALCITYLSVLVSESTVISIALKEVAFEPLSILTITGCIPSNIKPNIWAFWIPMLFFETLLLVLCLAQSSRAISLQRKTPQLIFVIFRDAVVYFGSVVISIGINLAGAKIGGPELFATFLPLAIGSFSVMASRLLINVQAAVEPTYTQEYLNTSLRSAALNGSCYDPDVELGQTIPLRSMAFKKDSVSSGSADTAGPNR*
>EED78162
MAACQGQVHAFDSQDLVDVYLPEGPETIILTYPAPEPEPEESANEEGVSEFESTDSAWSALLTALAPASAVPDVRDPPTELSLAPSPPTSPRDRSSTRSSRSSTSGGPPQPPPPLQHPPSPPTPIMSSPTTAPDKETLKLLLPLCYDGKTIIECNRFLSQLCIYWLVNTSLTTIELKIQVALSLLDGDAHAWATPYFSQLASVQMGVQGVTTPFRNEVAFTAAFKARFGNLNDEAAAQVELAKLCADKLVREKCTAAEFSALFKGPVDCSGYGDLELCNKYLSGIPSRVYRKIELEMFTTWLEAEKRAIEVEQILNISRTRWPGLNNFFSARGRGHGGARGGAPQSHAASASINAAVRKGDFPGSCFGCGKQGYR*
>EED78163
MDLVAPRSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTVEERTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEYPISSTSNLVLPTPSSFRAHAQPPIASSSRLPVIPASDLAPPPPLTPSNAASNSNPAPPAPTIPSTTTTSSSSQAPTTTTKMSQNTTAPLMPPRGHSTAPTFDPLEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETLESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVLVIQPKLVEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARNSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQLANGEVDRSLGLIQNVPFHIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFTDENQTITILCPNTGETVTIPMDARGRSRSR*
>EED78164
MNPSTSTIVEETANRALANTRSIPSRLEFFVVLLEQLDEPVVLPACFQKRLSCRGSGFTKLFPPGEFASYGQCRGYECTILHKCDLVIGQEQPHLHLPFLVFVLVSVEILCGLPNHGGGSAPWRLPLKVNLPKAPSPSGPQFTSFRHSHPARHFDSVSQRFDFAFDALRHLRRSRNIFRIRQTIGPLDAARPKRTLRSKPHRSSRSFPIRYRTSRHAFTTATDPKWPYVALHLRIRHDVTLLMDLVAPRSYHFWSPPRGDLRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTQSTLSPTIPGDFDRDEEDEIDQELQDDFDEEPIPLTAEERTSSPELLGLTTSDYDTSTPELFERSGSSPEPEDPIPSTSNLELPTPSSFRAHAQPPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNSAPPTPTIPSTTTASSSTPAPTNTTNMSQNTTAPLMPPRGHSTAPTFDPSEVCSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETLESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTVANQATASTSNTSTTVPLGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHKNSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEVLERELLQLRKRREVFDGVEILQRKKPTMPAALALAQGLEEDLLALDLDR*
>EED78165
MAPYIPFVLTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQVLVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYEQRNDKEGAKKEIMALFINKDLASKDFVKYAERFRTLGRLTEYDNSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDPEAADTTYETLTPNLGVT*
>EED78166
MGIYGRRPVFLVSFPVYMCFQIGCALSHNVASIMVFRLLSG
>EED78167
MGEQMPPKRGRVCQLLAVLQHTQSVEGDARRWTVPCSCPQHYVNNGVSEPGESELSRMESKCMKLVSVILSELSECSEPSGWSLGRNMARVSWQYSMEQNILCHRLNEIVQKWFSDALVRAQHADTAPGAVDNDASISVPSLQPSDRTKPGQAGPARAAYGSSGPGLSVVKAKAESWAGGFTSITGSHSLLNAPVNQVFTQHSVPQPAPFDSWPQTTSENPLGKRPRDSSTEVQGPDDKRQANKPRP*
>EED78168
MLRLFESGIRLAYRKALGAIRDEETELRVAAAVKQLAKRASESWVEWARGDWPELATAIDAEVKQRLAEQKRLAEEEARRIEEAAKRAMAAEERRLEDERHRKDEEDHRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVNKGKGRARVDDEVTELSDDPSVKTPRTVERPLAMSEVDMAAAAIEKRQSGQKCDHCAGYRSAPVDCVWAENATTCDRCAQFQQGCYFDKVSVLGKTKKTRGRGSTTKKRIRPTSPVPSVAESSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIRKERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGALDED*
>EED78169
MVIEYVGEIIRAQVADKREKAYERQGIGSSYLFRIDEDLVVDATKKGNLGRLINHSCDPNCTAKIITINGEKKIVIYAKQDIELGSEITYDYHFPIEQDKIPCLCGSAKCRGFLN*
>EED78170
MSSSTAAPDKETLKLLLPLQYDGKSVVECNCFISQLLIYWMINMALSSLELKIQVALSLLNGDARAWATPIFSQLASVDRRQAPLGPDTPLFGSRIPPGTSTQSPNTSISPSTLFDIFDGRITVQIGIQGATTPFADEAAFLKAFKARFGNLDDAAVAQVELTQLCADKTMREKCTTAEFSALFKGPVDRSGYGNLELRDKYLSGIPSHVYHKIELETFTTWQATDKRTTEVEQILDVSQARQPELNNFFLA*
>EED78171
MSAHSVTPASTPSLVNCHLASLLAVLEALPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELCVAAAVKQLAEWAPESWVEWARGDWPELATAIDAKVERRLEEQKRLAEEEARRVEEAAKRAKAAEECRLEDERRRKDEEDRRKQAEDECCAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPQTLERPFAMTEVDMAAVALEKRLSGQKFQQGCYFDKVRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED78172
MSNAPARWCPRCQAARCALALLALAPALPVRAAPAAPSLHEYARRDAGPAAVAIWETAQGAWDLYPSTRSSSITECIPAIRTTVKSGYEACSLHLLPMRDEVYGRTSAAPNSVLLGCTEASHSLSLPEIPILGAIVVVIVGSAIYYRLRHPRPQPTTATRTAQAQTTTTTAPTLATRLRTWSSRPVMVRGAGQQPRELTAEQLAGGAIPGRRRRGPGSATASMRSTRSLPLYMKEPGEQEVVVFRAEAEIGADGSVRASMLLPPVAEGRERTLDADMDMRRTSVSSFAGPDTPLLDGLSEQQSPSATPSPQPPHQQPRMRPSLETMGGGSSEAEEPLMEGARAQEEREREQEEHERGPAPPYVEAVSRDSGVAFDSTEDGHASPATTSLPPAGPTPDQMKFLASVDSFKKFGVPYGPDAVAYAASVSRVDLAQPPPVFEEVVGEGAGAGASGGTHSRSTSGSGSESVVHDGMPVSSNEPDSGPSPQAAPASDETSPPADIEHAPPESEAQSSALESPTAPESSSPAAPAPAPLTAEDVKKILRSAVPPTSYKPKTPISPLSTASSRATFATAEESLHGPGSPDTVTEMGAKPAIVVMGEEDAVESAVTPTIAVGIGEKTIPHLVATDAADEHANASKDVPSSSGSTGPPQDTPPSGAEGSSHDTTKWPLAKDPLAPPDLLVDGDFRHNWRTVYVNLSLLTELAAYNLSFRRRPDRFPYTSDTYMNPQNLWTFACTKISIRRHCVRFCPSPNFETFTRLFHRTLNSAVHSISQSSIDVGRSGLYAGPGYTSFNMPSTTLPLVSHLQRPAVTKETLEYADLAIIDLSIARTSEGRAGLALQMREAMSTQGFFYVVNHGLTQAQNDRMFDIADVPFTQVGGDEKRLLLALGLELPEETFVEMHGFEDDNETADSGSVSILWSQPISALQILSPDGKWRWIRHVDNALVINAGDAIEFLSGGFYKATIHRVVQPPADQRGYARVGVFYFAKANDDIKLIPLFESPVLQRHGIRRLSPDDQAPTMGEWRKSRTKAYGVTKLETKVNGVEEEIFGNIVVKHYN*
>EED78173
MSNAPARWCPRCQAARCALALLALAPALPVLAAPAAPSLHEHARRDAGPAAVAIWETAQGAWDLYPSTRSSSITECIPAIRTTVKSGYEACSLHLLPMRDEVYGRTSAAPNSVLLGCTEASHSLSLPEVPERIPILGAIVVVIAGSAIYYRLHHPRPQPTTATRTAQAQTTTTSAPTLATRLRTWSSRPVMVRGAGQQPRELTAEQLAGGAIPGRRRRGPGSATASMRSTRSLPLYMKEPGEQEEQRGAALFLVRARLAPGIVDSIRCLPSLEQLVSGTGEA*
>EED78174
MSQNTNTPLMPPRGHATAPSFDPSEVRSLRHYFQDLEALFTRCQITDEAAKKQWAVQYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYHDFMAITKHLIAQHRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPMTAANQATALTSSTSTTVPPGMIKTEDISMIIESLSRMIATLIQPTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEINIRDGKCKRNTEGKIVLPNGTSPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPSSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVI*
>EED78175
MSYICPSTLGLAPCAQLLFRTENLRELQIYKLEGLLQHEPRYGDAILALKHLVSVKFTGAGPLALNLISRLSSSVRNVVLFLEPGTMTWHDLLSQFRPTPNVQKLELCHVRWLPSEEDVFSGPLPQPRKGDGITACWPNVRTLNLLRGAVPMHICARVFPGLRNLAVSEKSLWTTSCDNSNWQVLDRVSTIPRSLLPTTIRSVHHLRLLLVEYTENLLLSIEKVSPAILSLRSDSAIDHSFWTSLMQRAPNLRCLDVVVECDSERQETPEDYLLWLLDLANALAATRVMCVRIRFNKAEGWDVAPLRAHLSRFIATAKPVRYLSAECGSVVFRGHEDDLCGWRRWWRAQNTPGGRVLKDMSFEVGEELLEKLCDARLFKTMDLDEPMDKGN*
>EED78176
MHCLVFKSSPQAETRVPSHSFMLRATRSRRALSWSTRAQTQPTYYQC*
>EED78177
MQGNAKRQLSTAAGGNVQNLCPLLIESSGLTWPVQFMSPASHRQLNNAVVVHANADPRNLLSDFKQFKLDKEQSLLATPSRRMMIYPNDKICVRHVVQAAMASTLLVNRLAPARPGSLRKLMTALTKSHPSLVSAGVFTLTATFRLLRRILYTRTTLDSSVDIRHLEERQLQRGFVSWDKWWQYTSWSHSASAPIYLIQLPLVRGMCQYDARQVPSKNQSEVKNIRSSRTHRFHPVDEDRARANLIVRSYIQYWHNGGIPMFLIMFEMRLLLSTQVEIHRSSAAKKLAVGGSLTKPASM*
>EED78178
MDDKFVPIPVRDMMCRHIGGKEPTAEMKAKLAMIKLNEDNFTGKGLCAYKTLCAFIRSILDLCTEGDASGCRLVARDTGNSGRSNRGDGGMKNSDISVCKDHDEARATHQPATKANEDAENTEKAQASSEVATSWRYASLVIECKSSTSRDHPFSFPRASNGRLGKTPAICDKERDGAGSNRQAEKDNFLQQTHGAVKARSQISEYALHLMQMWKVGMVEDGKLSPYHTERFKDAMETKWPIYKVTIPRENLISAAELEPKVDEACVPEDSSQSHSDIPAEDLTLLIGKPLSMSNSPTGHSTIGYVAFDIRGKRLVFMRDSWPLDSSLRKTERAVYMDLWRNGVKNIATPISGGIIKSGGKVHHTITQQYGDTVRGKDTRARIHFRLITDEVYEPLDNCKCSYELTLVLSDALLGKCFSENCVQAWTKAGILHCDISTNNIMVKRTGPKGTWQFSSAMLLQYPIKLRQVSDDLESFVHVLHWTILMWYEHSLSESPAALQEVVLEIYDKYSDSLGYDTGGDKKFSNMLLGALPFAKLSSKPLERLTIKLAGIREEHYNASSTKEQIAKLEDIKIQKGKKSPSQPPAAPVETDISVRDHGLVEHPGIEGDAESSHKEDARPLLDTSVKVRPRPEARTINKDISRLSELCQTRKEHTGRLAQSLRGTTNNAKYGGMRSPQSQVPTTSTKPKLQEHFWIWQAFREVIKYMEKNSYMQITIDKPQNHRRNKARGI*
>EED78179
MHIHLAQVQVEAFLETHVPGKDFKHNARKEPLRFNTQLLEKPDLNEREMAEEFIRVASPALKRRSAQRLVAKITADVPDSTESTGFGQGGKTRPDVVLYPKDPVAAKDYTLSKDDIEGLKKARDKRKTYKNSKDGIKTLKESEYDDSHLARTCWSRVCVPVEFKADHQKSAFGFGNERDFLPDDIHRQAAVGQLADYAARILQRQHRLFFFMIAITRTEARLMRWDRAGAAVTTALDLKDPDQADKLLTFLYRLSMMSHKQRGYDPTVVRATKKDIDLMRKAKDSIPEDDYRLKRLNVAMTEGWPVYKVLCREDDVVSVDAWRTASTNIDSASSTVSPSSSPVANIGSLSEDPDLSGPETSSAARTTSKARAASKPRNRPAVHYRCFLICKHDFSSTSPIGRGTRGYLAFDMKTGKFVYFKDSWRVSTGNSEIKVYQHLHEHGVGNIATPICGGDVVHTDGTLHRTLAQDHNGNAEYIHCRLVMEEIGESILDYPTSKDLVAVMFGAVVAHRQAWEKAWVLHGDISAANMLMIPDPRSPIPGVTKRGILIDWDFCKFDAELKQGAKQSNRSGTWQFMSALLLLRPGIKQHTIADDLESFMHVLNWICLRYHNTIHDQDLQGHVLCIFSGIPSAHLSEVSALKDLLYNLARLCKAQYNATDLTPYAGLVPNNASAPASFYTFRDYDISGFRDSVGVKGIHKHERAPTAAKTVRDPPFLTHKQIVDAFKMALCGHAQCYPDKKDDKFVQFAEIDQSIDSVSSTFSRQSAGSKRHSEELEDADEEQPLAKKPRATRTN*
>EED78180
MSSASQNLQKSASLATASRNPPETNAEAESAPVHHKTSPLKGGIASRQQFSATNPTGENLDRNRKDLAGDMENHLAEVTDEEFLRMFVPGDDPTPKEQQKFLSFDEEKLKLAEPDMGREVIRVSKSILGSHEGNDLEARDTSYWPDPTDTKGKKRPDVVIYPKGGQASREYTLTEKNSKDKKKGKTTLADYDSNGGDKAANFDADQGEAPVTLQDQDQHLARVRWAWMCVPIELKSKSSRSAFPLGQQIFCNGGDESRAARGQLADYAARILRRQHRTFCFMITVTRTEARLLRWDRAGAVVTNSFDLTDADKARPLYIFLYRLSKMTPEERGYDPTVVPATDEEKELMRDRKDALPEGDYRRKRLEVAMKPGWPIYKVMIPQKAVVSVNAWRAVGEAKAAASASAGPPATGETSVPPPFYSEHTSPPSEDKNYRCFLIAGDDFSSDSPIGRGTRGYIAYDMETGRFVYLKDAWRAASSNSEIKTYQQLHENLVCFIATPICGGDVIESDDNLQQTRTQEVLSTISRHIHCRLVVEEIGIPIFDYPTGRNLVAVMLDTLLAHEAAWERANVMHRDISAANLLMLPDPESTEEIIMSGLLIDWDLAKYRNQLEKGSIDTRSGTWQFKSALLLRRPGMKQHEVSDDLESFMHVLTWLCLRFHKHDNSQGLQGYVTSLYDSASRNPKTKLMEGGQMKMKFISEGVSFVDLSERSLLKTLVCTLALLCKQHYAAIDLTGYLDKGADAIDVRYLRKERRRVGYRKDVKAVDIFRLRPEDTASKSAQLYLSTHEHFLNAFSDAVDMEGYFPEKVEDQFRAFEKSSAGDHVVRSSLPLESTGSKRASRESTADPERPAAKKRRTLSRKKGKKLCTTKKRLSAVVEDRRLRDVLAPTAEGSQAAREGTVATEEDIESGDVGYDATSEDSGDEDYDDPNDKSYVPGRRIQ*
>EED78181
MSPDWYTQAADNNNNNDSQRFTVIEAEQTSTASSSTDGRGRPPWRLADDQSFKVSISLKVSPINTASPKPFSDVAGLTHGSGMTGASHASTSSGKSSSAGSRTCAKEGTITLDIPARLWQVLDKGRYCIVRKLGYGQYSSVWLARDKGEDRFVSLKILTCEATKALSGTSPLSDELGLLQKIADGDQGHPGFRHNIKYYGSFEFPGPHGKHHCVITEVLGYSLEYVRTLNPNGDRRVQTSTVKRVVKHIVRGLEYLHDVRGIVHADIKHDNILFRPVDVAAVVAHELSADPSACYDCGTEISPPVVPTVSQVLPLSTELFIREDHLEAVVSDVGHSHWRDRHFQEIIQPAALRAPEVILGYRWDTPADIWNLGCIVMELLIGFWLFEPNKESGWGVEEDHLVRMTEALDTRFDVEFLSKCMHKDQFFTADGSFAHFDAHKEPTWTIRRLLEAFSLEQDEAEIVEAERFILRCLRLVPEERATAGDLANDTWLETNSMTGPTTVSCYITEILTDSTTCTHKAQYPRSPSAIIKEFIRVRLKVESIEELVFGLGTGIEQRPCIFFVGALGAFLAKALSTFLGSRMFRGIIAANGNINLDWGRRGLRWRFLALLGLDDRFANGNFPKSM*
>EED78182
MESSSAPQTASSDTAPIPTDAAKQPRTPPKASAVLPPQFGSTPPKPTLNAQQGNAIVGIKRRKLRDRFYTPLDIDMSGNWVEIPVDKFSDFLPGDEPTDTVLATFNEETFDESKFTTTNEEDMYTPYCSTAEKILALGPEDRRLVARDTGRYTDTRGSPNEKMRPDVILYPATKKAEDAYSLKENELAKAADMTPEQKMYVARPSWAWADLVMNSHPFHFAASGEEFLLDTEAAMVRRGQIANYAAAVLRNQHRQFCFMVVAAGCRARLLRWDRSGAVVSKSFDFVRENKDIMFRFLYKYSCMSQEERGYDPTFVEATPADVDAMKAWKAEVMKDKKARLSDYQSQCFDRELAESWPVYRVTIPKEDLISDISLTPGNKQDQGRSEPSASGEHICDDDLVLLVGKPNKNSSSPVGRGMQGYVAYDVRKRRLVYLKDSWRPDLEDAHPEHKTYIHLWQHNVKNIAKLLSGGDVRIGNRIQRTLAQDCVREVTKVKHLGRVHYRIVFSEVYRPLETYGHSLDMVEVICDALKAHRDAWEKADVLHRDISDGNVMIQDTFDENGCLQIGGILNDWDLAKFKSELENPPSRRQRSGTWQFMSAFILSFPEQKKHTVSDDLESFVHLTNWLVLKWHLHHFSPHPALLKRHVTNTYDAFAENAEGLDLGGEQKHGYLSTGEIPFAPVQKSPPLEHLTKALAETCHAHYDAHKEEWQRLLDIQRRENAKRASKSKPMEKPVIHRSVKAEYLQRSSGSTSVVHNLQRSQELSLIASFGPLDDHNALISIYSDVILTFAYLLQDGNETDKLQQSQFKDILDTGSRSQNRSKRPSQSSEEGQPRSKKPKRSPQEATGEGSSLQTIVEDHDELTVPAAGGFEQVLPVDEHTSSTLPQLAAEKDGTTTE*
>EED78183
MSTENEMTSAPDYRNRTEGYLDTTTLRSMPVVPPPILSDHGPSRSLHVSDVMPQSEDLRRAPTRENACIMASKVVDVTVFTGIDLVRAVGASKKPSIRSNDHVLKRALVNRGEDMLAATLVTWFIPPKGITENITSASCWWLSPKLGPLSSEYKTRAVSGDMDKRSQDMEVESFFDNFVPGDDPTPEERAQFLVFEEDDFKKKEKEMAAALCRVSNSVLNVNPNNDLLARHTSDWPDSTDAVDGSIGKKRPDVVLYPKGDEAQEDYKLQGNNNDGDANSLDDDESMAQLARVRWAWMCVPIELKTDRDLAPFEFGPGSDKYFYRSALEKRKARGQIADYAARLMRRQHREFCFMIVICRSEARLVRWDRAGAIVTNPFDFVADPDYMYRFLYRLSKMNRHQRGYDPTVEPATKEEINMMQACRETLQDPYLRECLDQAMSPGWPISKVKFRQEDVVCVESWQSAGGDNCSDSSPALRVSASRIADAPLATCASSTSSPSSPEHTHVTSPQLLQPPRSFLTGKHVYASLSPDGRGTKCYIAYDLATGELVFLKDSWRAEMSKSEIEVYERLWRKGVGYIATPVCGGDVIGERGIKQQTRTHEFSSRTSVRIHCRLVVQEIARPLKDYKSSRILVSVMMCALTAHRDAWERAGVMHRDVSAANILIVDPVKGLGILNDWDLCKYDVELQGGATQDDRSGTWPFMSAMFLRYPGKKPYELSDDLESFVHILNWFCLRYHPHNRLTDLQTHVEYVYHGMEIDSKQHTVTGGHQKLMFLKSGQLFVELSDASPLRTLVEDLARICREHYAKVDFSKFDVVRKTDPAIDLDGDGDAGLAAITEFAKSQYADTQKVVKPSPQVGIAEMSSNRPPALSTHRPIMYAFACAVTTKGTWLVDKQGDQFVFRSGSREPPVRSSIAMSDSSLKRKPEDAGLDREASAPRKLPRSEGKTRKPSGLSKGCSVMNA*
>EED78184
MAAPMKALVVQEDKTVAVLDHPVPAVGDDDILFRTVAVAQNPTDWKFVDHVTRPGTILGCDFSGYVVQAGKNVASPAVGDHVAGFVQGGTFVDSGAYAEYIRTPAELAWVVPQGTLSHEEAATFGCAFWTAVQALFHPARLGLVAPPLKVDAPEWIFVYGGSSSVGQFAIQLLHLAGYKVATTASPHNHALVRALGADVVVDHSAGAEAIAALKAATGDTVTAALDAISLRKSQEFTARVLGPRGGKVILLLQPNPEARVREDVVFQPDPHARPQTR*
>EED78185
MVVYNATRRSCEGFENARSIPVDQSIDDDEFAWHQSALRIAMNLGLSSNNLTVSPLRSICTPQAENPLHTLQELETDLRNSASAEKPCTTRTPRPSAHIPLNQMLQPTEAPVYASPSDTEYGSESDCKHGRNGANVDAHIFDKYEIDDDVDIYIHMAPLPPDADPVGILHSGRTRYRLYGLLGDGSFGRVFLAEAENDTTGGGDEGSVFVAVKIIEKISLGDVEHTAGLVENEVRLMGMAVGGLQRDGEAEKGWERGAAEDPNGKIGGVQSPFLAHLLECWEDPDNVYLVMRFYPRSLHSLLNEVELEPYQTKLYCAQLALALHDMHTRLHAFHRDIKSGNVLIDFRGNLVLCDFGGAHFPLFPPSVMPSTAVSSSLPSPPSSPPPANIEANLDAAFSAAVAYDRHGTTGYFAPELIARDLASRGYTAKADVYSLGMTFWEMMTGSLTPDYDSLPTYLKARTLLEDNIEWMQDAEAIDLITKMLDEDPKTRLSIQDILAHPYFSDVDLEALRDGSYDMPYKPDPIPRCYVGNTLAFFDYEPSYRGQYFTSSWRCAPERVRDARHGEAHRTPPAA*
>EED78186
MARRDRPPRTTQDRVLKISHYKIPLAQIFAPLLEMEKEKEKQRSTLNLTTLNEDVLLEILEELSPLDAFNLSLTSRLLYPIARCRALSAIELRTQQQLVSFCDFMLDDIDSRLYWLRRLCVRLRHHALPESLLSPPMQRLASLLKRATGLRHLEIDCLDLAIDIEPYVGRTLEALSGLVSLKLLNVSQHSLGVLSRLQARVQRLSVHMAFGADGDSLLPTISRFTACESLSLERVFIESISSSAFPAVRELSLCGCYVPLSTLRAFSHLRVLRLEQHIRCPDPLVSSAPCWSHLDYLEAHTDFARHWRLGCPISHVSLASVMGSPARVLRARRVPSTPESVVLLRLVRDSRPIVLDFRIMVGEQLCDDFWQKMIRYMPKLRSLEIELCSFEGQDNLLTHVLWLDAMAEQLRSLRSLMYIGVCINSSIVRHNHEGTKVPMPKDCETARSLAAKLAAVMPSLKCVLFGFGSRAQDAGRYAHLFRGDFSWWKVLRVRGMSGSLVPLSPQRGEKVRALLRSPPYEEPVSINDDQLEKVNLTRCSILYP*
>EED78187
MESSSAPQTASGDTAQIPTDAAKQPRTPPKASAVLPPQFGSTPPKPTLNAQQGNAIVGIKGRKLRDRFYTRLDTDMSGNWVEIPVDKFSDFLPGDEPTDTVLATFNEETFDESKFTTTNEEDMYTPYCSTAEKILALGPEDRRLVARDTGRYTDTRGSPNEKMRPDVILYPATKKAEDAYSLKENELAKAADMTPEQKMYVARPSWAWADLVMEFKTENGDHPFHFAASGEEFLLDTEAAMVRRGQIANYAAAVLRNQHRQFCFMVVAAGCRARLLRWDRSGAVVSKSFDFVRENKDIMFRFLYKYSCMSQEERGYDPTFVEATPADVDAMKAWKAEVMKDKTARLSDYQSQCFDRELAGSWPVYRVTIPKEDLISDISLTPGNKQDQERSAPSSSGEHICDDDLVLLVGKPNKNSSSPVGRGMQGYVAYDVRKRRLVYLKDSWRPDLEDAHPEHKTYIHLWQHNVENIAKPLSGGDVRIGNRIQRTLAQDCVREVTKVKHLGRVHYRIVFSEVYRPLETYGHSLDMVEVICDALYAHRDAWEKADVLHRDISDGNVMIRDTFDKNGRLQIGGILNDWDLAKFKSELENPPSRRQRSGTWQFMSAFILSFPGQKKHTVSDDLESFVHLTNWLVLKWHLHKFSATMGSLKEHVTNTYDAFAQNDDGLDLGGSQKHGFLSTGEIPFAPVRKSPPLEHLTKALAKTCHAHYEAHKEEWQRLSDNQGRENAKRADDSKPMGKPVIGRSVNPRYLQKSAGSTPVVHNLQQSQELSLTESFGPLDDHNALISIYSDVILTFAYLLEDGNEPDKLQEKEGQPRSKKPKRSPQEATGEGSSLQTIVEDHDELTVPAVGGFEQVLPVDEHTSSTLPQLAAEKDGTTIQ*
>EED78188
MSPDWYTQAADNNNNNDSQRFTVIEAEQTSTASSSTDALADDQSFKVSISLKVSPINTALPKAFSDVAGLTHGSGMTGASHASTSSGKSSSAGSRTCAKEGTITLDIPARLGQVLDKGRYCIVRKLGYGQCSSVWLARDKGEDRFVSLKILTCEATKALSGTSPLSDELGLLQKIADGDQGHPGFRHNIKYYGSFEFPGPHGKHHCVITEVLGYSLEYVRTLNPNGDRRVQTSTVKRVVKHIVRGLDTRAVDGPFSMLRSPPVVPIVSQVLPLSTEPSIQEDRLEAVVSDVGHSHWRDRHFQEIIQPAALRAPEVILGYRWDTPADIWNLGCIVCISHNIPVMELLIGFWLFEPNKESGWGVEEDHLVRMTEALDTRFDVEFLSKCMHRDQFFTADGSFAHFDAHKEPTWTIRRLLETFSLEQDEAEIVEAERFILRCLRLVPEERATAKDLANNTWLE*
>EED78189
MFSYCLLASICFAYIAHGVHALPSYSNNASGPSGPMVDVGYAAFLGNDSIPGFPGIEFFGGIRYVQPPLGELRFRAPQRLDETVGPHNVTDARDWGDICMQEPAQVGFGSEDCLTLNVWRSAGTQAGDNVPVALYIHSAQGFPMSSWVNETGGAMMGVNIQYRLGMFGFLASAAVQEDGDMNAGLLDQRAAFDWVRRHISRFGGDPGRITVSGQSAGSADIVHQMVSYGGQGEPPFQAAIAQSIGMDPLPIAEEYESIMGCLRAAPLSALVTAINSRPSSCGFLPVVDGTILPALPSQLIATGRFHRMPFVGGHVTDDGSIFVGDPANFVNTTDGFVASIMKRYTLLSNTTIARMIELYPLSDFPSQWERAKRAFGDTVFTCIQLAFDRDWIIAQKLMSEGRTDAYNYRFNTPDPVQLAANPWEGCVVVRVPSVCSPRLMGVHVVQGQTLFAPFNASEKVLATETIGYWTSFSRAFSPNTFKPLGSSPEWLTADSGRLVIEEGGVNGTGSYMEERSQAYEERCAFWMEVGTETRV*
>EED78190
MAVDARWTILATDYPATVLDAMKSPATPTSQRQILHEFEYSWRSQTVAVRMTDIRDDSSDDLSRGAAFADFMDTTEPMVVDWDGAHEAHPEPMQVDNIGTFECDGSMDWSPQDYTADDGCTAAKSNIDAVLAPCAAIMNIVGLPSHEYSLAADAAKEGFESWWFERAMAGVTPDAAGQTMLLGNTLMDLMTENMLQLDFGMAQMNDDAPEPMDTSS*
>EED78191
MKAKLARIKLSEDNFTGEGLSAYKTLCAFIRSILDLCTEGDASWCRLVARDTGNSGRSNRGDGGMKNSDISVCKDHDEARATHQPATKANEDAENTEKAQASSEVATSWRCASLVIECKSSTSRDHPFSLPRASNGRLGKTPAICDKERDGAGSNRQAEKDNFLPQTHGAVKARSQISEYALHLMRSQPRQFCFMVVVAGCYARILRWDRAGTIVSESFEFVKDSFTMVTFLYKYGTMTQEERGFDTSVVEAPRHDIDEMIVWKVGMVEDGKLSPYHTERFKDAMETKWPIYKVTIPRENLISAAELEPKVDEACVPEDSSQSHSDIPAEDLTLLVVRRSDMWYSIYVASGWSS*
>EED78192
MPNAGIDALFFNSLAPSAPSPLRNQLLALPHDTLLTVDTLLRFVLGAQCPEDAPQALRSSWTAAQQEVAQRVHQLKDNNLSSDSEHDSRKRARDDEPVTNAKRAKTSQDNDTAASSTPANDPPLFTLHALSLTSPIRKKADITVHEHTLRLTHPSTHATEHPPIALSVIRRAFLLPTRGKTKQHWSIILMPSDVPTGGSKAAKEQAKDEAPPIVFGVDATPNTFSTTGYGPDSTPQTTTHPKGTPVVSSLRSFLERLSVRVLEPSTDIFRSAIPGNAGADGVAGVEAYRGAKPGTLWFFSEGVLWDGRPAEFFALCDLARNGSAGEVEGVRTISATGRTCSVILRRIEGEGAAEAAEDDAEDEEPEERTVDVDFGMVDGKEQEGITRWAMRHRHLFGKRDVPPAEDKGKGKAVAPAADDDSDEEDSDFVDEASSDGGSATSDSESESGDAQSVEEGDAQASDDVSPYLMRSTDE*
>EED78193
MGADG*
>EED78194
MTPSANSVPPGHLSPAFFVIAPFVRPSPFNDLRVYLRLDASLDPQRDCLFPRLWEECVRLAYDSRAWLKATFTRDPPPLARKSPQPCQSLLESPSFSVSCSSYASRQLISTPLAICVRVSYRQWKYQRAAARLGAILPPRWAGKRLGNLDLTKQMLQTMKTGYTGA*
>EED78195
MSATGALSSYSAVKENAPSSLPLNTTPQNGIEVGQFQAQAEEQHTSVHQTVISPLQQQQPVTSENYGTIPIDREGRRSDLDNGRLSDTEVQDDTERDNLKSVLNADSGRTYVRSAGKGELTGRARRAKEVWTFEDERLERWKNDIANILLFAGLFSTVLTAYIVSFYGYQEQTVDPTAQPLSLIPPTSIFWTIALILSLWSSATAIIVGRWLHHHVNRGASLDRQSVRPWYFRHRGLKTWHVQAIINTLSFVLQSAMALFLVGLVEQLWTMTQSSPRVL*
>EED78196
MPRRRRSRSSPVGVNVWIIVENAVTVEGQATTASDEQRGGWSDTPYSAGAYPEEPQGDAYDGRATSSGSGREWFDGGQLPRALVIGADDIEPPNQVTETSPHGVPQANEGLTPAQQSEKVVKSVAGGIVGEDKKVDDSWSLCADEVWKFEERQVNKWKENISNLLLFAGLFSTILAAFLAAFYMLLGPQAPDATTQVLAVMSIQHSLLTATVANHNLTNTQQATLDAAIATTAPTTTTISTGVLWFIALIFSLSAASISIAVGQWLHHHIDRASSLSRQSVRIWSLRRRGLQKWHVQAIIDSRLSSKSRSHRSSSGSSIFISTIIIATLLLPTLFTVFMPYFDADCPYKSRAAWWCFVILNRFTHSRCTAVVVKCGKMLWMRMSNMVGAATRRVLSLRGFPAAARSMLSGLREILTLNQLVRLRPAAMIAHIAKRGQSLTKSLLYHMRKLPSGAARTFSVLLQIVTHRLTNIQRRLPKIGRNCGNWMRWYPRIPESWSKWHLNTLGARNWREFENVLVRAANTPEEEKLMMLAEADEMIMDDAFLVNVVHPCFQNGSLESAVPALLRILRHRAHKVIVEHHAGCGVTVLKWLTSEQDSAAIIAMADLCIDVLLKYDSPGSRNSHGLVDHLLQLIRAMPLIDPARMVCDRARDLIQWAATHQWQLHEAHIDELVNGADDRHSSLKPFLNILLKEFKDLSPKADSSNIVLTNCTLHILEKLAQESWEGNAEHQLLVLKVIHEACNTTPSAASVYANLPRLFLSPTLALSQDALDYFVTIRLLSLPIPTKHREHPETAHIATTRPRAA*
>EED78197
MSQPIQHYVYAFEQTVPAHTYAFVGSVSVEFNPEEKRTVLFLMIAEELNRMHGDGAPRWFTRNLLEPLNIAGKMSWEKLKESFGTVNEEYIAKRTSMLRLGREWAKVENEIIVIVKREEIAAKARNRKSPSDSAKPINYRAIQVSDRPDAVHNGRPWSLSAPSVAIWHPVFARFMREISERAPVDSDELKNAFNFVTAALDLYPNKSERIEGLSPYMQPLVHSDVLACRQVRLDTSNIMPDGLIYASCIPNLAVKLITEVKNEVGEGGCDPTVQAECCYVSLHASNKYRPLRQMSCCPTFLIGILGPYLQVSGAVFADGFVSQRLTEYIYLGPTTAISGSPLDTAVLKVAHLFRVLKGCLADLDVHYTGLRLARPERAAAQSTPPVIISPHFDSFQFEGKTVLLQYKEHMVRRAERAIFRAIAQRGTEEDEVVVKFTYIYGKRGHQLLAAKGLAPQLWFCEQVPDVGGLWVVVMDYVEEREQEGRPLDIGRLTEAVEALHSEGLVFGDLREPNVIDTDKGLMLIDFDWCGQEGEARYPPTINTSDINWAKGVGLGDPPICMMAAVRPLKLGSVLHLIPHYLRVFPSSSRAHKGRVRAVTSESLLWTSREHKGLSLAARDPTCSRTSQSSFLPSPAFLRPTLQMFSVENKWSERGIEGEVEEQCVPYVFAGYCWLQAGSNTIYPIVFSLDPCQKSNTQEWVALSVCALVYLPCLVALLSHLRREALSRTPLHQGTHENGMHQTFAGDNEETRKKAAAPSSELENAQGSATNAQAAFDSSCHEVENGRLPPVQIKAPDDGQASKQSTGASRPDTGGSHDATTGDRAGRHRDLDDTRRPDTIEGQNGVERNYFKSMPNGDGGRVHEEPAEKEELTGWARCAEEVWTFEDKRMERWKDEINYLLLYAGLFSTALTGFIVPFYGFQAQAVDPTVQALSLIAMQLNVIALSVGHTNLTQQLPSPILPPSAAPAGRSFVTGILWTIALILSLGSGAIAIVVSQWLHHHVNRGASLDRQSARLWYFRHCGFKAWHVEAIINILPFMLQSAMALFLVGLIEQLWIWNEIIAAVATVLIGGLVSALLVTALIPVAAASCPFKSPQAWWWFCLVRLVHTSLLPMMRSLRKFADHVYMENIYNTGLLGPLAGKVKWKLDSICSSLDEWCQLSNWTDFDNRSVRPSNERTHSAQLAILADADAIVTDVSFLEKVVKPCIQQEGSGDTKDTLSAYYNIMQHRAHHIDRSQSPPELTWDRNEQDSGMIVTLAHISVDVLSQIAENLPFYLHHVERRAHVDRIHSILKSLLKAAPWAKLAIYTRLISLWRLPELSQEDLKRLVEYIWLDVPWCSEGIEGSYPIHPEVVHLALTAHSADIRKLLTSLPHARMWLDTKRYFEITASALLDSARLPHDDFDRVHNDVRIALDVIVEYCRLFCLEDVVQASTWDEFSDLLYICYELARADITRPTRDDTLFNPYVIDVLERCASRGPESTLGHSTDSIPDEPVAEATVSPAPSSQDTDETQREYPQVVEGTSMQ*
>EED78198
MGSGRSHRSSSHSRRTSNASIFAASAPQANPPTGQGKILPDVHIDKSATMETSHQQTRSPSRETVLETVIVSPLYGTENDPSSNAAIGDIYERNDIISNGPIAGRVSHVDVSAAIEQRRVPSVKDGHINPPQAINDAPEQAAVAEDGRGIVGAPMESSHAPLQNNAHSTVREASGAGEALKPVPQGHEDDTQALEAWKLCAEQVWTREELVVKKWKDEISNLLTFRQFNCMVHAGDMHSGSMKRLRLAGVYATLFKLWDVGSRLPMIAALNASSYTPVPAHVISTNVLWFSALVLSLSAASLAISSRQSVRLWYFRHSMFNEWNVPLIISLLPVLLQTSLALFLVGLVQLLWSLNTIVAGVVTVLVAVLLLLSMLTAVVPAFAPRCPYKSQFALWCFRANRPARRWLTSAVDNLLRADGHSFSSLHPIFFRLIGEAVRERMKVWCITQKRWLHTSNWRDIDDMSVRIQQENTAEALDMLAEADATVMDDALLKSAVRPCLLEVSPVAPVLPVFYRILEHRAQDVDNLTDPPTFTWSTGEQDAAANAILRETCADLLVKYLPEITGEEYRVIPLLRVFTSMIRAAPLDASRTTLDISVLQSIIRPRLMETSSVISVLPVLYEVLKCRAQDVDTSTDPPTLTWSTNVQDAAEITYLGEICIDLLYKYLREIGSGQFEPVRLLHHLASLIKYMPYDAARTTSCEIMETLRTYGPHDATWEQRRNLCAILPVSFIDAVVHPSIQPGGLPLDIAIQVYHTILRYRIHGVDYLQTSSSFQISGTDADVVTAMGSLSLEIFTRITSEVTDTTELHQQQMQTLAITHYLMRDTPRNKLSVYHHLVDLLPAPELSQKVLNKLVKLICYLTSGFRLDIGGIRRLLGFLPQAGKRLDTDVFLQITSSALLQCALLPPDDFARVHSDVRGVLNAAVEYFSLSGIIDVVQTHSWSDFRCLLGACFDLAQVIITHPVRVDSLFTQDFVNALGGCVSRGPGWWKHAMEPQMEEIYRTLGFPTIFAAQTPQEDVDEGTMEPLLAVEEPPVIPALYRVDVQALARGTPESTSDIRYRVLPPRFICVILLELSGRAVVVAMEDVPGPNALTKYAAQYHCVQETIAESVTRVQWTWAEESQRCCTEYTY*
>EED78199
MDPRALHQEGEHGNGDRDPLDEQDYAEQSEDIRNGVKGSSTAEKEELTGWARCAEEVWTFEEKRMERWKDDINYLLLYAGLFSTALTGFIVPFYGFQAHGVDPTVQALAIIAVQLNIIALSVGHTNLTQGLPSPILPPSAAPAGRPLVTGVLWTIALILSLGSGAMAIIVSQWLHHHVNRGASLDRQSARLWYFRHCGFKAWHVEAIINVFPFMLQSAMAFFSVGLIVQLWNWDRTIAVIATVSVVGLVGTLMVTALIPVITAACPFESPQAWCYCPNCGVGALYNLQLTVPMASAIQLDGLRQSRCRPALHEPDDIADTHHIDRSQSPPDLKWVRDERDNGTIIVLGQISADVLSQILETSWLDHVDRRAHLERIYSILNSLLSAAPWAEAATYTRLMNLWRIPGLSQEVLERLVDMIWSDSLLRTRDIEDTQRLLTFLPYARERLTTERFLWITSSALLYSARLPPDDLNRVHSDVRGALDVVVKYFSLSGAEEVARTAAW*
>EED78200
MKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNTDAAKQGALVVTDTRDYGEPMDIDAAAIASTFTSTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCHKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKQEX
>EED78201
MRGTIDVDSRMAYELRLRDMAASREEADLSYRSLDDTHRLVEEVPRGAYEPPRIWTSSPSQMLHADEHKRYGRTREESNATLNNEDEPAGGAKRASMRRDEPLPQTSPKGTRQRMNSEGLSSEPPIWAACAEIVWAREREMVEKWNAEIDALLVFAGLFSAALTAFNVQYLISQPQSPPSNPTPQITIQIPAQLISLIADAGSRNNTFADPISIVLASSNNSSSPTSSAFTTSSIAVNTLWIAALVFSLAAASIAISVKQWLNQFTARVTSMPRQNVVVWYSRRRGFVKWKVEAIINLLPILLQIALVLFLIGLMELIWTINMVIFYTTIPFVAPLLLFSLCTSVFPAISADCPYRSPQAWCIAVCVQWIKSGLGIGRRSVDWIESERDFVTSQDHRFADPMLLVEADAAVMDEVFLKTVIQPYMATCSLDDATAILFGVFQNRIHGISDSKLAWNIDRNALTTIARMAFDLLYRFVDSQPFSSSDASLEKAPIKIPVKLVTALQKLIVAMHADPRASVFLRLLRFLTRDGHRLLEEVLNRLVDVVLENARGYLEQGSRVHEVYNSLFNLLEDKKVILLGRARSRLMHIVFDKSDWLAILISESACKNKDAATFVRTVVMLLLLCREVPASVQRRIRRELHMMLTSLQDRPGTALSFTLLLANQREPAAEEAESPDGDVSPLVILEHCLTIAERDSIGAQLRGFSVWKNLIRLVRQGSTVTYDTFIQHAEDIYLTRGDAYNLWLVL*
>EED78202
MPVRDNRVRFDRCSRRAVLRFGGALGERFGGALSERFGSALGERFGGALSERFGFGGSAVLSASGGWFSSDGGGNILRRSGGQQSVDSDGMRAGSGDAASGGLQAAPRALLGSKPPSGLTRLCWNNGANGAGRASVQIPQVEFCEMIYNLAHCQKYTVICSLLAYIVLEQACAPPCFVYSPGYLPHFCQLVFKSRDVYAKDSRKLGDVGGLRGLRVHLVMVDVTQSVVMPCGQVTGENQTKDAGGVRLGLTKVMGSRGQLIYRGGLGEAVRTGTSFQVIYWIICIQRILREDGE*
>EED78203
MSSPAAALDKETLKLLLPLRYDGKTVIDCDRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARTWATPYFAQLVSVQIGVQGATTPFANEAAFAAAFKARFGNLDDEAAAQVELAKLCADKGRRIALGMGTWSYATST*
>EED78204
MSSPPQDFPSSDAADVDMQDQSVPGAPSAQPLFFASTPSAAGTPARQRVGTPSSAPDSEPLDFPTTPTSSSTLKNRRGDIHSSLSITPVAASRRARRAQGLQDGDLDSDGTHLSMPASSAPALSAPTVPSDEPDEIRAIWGTTVNINETMQTFRSFLLDFKVKYRVAYDRDRGVRTRVLATPEEGEARLYVSYLRRMRQTGETNLNLDMANLSAYPPSRKLHSQLTKYPQEVIPAMDQVLKDLMLEVADQDQQAGMAGMQGQQGEEEIADIMSKVYKIRPFGMPAINMRDLNPTDTDKLVCIKGLVIRATPVIPDMKVAFFRCLKCNHTVQVEIDRGKIDEPALCPREVCASVGTMSLVHNRCEFADRQVIRLQETPDAVPDGQTPHTVSLSVYDELVDVSKPGDRLVVTGIFRSVPVRVNPRQRTIKSLFKTFLDVVHVRLGSGDRLGLDRSTRTTGGDRVPGVGGVGGGADDEDEDNADDREQGMSRREEMEKKLRELSQQPNIYDLLARSLAPSIWAMDDVKKGILLQLFGGTNKSIARGGGAGGPRYRGDINVLLVGDPGVSKSQILQYVHKIAPRGVYTSGKGSSAVGLTAYVTRDPDSKQLVLESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQQTVSIAKAGIITTLNARTSILAAANPIGSKYNRNDTITKNIDLPPTLISRFDLLYLVLDEVDEALDRRLAQHLVGLYLEDAPETGGQDILPLDQLSAYITYARSRMNPVITEEASDELVRCYVILRKAGDDPRSNEKRITATTRQLESMIRLSEAHARMRFSPFVELEDVKEAYRLMREAINTSARDPTTGEIDMGLLDTGVGRQQRKLRGDMRKAVLVMLDGSAGGTRGVRWADALQQLESQSSVRISSAEFQQVIRELEQEGLVKVVGERERRMIRRVEGA*
>EED78205
MNVIVSITRPKATARSSASTSVEISTVNSSKRTARANHKHIDAGASPSGKGKGKALSSEHLTSVDLDIDADEAEQEQAIAKHRQEISRHPQHVINEKAREMHERKRARIEVEARGPTRIELCMPELCETIAMSPTYAPDNKLTHAPLNKPPSTRLFDFKELQYREQFVVLCPCGRRTDTSLVARYHEDGIKDAILECRVHVVVCISRPDSNGLDVGQLFQLPGIAIYTKVDVGNLVEGDKPAEELGLHGIVLVKADNCVRWQG*
>EED78206
MYKYKSRVSTIDRATSLEVSLIGLREWIAADVCADDTVVEEEAEAVVTDELGARELVSLAEDEDEKELEMMDVDSTRFDVGLSTLEGTGSAEVSLAMGDERLPDIDARLLTSPRSDVQYCCVS*
>EED78207
MVEQRWLIAWEDMPSECALALRERLLRQQHHVMTTIIMSTITPTMLPTSNRVCCLDNATCECELAPFTLNDDDADELQAVMMHDEMEVNDEECTNELAECDAFGVSGDELDEEPGVVDDDVVGGRLEAIGIREVPAETDVRLLEGLEYMKTFVGSEADDVSELVPGAFEGEDVVRVTSVNEYNLGVVVVECAGVLELNADELNVGVVLLPQGGQVEYVVFVDEDEAIKEFVV*
>EED78208
MLTRPRGPPPSSFDNVSKPPRTFPIVRSIFQGSYHFWSPPRGDLRQEFAAFGFPKTSPVLTRSQACEAASRSATENLDSSSRTQSTPSPTIPGNFDRDEEDEIDQELQDDFDEEQIPSTAEERTSSPELLGLTTSDYDTSTPDLFDRSGSSPEPEDPIPSTLNLVLPTPSSFRAHAQPPIASFSQLSVIPTSDLAPPPPLAPSNAASNSNPAPTIPSTTTASSSSPAPSNTTNMSQNTNTPLMPPHGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATALTSNTSTTVPPGMIKTEDISMIIESLSRMIATLIQPTTHAMHNHAPAPRQQAAVHAPASLRRSTFGTASANGTPTARSFFQTEVSAPHTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSSRIEALERELLQLRKQREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKGVAVPSSTSTSTAPPLTIPAAAPAPSSSPPTQSTSQPTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPASTPQNSPLPAIQTRFARSLL*
>EED78209
MSQPSLPADPSVTTSTRLSAGAPTEAPTEWNFPVSPVPANPLGEGKYIKTAAALIIGDEILNGKTLDKNSNYFARFCFENGIDLKRIEVIPDDEDDIVEASRRLVKTYDFVITSGGIGPTHDDITYASLAKAFDQPLAHHAEMLARMTTMIKHRADIMNQTAEQREARERMALLPVHAETLFIAPDVWVPVVRLEGKLCVFPGIPRLFQRMIDGLRPYLALPPPSERPFRLQIFTNQPESSIAPYLTALQARTKAAGVRVGSYPLLMRGVYVSLIGRDEDAIRSLAEEVAQKLEGRVISEEEAAKEKAGL*
>EED78210
MWALLRVRALNIERLSDDAHRDKHRLRPEASTTCLLHACFTTILSHSAKHSMRALSFNIGLSGLHPFSWFRQTVAQPEPNSNSELWWEESLTDEEIYKLCHGAPELRILGSSQSGLQPPPIFIISPRVIVKTGSYTLGEFEARAMEIVRAQTSIPVPKPLRIFKRGETFLLAMEHIQGRSLDWCWDDLSPWRKFVIAWTLRGYIRQLRRVRTEQIERQIPGPLTDDLSKPLKCFGPAMGADYHCGPFSSAAALFEWLNGRLRVSQYIRERSLDTPPFVQRERLVLVHGDLTPRNVVLGDDGKLWLIDWGSSGVYPPWFEAGGMLFTDPQPSWWKWVRRLVAGWYARDMHTYVAALYGMTTGFFVPDPLVHKY*
>EED78211
MLKRIIARGIRIRNLEHRVDQDYLENQRSFSSSQSPECNLSYVGCLPGRRIGSLIRSIKAKSGKWLSSAMTVSRIAQSAFMLRIQNGVSYRSEERVVSTTDRCPNPTSQADSEVRRIASPDSNETTIVMPQPPMVPVTHGKAAIPLHENTSARDPTTGEIDMGLLDTGVGRQQRKLRGDMRKAVLVMLDGSAGGTRGVRWADALQQLESQSSVRISSAEFQQVIRVLEQEGLVKVVGEQERRMIRRPAQGKRVAPDVFILVHEKVHPAALAHLLLALIRVELLQRLGLCIILVLAAAQVVARELAHDRAQRDLDNEWRGVLAVLPRPLAVAAGRTAYSCGHGRERREMRRRLDVDISPGSIVTVADDRKKKARLGRLAPLAHSKGDRTCQGAAKQSQWLQRRLQTFLQYRDQFVVLGSCGRTKDTSLVARYHTDGIEDGIPQCRVHIVICVSESDSNGLDVGRLFQLPGTAIYTKVDVGNLVEGDKPAEELGLHGIVLINADKCEKGDHNIKANTFLLERRRTFAKRVCGTKGGALKRRSIRLSLSELFTAISADQSELYAPRSELGIVALPQLAQRNQHGMWNVFEQLADASAQNDVNINLNMESWFQLTDITTFRSVAVTVSNQPEGALQFTRHASEILSVCWSTMFRELNIFVPESLPFQPQ*
>EED78212
MAG*
>EED78213
MFTVAVLISWFSLARAALYVNVPIASSACYGGQPCTVSWLDDGEQPLLSKIGACYVGLYNGEGLLVQQIEPVNVASAHSLVFTPGPSAGPDAGDYIMDVAVRANGFDLLRPRGTLKEL*
>EED78214
MSSCSATPASTPSLINRRLASLLVVVEAPPTADATLDMVEKWAQDLSPLLLAYCKALGAIRDEETELRVAAAVKQLAERASDSWVEWARGDWPELATAIDAEVEQHAEEQKRLAEEEARHVEEAAKRVKAAEDRRLEDERRRKDEEDRRRQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDEEVTELLDDPSVKTPRTVEHPFAMTEVDMAAAAIVKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKAKKTRGGGSTTKKRIRPTSPGPSVADSSGSKKRRVAEPPRPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIIRVIREERAFIAHRRALLHDMDLDLQKMEKATLAKGGIGFVRGAVDDD*
>EED78215
MAVTIWTLYASMLRLWIVGVVDIFIRSLRSWALFKSPLLIGTNLSAASPEIVEILSNREILAINQDPVVGTSISPFRWGLNADWTSNDSYPAQYWSGPSENGTVVMLLNTLDEPATMFFNLTESPWIRAGIQYAVRDLWTHTDNGTAVRNFTATDVPAHGVVALLLQDAGDEPAGIYPLCSVYYQCSSENGTLVDGS*
>EED78216
MLAAYSGHPALVTGLLARGADPDRANDTGQTPLAGAVFKGEDAVVRALLAAGANPCAGTPSAIDTARIFRR
>EED78217
MAEGWAAVYAQEVHWVAHAWEGFEKADEREAHGPWPCSCIRRPGRLRHCALNTTAGSKIAMVATSNETAARGSQRRVWGATGGALGKWAWAAEGLATGEDADGRRWTPDEAEVGGQNTPTLVYVLRLKQPPVLRLPAMSFLNLNNDVLALILTFVSPPDAMRLALTCHDAYSLAMPRFLSDVILGDADPAVISGPDQITLFCQYMLADPPNRIVHLRALAIKDGAFLASARRADGRDTWLEDFSCAPLLSDVLRAAHNLRRLYIRDLEPLLASQPAWVGVALQPRGAAGFSGGATRGCTHHGPREGGNGESDAERFERPHVWFRVRRMQGPLLERLSSARGEHEHTRLRAAGEVVAE*
>EED78218
MYNLTTRYWKVRTRRKIDSKSDLKVVFSPRAKTIPTHRPRVSGSAVSILRRQDVVEAAELAMTSRVAYATAMPRYLSEVTLSNSARAQRSKVAQYYAANDDRQIRPSELLAPERDRFLHSLTHSLTSLTLARRPDILTLLEPHTVWPCVRRLSVWGNVGSLAALAAAFPALVYLHVPTLDLDVVDTLRPAVWPALDHVVTGCPLPLACPVRRLELHYDLDAIDEAAWYAGTLALLRDTAPVVLACSMNSRILSCIANTVPSVRFAEFLVTDFLLEALLGGDAESAESCIVSQSGCERRMPH*
>EED78219
MQQRLDLLLQLNLLGTEYALYEVQAHITYTGTATPIVRIAATERIRRSDFSALNPSRRLTHHVVSRCRRGLASNAQLYSTYTRETAEEDHAAHANNTFQHCPNSATTAASHSTNPSSSQTAHSSSTGAAGSGHHVDTGAVIGGVVGGVLGLCLLMLGAACVMRRARGGKAAVYDEQPLDATEATSLGAHPFTLQQTDLTSNLRTPPAYEKHAPQRLEGSASEPPTSSGAGEQVGRTHASTVPYTASAHHEAGVAQLSGAHEREEDAGRIDESGLRLPPAYSIQHTLTLKRVFNQLTYDHKGMKVAHNEPG*
>EED78220
MTQPFDWTVLVYSNTTLKFSQWTIVQSPASIMNLSAKWMRKTSGDACDDLAENMDSGTVPYYLTENAHHPMTREDLIEDGLGYNTSIFASCADTDNVSTALAPGLHMADQNATTNEYDAHTDGEEDLFNEQDREECPVDTLGQDVALQLEASLKVESSNGVACAASTRLWEALLENHRVPYLLLRVADMRFELGSQRRNPGKLDSSDSAFSIIGYSGTAMWKTVSKKASNADRFFNLHCIETTVIEGVIMFDESTRYDLIMNGLDEKAVPVDDSSIVAGTLVCFGLRFRDTYYLHLNKLRANCDGDYYRLMDFLYLVTEISLTTITMIIEVKNARDRYLDKSTEHSETAT*
>EED78221
MSEQERLSRTGRNEGTHLPRIRSDAFLSEGLLYECGFDVHNSLDFDPITGEPRLEFDPSPEVGMSMQSSLALPLNQVEEGHLPEPDPLPEGRRRSIDTTSSREDTSNISFAHAPGPQNVGQDTNNKEYTTCTEGGSRVHREQDGDAANARIVPTMCRGQHSFDLAPTITPTWKPVWERTRPQGYNGPPTVHPGPSSSPNQATGPTRIQPSRAAKGEGARARAEPTQLSAGGVDQETPRAVKRKGDPIGGDEKRSRSSQGEASSSAQPRRDVVPRLSLPPTQNSTASSLPVTTKAPRDRKPQEKKYRCTNCNKPKATFSAEYELNRHVKQCTNPGARPYKCWICPQKANGELVGFDRHDALRRHFKTKHPGERPPSKRDLN*
>EED78222
MNFRECSQECDSQALTTGRGGAGNIHSSSMARLISRVARPQDHPQTASLLADREAAEAEYERSVIRASEEAAKARKQSSGRGGAGNIARSQSKGPRSKSKSFSKARRSFSKSRRSQSRDDEGSQEPRSSMPSPAARHYVRALDARGSSESAMSGSRRRDDLRGLTSITYATSRGALPTMPMPVSQIDAAFSSRRA*
>EED78223
MGNNKEALTLIIEQLGDVKRAINFAKEQHDDDLWEGLLKYFETRPRLKGALMEILHDLNLQASLLERCQTILNGDAAELARQLHRNQTCRFFLSAQTVPYGLDVVTGSSINLLSTHHMAQQGLDQGFDGSWGDAGIQQPPQPAPPEAGVNPTDTADRILFQAVAALGRHQDAFANNQQLQSAALESLARSIHELRQRVSATPAPSTSAGPRNIKVRDPRMFNGKSSEVVPFLRESHVARNERPGRSTISFHFYQTFEINPNFLRKKTSMIRDWIKELGPI*
>EED78224
MATRPEPVHASNIPELKAISSPQPFPSSQSEPCSITRKTFLRVLPVADTYTSRRRGLHDHIYFEHMALLALNHDVLFEILSQTDSRSALNLSMVSRKAYDLSLPQALSDVTLSRSQNQVRSFCQFILADLQNRLPYLRQLTIECTSFGVARHIEFHKTVDFSAASDIAELLEKAKRLNRLSVACFEGLIAYEPRIGIAVCGIPDLADLALHNCGHLTVAMLPSLRSRPRRLAFTSLFNRSLPSFFHRLIAVDSIETLELSHLSLTDGSQPHEEIHEIPYMPSWKVVCPVRRVQLDLTAFHYPDALSVIRHTSPIVLSLPMETKLIDTTFWGDLITATPRMRYLEVCLDEGRQQKLNDWMTTNEATVFNFSSLYIPGQRADLTNWIRLGITALPPY*
>EED78225
MLWLQIASQIGIGIFTFLVQMQMPNNLYLPQIVGQFIDAAAPNLVYIAEEVVLSFRSSRSSLPAPPTRTSLPPSPTTDSCKNLILWTPTALAVASQPPALVTPVAAPSSVPTTSVSTIEYAFSDKMNWPKDASDSTHHFCPAYLASIAPACHLLPLHLVAVVMAFTMVWGVCALVKFFRSRRRVRKIASQNGLQRSTQSNIVAKLPEILHGGAPTQSRMSSLDCEGASSAVSCASADWDSFSTTLPPSPSEPTSCDVCNSIEAQGVSYWLGHIVLVCLATLLINVLARRFTPEDAAAYTLAVPMMLWKDHPTPHSCSRFEREDISDLNIPQPSAIHETLAQSPEPSTDGASVQSGPPSSSSSQDTTSLSSFAAALVSQDAPDALDRPQQRIGAHLLPASSPAGPSSPPSASSKSPEPSHLVAPMPETDTSARTMLACERYTKMCAKAVEMIKHKSARLDQLLPALVNERNELEAFVEQEVQRAKELQATCQRHCAQLAEVYAETKAQQDEVSGQLETLKSTRMRLGLERERARKEQEQRARKRVHAYKERAVIGAKRMPAEQERVEVGQMRVQAIPMELKKQPKTLEKESVLREDERRCDQDVQARHLRDQEAQTDEERICEHVAQTDHAERRDAHMDRGDLRELGMATDRTEGVREQEVQADPADHLCDNGMQTEQEKLPSKDHGDVLHDVHGEEHLDTEQTDQVSGERGTQADADDCHSQNTQDSQGEEPIEQAGQVECVEHVQCTDQVESIAQVELVEQVMRSVQANHNESSSCGLDGLPPTLLASLWKDKKPVAQAGQVEFFEQVMRSLHHEQAYNESSNCGPDGIPPNLRASLWHDKKPVAQAGQVQDAGQVQDAEQVQCVEQVQSVQQVQCVDQVESVTHVEFVEQVMRSVQANYNESSNCGLDGLPPTLLASLWQDKKPVQQAGQVQCAGQVQCVEHVQGIEQAKHIEQVEQAEQVERVEQSEHVVQGAHVGKVQCVEHPVATILHQPLSSTAGAFNLQQIVPFMQHELPQHSGSSSRGFTFDCPPPRQPPPPASSWLDAYVQASRSQAVAQTSAPAPASDCASASDDEDEPASVDVPPAEPQPSSPNPPTVPATEPVRPSPFADPNAALKAFWARLPPPQAQDVPSVQVNDVQPQAAHVNPDAMQQPSGTYQFGFNNPRYEVVVHQGLTAIPFETSTSVWAVAVLERSDHASLTWPLALGICVNYEHTLVSF*
>EED78226
MSSDAFTWLGDWLQGSTSTSAEEEISLTATPATCQEHHNESDAPGCDGDLEGLFTDFDWDLSPRGSREPPSSEDLATKEATIGINPMDSLLWRRTFTTTQAGSTSATLHIYRTFRYRQEDGNTNYINYGNDDDVFDAPAPRAPRHKKPRFGTRKNVIRLPWSADDLERIALTCAGWTRAELTLSGGSEHIELGRSSVVTTRCITNIRHTVYILTMYVACSEGQYNLLRLGSFPSDDSLFGDVAEICWHDCYDFCRVFSQDNGAKWPHTTEAISLAERAQLLGWDREHPPLSTFQPRHPPKPHPCPDFEQNKMSQQIELPVFVAQYSNTQDTAWHWEIVVKTNPWVKGTGQAVGEAFHIKGATQAIFTYERKQNVKYGSPSSFKGCVLVGHINATALDAADQLLSTVPIVNGNHNWNCQNWVVLAISALENQGRAFFKLKKGRPVKQVDLLAKMVPLATAYDAVVQSEDEL*
>EED78227
M*
>EED78228
MEQLASLGATTMSLDVVDVDNIRKVRDAVAAMTGGKLHILVNNACRPVCSTPPSHWPMLQGPLVPVIDMSISEVRDCMDVNFIGPVCMVQEFVHLLIASGDGRILQIGSVGGIMPLPFCAIYNASKAALAAFGNTIRLELAPFNVKVTTVIAGGVKTNIVKPHMHYLPDKSMYKPYEPLYTEKRTHRASADGVMEPAQFARRVISEIVKPHPRAWLWAGSYT
>EED78229
MPLPSNSPEYPGSVRLNTHVFLLNPTDTGRPNPLHPSGVLVHALSNAGGLHIVKLRRVLSRLHESMPATTSGPRIPTALVLDSAPSANLLSLAINIFAPDNPVMHMLSLPPLLVLYVIFSTTVRLSGYPPLLRELRETLNTPGLLPTVTPDTDPAATPRLYIYSDGDRVTPAHEVEAHIGEARARGFEVRVERFDRTSHVAHMREDPARYWRAVNRLWARASSTVPGSPALASL*
>EED78230
MIFLLAWLVGWVFRDCHSVFIVNAVLRLVFGVISGFRVYAINGQKLLLPLFIAVLYVPDIVEWTASRQTYTKDPVIGCVINYSLSKGVENICKADWQHVLRFFPWIGSITTTITTILISRMFLNLSNIHYPPMGLTTTTATQHLADTMHFGSSEWHTNVFSADAASSSDVVHDGEIDMTGYILEPRGTTSGGTSYGMPQSRNLESAV*
>EED78231
MYVQTGTAALQYKLELLWAGMEDGEFGSLSASDKLQRLRRLQKAWRSLKLIPGPSVEDLGGEGFAVSTDTLVQEDYPERFEFVKLPSRMLGIDECERQVVNIRDWTIGEPHMILLDDSQDLMILGEYDDEPQSDDATGIHLYDLRSYGNWHPHTQVPAFWKMQPEPASRESVQLAVQGAVLGRLSNEHGGAGTFLELGNWRTGRTCAVVPFLPRHEVTSFTFIDQEHVLVATANDLRIYVFDHHRPEMKGAPLMLPSKDYVCSLQLPPLECGMTLVEGELQGNTPAGPSLPRRKPFYTSSRNHIITLKMRVQSNSLDRALVLIIPVPTLRACIARARRSGERRLFWGEWGLSGTRLLSYAWSNLTGIAIRGWKVMITITDHSKPTDRHTYVTLYEFDPAVFERERQVSTTRIWAFSDTEINDMIRFRKPILTSLPFRFTCVKLPLSADVDGCWMLEDAILLRVGRLMWSNRSSPEKPH*
>EED78232
MPTLIPLDDLLGVEFIGVILSTVSTSIIRVTVAATACSRDYTLVQCGNSALSYFSHRNLDHAFFAMRVYKLHPQRDTNSATAAPPRPKTHSLRPSSPAFTISGLSAGIACDSLIAASIIYYLQIRRTIFPRTNRAINLLITYALNTCLLTTVFTAITLALALNSRGNLREALDGRTVELVGLQSTTAMTSANSESTVRTPGGGAWGKQSSSAPAYYEMDIDGGQKDSTNV*
>EED78233
MLRFWSGPEYPGSIKLNSGTFLLNPIDTGRPNPLHPSLIVVFGWLNAEPQYLKKYIEPMQKLFPTSSIVVIRSDNSLYFKPESSLETTLAPVVQMLRGELTGPTPTRGGGLQVVKLRRVLSRISSASPTEDPSPRIPTGLVLDSTPASDPLLSSISTWAPEHPVLHALAVPPIVALYAVFIATNALCGHPPIFRELRETLNTPDLLPTVTPRTDAAATPRLYIYSDGDRVTPAHEVEEHIREARARGFDVDAEGFGATPHVAHMRADPERYWRAVVRLWTRVVSASPCSPALASL*
>EED78234
MPRFTREDPGPQMRSLH*
>EED78235
MSRSDIDRREYAANGRLLMTKRKRGTLMRKPVRWTGGVCVVPAVGFVIEKESSVRKAEEHTADGGDAQRGEDAGRQTENGRAIWTHGGRLQTADAHRAKNRTSLASVSRAFDPREMQGIGPPGIRWLKHENMGAELGRARIAGAVPGKQHDVGCKFKSTTVDGLLFPEAFSPPAIDRAAWREHTTRRTVFTRAIAHSEYADGAQSPGPPGTPSSTSTRLLNVADAVDYLDAIKAQFQDRPEVYSHFLDIMKDFMYQVKDTSVRTSSFLRYDVIVCPYLDEWVIDTPGVIARVSMLFHSSPYLIQDFNTFLPPGYRIDVSTDPQNPGMVTVTTPTSVDVQYTTAFPPDYPFSPSIMFAPPAAGPQAVSAASFLGNLGNGTNEGMAAIGELNHAIQFLNKIKMRFEEDPETYEQFLEVVHAYQERPQDSQVYAQVQTLLKDAPDLVNEFRDFWPEAIGPSSQRLRLLNQTVVQNSSIKRQQTPYIPVLGQSSASRPSRSHDWGD*
>EED78236
MYNQGLMLRLSEHTSMCSLDLIVNSELLLDPISEDTLVEVLPAEADIAKRFPQIDLQSSGVKIISDGIIGLPFWQEPNVSFFPSAVGCPIAASWPHLRQGPAMSALPSEITEDTTRGTTQDATYSEASLQNRFLTLVSGLSLAGMFGISEPTKEDEHDTLPTLLHAERIPSGASGTASRPARRRKPAHRRRFKSAHPFARLALPVELWDMVLDCLVGAESKELLKLSLVCRHWWTMCRPYLVRNIVSNNRGDVLREYRTRRRDWAAQRCVTIRGAENTRSLGHFGFVAALFGPTWQSSMGTGGRATSVRTSFTTCMP*
>EED78237
MAERPRTRRGKERPGTGVRLSSFAGTSDAPCGRTHSQQRARMRDPSPAVGALSVSVDVPDPLGEGVNVVVPLNRSAHNARRRKSVRADPLPTGRRRRRYVLASNLEHPGAEYAQRALGLDAGAQLSLGGSGDVLQPHATARTTPGQSSAGIVRLMHGTRTHTFTPAPHRPLRVKSRGGYFNGSPSRILSRPASQPFTHAARAYRSGGPPALLARRGSIVRHPALSQRDKPRISSPHTRTELHPHTEYDADRRYLYAMPAAA*
>EED78238
MQQEPKPRVPTTGAAKASATTLVQQVHNWRDLVKLVGGDATLATYNVVFADPCSANVSLTTRLRVFENQASSVNMAFGIEAVGTPASDIHVSLVGFGAATGSKPFTFGLSRTNILPSKLLTTSAILPKDFDGTVTLNVFNDKKQTFGDYSSISVIAYAVTAVGGKETYTTLGAEHIVFHSDTRVKKVLHKFARLREADVATAPAFYFRDTVDDGDQFPRTDNVYQFSPDIQPWGTLPDANVQSDLGPANYKVDVSSKRNVDVVANLSNYIYLRGTTTRPTSGAVRLFAIPSAVLVYPSQYSTKGHIIYDHTSGGDPVVAIRKYNTTSPEAPVLFSEPFNFSDPPPPPGGDHFCLIAECKPDGLDVDGQPYEWPHEETGDFATAAEYAAWIRSCPCQPERAVPGLLYELHHSG*
>EED78239
MVMAGRREGSMYKELKRVVLTAAALGGTILGPLSATADRVGAIGSGTGILMAVTIVYSCRAVFSAE
>EED78240
MQELNGDISLLAHTQLQDDWTVQSPLSVLNSTAGLALNTDGNGRLNVFYISGTAIFNAYQDLQADANTVQTWTVENTRFPQSVTPKFIDSFLLPSGGDYVAVVASDSNVYTLNADQRYPTWTLIKNTVNVAPLAVTPFYTSDGVLQIAALMSNSRVFLINPTTGTWAADGAVHVASQSVTDFKVSQIFAGIQARAKWGYLLSGKLFLDGTFESGVATVTSNGQFSAIRTIAKGTYSSLAYVAVESSIAQPLIFAINEVQQPTYFQADGTGKFNKVLPFGTTVKYTKMDAVRRTGLDADNVFLEWIELIAQDVSGNLWHVGSTPVEAESGPDSLPSTSISALPNGDYAVQKWTDPLIISAAPVLGFAFSNSGDGYRYLVMISSGGLGQSVNADVDVKAAQTSVLSTFVQDPATTDWTATQVTSTSVDLPNAEKQTVWYVEVAVTDSNRIQMPGLTAVVNAVEYAEIDINGITTSVDAVRSYTTVTNAQGKVCFTATANVDLSCPTFTLWVEGMEAEHRVDIQATGDLETKFANITVEGLKEAKDQTTGASLFASLPEPVLNDMASALNNSMESFSSKQVELGNEVLGSISRRYIHPRTRSGIARTRKRHERSLGHIHGNTGRAFHLKLRPTLSVENLSQEEAQRRIDEKLATLPGFLSSWGAFFRAAKTAIVNIVDVVVTGIKNAAKAVITFIKDGVGKLGCKSEYVWQGIASFVQQGFDLVKSVFDAVKCTFQKLFNWLAFLLNWDDIKNTAAGFRQKMLTFVENAKDCFRNRIPPLVDVFFAHVKDWLSAHFDSVIEQMNGVAIGTYDNDNDITETGYSVSSFIENLPSTATWLLNKIFNAPGGDMGNSLPNLDPLISVWQAFSNAVAASDISDDLKNAMISVTTFLKSLTTRGLIDGKTIGDLLAVFKNALILLIDVAHILTDAFLALASGVLDFIVAIFDMPIKIPILSKIFDALGWKSLTLWEIVFVPLSIPFTIAYKAIAGVAPFKATSIEEQYHLQVAAGAPDGTTLALGLLRRLWAAPDIFLDVLAINSYGKANLIDFGGDALKRVTNGFGLGMPILFVALNPFPTVWTGGTDADKRAVAAWVLQAAPVLYTGAIMASERMLKGPRNAQAPPFWRPIVLSVLGLFEWASPIWHCYNMYHGLEPNNDSTRAHLAGEFLSPVSNIAKFLAITKNPIGPVAIILYICDGASDVGCGDAYTISYIIASKTEDVQDAPQRLALRD*
>EED78241
MALLLAGRMSSDIIPLGHTQPEAATLVAAYDHFIAHEIDPSESKTRIYLRGKAVINGTAKEVETQVSLRAIPNELILWPQAWENAAQIGQAKLSASKDGEVVVTNTPIAYNPSQGLGRHDTLIAECTTGVAKAAGTLAQQVHNWRDLVKLVGEDATLATYNVVFADPCSTNVSLTTRLRLFENQATSVHMAFGIEAIGTPASDIDVSLVGFGATTGSKPFTFGLSRTNILPSKLLTTPAILPKDFDGTVTLNVFNDKKQTFGDYSSISVIAYAVTAVGGKETYTTLGAEHIVFHSDTRVKKVLNKFARIREAAVTTNAATAPAFYFRDQVTDGDQFPRNGTYYFSPDIQPWGTLPDANVQSDLGPANYRVDVSDKRNVSLVANLSNYIYLRGTTTVPASGSVRLFAIPSSVLLHPSQYSTQGCIIYDHTSGGDPKVAIRNYNTTSPEAPVLFTEPFNFSDPPPPPGGDHYCLIAECRPDGFDANGYSYEWPHQETGDFATAAEYAAWIRSNPCVCQRNMCYISNPNAPSQVFYTSFTIPASFSASDVWIFQVQALNCPSGSYIEMDSSDADIKIGKLTITGSDQTAGAEFTGKAPGFTCQVVIRWYANGTQVQDGQQISGNLALQTMMTSMMGDEFFRRRNTGKPSAPAVRTVTHDYPNVKIPEEDNTGEKQPPKIGGAHPRRVLGEKYRLNEVIYIDYIIGADAMGYNLGSA*
>EED78242
MSSKLSNGIYLRSSINAEFTKDPQVLAAFSPDIISLGQTRPKHEDLISSYDQFIAHDVDPDEAKTRIYLRGKAVINKAVGGVETQVSLKAIPNELILWPQAWTSAADLGQVVLKASKDGEGTSFQEPPHVVKTDILSTETPISYNPTQGLGRHDTFIAKATSKNASLEDLVPKVQNWRDLVKYIGQDPTLATYNVVFADPCSTNVSLTTRLRLFDNKADSVNMVFGIEAVGIPAKNIDASLSCFGATPDYIPFSFGHQRVHIAPSTLLSTKATVPVNFDGTITLNVFNDTQQTFGDYSSISLIAYAVTVVDGQETFTTLGAEHVVFHSNIRVKKLLRKYEVANDGPFYPFYFRDNLSDGPDFPRGGDAYTSPGMWHIQPLGITPDPNVEESLGPKNYYVDISNTRNEVLHGNTSNYIYIRGTTTAPTSGKVRLYAFRNNILLHPSLYDQESNIVWDYNDDGERYVAIRSYSTNSAASLNPILLSEPFNCADRPLPPAGHDHYSLVAECKPDGTGPDGSEYLWPHTQVDDFRTAAEFTAWVQNGPYVALRSVEYMRNADAASYVLRTGFTIPEGFSPTDYWVFRVRLVNCPVGSAISFDSSDPEIQYSLRTITTPETEIGILFTGKAPGFNCQVAIRWFANGKSAQPGQRTVASLVYSALAYHLTGRKNIGKLAEPFEVTVGDNYPNLEMPDSKKGHPKVGGAHVRRVLGDKYACPINQARHNTIRHVSWVVIKQNQRASKHVRNSIQSDRRCTICDHVIYYCMLHRGQAVDAKGIEVATRFDRDDGRMVVTTAPRRSCSSGNSDNKEYEQGKGIAVVTNCRSRSAGNRFEQLVRPTTRSCLSMLKACTTGYMRGMYRNACTGGLVLVREDAFHPYRDCPLPINACNSVNEVMVTNYRIQMPASNRAQLHM*
>EED78243
MPPLARVVLLLTLAAASARAQNLTDTQVQAVKDELWLGAQQTWELGTEGEALTEYDAPSLSVFANASLPPSPPYNLTALAPVLQIARNVVGSRSNSSQPQPFWNVSGGAAGDPVSIGQAVLLANWTGAPEPYPGVDSAVTYSRAATEQLEYTLQVVPRTSNGAISHRIEQVQLWADSVYMVPPFLAYYSVVTGNQSLLSEAYTQIKLYRGYLYDSKASLWQHILLGENDDPGFWSTGNGWAAAGMLRVLATIKSSPWASDMKGEMNDLTSWVREIQDGMYPHLQTNVSLFHNYANETATFLDASSTALLAATVYRLALLAGVHTHLPLAEQCRRALSGPGAPDVWGTGNGVGPASTASFASASASGSASASSNGTAASSTPHNASSPTSTASASTATAPAVSVSVSVSSYYPAAPAASAGAGLAHFSPEMWLTPVVDPYDWSVQGGSSPEGQAFVVEMYAAWRDWVEAGAPGASAARRLGVSAGVSGRWMWAVVGAVGVVMGWGVGV*
>EED78244
MATRTITDLPVELLVMIFFFLDARGLINCKQVCRMFLQLIHGSTSLQYFYELELAGMADEPLCPFPYVERLRKLRSLQHMRRTAELVPGSSFPQWTALVWTTSSGGLFAQADMRGGIEFIQFPSAVLGVSERQWSIHSDQLDMDLETMCMDPSQNVVVVTGWDQVPNEPGGRYPALRILSFTDGQPHALAARSEINLSDTGKYDELDEENRKEGRQSRLEGDYVAHLSSWNYEYAVLVWDWKTGDLLWESTLCRVYVWTKPDTVVIQCLQQTKVTVKYESFDFVDSRHLLATDSRAVDIFVLDRQSEYTGAPTLIRESRCIWDHPMHDNQLWGWPQLMSMHKGGCPQRNSVHPFYSSPERGLVALLFIGSHDGHSDKDSWTEAILVPTSMIREWARRAQAGGLDENDYRPGVGGAAEWGDWVIQYPRVLRAGQLCSAEVQGMYVSFANINKPEGGEIGLRLDQIDYTVVGGKGVGFNSDEWNAIELAKEWDEGGELCVIPADSEGVHSAYAEAQPIDERITQRLSILPDYAILQEYKDVNGDNQWQIYHIVAADPDDSTSLQNGSVAA*
>EED78245
MTGCCARSSEVALPLAPLIVLALLIVRRLHCVDLLLEWLGALACRALPAPAFTEQPVEPLLAFLEIVPWLLCEIPLGQLVYWIMLVCIAFTLADHRFDAWLGNNRGNKYSCKNVHHNPNSTRFWDFSIDDYAWHDIPDCIEYILRATREPSVLYVGFSQGTAQAFAALSIHPQLNEKANVFIAPAPAISPAGLAMSIVDGLRKASPTLLFLIFGRRAIMTSVPAWNALLYPLVFSAAITLSLRFLFGWRSRRIRTCSAHRLSGVTSYARARFPTRNTTTPIRELPGHTEAYRLYGYEHLDVLWGEDVDRDVIPLVLDSLLKYCVGAERVEQEMGEGEKTYLTVNGVDSDAVDTVSETTSMYGGERTRVNIPTWFCGIGQQASSRQYRRLARSTSVSAGRAYSSLFEEVQIKKAMNLVDEMMHDRDDSWSYRPEKMPPKCERDVLRQTPLGVMVDADTWCQGYCGNRNSIQMSDIGTIEDVSGALPVPSSVTTDTASPLAISIAVDTSSPIFPIEVFEHIIDNCCVDEAHGWYNRFSIRDDQKTLLACALTCKAWLPRGRFQLLRRVTFSHMSHMLKFSRLLKAHPDFASAVQCLNISKEQAYLYRGKPEWHADAFLMVLARRTPMLKCLVFEGAYEGCISFDRRLDMYIPEFPAINSLSLSCVTLSSVSDLGRLILSIPALRTLSCKFVSFKKNGFDVRGLPPRVTRARLTSVTLRYCPEDIVDLLLATSTAELIEAFDVDMSIAAHEESRLVQHSCKSLRALQIADNMPQQPSCELSHNTHLEELVINVANSNYVDWIPKSLSTMTTSAMQEVTINILGASEPERVSAKCAAIDDVLSNPRFARLRKFRLMFRRDTMDGGDRELVERYMLDFPKLLRRGVLSYVPSSLVTITSDPANVPASIAASQGSVNMP*
>EED78246
MPVGTITCGAKVGRTTYDDLHQLLGINFSAPTPRLQVRSVLSTSSSSVRRFSGALGEQFGGALGGWFSSDGGGNCLRRKSDQQSVDSDGMRAGSGNAASSGLQAAPRALLGCEWRRARLSTGVAMPVGTIACVWFSRHRDMMANQGYLRRLV*
>EED78247
MPYIPLLGRLTAREYTAIFVGALMVVLETLLTTIIAFLPKSVIQWFYNRSRSLFHLCVGPPIPKSGQQQLADRIRRASDFERLCEIFGYAFEEHVVLTKDGYLLGLHRLHLRRGERCTRSGAAMNKPVVYLHHGLLMNSEVWVCLTDPARSVAFTLADRGFDVWLGNNRGNKYSRKNIHHNPNSTRFWDFSIDDYAWHDIPDSIEYILRVTREPSVSYVGFSQGTAQAFAALSIHPQLNEKVNVFIALAPAISPAGLAVPIVDGLMKASPTLLFLIFGRRAIMTSVPAWNALLYPPVFSAAITLSMRFLFGWRSRRITHLQRLAAYSHLYSYASTKAVVHWFQIMRNAKFQMYDDDVQRAAARHRPSGVTSYAPARFPTRNITTPIVLLYGDEDSLADIDVMRRELPDHTEAYRLHGYEHLDVLWGEDVDKDVIPLVLDSLLKYCVGVERYNIWLWPALSE*
>EED78248
MLLLQIVTQLGIAILTLITHPSTYGNPYIPLTIGAFIEAAVPHILFIADNAAHSFIMSVPSLPAPPTRHAISGPPAIIPSKDLILWTPIGLPVSAGLHMPALTTPPIPSPSSITEERTHRPTPQLEYPTKDLIVWERYTAELVDAIELIPLVACGIIIVCCACVMAMVFHSRYSDKVKARISDVLPTVALDTDAGAASPFNDELALSGEDASADLSDQEFINNIISGPNQFVHPHDTLRVAGEALLEVSNDNVDNGTTLSPAQITDLSNGRMALYPHTAAANPNGDHAEYTQDIRGVEHAQVNTDVALIGPSTVVDFSTLDTDICPLAAVLPQQRGQTVVLHPASADTMASRFPLPVTNPLSTLLISSAQEECEEHERRCKAMFASTDDWRTEDLNLALPQPAEERVGYQGTTDLLQQCNKQFAALQDQCAKTDEWSAQLDREDAELKQDSKLLEEKSARVAEERECLAEGIAQLNRAHAQLAAESETDENVERVEMEVHEAVSQEDEQGSEDLRPMQPLPSEGMSSDECNPINELPREDHTQQPQDDLEESEEEFHRLLRESESQAGQERCEESTIGESTERFEKVDTMKTEHDRPIEHDGDNEAEIQSEGASLECAQHLVDDLDADEQGDVANDQTHQTEEQEDDDLKAWHRFCEQHYSVLDDSPSTPSVTTSSPETSSSDYYPPICEQDAHGQHEAAEGQTRRPRDEPYTLYETKPSRPAISASPSRAPMPLVFEDDDATPLIAPSRPQSLPTPALPDILSSREQTDVVPRPTSPMSLHESPGDISEQTGRSANVQPGSPTSPQAANAPRRTRRLLGAMPRAFALAMDQGQSRRR*
>EED78249
MTGTRWRASGSRCRSSSRRAAAGAQSRAGLSVRWCGVNDRRGYSPAASRRRSMRSCRCLSTPPPQTRTFPRSSTTRTRRTARTTRTLARGSRSKX
>EED78250
MRTTGTRWRVSGLRCRSCSRRAATRGTWWS*
>EED78251
MSVASWRRLARMRGHRQGWVCA*
>EED78252
MARELEPLIGLSSLLSFCAALRSAQLRDYHKLLETLYYCNPFLSEVMSNSAEHPPTYAEGDNGDGHGAVPEFEAHATEGTPDAALPPVSQPQDEHDEAPQVAALRSMFPDFDSTVLQSVLESVDYNQDRAIDVLLGMSDPEYVSTAVSPPPEHDLALDEQLARQLALEDEHQAPRARGQAWPRRGDVPYEARHRTPGQPQSQQQQQQQYVTGSERGDFQELQETLGRMAESGKRTFSSIVSKAKAKINEYNQQNSQPRPGQTSARPTEQRWDAAPTAQLDRHTAAEAYSQQYYAQNRESNAPPARETRTPPLVTLQDGRSSSNDYRQVTGYDVGPKLDSPLPSASATVRPSSPQNAANRSSISSARPSGETSQRPLSASGDPIDGAKLGLLPKRAVSLINTSQVPHKSEEEDELEYVENPFEEGHN*
>EED78253
MSARSATPASTPSLVNRRLASLLVVLEAPPTADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGHARVDEEVAELSDDPSIKTPRTVERPLAMTEVDMAAAAIEKRQSGQKFQQGCYFDKVSVLGKTKKMRGGGSTTKKRIQLTSPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMVSRLEVKRQGNT*
>EED78254
MGLKCLKEGRFVGEGGRRSLDPDLDRGELGEDGGGPSSRITIEETEGNLNLQLKGREGRVDRPGQQQERTLSATRGMKDCPRMEATVVTVRRANFARNKCSSGLAAATAVKTIEEARRRGWGMWVPGEVSVAFRIVARDVDLLLGVEGVLKSRAVEDFVSHGDGGRKGSMLTQSRGPLSEDKKLGKRGGGDKGMWIAVARRAASKRNGPKANSFDGISIARCRIPTHPECTAQHLGFSYEDRCPMKLLLNPEDGGKEPGAKRAFLVRDTGVTTR*
>EED78255
MIKRGAARLSRPITGSAWSRHLRKTIRAYNTSLRPAFDKSEVPCTSLLPRPGQLRRPHPASSESQIRREHTCRPRSQKPEARSQKPTSGILQGGSGAGSPHARVRARVAHRTRDENACGMRYARGRHGRAAGARASPSRVGRSGDARRLRAPAPRRAAPRPAPASLPSGAVGSGYRGPSVGPPLGRVSTGHRGSGRVGQRTAALGGVPGTVRARACAPQIVATAAVHARACGGVVCVHEGEGKGKGKGEGECIFGQWTGGGGHPAASMGMFHVDGAITRGAELLRAGITARMGAHLEHEEPKGGRDGDEAGVFVKISQKGALSHACPRGLLVKPIEEK*
>EED78256
MVIPVPFAKLTIVAVHGFFVINIWKQGTHLLGGYPGSTGILMWHRFSDDDATTTIANNLVSGVLGLAAAFAADVYITAALCFVLYGRRTGFQRLFQLAACISFGASVNTAVVPWALFIIPGNSGVPHLHDEEFGAANYSNHSLRQLADGYVRHPISHAMMPYSAQDISLNFRHHVADIVSNSQNNPRENMELHNVSAHSLPSVAPEVLFTVATPTVVGLQPGLNTTSDAALYAQTKIDLIDSLFADPDNVPFALKTSLIGQSVMQRAALGGVLLSRAQDPAKLFEAGKAGMPLLILHGTGDKIMSGDGAVKALTPHFSDMDVRMYEGAGHALFHDKQDEVITEILVFLKRIGEKTK*
>EED78257
MCISPELFSHGRNGSSQSDSGNDSVCCSICKSKASGKKLVVAIDGTNNREGPTNTHVVKINACVIQDSDPVQLTLYQSGIGTSTQKMSTFSLKHLLHILESYIDMAIAWNLKDTIITAYEWLSKNYKPGDQIWLFDRTAKGSAYELYKASAKKRQSKECATYFSWLDSTRNMIESFKRIFSQPEVKVHFLGAWDTVSAVGIFRQKDFPKTQTTDHVCYFRHALALDERRVKFSPEDVFRSSFMVSDEEMREKRTQDVTQHDAEKPQEMAPSDRVKEDAVGWDEILGKHFGSSKNKWIADLAARVDLDLIDVSHAEQLDSRLKTNEDLKTTLDRLCILASWDNGVCKIINANENIGDTLAGIMGKEEEHIDIQRKVVILVGKMALNGLILFSRSLGTHDQCRADSGRHLLKNSVPETSKELHAFFQLYQEHPEAENRNQEDIQPATFCYDKSTTTIIEHALGTACAELAVSALMECVLRFNMPLEEALQTLITGIRANATPLSGINAVRRLCRYLLDVRWESVATKKSLKDLIGTLDPICATLAPQDTLALLDSFIVEFVNHRCGHSVLPADEAQEREPSPLQRPTQLSKKASWHSFQQSFITWLEDRLRSEESVMKSARSLALSVLRAQAGSVQEMEILPELMSVMNDAAEELGNIVAGIICRMLDSDLRDRILDEQIFKNGLNTCLQKAEHSPKSILKIIEESMTTDHGRVMVANLGIEEDLRRISGTESIPQYLPRPHRYIKWWKLRLEFLFIQY*
>EED78258
MTRAHSYSKLSYSSVPDIEAGADDHAMGISDEWDGSDSDLKSPATSDHSNSVTELVNPAGWKDCSKAVWEHDKKMIENWNTEIDAFLIFDGLLAAILTAFIVPYYVLLQPQANDASLVANIRLFDSTSQGNAGNITVPAYIVELGSSTEITPEEATIITNTCWLSAFVCTLAMASIGIYVKQWLHRYQTSVPANARPSVRVWSHRRRHLDEWRVATIISVLPILLQIALALFLVGLVVQLWSMNALVAVIVSVQIGALLLFTVVTAIMPAFVNGCPYKSPLAWFFVCVVSYTNQMVRTILRAHRKRPEIPVTWTDFERLSAEDAAPPQDPHLMAHPDSVLKADDYMDKAVRPFIENSPLVDACHTLELIIQGRGERRAFDKLYRDQGKPLQLTIIATLILDAIMRVGTDSTSPTASVARANLDTPEVMLPRIVTRLAEQEPHPGLFMRSLKVLSGKTSSRKALTQQSRWLLSSILADGIAHYAGILSYSDLEDFIRCIPKALRPEDILSLVWTTTMVLCSSYMLSANDASKIRSVLHTAMISRQAQFDDIDAAKTSVTTSSRNVMDIFGTSSSDNTVREMTPPVSAIDIMRRCMQVDRCTWSGFERSALKHWDELLAGIDARNADRNTAGAYGAY*
>EED78259
MGLEDDDRLYKSIRVSSKFLIEFQSKLTVSLCNQHKRTIRTIANGSHLDWCLPWYRQDKTKIGRIMLLARKRQPYLERFARDWATEEFLKSHLKNKRRYNKRMGYDDEMPDSPEEPEENLPFIDEGEIDMAEEGDDHERDEVEHESARRDSEEDFADGVMQSLKPDRGRNTHRRLLLALRNLCLPLRDSLEHRAPGEATAFSLLNQGLRAGDLMVVLGRSNCVSFSRMTSPDRISDGAMDATVQAATGSSSKSTLLHGFLDYARGGVSTHKTMASHHHMRMSVSHHLAVIALPKLLFSDHNLLSLPNAEGIVHTAGVRGGRGPGLTAGALLDHIVEVLGLAAAPAIVRVLLLREGWKCSPEHPCTTRSEVEDWYRTIDYLHDLNDSTCLDYLLRGFYSFAKFDHLFDKASCARTGVNVRLQNNSRQAERQDSLSMFLCPSASEGTTSSRPRSHFCGLSRATNVVGYVTFLRTTSEMVIS*
>EED78260
MEVAAGGPWERKYNNLFPWNHHAKLLVTQGLVWKNYPDDVHWPGEEKTGGRNKAKGIAELSMPELDRMIEGLVDRDYPFEFERVDKEALKKNKLPVIICTPPAHDAMFKQVCRYFTNGTSDRKGPPRREAPASSGEHGESSSPDTDELSKDTASAALSPVQAPPPKASLTRSRVVEVVIRKSRTSQPTTASKSIDMDDSEDDDAPVKPRADKRKAINIEDSEDSEDEDAYQPSEGTPTPVKPRGAKRKALHNGSDTEDEPEDGTKKEPSKGKGPSKGKAPARSTKRSKASTGGSDVEQQSTPRMPEKPMARAQIAKRPAPRPVHAGARTRSGAMDTTTNEQCDASTEAPAQRQRPARYLHEDESSPEHVPPKRCHRPATTEGTPTEGTHDQEHRQEAPAQRDKDTPAKLHRPQEGRSKCAHMPAPSSSTAALPPSLLHQRLAVYDDEDGNSTSTAGASGMLGHWMFPPPAPFAHAHDAPPGYAPHPQPTLPHAPRYAPRGWPVDSQTLDSYKVMDPRDAGHYYRPLPPQFRVPEFHVPGLMMPDGTYIPSGRVHPHFYGDFGQAAAFADVPQGMPYAGFEAAAPPARRMPGTAGAQTAGVQSHDEGEQRGDAGPSMQA*
>EED78261
MELYERLEKGDNQLTYYSSGMGPFVNPSKKMTAGLLKLKIDNVFETAVVVFVLYAGHLAHMEACQYHIGSSNGAYEARVLAAMIDTVGLVFPDNEEQVPLAYELYAASKDAPGDRYTQFNASRVHHVNPINRTSCFKENFSRRDVKVHFVGAWDTISSGLFRGLKELPKTRATEHICYFRHALALDERRVRFSLEDIVRPASISPSLPPSQPLSPIHEATPQENDTVLESNVTVGHDQVNPGNRTNDRVKEVWFAGTHIDVSGGGSPHKEARQSDLNSVLWMATEALAKGLVVDVHKEPKDASGASTTSKMPLDSLTGAWWLLELVPFIRRRTESDTGLKALFVACAPHFGARRVIRPGQRIHRSAATMVAKDYHPQAAWPSSGDSTLPGTWQQIGDYISKKNGDHGALNFDHLIEGEPASQSEP*
>EED78262
MPSYAIIGGSRGIGLELVRQLSDNSENIVFVTVRNIATSVHLSSFVSQSGRKNVHVLQADVVNHHALKVAADKVSELTGGTLDVLIHNAARMDNTSLYRRLTDYCKRSRVPSISVLRGIQQFRVNVLGIVHSINAFLPLLRKGGSKKILVMSSGAGDRELAWKARVATFSAYGTTKAAANMVMTKYAVLLESEGFTVFALSPGYVDTTD
>EED78263
MPSYAVIGGSRGIGLEIVRQLSSDSENTVFVTVRNRSASTYLADLVAKAKYRNIHVLEADVVDHLALKAAAEEVAKATRGSLDVLINNAARMEPTNLYNGFFDYEDGDALDTEFLESFKVNVLGFVHSVNAFLPLLRKGSMKKIVLIGTEGGECEFVRAAHLHGMSAYGTTKAAAHMVVAKYAVLLERDGFIVASVAPGLVDVSATATGGCRSFTLLHFGGRIGLITGTVPLAGQIWKEALETQEAKIRKEIPDFRFHAISPEESVTTVLRTIAALDLSHCGKFIGHREQKAAQN*
>EED78264
MLRQLLPALLTALPAFSASAADWQQRSIYQLVTDRFATTNGSYPSCDTEDRVYCGGTWQGVIRQLDYIQNMGFDAIWISPIVANLEGSTGDGESYHGYWTVDQNSLNSHFGNESDLLELSSQLHSRGMYLMLDVVVNHMAADTLPPDYVLFTPFNTESDFHTFCWITDYSNQTNVEQCWLGDDNVPLADCDTESDYVIDFFYRWIGDLVANYSADGLRIDTVKHVRSDFWPGFAEAAGVFTIGEVLDGDVDYVSTYTEVLDAVLDYPTYYQLFYAFESTSGSLSNLVSWVQQSQSTYKNGEFMTGSFLENQDNPRFQSVQTDQALVSNAISWPFIQDGIPILYYGQEQGYTGSDDPYNREALWLSGYVEDKPLVKLVRTLNAARKAAIAASSDYLSTVLTFPSVTSSTLAVYKQPMLALLTNGGSSSTPSWSVSSTDYSNGEELINVLTCDTLTAGSNGAVSVTGSEGMPQILLPTSVFNVTYCNELLSTY*
>EED78265
MNDRQIYNHLSAREFHRRMCIGAVQDETGMLGTLMKVLDSMWDNYACFTANTIYASALRHVNAILLENKTDVTLLRSHDLPFVEYKRSITNTPEAYACFIWDRRRYVIRQAREVSGISSSIVKYAHPSYSDILSFYKEELVGERANYVHERAYVTGKSIPDTLRDLIDETVRA*
>EED78266
MFNLRVLVAEFQVTIPRMVHQTLEHAEFHKFYLSHCLIIEVAKGGPGASIAIKEAQGDLHFELNAFEAWLLLAGNDESSGTISNVDINKILGVEGVDKALTGSHDGGRKVS*
>EED78267
MSPFEPDRWIEQLRECQHLAEPDMKALCERVRSILMEESNIQPVSSPVTICGDIHGQFWDLLELLRKGGDVPQTSYIFMARLLKRMQGDFVDRGHYSLETVSLLLVLKAKHPDRVTLLRGNHESRQITQVYGFYDECQQKYGSALVWKACCSVFDYLNLAAIIDGETLCVHGGLSPDIRTLDQIRVLSRAQEIPHEGAFCDLMWSDPDDIDNWAISPRGAGWLFGGNVTREFNHVNSLSLIARAHQLVQEGYKYMFDDQLVTVWSAPNYCYRCGNMASILTIHEDGGRSFTTHKPCHSGQSPSLS*
>EED78268
MKRSQDLSPLVVDPGQAAMLPAQKKFKAARVSLGASIQVEDGEIGSAENGETWTKVEKRKAKKARRVEAKLDANPPRFMYAKSEIVKRREAVGINDIRDLVLHLSADAPPPSWVRVENPRSVQKVVALLVPGVTPDVISLPPLPTSATANPNLPLPIPLPPDTQEQGTSHDTAVIYHHPRGRPLKPGLAWLTKKWCGREIQNRGEGGHDPEEDARACVDLLNKKIENGPGFGEFKIDMESIFERMGRARGGSITTAVVDHGNPGAWHGSKATTVVACNTDGEVLDALLGAIPSHSFVFGRFTGLASALGWITSKGNADIIPDSQSVPEVSATELNEAFIALDGHLKELYAALPPRTALMIFTGHSDPRRMAELNARKATFENALRQGKSLEDVGKEQWWTSADGRTLEEEVEKAKRGLLFLGIKDTA*
>EED78269
MI*
>EED78270
MNGLYILIPDTSHTLAAEHPPRHMSTPALSDDTGSSSSRESSRPATPTSGVSRAPSISFDDYSKHTHAGEGTDIRIVEADADSPLPEDITHPLKRRRLADTRADEVRAERALLPNIWSDAPRVVGGACFGLGHIRISDCTSVGDVEPDAVDPLLEFVSRPLKRKRSADTSTYGVRAKRARTSGTSSDAIKAEDRACSGLGHILIYDYTKIRVIEPEAAPAVSPDINSHASLEAITRPPKRKRCANTTVDEVCVKRRRLSDSPSDASQLKDGIPFGPHPRCMITGFVSAEVEACYILPPDTPQPLVYTYHVTVEDEQPPDSGTPQDHTIIPLATTAACSYRSLGWHELSANLHLMTIRVGREFMKRPLRYEHVFRMDALVHIPIVQLAQPDAAEPVSSHIERESPVEGIPRLPKRKRSPETEIDEVPVKRMRTSVTGCNASNIKDAVRFGPHPKCMITGCVSADVEGCYIWPLDMPQRLFDTLMAVRRDLRELWETNRLLMIPHPVHLKSLKKYCTAYKYCIIAEDEHPPDSCTTMGNPITPAVMAAPCLYRSLGWHKLKADLRLMVFRAGQKLSKRPFHYRHILRELLPHKEVNHTYTIFSRYASWTIPLYLERVRGRRLWATGELAPFPDGYFRCSTNEYCPPLSDDDTVRFPRPFRPIVSGIKRKRSGDTRADIGIYTAQEDAQCQVSVCKWRWDCDQARDEWTVGPPVEPEDAELLAYRQEEAGDMLPAAQEPWFEEWHSSKYALL*
>EED78271
MRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFRARTSGTSSDAIKAEDRACSGLGHILIYDYTKIRVIEPEAAPAVSPDINSHASLEAITRPPKRKRCANTTVDEVCVKRRRLSDSPSDASQLKDGIPFGPHPRCMITGFVSAEVEACYILPPDTPQPLFDVTSAYYGK*
>EED78272
MALRLSSTSASNNRAQIGHLDRSNKITRATKRSEGRNTRSVLEAPSHLDLPRGTLALSPLAPAFPKLSLVQVKHEEISLQTLHQSLSLKRVRVKKESRSLSPRILLGPPRRQRSPPRQQSLTYVTPPPNFLRRLHRRLRREAARALAPLELPPPPHRPPSPPAPIMSSPTNAPDKETLKLLLPLRYDGKTVIECDRFLAQLRIYWLVNTLLTTIELKVQVAMSLLNGDARAWATPYFSQLASVQMGIQGATTPFRNEAAFTAAFKARFGNLDDEAAAQVELAKLCVDKSVREKRTAAEFSALFKGPADCSGYGDLELRNKYLSGIPSHVYRKIELETFTTWQEAEKRATEVEQILDISRARRPELNNFFSA*
>EED78273
MAPYIPFVPTEAFAIATEEEWHNAIFQNVNVSDKQAALLQTVTANAAESTTGRVRDWVGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGSAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCIICKSKTHKTDDCYKLAKNADKRPNTQGDGARKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPIAATSDFWKKYM*
>EED78274
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPGRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED78275
MSSPASLPDKETLKLLPLRYNGKSVVECNRFISQLLIYWAVNTALSTIKLKVQVALSLLDGDARTWATPIFSQLAAVQVGVQGATTPFANEAAFLTAFKAPFGNLDDAAAAQVELTKLCANKSVREKRTATEFSALFKGPADRSGYGDLELRDKYLSSIPSRVYRKIELETFATWQEADKRATEVEQILDISRARRPELNNFFSA*
>EED78276
MSSPASPPNKETLKHLLLLRYVGKMVIECNCFILQLLIYWAINMTLSTIELKIQVALSLLDGDARTWATPIFAQLAAIQIKSSPSSALTKNLHEKHTTAEFSMLFKGPVDRSRYDDLELHNKYLSGIPSCIYRKLELEMFTTWEDADKHATSSNLTSAGRDEDMTVYPACKALRPASMRLSEKETSLVNVQLWEERVPTLQVPQL*
>EED78277
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVSDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRCPPIPSPFLQAMPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPTRRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED78278
MARAESPLAALGRRMSVELARAQQQQGPTDNRRRGQGAQDDRRPGQNDDRRRGQSDDWRRGQSAQDARAPAPVLPPPARAFVPASLSVPAWRVSQLSHVSSVSSMQSYATALESPPPETPTTPEARTPETPETRTPETPETKAPEESLAPSPPLGTPEESLALPVLRVRSGTDGSADTPSLTRSRTVSSSGSGSVRTAGREKEREEEQAGADEKAGVGAGAGAVEVRVNGVPVEFVPLPRDTQGTARRRTTLRKKHAPLVAAQVTVAVSPAHDERAVKRGGTIKRVWRKMFKSAGAR*
>EED78279
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLREQDDRVLTELIRLDNLKQRRGALPSRLTGNPTLVGPRREAAGDADRLDTGYGTVRTYDAQDAEKKPLDTWSESAEPGR*
>EED78280
MPDSFFAASKPRKRKRTSTQEHSASTSKKGRWAGGSKQTKEKQKSGTTPTSKKKRDEELSDETQDDESAGGIDEMDLRAPDVDPNAYESGDEDEDETPAEKRLRLAKLYLDSVKQGMGLGEFDAAEIDRELISARLKQDVMEHSGKVHLYVADSFNISDAPSLRTRGHRFSVTAAAASPDARWLFTAGKDGMIIKWDLHTGRKAHIHHKCRPDKGKAKAAEVDGHTDEVWALAVSADGRYLASGGRDRRVGVWDVEKDEWVKGFGGHRDAISALAFRKAPSSASTSTQLYSGSFDRTLKLFDLTSMGYVETLFGHQEPVLALDALRAETAVSCGGRDKTVRFWKIPEETQLVFRGGGRSTWTDELDGMEVDEEGDEGVPRRAKPKKGDGPARAKFVEGSIECLAMIDESTFVSGGDSG
>EED78281
MATHIITDLPVELLVMIFLLLDARDLINCKQVCQLFLQLIRDSTVLQYFYELELAGMADEPLCPLPYAERLRKLRSLQHMRRTAELVPGTSFPQWTALAWTTAPDGLFAQANMRGGIDFIQLPSAVLGVSERRWSVHSDGLEMEMETMCMDPSQDVVVVTGWDRVPNRPHEINLSDTGRYYKKNDVEHFRREGRQTRLEGDYVAHLGSWNHEYAVLVWDWKTGDLLWESIVYRACMWTKPNTVITQQLQQTSSKDKYNSFDFIDSRHLLATEDGGIDIFVLDRPSTYTGVPVFIRGSLCMWDYPIRKGQSFTLPQLMSTHKGGCSQRNPAQPFYSSPHRGLVAVACYGAPLSAGDSDSIWTEVILVPASMVREWSLRAQADEEDEAKFMGSNGLVEWAYWAPYYPRMRRTGRPFSAEVQGMHATVANVEGEKLVLDHIDYTVVGGKEAEFDFKTHPGRGDEWDAGNELCVIPEDSEGVHSAYAEARPLIQGFPQYLVILPDYAILQEYQDDDGGHQWQIYHIVASDSSGS*
>EED78282
MFTLPQGPNASDKGTVETGKPIVEVTEPAAVWEQLMRGCYAATAFAPPEGIDYIWPLIEAARKYQMDGVRSVVSQALLSADALENHTMRVYTLACAYELEDVARMAAKYTLRHPLHSITYVPEMRCATAGAHQCLLEYHRECGRVARTFIMDNKCLTKDWVGELRDYVFLNLRTLSGHVLMDRALLAVFSIMAPAIQETGSCTTCSQHSNHRVWENTITGAQNYVWIQCTRGDSQITLWHWTVRTPIDMMNPMIIEGLEGAIKPARYDTIPVDFTIQRHGCCNKTRKPYYKNEDLRPVPIERRKWKANNFWSFWVATSFNVNT*
>EED78283
MVYLADKKYACETCIKGHRSSQCKHTDRPLFEIKRKGRPVTQCDHCRELRKTKQVHVKCMCAIRDDLSDGYPRRGGTKVPASAAFPSGLPEALEASVALRLASDISDSENGNASSSQCSCEKTGSCNCCTVRAPRPKRKVKREIVAPTSTPDCEPPITQPAGLVVHAHTGDYRPVLPRPPNSERQSSPLGSGPVHEPSVVPTTRHQVHHGQAFYSPYERAYEYAHPSEPAAQPEESSAYLQPLSSVHVPPASHPAMASSGMPSWLLSPSLAAQEALMAANLCGCGQSCACAGCVLHRGPDVDPTASCSNPHTCMSCLDCAIFSLPETMPESSGDAFDPAQVQHVEEWLRQASGLPPPSQPLSPFPTILNEDPTTLSQRSSSQDAPTPPDARFDPSMLQTYALWNEFHDGSVPAAVPAPQECCGGRCGCPPGLCTCAADCCGCCQGCQCTDCGHEDPNRTLTFATSGERAPCCGGAHQQAEPPTNLGDGHVGAPAMGGDASVWTETADAWSMQTLMVPRTSRASSFSSQSSGGYSSSSSLGSALQMTDVRTSHSCCSSR*
>EED78284
MVYLADKKYACETCIKGHRSSQCKHTDRPLFEIKRKGRPVTQCDHCRELRKTKQVHVKCMCAIRDDLSDGYPRRGGTKVPASAAFPSGLPEALEASVALRLASDVSDSENGNASSSQCSCDKTGSCNCCTVRAPRPKRKVKREIVAPTSTPDCEPPITQPAGLVVHAHTGDYRPVLPRPPNSERQSSPLGSGPVHEPSVVPTTRHQVHHGQAFYSPYERAYEYAHPSEPAAQSEESSAYLQPLSSVHIPPASHPAMASSGMPSWLLSPSLAAQEALMAANLCGCGQSCACAGCVLHRGPDVDPTASCSNPHTCMSCLDCAIFSLPETMPEPSGDAFDPAQVQHVEEWLRQASSLPPSSQPLSPFPAILNEDPTTLAQRGPSQDAPTPPDPRFDPSMLQTYALWNEFHDGSAPAAVPAPQECCGGRCGCPPGLCTCAADCCGCCQGCQCTDCGHENPNRTLTFATSGERAPCCGGAHQRQAEAPTNLGDGHVGAPAMGGDPSVWTETVDAWSMQTLMVPRTSRASSFSSQSSGGYSSSSSLGSALQMTDVRTSHSCCSPR*
>EED78285
MTRAFLAMRAVAVFVLLVLGLGQSAAASSLSSRLSRRRGSPKHRAALPDLYEASVIELQAGLEGGYFTSVDLVKAYFARIEEVNLQGPELRAVIETNPSALAQAAALDAERRATGPRSALHGIPVLVKDNIATLASEGMNTTAGSYSLLRSIVPDDAGVVKRLRAAGAIILGKANLSEFAHFRGNLASGWSGRGGQCTNAYFPHADPCGSSAGSGVSASIGLAAVTLGTETDGSITCPADRNNIVGIKPTVGLTSRAGVIPISEHQDTVGPLVRSVADAAIVLSIIAGPDPNDNFTLAQPVPVPNYALALDRNALQGKRIGVPRAVFLNDTITGNDPYVNEVFEQALATIASLGATVVDPANLPSAEAIAQSNNETVVLDTDFKIQLNAWYESLIENPSGVRSLAQLIQFDNDNPTLEEPQGYTDQSILIASEATTGFNATYYAALAADYYMGRTNGIDAALQMYDLDALLLPASGFTTTPPVPLGFYPQNVTIGLAGPETVYPAPGVPLGLSFLGTAYSEFDLVSYAYAYEQATHTRLARRAYAEAIPQTQLWDIIYGGI*
>EED78286
MIIPPTS*
>EED78287
MAEGEMQRHGDVRSRRICGLARWATLRTCSRATGEPCAPTVRLSLYSSPSPAADSGMSLATSDSSFTPSKELDHNLPDSAHAEKAQSQDWSEPPDGGVVAWLTIAGAWFVQFATFGYIGAFGVYQDYYTTHFLTQQTASNVRYSSVSRVCSHAPLIARPNSWIGSLQLCLMYAPGVFVGRAFDAGLFHHLEIIGSLLYVLCIFLLSLAKPEQYYQVFLAQAVGMGVGLGMTFLPSLSIVAHHFRRRRALATGIVVSGASAGGIVFPIMLNHLLSDTRVGFGNAVRASGAVVGAALLVGNCLMRTRRPPAKTDGAHARLRWTEMRDVVWDGAYLWSIMGAFFTNLGAYVPLFYLQLFAADHGVDVRITTYCLAMLNAGSIVGRLLPTFLADRLGVYNMLLPAIGMCAALIFAVFGATNPAGVVLVAVLFGFASGAYISLIPSLLVSLCRNFGELGVKMXCAYTVVAAAQLVGNPIAGALLGGSAPLKWWRAILFTGTSTVKWKWSFALDLQSRITIIGTSGGESKGELRTSGKRRLENAPNT*
>EED78288
MWCLILLACTTALPGLLCRMPPRTSSKARQNSFCPAADAATYRDLLLFEERLKTNAASLTRRKHRYELFLAQLLAIIAFLLCEVLLQTDFLAVPLALALRTLAPARCPPGAPVRVHPYIASGLLFVSVTTLVLFFATGMYTEKIGYANRYVPHANRALRSFNMYLNVRQPPLRTKLPFNPLALLFPRTPSAPPPPASGRALSPERTRKRNSSVPIPPIPPASNPRGELIFSSRVERAFREAYERYRGAFERRREERERAAHAATWTGWLAVRLRLPWAQAPAPAPVQQVAAAGGVSKLVGGKVGSARASPAGSRQGSPGPSLVPGRKTRRASPVGTSHPLAASVRGEVA*
>EED78289
MATHIITDLPVELLVMIFFFLDARDLINCKQVCQMFLQLIRDSTVLQYFYELELAGMADEPLCPLPYAERLRKLRSLQHMRRTAELVPGTSFPQWTALAWTTAPGGLFAQANKEGGIDFIQLPSAVLGVSERRWSIHSESLEMEMETMCMDPSQDVVVVTGWDRVPDGPHEINLSDAGRYDEKDDVEENRREGRQTRLEGDYVAHLGSWNHEHAVLVWDWKTGDLLWESIHLQKDPKEPEHKYNSFDFIDSRHLLATEEKGIDIFVLDRPSTYTGVPAFIRGALCLWDYPMREGQAFTWNQLMSTHKGGCSQRNPAHPFYSSPQRGLVAVVCLGAPENDGTDIWTEVILVPTSMIREWAQRTQTGVQDDDTFRVGSIGLVDWAQWAPYYPRMFRNGRPFSAEVQGMHATFANVEGEELVLDHIDYTAVGGKEVGYDFDSNPDRGDEWDAGNELCVIPEDSEGVHSAYAEAQPIVEHIPQYLVILPDYAILQEYQDDDGDHQWQIFHIAASDSDDS*
>EED78290
MLWLQIASQTAIALLALVARIQTYGNFSTSYTVALLLDATWPHLLFIADDVTHSYIKSNFLLAAPPARYSLPAAPTIPSSKDLLQWMPTPDVYASLHIPSLTATSITRPSTVTATWNSTTSSMRLEYPTKDIDVREELINPATSILMYLVAYGTFTIAIACCSVYLVLKRHQRGRILSVNVEAKSEDNASALPPFIEQLILNGGNASTDNIPHAPRQKSNLSTFWTVERRESMVSWLREAPKTEELSVDAWTGVSADRTALYPDAVPHSERGGVADTFDTQAAQSAQKSLENAFADPRFDSTDMETATNNDYATTPLEGDHVPMDLSLSTDVGTRIHDVNHAAGNPCHDRGCTRKERSNQRRVRMS*
>EED78291
MPELSIRRVRVQILCDEPDACPVNLLESAGKRTKLLRYVQPEFRMPSLTVPQVPRHVAPPPTKENLDYADLAIIDFAKVHTPEGRAELALEVRDALSVQGFFYIINHGLTQHENERIFDIADVPFNSVPNEEKRLYAADMKGAGSYQGYKMRSVLHIDNGVLDQLEHYNTDSHRATWMAVNHDIARKKHPKALQTLMPEIESFIKFNHFEVLHNILRLLAIGLELPEETFVDQHKFDVLGESYVRFTRYYPRTEEDEEKTKNVWLKGHTDLGSVTILWSQPISALQILCSDGKWRWVKHIDNAVVVNSGDAMDFLSGGFYKCTIHRVVQPPPDQRGLTRLGIFYFAMPHDDVKLVPRLDSPVLQRVGVKRRCSDDRAPTMESWRQGRVRMYGFVQLKKREDGNEETIVDGLPVTFFN*
>EED78292
MAETASLDFNNIPGYTTIGTMFGTVLYGIEVAQMYYYTCNYTKDPIWLKILVALLWITDTFKEISCIVIEQIVDYVESGTVQWYAEEFFCIALPLTYLQSYYIYIIWTIYFNAFLAILNAHHYINEAGEPVSILVSSFIVRWSPSPRSVDASTGASLPHCSMEMPTMVYEHRSSYTAKDMGSMLEYLEDEDKIKIEARCRRPREHSAMSETTRLNFNNSLGFYGIAVAQMCYYVSKYIKDPLWLKLLVHFLLKVLEASSDSHENLVLNTKQTLWFILVRHHADLVALLEVPTYYIYIIWKLGPRTWWNRLCCISAMLPKQAIMAEATLGHLSSRICPTLMEVYFKSHRYDASASVDLFRLTITTNVITLVTDLYITISLCFVFQRARIPTERSILESDAARSEGILSKLVKYTANRGLIFCLQQAVMLGTYIWDYKTGVQVTEVFSFSRGACYLNAFLAVQSQISCFSWADGTEPEPCATLGTSGQTELKISAVETLKRLCMNGDVGDSSCRVESARGVKRWSSGGSDAMFLEPFRITAAMIFPVREISDVCLVTLVADGISLDVELTAVGREDVEGIEIGDEMLVIDELPDEELELVTLVVELVLDKINCGGVDDSFGSTEFVETEMGEMDEREVGRCVAESVLSGCKLEVLLEILVLSDVAFSEEAELTEVVLGVEEVADVELDEIKARESDEVLCAELVSDVVTADVVDGQTTTVVFVTMTVVTASSSWFSSVRLIASVALRPSSSLAFASVPNRRVASLEALSVLHNDFGQLLDESAETVHERMTKETQVSRLVVEGWRVGNGSQLPLAWSEMDG*
>EED78293
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDIGKPRRIVRPTIKPLICRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDPCRRGPGGRSRLPQTVADQARGDLPPDPAPELEPEEGNSEEGVLESESADPAQPASPTALAPPVPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFTQLVLVQIGVQGATTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAAEKRATESLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKMGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQCDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMQLVLAGKDESMLPKDWTLFLDILLNINKIVNPEKAQGSVFKNSGSDNSGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPVAKKAKKTRVIQVELTDSEDDTPLSTKAMSANTARIEEIANIEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED78294
MGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQCDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMQLVLAGKDESMLPKDWTLFLDILLNINKIVNPEKAQGSVFKNSGSDNSGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPVAKKAKKTRVIQVELTDSEDDTPLSTKAMSANTARIEEIANIEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED78295
MLTRSLARSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELRDDFNEEPIPSTAEERTSSPELLGLTTSDYDISTPDLFERSSSSPKPEYPIPSTSNLVLPAPSSFCAHAQPPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNPTPPAPTIPSTTTTSSSSPAPTTTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGEHARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTITTLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTKGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPMMLFEIDDHSTMQTFTLNTSSRIEALERELLQLQKRRERKKPTTPVVMRSTEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPASSSSSPAQSTSHPIATSAPPAPPVHPFANARDTTYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPK*
>EED78296
MPSLTVPQVPRHVAPPPTKENLEYADLAIIDFAKVHTPEGRAELALEVRDALSVQGFFYIINHGLTQHENERIFDIADVPFNSVPDEEKRLYAADMKGAGSYQGYKMRSVLHIDNGVLDQLEHYNTDPHRATWMAVNHDIARKKHPKALQPLMPEIESFIKFNHFEVLHNILRLLAIGLELPEETFVDQHKFDVLGESYASRFRIYLAVRFTR*
>EED78297
MSWVPRIPSQRTKTCSISTLRENNMAEGKYLEARGIRLVLTEAMGQEVKTEDPRAAEAGLYTWGDKGRLCALVRAQLVCAQHADAAPGAESIESTETILSALSLETQRANSSPPTCTLVLQHNSPIQPKNLDHDWYKWYLLEQRCERRGGAFVHGRSDARQGQSRAVRTSRGVVIYYDRGLRPPATRAPELASSFLTARVSAFQQYPARVHIKKLESSEDTEVYICTLSVRDMDGVPMAEPSKLDVVCKVKRRYSGIEELRDEHDCYVKLRDLQGREIPKCYGLFQSSGDALSLDRATRRSTWACLVFAYCGKGLPKNLICMPWEFKHEVIHRDLRTLGNVIVSDNGKPIIVDFGKARCDHQCGETEAIPFHVHAPFDQPCYELAEAAEATWLWKAREFMSKLGIPIPVRVIENDETTLEEKIVKIMKLNTPQEVYSPTPIDFRKEAENEIKRRAQIVQWGLSQGPGYVIYVHKRYYIPANGRIHDICVVYDGALDVAESIGLGLRLTSRSSRVENPVHSKVLVVSYVVTCLKFSGELDPSAVVLSSYASPRHAARYLPASDAGSVQALSCERHLRLLGNDRAMDSRASTRRHCDQLAGTTRWL*
>EED78298
METGGNHITTGTVPTAVLRPLPLELWLLIIDELGAEREYDALEACAEASEGLLKERAERYIPNEMTFRKQEEVASIKMGLHWKGPERVRILGGLRRGGQLPIPHLATFASRLARKWTRAGTLTIEKAEWRVQDLDQHHLLLDLAYFNNVSTLFLYGVTFPTVLAFWRLVCALPQLRWLHLYDVKFVRTAIHARTFSAFRLLSAPRLEEMDMLPPMSSDGGRLVSHQETDSAGLLLALLAQTVSSLKEPPWRNHPLTVDNRRPVLEGMKQHLVQINIKKEISAKVCESMFLSPPNPVSSRTMRGACMSVETESQAWFPSERSRSSSARSRRLIALSAKGVKGQRYICGTIRERCGVVIWWKKPFSVHGASEGPLDKVIFTYRHQFSGNMYGIICKNEVYIDIPKLVKYTSGESNVEM*
>EED78299
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVTGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED78300
MTNAIVPNIAAAKAADRCTMLSRRGLRLKEEGVAEFKLTPLFFSSACCVIEQKASIEESTNLTIAQNFPVFLDHQKTSMVGDFWNIVLFVWFKSWPEEKALFGQDHGELSLDQCVQVDKKIKNWFNNEVVKGHHTQSIKSMEAVFASLLHPKGTCAKQWSEIFSNLYYDKKIMPVVQAEVAAHVKEPMHAEMIKIIHTKTHPVFKEAEDNIKEEVEVIYQAGLAFVCLQCLQRVQDCLLAFLKYTFNTVAHLMGWHGTVIFGGPSPKAGSKLESIFLVGKTPSGVNFGQSYEQWEAILANYAGYLKEAFHKFPI*
>EED78301
MEGGRRGLFLYIACLATFSVWGLRKSMRCVVCDGQVTGETAHGRGGMSSSADITMHGWKMADATSAAVALQHTLMRRTPGVLWPITLVLATLATVHWPAGVTTRQGEAGHQSVNMNRVHIMNTCMQLWNTAAWASVMGQERADRQGWSTAHLAPCVLYHKCNICSRVTLSNIFRDKYGILISPTNIDRCQKKLNLRGSKVMTRSLSNVQKRQLVLDQLAKDPTHCQGPQIIKEGILFDTSLNFTHDYITSEMHIHDAEGFALRRPTACKVHREALVALEPHHEWSGDSHDKLSAIGFLIWGVWDKWLGKWLGLWVVLNNHHKDTIVFLYLHLIRGLSAVNMQ*
>EED78302
MSSSVMLTVGLELEEQQCQLVGDTAALATLQEHLLTTLDRFLCRQHKNTRARLTVKNIKDKVRADVIQYCKAHAALLSLAGPFRRLGGSHNSNTWKMATYVECLKAIVCQPRGGKLSHGSGCCLEWAQVEIKNYMKLVHVQLADAALGADNDLVIQAYSTEVTGLSPQKRCQQYQDELESWKEYRYEVEANKLGVGYTKVDCAGWDPDKGWYTDTDGGFNIGAGVALMVKARKAWMLFEDIIGIETGFDSCYQVLQQAQLVAVLQSAVFLPQQLSNPGKRPSSSDLQVACEDGLFPVGQPYSNQNPETMQQRQNMS*
>EED78303
MTRLELKWQVTTGSIIGSNLAATSSATAFVTKSHGNSSKPRKTCSNCNMTGHEIADCFQLGGAMEGKCAEVLTTKCPCLDKGKSGGSKVLHDPDGRMFMLSNTGDTMYIDTTASMASSSAAKAPSTKFASLTVDTPDPVDLWAFPTLSPADTFKYSTLTRSLTDEDTASVDWGHHSSTASVTALATAAPAAAAALGTAPFFFDFSASTHLSPCKDNFSDLVAIAPHGIRGINGSVIYMHGVSTIVLAIPIIALSLTWLRPTFYQGVNELCNSWDWCMWT*
>EED78304
MEQSTQPQTVGYSLADSPAGLLAWIYEKLVRCTDSYAWEDDEVLTWISVYWFSRAGPAASVRIYYEVIQDDPGALRMAKYSPIPLGLSFFPKEPVVVPRLWARTLGNVVFEAEHEKGGHFAAHERPEDLAGDLR
>EED78305
MSVCDASLAHRGVQPPACPPWCRPATTGVARCRPTPSDVPVPTATNAPAVLAHSPDDAKGNPTVAPSRSHACVFACEQDIPRRHAHAPRACVMVLTGLVEDEDEDEGEPARWHCTSPIARATCETICASSAMHPALCRSLARDSGSPGSDAGLRAALRVGCQADDCPRRAHGTQDRTPRDADMGVRAVGGFSVQGCTSGPIVEDAASNTREAQARAPAEMRVARVLALRKATRAAALRTRRARERERPLPRETRSGLTTLPDVCAERSVALRFASCARSTSALREDVECETVGQRATEAGTKGKGKRRTIRGRCARTEDVKTRPSTVRDGRQDAGTEGSGDDCAHAVMGSPGATQLRGHRRELPKSMRGTGDRQWSWIASRAHGRALRDELHERASLPMWRGCDDQRLRRNERLGMMVGDVRASRARDELGSERQHRQSDARRQVAVVSTAGHEFRINLACAQIFSDRSGFLCECVSRRHGSRLEIARGDGPTVFTNVKESTGVDSDTDLILTAWKA
>EED78306
MDLTLFAGADKLIEFIKSSTLRHEKEAFMHHLNQGSQEMQGPSQKALFQTAAGINACINTLQLQAKSETMTVDITFYAYTPITGAAAKKQFKASDIADNVLDEVVTWAQGVYESIPSAPKVMPEFTWNSVTFAVNVDANTHHLIEPCLLVGSLSNLHTILKAEKRLLKKDITNGVLGLRVFLYEKVQPDNDNDIEFLPNSVVRSPRKRTKKRKALDQDDDSAICTSAIWLSAHFASAQNGTSLNKKHASAHRPYTSTFHSNRKTSDSLRFNRYQFTRIEASVSADGEVRLISDPRTETILIAKDWQQYITGGLREGGYIGKGYSKFAFEGRLRPIPVAIFQMRPIGDPSDQDLNQRDMIAELLLLALRQYFLDAFYRRAKAYHVKGLPELEWNSAGTFVGQVTSELPPPPASIADKDTRSLLYNMFLAAPLLETRDIEPGYKEVKYSGTDCASQNTDILGVAVDAFAHHTLVDSQGTLVFVDLQGLIKPSGKFVIYNPQIQRQSRGLSRIL*
>EED78307
MSSRSATPASTPSLVNCRLASLLVVLEAPPTTDAALDVVEEWAQDLSPLVLSYRKALGAIRDEETELRVAAAIKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRVDRRQAPLGPDTPLFGNHIPPGTSTQSHNSSISPSTLFDIFDGARRLLEARHGRPDASRVDLGTSSAFGEQ*
>EED78308
MNPSTSTIVEETANRALAKSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGNFDRDEEDKIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDISTPDLFERSSSSPEPEDRIPSTLNLGLPTPSSFHTHAQPPIASSSRLSVVPTSDLAPPPPLAPSNAASNSNLAPPAPTIPSTTTTSSSSPAPTTTTNMSQNMNTPLMPLRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYDDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPRMIKTEDISMIIESLSRTIATLIQPMTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFVEIDIRDGKCKRNTDGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTVQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKGVAAPSSTSTSTAPPLTIPAASPAPSSSQPTQSTSQPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYRDAVTPKRVLTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLI*
>EED78309
MSTSDSLHSVHAFLCTPIVNELLTTHPNWLCQNTESHTLSSEISSWWEWAGERPHGYSTANGPQVLPPVGEHKWLLLLRYYTHPYCDDLSDLYSSIPADLRELLDTARRLQLSREPGPARFLASSCPRNATREAVYQSVDYETFGIEAANYDRIVGMSPKKAHEVLRMTAYTSWLLGSSPRLRTIRHVVDVGAGQAYLSRSLRDKIGLNVLALDWSDVQSQGAVRREAVGKKRRKKEIPTPAEDESSIPQANDSNTPPVKQQLGALTYMTLRITTDTLLSSVDEWFHRGDEITTPEKHENLYADGEPTPALFVALHACGSLTPNILRAFLARLKSSDGDQKWSPQAAIVVGCCYNLLEASDFPLSHELTHPTSELPPAYLTNNHLQLAAQAPSQWMRSEVASAATRLAIRKVVWRALLAGILVQVPIPLADNNKQADDDDYCPLHLRRLGKLNDSVYDNWDAFLARAGEKLGVDLMQGHPRDRETESRLEVMHVLRCILGPVVESFILLDRLQWLKEELRGTGMDVELVNLFDQASGSGRNVAIVISPQLHETYVQ*
>EED78310
MVTRTITGMHRIRMAPANMGTHTSI*
>EED78311
MRWERCLAATGRRLVLASQSSKMCGVPSDARP*
>EED78312
MDSEKRQHHEGSPDRELPEYPAVGGSRAELTQHDYQLEDSKGRSWLFLSLRSHAKSEKQLPLFHNGDIIAGDVALDFDKTSGVQAVFVAIIAGVTAVGQEEARFLHLPATLWDGKSTTSAVAKPTGKQSWPFSLALPSEIALPGKGKRASQTYILPPSFSERASPAYVEYKVIVTVRRGLFRANQTLSTAIVYLPHWRADPPSASRHAAYRNGTPLVSPEFDPDGWKVLSPVTVKGTLFNARQVEVQCTLAVARPLSFARGSPIPLFLTVAGADEQAVGVLAAPAAIKVHLRRSRVLGPYATNEEADPPSDKVFRDIVGTAYFWPLEQGSSGRTAQTLQGELSVNVGLKPSFTFPEFSLKVRGCPLGNAAIPLTPVAMHFPQYHLVLLPPQASGFSCKGSASEALLTEQAIIASAEAMGVLSRRFAPPGCLLVARGASECMDPYIANYQWARLNILDRNRLHHAAAVIRIRIEVPYIAGKPTHQIISHLSLDHGRPSTANFAQKAAHRIAAIRLWACIVEYAYFFFYSFVRTSYIKRLAARLPKGAKPPPLSTAAELILGAIAGALAQIFTIPVSVIATRQQIGPSLDGRRRRSARATPERKAADIDSAAAAAGPQESDDSFLGVAMEIVEEEGIGGLWLGIKPGLVLTVNPAITYGAYERVKSVLLVAQEKATGVTDAKLSAWTAFAVGALSKTLATVVTYPYIMAKVRIQARSADAEEAAEEHVPPPPPHAYHHVQNKHVGALAILARVWRQQGFLGWYQGMGAQITKAVLSQALLFMSKDKFEQWALAIMVMFWHLRRGKA*
>EED78313
MTCSSNSPPTRLQSLSAAQMTKTRKTRARRRDLGMRRGNA*
>EED78314
MAEHMKGRSNVEAQRVAIDHAQQEHLTIKVELEDRMRSLSESQREVDRLQATAQTKRLAINLLESLNEKESKRMARIRAATTLIERLDARFGSTPRKTRRDAECNEANSRERLRTAIVSATGRSEDDPRVVELFERFSQAAQRRAASYLRYRSPLPLNPERITSEGLDAAEKEALLQRLSDQSLELVHSCAGLIQHVSIFNDITSPELCECLRKESSEAEGYVDALRLSITGYADGNVRDLQDGSVILRRGKAWQQSLVDVRTNMVEAHEKELLVQNAVIFAPAQHPNSGKLLESYRKSARDTEEQLTTMLARKLEKSHTGDTLQKEIERLVKEVGIVGVLSGSTG*
>EED78315
MTVPTLTTGNILLSFGVAALAVVSWKLVSILINIVSSPLRNIPGPPNSSWVYGNMKEIFKEEHTVMHEAWVATYGNTIKYKEWFSRNKLCTVDTRALNYILSHSNKYQKSSVARFILGEVLGDGLFVVEGEQHRKQLCQFWRNEISKSGEPARINVLNGLNKMTLDVIGLAGFNYDFDALNIDGKPNELNQAFSVMFRSLESFSMFSLLKAFIPALRLIPDSRSQRIANARKVMRRMGMKLITKKKSEILRLAADGEKEKGNLQSRDVLTLLIKANMATDLPESHRLSDEDVLAPSLSPAMRRRATRRLSNIPTENPTIDELNELPYLDAVVRETMRVHAPIPSTVRVAMTDDAIPLDTPFVDVHGQVQDSIRVRKGDPIFIPILAMNRSKALWGEDAFEFKPERWESVPDAVQQIPGVWANQLSFLGGPRACIGYRFSVTEMKALIFALVRSFEFELAVPPEEVIKKSTPVQHPLVRSEMSKGGQLPLLIKPYHRI*
>EED78316
MPSLAASRTANASYAFPAAPVAIFVGGTSGIGQAVAQALARYTNGQLHLIIIGRNRAAAESIFATLPKPATPAARYEFIECDATLMRNVAATTSELLARLPKVNYLVLSSGYLGLRSPGRDETLEGIDKKLALNYYARWKFTHDLLPLLKAAKDTGEDARVMSVLAPGNGRTLDLEDLGLKKGYSFLSSFRAAPTYNDLMVESFAEKEPGPSFIHIYPGAVRTPLLSTVFTVLMYPFTCSPEDCAERMLYALVHADAGAQRRDANGDDMGRKDYYGSDEARVRLWTHTVDEVQHALDVKH*
>EED78317
MSLASPPRALASWPLLRLAPALDSEDEEFALPLLSRSPAAQLLSHCHVISPDFARHIRGTQREKDTVSCTRQSSRAAHPARPPCSTIWCQAICKGPAWKKPPGAWRAETVHVSLATSPINRGLAPGTCVAGARGSEWPACSQPQRCAAGASQDEKLASRGHPRRRMRYRTRYVCVFVRNPAAGDSQGRTASMTACLFRDRSHANMVPDRAETDRYKQVGAAWISRDSRPCVGLRADMGTAGAGGGPTDWMQVLTEDDGQTQSEREKTGCMGREYSTSEAVAVHGQISGTNKTGPAALTIPCRIFQEVINYCHGEMIGITYFPCEFLTAAYCLADAVMGVMCCNLSISVLDSSHHQPPNACNWPLWCPAPLQTCSLTGFGQYWSSLARVGDGWTTRLCAGEMSP*
>EED78318
MTRDDTRQPSRRAR*
>EED78319
MCRQYGRRTGDVIVNNDIWEIEERGAIRTNAVVLISAHNRPLPDERPRQSVANLIGRFEQQTKRQSVVPSSPSRTGSAQSNYTGDAAKEELKEKREWPPRPKTVIGTASTTIETSIVRDSDLLPKAAPAENATPVKDVPPVPPVPAPAPDPVPSSPETAVPVPKPTPARQSSVGSVTAPTRTPSTPSKSTPSRPSITTTRTPGRTPAKSPPTSFHAAPSASASTPARPSAAAKSSAASVSSPVRPRPSSRASHAPARAKTPVTRPKTPSSVTTPAHTTRPKTPASGLFAPTAASLAKARNASSPPPTPVRKPTTGPGVSERLSKPTAASLSKSVSPPPTRGGPGPRVGARGTTTRGITTTRDPAPETPSEEQHEVVEHLEHAEEPEELASPVEHTVDTEHGHESSEGTLIDEPEAVSTDSTPEEGVHDAAAEVAEAILHMKQEDLDAEVTQLPAEVEETVTVDEDKAESNAGVTLEEDHAGSLAAAELHEDAPVETHEELIAQDEHEPEANLSQSEHEPDVEASHDEPHAEEAYANGATTPTPIGSGGTDLADLVNMLETKPSRPMSIASIPDEVLEIPDEY*
>EED78320
MAPALTHPTGVNFIDEQRPVNTDAATYYGGQEQFSRSRTYSASFAHGYNPKRAAFDNDWVRRQRRMSHDEKSTGPRRFLIDVEETIRVILEQEDTDGDFQISVTDAGPKLMSLGTATSNGFKTFDIRGTYMLSNLLQELALARDHGRKRIVLDEARLTENPVDRLSRMIKNSFWHALTRRIDGDGLEIICADPKNRTGRVNPRIYVPHGEPAMAEYYRRVAAEKPHLNLDVQVLPATPDDPHFVKSLNHKPGILALAMNEVSDGKGGKTLKGIPFVVPGARFNELYNWDSYFISLGLLVDGYVNLARGMVDHFIFEIKHYGKILNGSRSYYLCRTQPPFLTDMALQIYNQLDRSDMDANRAWLKRAIQAAIKEYHTVWVAEPRMDPKSGLSRYRPDGLGIPPETEATHFTHVLEPYAAKHGLSVLEFSERYNDGAIREPALDEYFLHDRSVRESGHDTTYRFEKRCANLGTVDLQ
>EED78321
MAPALTHPTGVNFIDEQRPVNTDAATYYGGQEQFSRSRTYSASFAHGYNPKRAAFDNDWVRRQRRMSHDEKSTGPRRFLIDVEETIRVILEQEDTDGDFQISVTDAGPKLMSLGTATSNGFKTFDIRGTYMLSNLLQELALARDHGRKRIVLDEARLTENPVDRLSRMIKNSFWHALTRRIDGDGLEIICADPKNRTGRVNPRIYVPHGEPAMAEYYRRVAAEKPHLNLDVQVLPATPDDPHFVKSLNHKPGILALAMNEVSDGKGGKTLKGIPFVVPGARFNEVALKAAIYVAVGVPTLDFDTLLLLLLHYTGPMCMVLLCEYITLHPDYMPEKGTVGVYVRRLLEEMASKARVMAGSHGNGSSIWAVLASADRAG*
>EED78322
MAKAKFAIYGREALGLIRPEAGGPDSWFHSGSQGASTPAETSNSEQLAARQQTPGIQSLSTHPINRRRYRYRFFTGSPFHAASTSTRVNVSQLNRQWGRDSLEANKHAINMAMVEHAYHFPLELCWRIIHLLGEERGYESLARTAAVCRGWRNESLRFLRGVQFATAEDIPDMPDVALEDIADKPLRLWRGPQYVSIFGNKDERNGIEHLGVFASKLAGRWTHIAQLGIESAEWREPDLNADVVLRNLSGFSSITSLMLNNVKFPTVITFRRFVCTFPYLESLQLDGVEVVQNPFDPRTVSQFRVLPGATLPLLTLGRMWLEDSSAAKPSHSCIQLLEFVAASEGFIANTSSNACSIHHKPLWGAVRKLILYDITFPTVSTLARVLCALPALETLRLFQAAPVITDGIVLRMRWPTCNEFSDEAEAAPAIIVNGIQQVVRGSTVKDDAPTIQCSDISSEAKDHEAMHDHPAANGSASSSASSFSNLWVNVQRSPPTCYADAQPPIEPGCTIPFWNMAESDCLDTEYNRDTLGAEREENAMQREMLVQPPPLLNATESEMQLFHGPAALAVTPGSMMSLRPPSLKVRVLDENAFLADQSLPARCIQEDPLLFRLYPVTFEQTQRSAYPWLSDILRIGRSVERLVVVQCGLIRREGFTDLSYRTTLPGADIHALLSSPTGLRQSDKCIGRRKPAWTDRILHMESAMSTVGPLSYTSHPEITVSDHKPVSAVLDIRAPSVDWQAYDAFAHGEKGRHDRIF*
>EED78323
MRRFDACALCLQRAREPVACQKGHLFCKECSRRCRTLSDRRLGWKHSSARRKRRNEAHERLPASAASWASQQQRPQTNVRPPPRILRKFDFSESTVDTLAREAEEAALRQIEREQAEALKHKLPDFWLPSLTPTYASSGPPASLADVKLQTTCRGGNPPHHLTRKTLIPVHFIFDTSASTQPRSVESTPSTESGTEAKPKRDEEKTAICPSCKKVLSNSALMNLMKPCGHVVCKTCTDTLVKPAKQCIQCDVQLADKDIIELAREGTGYAAGGLAETSKKGVAFQG*
>EED78324
MSLFPFPWNQHTSLKVSQDEVSEGDTSSGTDSQSIITATTPVASTTAPTLEVKHVDYYCSTQTRQWAYRDTSLLSRPATIPPDNDPWRSFCFVVIRTIPIKHGAEPTFHIVVKSPVLRAICRDVMQFIKGVSWSDEPLQLSPDLFITFYPKLLEYRAGLSSDHPSEADNIACATVDSVFNYLRADHGSTLGRIANLTAHDEITFDLLYAIFVPKSILIIKCPISGHAHAVQLESVQRGISYILTYRYIESMHSIRLNSVKKGVAGTQDGLVYGTVAEAISVNAFQGVVKINTLSAYPIQYHPDEKALRASLTARGRRWRELNGSHHLHYKAAYHDLNPILGPSIQIISTFKVIEPALQDDDLLLAPPIVYGFALLEKKWLVFSIDRLQSIAWNDEVFANLVLPGSRKALLRSLVEVHMARIGPDDFVPGKGQGLVINLFGPPGVGKTLSAEATSEHIRYPLLAVSAGDLGTTARDLDVKLQRLFKMASTWKAVMLIDEADVFLEERSLNDQERNAMVAVFLRNIEYYTGILFLTTNRVKTFDPAFLSRIHVALNFKGLSKSAKVQIWRSFLLKAGAEPVDDRLEELAERDINGRQIKNAVRTAHSLAVSRGEKLSSVHLAETLDAMEEFAMEFASA*
>EED78325
MATTPQWVQQQCEQMSTNGYFWQNEGPSRTAMAFAPPRWTSRRTTSSDHIMALPPLHELTRPITLRLPSPRTVSHAHDDPGVCTRDYAYVHDAVPAQAPTHRAFSNPGAPFSLQSRTSTNILQYNLVSARNGNGNGNGRSSSGSGMDALPGLWQMIGTLRPQSRRERSRVHEKERERTAREQDRQWQAMAINNPLPQAYNTPAQAPELVRRERERESPLERQRRSGAGTPLERLFKKRFWTRQSGSSSGIPDIMVESPTTATVSRASTIAVANQPHLLSPKMHQPSLPLPADAANCAVSDSDQRPLLPLPEFYLTTPSAVGIANEVEQQLPAGFVPMSAADPPHDFEGCDGGFEDVAAAGKGRQGADDFERRAVDVHLRPPPWFSLLLRACPCACVQVSAHAAGRVGPLRHAVCGCGSIRLAEPREGYGAYLNVAWAGTMAVRVDIMVASGNDGGASGHDGSASGHSAIKCGWVFVRLGVFVLRRREDLDYTELRHLEETLCS*
>EED78326
MAETREGRTGRTGGLLTTGWPFARSPGSFGQRRRASSPSRGVATRGRAGNDAITQHLMQREYGRLCGVRDLRRASWARRHDQLFIFSGHTRTVATKANKPVWARSVSWRGHGPSVSAPALPISPNSTRSPPGILSLGSGSGLERNSKSPSTVTADTFPRLESYGCLRSAPDAVRPRKHIFRVELDAQTHSAHPGYLSRSHCESQPEPARAPAPVAHAAGRTPVSS*
>EED78327
MSLSILQVLSVLSFLTSILAVLHVGAGPLHRLSSKFDADVHPASHLNLNVGKQHLWNWSGLPVSFSLSTFIGDESREQGSEKGVSGYMGGSDLVRMNWQVGRPRLVPQVYNSQPPLSMAKIIMSRHTIEQPNIVLSFGSAGCSRLNRCGLFLSLKVNDLDGANNRSAADWKVFYVDHMEQLNELVSNLSNLDIASKDENTHCSQPTVTKSQPQPTLHTLQADARPHRYWTCSPSCRVPVTAGRQDTSPKSPSARRGGVHARQDKEVPLAQLAVWPSGPPAEPSFLLDRRKSKRRPFTEKERQYAIEVILWELVCNPELTMQEMCRILVKKMPYRPYSTWTSWCGRTFRETAPEQLLQLAKQAHENSPQYQNANVEGNRSPDLQTMTSSSQPFLADSPSNPQAAQTVGASKKTPERLEEHLDILAQVLPDGTKRKPMFTILEVEVLKYEYREKRKLLGISKDGVGP*
>EED78328
MPSDKNAKLPKGKQTVGRRRVVPRQWLRDPQGPPLPVPPPCGVRTLDGLIWGHTLSDFFWVQRIPDVDVFTIWSRSMLKEFGENYSESEFARPEPLPRARRPWPVLTLPKAHYGEVFPPLGALDEGSDHEDDRSPFSWKDVRRDELEEEDPVPEVDAKAHPPVIIDATNGDLMPNDPSSPMISPLGVPYAALWAPQLRDSIELAEDLVAWPRFSMIGPFDDAEDRIVAVDSEGLMPMILNEPTPQIFVHTLFDGARPDPGRVIFVTNKNVLNALRERLPSKAAPSKASATAFSGAASASPQNLNAATGVQGVINIVEPQQSSFAAQAGVNDRNDEDIVMQECDQSPKDAESQGALKPTQNGFVKPATGCSNDANMSAEMSNAGVPMQEAVFDSHPCLVNKVGTSAEIRPLPSASSETAEIDNPANVNVASGSRQGKVRDESPRKSLQEPPFDPKILAELPKLEQFIPEQYFPDTLMVCRDNEEPVEYVRIFPTFDRDGISWEPKRVGRLWLPDLSIIGRGNHSRVRRAPLQMPEPLSAYGRHRLVTVAAKTALCVISARRFLRNEALRFNAFPKRLQQEWCGYNLVTKIRHPVPVGAIVPKFFGYYVPLDANGKPDDKTWQEYDEEDDTEIDGLSPVLLMEECGKPVLPESFTLDERSECYSLMIRLHFAGFLQNSMYTRNIMWQPGPLTKPPKQRSRKTPSFRIIDFGRGEDWDEYKDQDDGKDLERKMKDWKARVDDERESARTALLISDYDY*
>EED78329
MFATVAFSSLSSFLLFNAVYAADGPTLQTRGVIQTFSVCEEIGKAVSSQSAVFYPLHNYPPALSSLEHQKMSAPLYVSFHMIIAILSTSGVQLQILGKTNTPFAVKGGGHASNPGFSSTAGVQITMSRFSGVTYHSDSQTVDIGSGLIWDDVYAALEPYGVNVVGGRVTGVGVAGFTLGGVMAYQLVLPNGTISDITASSNPDLFFALKGGFNNFGIVTQFTLKTFPQGQVWGGTILALGEFDKIATATANFYANVTDPKASIISTFNWDLGIHLGQVSLFYDGSSPPDGMFDEFMAIPAVSQDISSRSFLSLVLSSVSNETSGLRGYFDTVSLYDITPSMLTAVSNETTYWASQLAWEVPGLFLSYDIEPFLPSMLSHGSDSAWPPKRDRAILPMNIYYAWDLSLSDTKMGDTMRTSSSHLTELAVLGGQDVTDAPLYPNYALYGTPLSRMYGDNLPRLQAIKAQYDPNNVMGLAGGWKF*
>EED78330
MPRVYKPGKVAIVLQGRQAGKKVIVIKQLDEGTKERPYPHAIVAGIERYPLKVTKRMGTKKLAKRSKIKPFIKVVNYSHLFPTRYALELEGLKGTVSSDTFKEPSQREDSKKQIKKLLEDRYTSGKNKWFFQPLRF*
>EED78331
MGDAGSDVTQPTFCGVKARIPWPGPIALDICKDVGQGTIAVFPERRHGNGIVGLRDSISTHGRVRRRASEQDAGRGRHRDHGGDLTSSLTAGLRQGTRARATRRWARDGDGTYLGVEQTAAIVEGAWRLLALRCAQTQLVEPDALVRVRSVRLCKRRRVARRADPVPCVRDAGPHVVRAARLVREPLGGEGEHGADVGDVALLAREEAGEELRPAPRGLAVAVAVVLCVFAGPAGGGGRRGVLGARRGAPRVGWRGREGVAGGRRWLVGVHLREGEVCAGSVVVVVVKVAYARAPTVLRGRTLRSGVRGAKASTYKLSHARPRRSCSREGVGPVQSRSRDHMRCKALQRRVFEPPYPARAPHVLMDHRRWGETDEKLTTAFPAAPSGLADADADMDVQELMEAHLPPWPRAAQLCDLYLEQAPWFFGAVTRRQLVEEVLPLFYAEAVEYRAHAGASGSGSIEAGAQLSSSAAAFDLQPGRTPGTAHDLALLFVTFCFGALTDVELPPAPHNSEAEQYFQLTRAALNAEPLLERPPSVVTVQTMACAW*
>EED78332
MPLPLLPLLDLPLPLPLPLRALTRLYCKMLVDVLDCRENGAPGLENARIVVRMQRGPGAGQAQGDGPRELMQQWQGHDVVAARCPAGRPPGGCKGHSGFSESNSIWPWFAGNNSARHNLCHVDVAALVLGYLDKSYDPTLAPEDQVVEDSGATRKIAGILERDMWTPSL*
>EED78333
MHAMPPHHSNHPSIAPLMPRADGSPHQHPAQIPPPPPGQGQGQQGYPSASTVPEIRSLLNTPAGHAQESADGTRPPSPEQQQQQVQVQAAPESPRGERRDAYRAAN*
>EED78334
MPPSTQGGHASDEGRVPYDRGLAGLDAGEGQYIRPTRESAVHGPHCVRVLSPTRDGHGPTSCVQMSRCSLVRFADRLRIDPLHRRSIGISSVYRCHGGALLVVDRGLDSMPQKSARTKGFSRDTLYTGFGAVPGGSGRTRRMANSSNRSSEDSGDSERRNGRFERVAPKPRFLCSSIESSSHTFYNDGGVVYLCQGRCDGQYDKVHGGVNTENITTGIVPKVMLRPLPVEIWLLIINEPGAKREYDALKATPEEVGSINVRQRCAGPEKVHIEGGRRRGERLPIPHLATFASRLARKWTVVELTIERAEWRGQDLDLPSLLLDLAYFKDISTLCLCDVTFPSVLTFRRLVCAFPKLPSLSLRDVTFTETAIDARTFSALVRVRSAMVTMQRNALGWAASGHDVPLRPHMLSELTNVHLGKDFSLCSDPRSVHDLVDLLILFPSRRLEEIKAWLSTSLC*
>EED78335
MVAGEEMHDWLWAVAEMHLPLFKPLNIAFGAFITTLTLSHRPRLCRHLRRATPISPPLFAVSPGQPFAVPDLCYRRVFACISIPSQDGLAQGSSAHHLRTSDYGHDYRRVTKFCDYMLDDICCRLIHLHELNLHITFGADSDHSGDIELQEARKDATGRLVVLLQKACNLQSIRLEAFFTLIRMEPNIRPAIVALPSLRNLDISLFRNTMLAEDVFGQLGNLRKLRIANQRDGGIRYNTTYEHGRNWVSMREAICAIRNMQINTLELENLQRESPGEYPDTLDFSLPSVRELVLDKCHFSMAMLIHVFPNLRVLRVAEPPLTQYDAFWVDFDDADASFWSSLDHVEGPSEFFEKWSPTFPIHCVSLTDQLAGSDVMPVSNPRIVRDTILRLVQQTNPRALAFPVLVDSEPTQPAAYHDPPTPGSPRYHNIKADPGQADHFWAPFVASASGLRILNLTLRVPPSTEDLMAVLIPYMAILRRVFRRLPGLELVRLAVVYDTHQEKSFADWLPVLIAPARAEWLPASVTPDQADRLASRLLKTSVRCLSLSFTAWRHRKVKGGTRVIVGSAAWEVLPNSQGVNTLERITEAREEAIYGQIMAPDPDLITAL*
>EED78336
MSAMDVNEQSESPLQTKAPFLSLNDDVLSLILSDLSTHDALQLSATARDVHFIAKQRALQEVKMTTNASAARICTYLLGDIPNRLHLVRDLTVRLDFTTYVNPDGKPFYSESAITAAHLFASVLGDAIYLRSLHLTSVGILLQVQPRIGPALSALTNLRLLDLQLIGSTDGFTVLREIRCRPEELKLSVGTARTAGMHTVDVNEVLSCLQDMQTIKRLTLSHINEQRFDDRLVLKFSDPPSSWSTVHDLSLLRCRMPMRLAVLAFPNLRVLRVNQPISCPPPKPDSEQTVCWSNLDFVEGPIELLYEWPFTCPIHHLSLQSVLAMPYHGSTWGRPSPGADLAATLNLVKRASPRVLELSIMAYPALGPAFWAELARQAPGLRSLAVKLCSFSDDEEFLTRTFMDFMETLSAALGSISTLVHVRLCILSSLLPDDPTHVLPEKLTSTFIKALPSLCYVSLSMKRHQDLTESPWEGQDSWRIINSDKERKLESIESKLEERMRERIVALEFNPAVDLDESRI*
>EED78337
MAGEEEKEDGRGIADDRRGGTTAQIKLPAHATLSTALDDRRGISIISACSDTHPPTLYPHNPSLWAGLLTMLRYLPDSFKIRRFTTRQLVAVAIALLVVLALYYNALPHYSDGRRWTPPPVAASRTPPAEWQRRADQVKQAFLHAYHGYETHAMPHDEIRPLSNQFKDNFNGWGVTLFDSLDTMILMDLNDEFRRALPVVEKADFMPSPNVTNKHVGYAPFFETVIRYLAGLLSAYALSHEPILLQQAEKLGNMLSPAFDTPSGFPLFGVNIAQYVLSVKPMWV*
>EED78338
MSLQSPTELTQQISCLRHYLTKLPLSLPYKTVPESCLASFCIDPEWEADVGKWGAVNRALEVRLGPQTGPGDTFILNERGPGIESLPDILSSYMPEFSESPLFQKWINDCCRAAEMAYKVAGQQVPPPVATQDTQDASKSLTSVLGKRKGAEKQAGGQKAKVQAIKNKVAKAETKILTDFTDTAFIDSDEEPDTRVGDLRHEVVVLTAAKSNAKLLKTQRDLTLSFDGGKTWKLKGVYTIHISTPERWVFLMDLNDASHVSHTANYIVEILQEIIAGVGAERFSGIVSDNTGNTRKAWQLIRMMFTHIFNMQDSCHEMNLALGQISELPEFKDVRTSLPYYVITLVISDMRAILAFMNKSTYTMEHFNDACKSLNITIGLAAIGDTRFATLTWTAISVRECLPAFRKIVAQLTSPYAKVIKCLKSSLSTASDVFVFWLGIIAQLHDLFIHNRAHLEMSTISDVRAITNQRYKGMIDDGSEDIYITAFFLDPYIKATEEHLSPSHPASIHSRYHPSGYHYHSPLLTRNEYGNLVDAKPDEICTRMQLRNPRLANLTPGAALNALKSELKAYAKKRNPFFWWVKMQQDDDAHILGALAIKLYSVVPNSMADERTMSMITWLNSACRSKQDVRTLQDYIKIRQWYRWDPQSTKKALTVSWHDMDETIRAPSWHTEQAQDSECGPDIPSSPISVDLLEDVPDYKDEHRDKFVVSDLVDLNVPFLKEILADEHPKVPCPRDKVRVEHASKASSSDGPLDWDKW*
>EED78339
MSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTSTKRAPIYPRGGTWCRATGPNPQVIAHQELVSYYQRHPPAHPEDVFTILRIDVEPTQTAENVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNTPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNHVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDKVRNADAAKQGALVVTDTRDYGEPMDIDAAAVASTFAFTSGGKKWELGAVLNEADRKLHRDGNLCFYCHIKGHSIEPRGMPSTTDTGPKCPYVSLHLRVRHDVTLPMDLMRATR*
>EED78340
MQFLRLSYLAFFGFIVLGVTAMPKPTPAPVAVDLAFGDQMDAVSDTKTLCVLIRLEIDKAGGRLCQLKIGNGVQINVRYNTTVRLSLLMSPVAWAKPVQLATGSTPIEYTFPFIFGDRALSLNAGPGTYRKGNGKILAVRVTWLPSRPQRVDNARAVADGRPGSLEAGRRDPPPITEQASDQ
>EED78341
MHVSPVLVGGVRACTAAACEARAKDESVRHFVGDLAVSASLRVGGGSPSSLTGALYGNRGPRSGQRAHRKDGSALAPKAPQFGEKHEIASVSTTHISYEAVPSAESWAERHERRVDAGNPDFASTIGPSCIPVHRPPREPAFLGGAGYPTRTSARKTGSSQRGQNMGQHEMRGSRSVDGARATSTQGLRTDRRPEMVYDRVHRAASGNRAVGFPASRTTAILRTTEDMTPRRALAGKKRLCIARRPWYPVKLMRPPAVICADVRYRGWVGLARPPIWPIINVCKQAFGIGSLRLGARRTFPYACMSMREGTRSRQFSTQVGLNSAYEIDPRIKSAQIARSHRGRGGGRGPGSQLAALMAGNGREPVGLRVGEAAAHLDGVRRWMAGDGPRTEGTAEGNMDVCGCFDFPPAHDATFHFAGAPGLARTKGPLRSQCRYR*
>EED78342
MAKKAGARNPHYPERWTFLMHARDVRSEEDGFWSAPRLLKSNYRLLYASRVHMLVIFFGSLSKSIVFSSSNRIPPPKPKRQPAPSKGEHKPTEPPKSEEVRRLEGLRDALQSSSGREKDPKGGCFCQARMHPLSQHAPICRSCGLILCTLNLPHFACPHCAAPLLIPAARDALLATITASIVDTLAREEAARERAAEEARTAAGAFPVLAPTSNAPGADRLAAHPANQSHKVLSLNPKTKRVTVASYRAPSPAARPQGKGKGKERTDEEDERRVPPPPQVVVHSAMDVGVDNPWTNLRGGRATYVPSPIKGRGEGKGRRDKGKQNKEQPAS*
>EED78343
MEKDWSAAHADLADLESQVSIESLFPDTDPYGLRSRSPGGPVKHQHQHQHAAHSTSGIAKKTENWLRGPPWSWSRSAILGFYGPPFIWRTRLLNAIASQQSNFGVRPMDTYLAPESAREWPPSVHSEPDPDDADDASDLDLDAASPAPELQIEETPQDVAACGRHTPGPHGADRRRRSATTAGRRPPVGGPRARPPGAKSRTKKRTPKEQEFSCVYCGAKFSYLESVLPHVVTYCKGREGEAHGCPLCQKQGLFRGHGTATTHIHTTSHAMDTPADWESLLYGSAPTFPPQDPNNSSFGYARPTGPPPPPVLREPNGDRRNDTTTSVERRAGVNQHRGADDGRRWELTEHHARALDAIAERLWREYGGRLEMTFRYARAVDAIVAQLGRYGLEREGPYGRAAVSRLLRDYKKRVQTMDRNTVGANTILRHLRRNNSSRLEMTTPDQGEMNFIIRRLLRSRGTTSRGGIKPNTQAVADRVACLVSAITKQQRQFHPYANQPAGESQQPQQRLVTIPHWCMFCGQGFPLDHIRWAHIIYECAGRRQQELGCLLCSFSYKAHDVYLTHFIEVHGHHTSEFAMFLHDLEYSVTINNQ*
>EED78344
MSSX
>EED78345
MSLTSHDIYTIAKRHALSVVTMRGNAIVRICTYMLDDIPGRLHWVRELQVCFHTIAGDFVFTVELSDTTARLLVSLLENAIHLKSLRIPYLVDTLRLTRPRIAPALSALHHLEILDLELGSAKFENERNAEVFAIQREMLREMRSRPVELSLWIPSFSDLSSIQHIQSIRRLTLSRLNSHNEEYPNHAQGSQLVLSWPTVSRLTLNRCQLSMSQAVRAFPNLRELRVRYPSSGLTSTSSVCWPHMDYVKGSIALFEKWSFNCHVHHLIVTLMPYFGSADVVTLLNTIRQTSPRILELSVTANSKLDTFWAKLAETAPQVRSLEITTRWCELDLVFKMAQQLCNTYDHEELLPAPQSPAQCRPASSYATAGLFVEGLKGFILKDNIATGCSGNTTNSGKDCRRGEDDAIKGEGRDRRTKRVTERLRQWEMRLGHAPLPIARAKSPNPPPLLNECPRHHFQQTQ*
>EED78346
MIHLFPPTTTLFNTRPLHCPSGCRSTTQR*
>EED78347
MPPVFVNSYSTPTPQNVDPFAILTSTEPYDINFAFPLHPVSLSSPRIRLTPFIPRLHARDFWNAISPSVESHFRYFAFVPRTLIEFLNILEEYRQDPGWCTFAVMDRTQSHIIPPESEDRADEMHETLAGMIAIGYTSTKHLRAEIAFIMVLPAFQRTHVARTATALLLRYCLELPTASPPGLGLRRVSWVAHPKNVPSIRLAQRLGFMEEAQLKAWFMLPEEGAEDKGGYAVRRRDQQDHEEMVVGWGKDAVLLSLCWSNWEDGSREQVALILNS*
>EED78348
MATDAGRRLPRLPTEVCDMIIDCLSTDIKTLRNCALVCRAWHHRTVLWYGRLDMTFRTLWDVIDLTQTVSARWWGPETVTVYGDVLSEALTLSHLGMLAGTVMDRWRRVRRLCVRDGQWGMAEAHLPLLKPLSVAFGASIATLTLDRVVLASAAIFANIFAALRCVSWASCRNVVFEDDTLQPFAIPDLRASATKVPVLKLYISGVAPSLAVIAYALRAVDITTRADAIDIAWDVLDFEDEPTPQAALAERTSTAIILQAADVSLRALNISIPHQDDRVLSLAAHDRLERLIINVSLLSPQADGAWVVRALESITSPVLHSINLNFDMSARSVGVHYSLERSLFPVLDTVLADTLAPRTLLDNVEVKVGHPDHTDEEGLGPPRGLGGCFPKLEKRKIISGPMSQSDELTGGLTDVVPPQASIEPAVFWSNASLLPSPVNNQSHNKLSLQFSSRMPAEMTAQPLGSKSPQILSSVEVYRFSDDGRDYGNVTDKCQEALGGKYGGPSCVVKLIVDIAVGAGSSPGRFQDHNDLKTAASCLADLLEKAVNLHTIHLGSFGALLSVEPRIAPAILALPSLRSFSISWLSDGTLARRFLSLMHHKLDKLHIQALWTDEKVNVYDVIGSIRNMEIDTLELEDIQGEPPRGHSSTLGFSLPSVRELTFRKCRVSMAMLASAFPSLKVLRVAGLKLPYRDDYWVSLDDADAGSWTSLDHAEGPSWFFEKWSPTFPIRCVLLTDRFACTGRSPMSDPQIVRQNTLRLVKRKCPEVLTFPILVNSAPAPSGPPTPDSLRFDNSNAYLGQADFWQSFVASASRLRVLKLVLYVPRKTKDLMAVLKPYMRPLSNALGGLRDLVFVRLAVVYDVDPISRLPISMTSEQEDMLASTLLGGKSIRVASLSFSTWQYMDGTKRLVPVRSAAWRRGNRQVVDRLEPSTVEHEEEIYKRIIAPNSNYSTDL*
>EED78349
MVPQASAPDQRGLLGLNEDVLSHIVSDLPARDALNLSVTAHGIHLIAKRHALSVVTTRSNAAIVRICTYMLGDIPGRLHYVRELTINVDFATSTVERSPIVTRSEGSVWNERHSDEPAVRVLVPLLENAINLRSLSLSPMDILLHEQPRIGPAISAITQLRYLDLKCLMDDAESCRMLQEMRSRPEEVGLSAFYHPKSINNILSSIRGMQTIKSFTLSPDGVTGPCGDLDDCLRWPSVSTLTIRRSCLPITLAARVFPNVRKLQVASPYRDPEGASWSSLDYLDAPVLFLRDWSLPCAVHHISVQSNSVHCDSDIYRDFKSDFAGHIVRILRETRPKVVSLPVLPWPDFNRAFWTDIAEAVPSLRSLEVELCIFQDETDLIPRLIRYMLKRSQGALSAIIGSIDSLVHIRISVRCSLMREITYPIRFPTIPDPFIPTVLEADQMASALIEHIPSLRYVTFLSTYSTSGISLSWKIGYSEDRCSLVPIPRHVAEDVKARIHALDFDPEVDLNGKETGPWLSTYDHAWSIAVGAQTARDMIRPPTNTQKDSEVQVAASCAEDDIAKPVKSSVRSKIWSHLQRGGDIVRVSDIGVTMWEIRIRWRLATRVTVCSIRVPLSGPAFGTPGSEASSKFRNLGYNDQYECTPDSWASSPWTPLGVSASG*
>EED78350
MSLTSHNIYPIAKRHALSVVTMGSHAKIVRICTYMLDDIPNRLHWVRELEVGFDDISAEMRRHLLQSYVKSELYDTAARLLVLSSKTPSISDRSKSYTRESNAVFAIQREMLREMRSSPVELSLWIPSPSYLFSIQHIRTIRHLALSELNMDNEEYPNDAEASQLPSSWPTVSSLTLNRCRLSMSEAVQAFPNVRELRVECPFDSNSTSSVCWPHMDYVGGPIELFEQWSFNCYVHHLSITSALYLDSAYDVTVLNTHSHDGHLPIIPTLDKLEQQVHVLIEHIHSLQYVSIQYTTSRALDAGWWRIVRSGEERTVERISQYDAEQIRAKINARDLDPAFFIEA*
>EED78351
MSTGSAPVTPLAQFGQQHVTNGLGRQLEAVVLRGEGSYMTLEDGRQMLDFTCGIGVTNLGHCHPRVSAAAAEQCMKVVHMQCSIAFHEPYLELIKRLLPLMSDPSLDSFFFWNSGGEAIEAALKIARAATGRQNIISMQGGYHGRTYGAMAVTKSKTGYSEGFHPLMPSVYSLPYPFWHQLGMPASTPSSEISAACLSQLSLLLSQQTVPRDTAVILIEPVLGEGGYVPAPPEFLRGLREVCDREGILLIIDEVQCGYGRAGKQFAIEYSGVRPDIMTIAKGLANGFPLSGVISRKEIMDKLKPGSLGGTYAGNAVSCAAACAVADVFKEEHILDNVNACDPTCSPHILDMRGQGLMAAIEFASPTGTGPYDRFRCADTPKDLACRVAKRCQEKGMLILTTSVYEVIRFIPALNISQEDLALGCRIFKEAMEEVVWEG*
>EED78352
MSRRTRHTCLKISLLLLLSAWASAAEDEGDFSCHVSYNGLKYDLTKIKGQQVVKRTRETPPSTMIDTVVFDLCEGLQPDEGVDEKDQEHELVSPKRTAKKGPMTVSSP*
>EED78353
MRHFLSPLPSLDAYTREERTLPDVRSAFFIPRLADKPQNPIMDTLNLSAPSHKQIETPQHETTALTDAESSIWEQLAMQEPPQSQNDEVFASVRYIVRPPARDPNVHLVYVAPGTLLECLSSTLAGVSSYLHIWDPGSETFVLRDTPNGVTGTIILTGTDEVVSRSLVERFLVIGATSRRLENFVGGFASSVDRVSPVVHSFMHALSTVLLEIRQLILRACSPPYSETVSDSQTPVSLTAFWLKFADVEEILRSLSSLCHREMNALPINYTHWPNSASDVLSKVYYFLTDHLETQSSRIVTATFAYILTVSSEDYFLQTCLSVGYNPNSSVASRLPLAQDIELVDSAPDIFREENPDILDDAMDDRNQDSEGIPAFMSEIADALPLARRSLKLLHDADPRHPFFTSRQHYPTIEWIWTTDDVMSAWTGRSLPTPPTPITSVVSNSSENDTKRRSYKDELKNLAVFDLDPGTYLTPSAACSEAAHLRMFLDRFPSSLPSLTPTMPHLVDLVLSPLVLHINNLSEALLSIFLSPSSHLNLRLHLGVLRSYLLLTSHAFKSRLEAALFSDSAEQILPSCINVLAGRGRTAATKRSLQADAKTSDTWAVGVTPFVLPNLALTVSRLPAIDPPKPLDVVLNPVVLSKYHRIFAFNLRLMRVTNVVAALFRMTRKTDRPLFPTLTHSNRLVLHFGFVAQSFLASLSSYVYDKAIRGRFDALLDALSSREKESSAAQVEPGFSDIFALAKVHAQVLDNILSACLLRSGQKAVGDVLRNCIELILELGILAGERYRGRMEEYQAAGPLEDLWARFSSRMATLMNRMDYYPNPPKHKE*
>EED78354
MSQSKKIQGKIFRGENGKNIDMTPSRSSSDPALTINIPKSSQTPAPVRPKLTKTDSASPKQEAHNAENRIFRDRLSEKLGDAYHGAERYRLDQDDNKELHWKRWGPYVSDRQWATVREDYSANGDAWSHFPHEHARSRAYRWGEDGIAGVSDNHQRLNFSLSLWNGQDRILKERLFGVTGHQGNHGEDVKELYYYLDATPTHSYMKFLYKYPQKAYPYEELVEENMHRDRNVSEFEILDTDAFDDDRYWDVFLEYAKDEQDPDSLYVRITSYNRGPEPATLHVIPQFWFTNYWSWPAEKPEKPLLSSSSNSVITAVHPTLGKSYLYCLPSPPAVSANGSPVGETAEDDDAIEPELLFTENNTNFHRLYGGQNETPYVKDAFHDHIIPAHRPPASGEENPGFFATKVRSNDAMRDSRVKRTGGTAESPSSEEEEGPRTPFPQGPSFINPEKRGTKSAAHYVFEDVPPRGGCAVVRLKLTKRKPGQDPTIEDEGMFDDAIEERREEANEFYSTLVMGPMTDDLQQIMRQALAGMLWTKQYYQFVQKDWLQGDPAQPPPPPERKDVRNKEWKHLYIADILSMPDKWEYPFFAAWDTAFHCIPLAVVDPAFAKKQLDLLTREWYMKPDGQIPAYEWNFSDVNPPVHAWATFRVFKIERKLYGREDVRFLERVFQKLLLNFTWWVNRKDQGGNNVFEGGFLGLDNIGVFNRSEPLPTGGVLRQADGTAWMAFYCLNMMNIALELAKHNSVYEDIASKFFEHFIFIADAMTFKAGDSELSLWSEQDGLYYDAIQFDNGHSMQLPVRSLVGLIPLYATLVLEPATINRFPGFKKRLEWFIENRPELSERNMANIKVAGRGERRLLALASKERLVRILEKMLDEDEFFSEHGIRSLSKKHKDEPWGMTVHGERHEVNYWPGDSKSGMFGGNSNWRGPIWLAVNFILIESLQRFYQYYGDELQVECPTGSGDYMNLVEVAEEIQHRIIHIFGRDVEGRRATNGGNPKLDADPHFRDYVWFHEFFHADDGRGLGASHQTGWSGLVAFHILQSGASCRLPRTPKTPRSLAHHYFDEHIDTQSEYGDEERSLHSAYSTADLNTLGDLSPDAL*
>EED78355
MAPARTSNRRAHRDQHEVASNVQPDPAAGGEPGLFTDPMLGLPLQIYVDKDVENREHFIELVAQHGGVVSPGYSSVQWICVDPYKESGQSLYRQYAGKKVKVVLDARWVEECVNRGQLLTYQTNWGNCKVTGQEQIREPIHPPAVVPGPSTGEIPQVMPTELAHPMQPPSPQVLQQQAQAMPPPPISATPADQLMHQAQHAYPYPVYATPMEVAAGAMHPPTAAPPQSWQAANGIAPQQTHMQPPPPPAPHMIAYRDDQGWNPAYHQPPPPHPGAMVAPPGPEYDYRYREDQRGWVTAPPEYYQQAYEPPYTEPDAYMEEAPQAGPSNQEPSTPAAQIDKRGRKRARAQPQPAPPASTLVMNRRNPPTRSPTPPTRVIKSTYGGNLFTADDVMYLKRYIEYCQEQGLVLSLREICERIAVKAPHHTFYSWRRYCNKHQIRLGGYAMDITEGDGNVGDEELVQEDPGQPTEGSSEIEAPMPIVGAGPGTIAVARRAAAADIGRTRSPTPPRALFRSTTGKGVAFTEEDVTFLVRFLEYRSRMQGGKVDMVAFWKDVAQKCSFQAPHHSRASWMKFYRRHKHELHHTETDAPLPPPPEKKMRYSKGDDVLLAKYFFKKPEGTSDRIFQEFARTHSHHPWKGWQEHHRIHKAKIDHLIQRLGNGESIDEQQE*
>EED78356
MSTVCCLSLLLPFLTPRAISRHKCLSLRDDYSSSAVLTIERWMGIRISYSNIPQLSVACFSCFPRAWYPWAISRLLSTFTPALGSGCCPLTFDTLIPKGYLLHALALRSGEHLLASTSANQRIYCRRTEGLRTLEITRPSPSPTMSPAAAFGLDAASNMSMFKFNRRLPPPRDELTMLFLRMTDIFWKVTLHDPFDIQHSSTHRLRRTTTTPRRTTLQPSDGPGNSVEDRGTTGSHSQRADSGRRLKASSPGGTSGAQAPGMVESKIKYPKKPTATTGQEMGRRQTSETTARWGGRLFARSHATANTCTPDWSPGSVGPLNESSLAKRRDYCVLGRSARAYGGNSTGETARPPRGGEVASVRGSMRRPTPSLRVSWSLGTEGPMGETSLAKGETANTTTLQVGRPAWGSGVRIVRAKSYDRQLRLKFFDRAWDRGACKRRTATIEFPGLQCFM*
>EED78357
MKCDGIRPICGQCICTDREMDCEYTDGPMRSPTQLLEDKIAQLESQIYDLEHPEETAPSVLLHRPRTSSSEAGSTDASISSAIVEEPRHNLIDLIQAEVLLAVYFYSSNRFLEGRYHCNAAIALTLSHRLNDMNSAQPQLGRDLLLPNDVFTSEEWNDAFWAVFTLDKVWGAVAGLESHFNGAQTSQVGIPWPLETMNYSTSGITSACWGVRAAKVLSIGRGTNTSADAAFILHAKAAALFERVSRLASQWKASLGSTPLTI
>EED78358
MYCHLSDLDVQKLAAATKLALGKVRLALSLVGYPEEVANAMTFVFGNTLICDDAESAKLVTFSPQVGGVRSVTLDGDIYDPSGTLSGGSAPSSSGVLVKVQELLEAERKLGEARGRLEMLEREEERGRVEREKWKKLARELEIKEHEMHLLEEQVGGSNATRVGADIEKTRQMIADLTTTVNLAKQKQKDAQAEIKKLEKDMAEFKDNKEGKIDELKADVSRQKAALQKHSVVVKTQQKELQTATLELEQVEKDIESADGNLAEARAGVDKLRKDLSKLTAQLASSESSK*
>EED78359
MDLATPSKTAAPRAPQPPKSETDGDHGTRDGPSVAAPFGGRLRVAAPESQKKTHRQQRYGQHLQLGLVARANQRDYRAMGRSPLCAVTCDGQHLHPGLVARVCGASERKLFGETARLLRAGSVGSGLWRKLHWRNSETTARRGGRLGAQFYATANTFTPGFVVARD*
>EED78360
MILVRPCSSRFFMDSAFLSYSSVSSTSGHACL*
>EED78361
MTDSPDGSESVGDSGDDSQLTIRIPNPKVYLARQSQWKVHQLNVPGSATAFYQLATIVPMLMGESASTRPYLLPLTEVYLDVTDVDFGISRNLPVCNHCADESETECNYTPKKRHKVPTDHVATKDRVVTPYTAKTASFLVSEGGEGDSDGGQTSGPSGGHAADGEAKPDSQNKQPPPGSPKPVDGDVPMDAESEVFEQIGPDGSTTWVRKIALPPLSSKSSSALGQLFQRPFVLDQGMIVTTPHIDPWMHPAFAPLPDTIIQTLASVNAIEMPTRHLYDEALFRFISGLSPELRETAAFPMDVYADVAHAITEGNVSNLSPRLQLWSACHHARSGSRKQHLLLLPRDAFYSMNRFDEERLRKQYVAQVDGDPVKPEQSTDSAMGTLDPGAVFERLPVQPQIYDILIYAHRNHATSHAMLYEAHRNGFATITWPIVELFHRLCPLCKMRSKGPHRAVAEDDAAPRQQAAKR*
>EED78362
MLGVRGLQDILAPLEGTNVKAVAIAGIKYTNALQCLYGAVRPSGLGLDGLAVVSDIVASHEPEAAARKLATVVHAFKSTIPHIFSLSQHPYTSQYIKENAAQLFPAIRRHGPLVQQITNTVVTTQSANATLALGASPIMANAPQEMADISKAIGGLLINFGTIQSLDGMIEAGKHANINRKPVVFDPVGVGASQYRRSSANSLLNTWQATVIKGNAGELAAIANSQEVRAKGVDSVGQGFKNPALFVRDLARRERCIVVLSGKTDYVSDGTTVVSLNNGHPLLGDITGSGCMLGTCIAIFCAAASMEATPEDSKLVSGDMFVAAIGGVLALTLASEKAAARDDVKGSGTFLPALIDELGKLSPEEVVALANVEV
>EED78363
MTIENPPVWAVGYVRNPSIKYTTSTGDVQLRAPYYVTQYDSIQDVISAFLSDYNDALSRSEALDNQLTSAASGISSEYSDLIALASRQAFGGIDITVSNATGGGWNTSDVMIFMKNIGIDGRVNPVETLYAAFPIFLYLNASYGKPLLAPLLDYQDSSLYTLPYAASDLGTQYPVASGDSSTSTMGIEQSGNMLIMVLAHARASGDGSLIEQHYNLLKSWTNYLVANTKTPNGQESADDQNTANMTNLAIKGIIAIKAMSEISQAYSEANDSQQFASTAAAYANTWQSLAVTSANGQFEHIAFSYGDTGSWALMYNLYADRLLQTGLVAESLYDAQATYYQDLITSNGAWMAFAAATVSNTTIRDNMISMAWAHAASNLTPGVFPTMYDVITGDVTESYANPSQGAMFAPLALRCNRGLTAYSVSNKSIVFPPSTVSHVSNNTHVNVGAIAGGVVGGVVALGLILVAFLLWRRRTRVVSHRDKDYVIENPEPLAFPYESAPFVPSHEAEPRRPTPPVMMSSKLREHLRGQQHQSTPSSSTYSITAPGSSREPPSTLGTGSDVASLSPNEIIGLRTEVENLRRVMQELHADRLEAPPEYEG*
>EED78364
MQAVSWPDWASISIKYSLISISSFHVLNKITDAYVSIFWLLAAYSCASLPGTVGTGPVMEHHSRFSQFNSRQPKVGPIANSSRFMESQTRVVDPLENFKKRPTPSRKPSASTSSLYISRPIATNSRVKQDEQYRKDMHLAFVNNALQQKANGISDAFDELLDQFNLKKPSDGPLPTAQLRLWILALSHVVSRLERCHSSLVEAVINTPWTTMDTVFVKSYISFIGMLISARPEYLFLVLGKISHGFTYQSGLQALDLELPSSSSSPLTRRVVYDRLHYLLQHLLSLVPTLPSTLHPLLVRNFPHKRQSQAAQVTYIRNILRITEYCPELSEGILATIIDRAIQIDVEIQVELEELEEHSASQDQEVFDIDPFDTVVGQEGDESDSDDEGDDEGDNFSDLSSDADGEVADDDIPPQDVPTNFQHVQSMVDKLDSILKNVFDYFNRSQVNTTSVPVTLPGTPSSRSDSGSSTPDIIRPPSPTILEDGKMLRRQQFHALLSIFDRTILRTFKSRYTQFLVFWYSSLDPEFSDLFQGMLVSKSLLEEDQPAVTRAAAASYIASFVSRAQFVDRESTRRVVACLCNFLRNRLDILDAVTNAGATPPSMAHHSVFYAVAQAVFLIFCFRWRDLLEDQDDVDEFAAAAAPAKKWMVELQILQRVVTSELNPLKICSANVVMQFARVAQATDFIYCYSIMESNRRSEYAPKPPTPTKILYGMCIPVDIRQI*
>EED78365
MTLGGSLFPGALGSMLIEILPFLRGIASSIQQKLGDDSPSLIPTVMAAYAMTSFLTGFVFIALGALGCGRLVDLSSAGTVLFGIHHLPLLFASVLPAFFLSLSIRSSGLEKLTRGFTQHALYVPVYVLVIAGTFWVIVAATGNAGTAGMAKLAAQGWFFTVEESVRKQHGIGTSWNYWKLYDFHKVEWSAMQSATKDIVLLVVIGVLNLPIYIPALALALDMPSYGMNHELLGHGASNIFAGVVGSIPNLVVFSNSLFFTRAGGGRFEGILVILLTIVLFLVSSLILPYIPTLLASALVLFLGIELMTEAVWESTKALLWCEWSIVIGTLLACTFLGFAPGFGVGIALAMVVHLGWGVFDSRARAFDLAEMQQQYQLQPHHRNPYSRGTHITAGSSTAHPYNSLGFSGNDVETIAGTSTMTKKGMEDNSSVSSSVDVTQDITVVRLSGYAFFATIPSLETNLKPKKKLLKESFILVDLSMVHRLETSVAEFLERKARELSLKEPKTTIVLCGISDRSGIAHDLRRGGANLLWIDRPAAEGAKGVPACKDIKEAIHWCASQAQRTADSRETRVTIISDADNENSEGVVNTLSTPDVLNEFSSQFLEEHLEHVYDNLPLSESNLADRAQAAGIRVRQCAPGDVILRKGEEVTSISFIVRGCVLYENDAPSSDPPILRVSIKDTILTSVSRAQESTKAVVRRILRRKIDESLLPGDTFGFAEVTAGTRVSWSVSDRVVAARSTSCVLLEVDSANADGLAWATRAIALLREKKIREEKMLKAH*
>EED78366
MPAALSSTKSAVDASSHRRKTRIVKRRGRAVNGAESDDEIVREITSDDDTDDSSVDSESETESLSDDHHLNGRSEVVTPSTTQSPPPPELDGRVSLANGITPKQVESGPFVATTDWADMVASEHANGTEDLPVIDFADLGRSPLDQHLVSAPRSRKVHKQGKRSDAQRSTSAPPAPPLASPPAHHVEEPDGDDAHEQLEEATSFERSDEHRQNRSRGQTARQAYQQRLETDPSFVPKVGEFWGHDDRLLEKDLRSLSGWWRGRWQSRGRGRGAFTMRGRGGRGGFAGGRPPNDTDEFGEEQVDGAEVVDEVPPVEKTWTHDGFEEMKRRDEQRRQRVQDQQQPARFGPQRGFAFRGRGGFFGGRGRGGWNPGFLGRGTADRPWFAMKPEREWTKHHEAFLYFDPALKPRPGQGPGYRVKLPGGPEHIIRGPPRSYPMSQVGFEDPSEFLQDETEKIFTVRIPPRAGKEKAKEETQEVPAEAVNVEESATTMAELSIEEVFTVRPNIVPNRRIEIPIPQKQDASPPSEPSAPPSLPPPSVHPMSHASHSTPSSQQLDTRGQQQHISPLSLDPLVLRPTASSPSPIIKETVLRRPSLSTQAPPTASPSTEAPRPAPPALHPLQTSFSPVPQTPPSYNPSYGYGVPLPPGVAFNHHGMPYELATGRPVILQSTPPPMFTPRPMMHGHVPHPSHSYVPAHMHHHSTVSPDFLAHPHTPPVGQFVDPATGVPFFTPARQSSRIEIRAPDGTDGKKATRPSGLRTSMSSSEAYPDAQLYQPTDMGTPQALDEESIAAPNGLQHIEQPQPLVAEQPMAYPAYQPYYYPDAYAYPTYMDMSPQVMHYEMYPPADHRTPQPPMIYY*
>EED78367
MDVSDILAAHAEKQKAVAVEKDIPLEVDAGFLAVTDLNPVDTESYGEDLEEYLQSTARDGVQALINALFALPTTPSADGPLAQLPPPTTQLPRAKHLPKPKPPTKWEQFARAKGIQKKRKDKKVWDEEKQDWVDRWGWKGANKKEETQWLSEVRANADVDHDPAKAARDARKEKMAKNERQHQQNLARAQGASASATAPAPPQERKKQIDRTLATTRSSTASMGKFDRKLEGEKKLKGVKRKFDPTEVSASNEKSHNLAILQGLDRGPTAKKSRSSGDDVLNVRKAIRVASKGKGSAALSREGGGAKSRSNKGRR*
>EED78368
MQGATSSWPLHARDKLRALNSLDADARHIDIGVDCEDWSFWVRDDGVGIDRKGFDVLAGGAEVGRYGTSKAYTPASLDQVSTFGFRGEETFALAFPHISFSLENTPFRHLYGKALAEHIDEINEAFDEEGETSLPRSNTRRSPRKTEKKPAYVLKLSIPPQHVDNCVEPAKTVVQLQNTGAVTAFLASVVESFLIRHGFVAQRIRQRNDSSCRTLQTDMHPPRKRRRVTLSTKRSHEQDTVRPGSPSFRGAIEGLRVATTALPLTANPTEDDDAPAQTTWTNPDTGERFVVDTRTGNSYPAHNQHKGRLPLHRRRTIAIKPSTRISSSKRGEDLEGIEDMPDWIRTALQTNEAYAIPEPRIPALPHLAAFSANAHGCHHSLNTGGPFTQRGMQHGNWLDIGSQPTRPGRFSRTALRSARVMTQVDRKFVACVLDTGEDDSDVEVDTQRPGRALVLIDQHAADERIRVERFLKDLCLGFLHDRYSPGGSEDCIRVDVLETPVPILLTCHEARMLTVEHTRIAFERWGVNFAGLEQLQFEHQDSGQGFPLGETNMERDYMQVMVAGIPHIVSEKLLAGDELKNLVKGYLAKLEAEGIPVLAPTPSSTQGVLQGDLQDDDESWQRAMRWCPRELLELVNSKACRGEDTLVRDLARSSFFFEVPSCSMIRSRWSNARAWCKILQALRYPFSARTEDLGVSEGTGGDTHNEQCSHARSRPIDWCRLR*
>EED78369
MSAPSPFTVAHRRYVQSLYRRYLNNELNWVARRDIWRGRAIAIRAEFERNRNVHDPRELAIILEKAEADLAERQHPDPYR
>EED78370
MSTTVTITSTENDSVSESIVPPEHVSVLEQLRDFRQKIPRELLAKYERPTTTLAVSQIFGDWLAAIIGWQAYVWRPNAWTFTFALLLMAWSQRGISNLAHDCNHYNLFKRRILNDVVGDLFLAPAHMSTVRLQRKAHTAHHHYLGTKDDPDHGLHNETSLKHYRNGRFDHKTIPSLFLYDLLDPVLFYYNAVGSFFEAPGLLIGWWTAVALLAGFFEPQLSFSPVPFGWRFLALWHGARCTITYAIYVLREIIDHSGLPSTTILEFTRTSPCCRLLQKFLQPHDDNYHLLHHLLPRVPMGHLHELHEWLVANVKEYETANRYTSYFTGDDALFLQTIHCY*
>EED78371
MDNSRPSSPDALPQDLWSSILDSVSSTRSIPTKQVLVLGEPSSGKSALVSALLQKPPADADKEEERSDFALGYDWADVRDDADEDTLARLSVYTIPSSAPSYTSLLPHFVPPRTCLPHTVVLIILDWTKPWTFVEELETWLQWVENWTKGDGARELEITREENRERHLPLGPGTFTHNAAGVPIIVACTKADLIDENNDVIGAGTSGMGGMVKGKGGEWEERTDGVMQVLRTVCLKYGAGLFYTTPLPDTLQVLRQYALHLLFMPPASSPGFSSGTEAPAPVRNPFPFQQKPNTLDRDRIVVPAGWDSWGKIGVLRDGFDAKLWGEAWERDLEPMEGETEPGAKRLYSSLVPDQGAKAGPPPLPPVNNPTPEQAFLAKNYDENSKKPDRDPRGAFRNPNETVATGIVGPMGSISFALPNVERALTEMEGVAGGGGSTLGTNGGGDAAARKLTTRPAARMQTSTLAVPMAQSTSRSPAPSPTLTASPSTPGGPTQHEVLQNFFQSLLSSNKERSATTTSASATRTTSPKANGNTNGADEGT*
>EED78372
MNSEGYNNSGGGFGGQNQGGQGFQGQGGQGFQGQGGQGTQDMGGQGTQDMGGQGQGDRGGYGQGDQGGYGQGQQGGYGQGQGQQGGYGQDQQGGYGQDQQGGYGQGQQAGGGGGGDDNYGGGGGGGNNFGGGAQQGGLGQGGYDQNNQGAGAGPGGKPSMTHRIKGNYTLLLQTPMSDTDRVLYAGDLQEIAGKVTGNQGMVQRGQEEKSGY*
>EED78373
MITRANRYVRVLASPDVESMRQIASSWPKSKSACYALCISILPDWRPSPVGKIWVTFGFCACPDEYVESKLVIMYKTLFQRCTFDEFWHAYDESSLMDLFDRHGLKEDCLCIPNLEIVLEGSPLVFYSVWYLKQFAVDETERVVPRFSVFMDYGFNKCLSPSLVKDLKGIYKRLFLEARVDPVKLHEACVAGNLFKFASGFVKINKGQRKKFARLMKNLYPLSTYQVRRWDRDLHVVELEFMRGWDIELRVVDPGVVRRWGKILSVVILYTAYVPVHLHACTGNGPEIAIQNPSTIE*
>EED78374
MFNDEGLGGITAHIRNKQQAAPDESGIGPWSEELEHLVSGAQSRSRESELAMEMRLERLRLQEALCARDAVAKHFTTACTSIREKTATIERLQYEKGDLEKQLKLLNGRQATLYAPNTTTQIAEDKRKLVTEVARLAEVLHGMQDEISKTSKPDWEVSVHRILSLIHDSHSLGALRDATNDGPSLLSPMSPSPAMTRSPRTPQAELATEFHRLTIEDSTRADHTAHADGPDAMERIRARNATLAALPLPSETPPDVLRPIFIPSPFTFQDFLGTTTVESTTSWCPEREEHGYFLTPMYKCHTNPRVTTAHQWTAADIDTKLDKPTECFYNKDGKWYYAGVYKAFWLAQLSTQEWDALSTETAQALIKETLAGRKNTSAQNVYETGQLYAAGALKVGCIGLQCIGFNQGLYVMVMEQAGKCGQTGRWRIGTSCGGHSPGATWTTMTMPLSPNIGSAIATSGARMESKLDHVVDDRSRKQQKAWEEHDPLH*
>EED78375
MRSRIIAPVDTMGCDRPQLEETTPQNQRFATLVSLMLSSQTKDEVTFAAVCKLRAAIGGALSVDALLAADDSAIGEAICKVGFWRRKTQYIKRATQILRDEFNSDVPKTVEELCSLPGVGPKMAFLALQDAWKLQVVNVGIGVDVHVHRITNRLGWHKPLTKTPEETRVNLESWLPLELHPKINALLVGFGQTVCLPVGPRCDTCELSNGLCPSARKMKTKTKKTIT
>EED78376
MSMICHQASGVRADADVAGAGAARPVTEGSGAADVVPEGVVMTVSTRSERQTPSPAMTLLEPLQPAATQVPPRRTWLELEHARQALGPAPEQLEQLESQDWQEEDVVSKNCDWAQVGRQRPFVSTGRSELQLEHWLKEPPEHVAQSGWHARHEPEELKVLDGHEETHDPLDASLLLAQVKQKVDDPAQVLQEESQAMTEVLVHCSYQNLGKHTGASQVIRRAQELKFGISHFVHLAGQPVIYGDKKYPVAHFAQAVPLDVVVHPALHEQAPSEPQTPLTQSQLDGGLLISGTRHFPVPCKPWSHFSQPAGQGWHVGPKNPSAQDSHEEPVKPVGQTHLPAVEHTPAPAHGGEHAEDCISSSARGLFSVLDGSCDTSGTESQKMTRSFEEEPANDAHMLDARAKEPAELCVCLELFAEEPLVGNAVKVAWPEKFALGYNAIPGCSSTFSGSETDGLAEKPGDAESEALEDVRISWRSAGLVVEEYCPGSKRRLVVAPDADRVAPTAKCQDAGQTWEQLGKLTRCVRESFEALAGNADDRHGLRSCVSWVLTNRRAVKEWDGKKAREHVVQAKGGREWREEKDEQRGKASSAPEIDCMDFIAMVRLLLTMLLSFASLLYSTHCSILGKRLAQFGNRRPQASIDALTLSKDRSDKRSCTSELELLQITFIVFLR*
>EED78377
MYMQYLRAGKVLYSQTFHGYPLSDSNQKRPSSVHLLPKKLAHQSTGTKGMCIASSLGKRSRRLVRMGHGYNYGNGEETLYYRRKSWRDIGIEFLEEHDGCATDILLPQQASSGLPLLQRGERIAMSPEPHIQTSLSEDVSTEGQSSVVPERCEHSVEHETMLHANFVTQRVRVPQEIYASKDRTFAPRVISVFRLHGAAGISLAAILRGETEGLEERALPALPDDMTSTKVSLRILWPGYEPFTKQITVRAGRRTVSIDRLVELVAKVLGELCQKRALVPTSDPVWRLGPGSITVDDLTLVGILHVSRSSIQPYERTAGVVFVGRIDDDTYQLYSAALINGVALFPIQAFSRLNEAQQAFLKLVYWSPQPLWKGKFQTERSSTRFYNLEKQKHICFPSQPGSANMDNGPAAAVDLEKACRENNGGAVRQDRWRNPRRRLKDLRPSLKIGSSKHAEVDTIVGNAIVDKTHCCKEMVYAVTAFVIKSINIDIDADVEG*
>EED78378
MSNTIVPQPQMTVPAQPGLQHQPPQIQKKLLAIGEPFTDKYFTWKVEGYDRRQRLRNDPGRESQQPLSTVFVPSSAQPSLPLGEQGKARTIPETREPSDAQIHDGSRGSKYDMLDLSSDRSSGRDAIPSRGQSAALHRTRTGRQRDARPTAPSARLATRECSSPASPDPVMQELRRTVRTTYTPRRSYPLRHDADGEGRLDGRSQRRATRPTLPPFGHLVRTTASEDAAQQQPQHHTGPSSLSAIRNAAGGCHREDDEALDARFSPSTGLCSFFLSSFYEQNAQQTIATSNDMRYKLTQELANVGQRTALVQKINVLRATHENARARYTITHDGFARLKHQVPRNVGRLFCGHHAGLGFLAIQSLWSSQRPDESCHSPRIPSGVSSGTHLTSGSYRHTSRRPAGKPEGGPDGEVPEPEPRICTEVEIDIIYASEDIYGTTGVGAVEIKARAMIGMGSGRWAGSCGICGIDMDSYEAQTPFSHSTRQRALWWRRRAEA*
>EED78379
MAHLWRISGLLLILQFTSVADHANGATLAGQWPMPVVPKRAFTFDRPVVDRNGSEIPPYNTTYYFEQLIDHNNPSLGTFSQRYWTTWEFYEPGLEIFLTNQTIVGQIAQQQNGATVMLEHRFYGFSNPYQNFKLWIRFPKGLTLNQASASSQPDAFWAGYSSSGVVEGIINFWQYFDIPRQYMPQNCSADVQAVITYIDETFVNGTTEEIDAIETTFGMNLTHLDDFSYALTNPLAAWQNLQPDGGSDQPFFQFCDALEVKDGVNASAEGWGLDYALQAWGSWWQDVYIPGYCGNVDVEWVAFSPSCFGTYDPTNPVWTNITVNNSQRSWGWIVCNYMGFFQGGAPEGDPTIVSRLVDPAYYEVCLPLHAPHAMGLRDSPVPSVGAHTISPRHSRQQLRQT*
>EED78380
MPIEIFSLIIESGFEELHNLMRVRFVLRAASVSRTWRQLVLSTPSLWTSIPVRLTYRPPIAFLPTMLERSRALPLDIDIDWVHTVPNPRRPELQYPPEPEAGYIHDVMNLLTAHMERWRSFAMCTDYDEPETNLLPLLIGTAPVLKKFHLYCMDIFPSTEDDDDAVDLRRFEAPHLISLHLESLPSDEDLARAIKQFPSVSEVTWEEKNEPWLRYEGLQLMQIFQPLRALRCLTLSALGFEDWDAWPPSDTVAQTSLPALEVIEFCDTAYHILGDILANILAPCLRQVIILDAQHVDDIGSTFQGFWNHSTRFPRLHSLVLEVSPPSIGCIPDAGNQAETSGSEGVTRLETLAVHSPMPISQPDLVDFTQNVSKFTWTPTKPDWDINKDRFPDQYCAMELNNGLVPAADRIPFDMLQLIFEEAVESSDPRTRMRFSLAVASVSRTWRATAHNVAVMWTTIYISYLTPPPIEVIRQMLQRSQARPLEVYVDWVLNQAPSHDEPGVYWKPRVALAHSAGPHNMSYISKAIHLLTDHVRRWRTADVMWTGPRKCSFAAVDEIFRPLSLAKADQMEQLRLSGLNLFHYTFHAPRLRTLTLYADEWLSYDYMQRVAESCPALEGFTCHEETWDVALLFPADLWCLWQDGYVDSSSSGITAPQLRRLAVESCYPYVHHASLTQYCDRFPLLQHLRYRITLYSEGFNNVAECRLFCLFCDFFRLIEVVINKGLDGVLSTLLSALDFGIQRKSYFQDLTTILFCWDDDGDINQELVPVIRELVRNCMSPGSKYVRKVHGDDELTQDEFQWLQENVREWNEQAAEHSVGEGQILLALHASVSNLYKWAQYSNGK*
>EED78381
MQNAQDNLDEPTPTDSAPPHPHPIPLTANQERKLVDYLDGQLLEVTRNYKKRSQPSTTLPTLASYLTATHTILSLVLQIPPAGPSSYLRTSFLLRLTGEVLNSISGYTPDAEALSQLLSWLEDLDKGWLAVLNGETWDTVERIGIETSLPDEPARSTIVSQTDRTRLRSILIAGTNRLEEWLAELEAPDQNFQSALDKLGLQQDFEDLFSRTLSAMGSLDGSMNDPGX
>EED78382
MASSRKKSKATPARTAGAPRTPPRSPKSTAARLEEIVASTGSTPASAKVSAQVTHAVLGTEGKELRKKYQQRLNNEMVGHWVQVKKRDFMTDHVPGDEPSEEELAELKSVCFDKKVFKGNDESKMYTIFCKGAKKALDLCPESGEKLVAKNTSRYPDQNNDDEGGKHRPDVVLYPAEKTVQDRYTFTTKELKKIAAKEKQAREKAHGGHTSTQQELSRIDAEERKKYLARTAWGWASLIVEFKAANSDGHPFSTSVSPDKWLPNTELSAEARGQIADYAATVLRYQPRCFCFMIVISGCSARLLRWDRCGAIVSEPFDFVEDPELLMTFLYKYGRMSQAQRGYDPTVQEATPAEIKTMKDWKKKAVKTKRMSKYHAQCFEAAMGEAWPIYKVIVPKEDVVCDASLRPKDAGGSSTDSNTATSDHEYLFGKPLNTTRSPTGRGMTGHAAYDIQNRRLVYLKSSWRADLEKSHQEHEIYIRLWQNGVRYIARPVSGGDVRSSRYSDDSVQRTLTQKYIKAADPKSEAVGRVHYRLICDQVYRPLETYKNGFEMTEVLCYAILAHRDAWEKADVLHRDISVGNILLEDYIDEEGNPQVRGILNDWDMAKLRIELGGKPTQASRSGTWQFMSALLLKYPDQKQHEVADDMESFVHLTNWLVLKWHKHEFSGQTRRLFDHVNDVYDAFSRYDKFDVGGENKLKQMKCKDAPFEVVHSPVLAQLMESLAKLCYEHHNAIKADVKRMGQERRKKNVQIPAVQTSNVNSVGRYKNPFASEPGVYLEEYVALVEADQAPEPSKRVMDDHAHLFTIFQRALLKHSDSRLEPDKTQDQFKPFADRFTGAQTRYGSMKRGPDHDTEHEGPSSKRAKGSAKNA*
>EED78383
MSDVVQRKDRLAALHGLYQSYGRPERTAPQGIFETLDEALMSQALHLKDNNNRAHHLAGTGILILVKASSGSQTIEHSIATDHRPPLPSLSTTDLLSNTHPTFLAISKRPQDGGNDKRTCLRGPILRNAAQTAGAECEHRRTLYILGPQTLPRWTASMSADTVVSCCNLNRRAIDVGKEGNRLRVTTREIRRVGGYNRNTSSTIAWTLGSSASMLILAYESDCDGNHAPHRLVGGDNQLDGVLPSGKPGAEAAVELREDLRGWIRSSLGLLPQIGDPPGHQVEIFDEMTRRIVDVGVKDSNEDDVKRSTKTLISISSGAEEFRERDAGAVKKLEDVFQIREIYTRYAWSSRTSDHGSSIAMMVAVSTLSPNPAVNRSYGDEMGFISSHACQWRVHANYLWRAHDALGAAVLAALDGDKGIGLNVKYSSQFVLAECGLAYLAFKRSDSGSEAHGRGFTRSNIRPDEHMQQYSCR*
>EED78384
MSNTIVPHPQLTVPAQPGLQHQRYQLITWKIEGYNGGQDPGEEPQQPLWTVMVLSSLPPSPPLREQGDALTILETREPSDAQINDPSRSLDHDMLDPPSDRGPERGVVPSSGRPTTLHRKRTSRRRDAPSAQLNTQGSSSSASPDPVMQELRRSVRTTLFHADIQNRFHKKSETIDSRQRHAVQASGHIIRTTGQASGEVNAVANRSRQSASHAMPTSGPGTDDVGQDNLRQTPCLDMPDLNEPRVEDSENVGRMPRDRLRGLLKRAAPRGQERARKLGRASSRGPEAPIVVYNDRARLSLPFGFPADLVADSGVAEGTMSCSCSRVGFTSEGNPEPMQVEASCSHVTKMMPYIIP*
>EED78385
MIDTQETMLHAKFVTQRVRVPQEIYASKDRTFAPRVISAFRIHGAAGIPLAAILRGETEGLEGLALPALPDDMTSTKVSLRILWPGYEPFTKQITARTGGRTLSMDRLVEVIAKVLVEFCQKRALVPTSDPAWRLGPGLITVDDLTLPDLYTCTPGKFQTERSSTRFYNLEKQKHICFPSQPGSANMDNGPAAAVDLEKACRENNGGAVRQDRWRNPRRRLKDLRPPCTCLVHWLTVPNTESEDWELEAC*
>EED78386
MTHSKYRNLPLRYSLLVLPYTPAGGLLTNSSPPVYQPLSDFDYQSLNLALNQELIELDLFHHGLARFSVQEFEDANITAADRYLIEFMADQEVGHATLISNMLGPNNASKSCNYSYPFQTVHEFIQFNEFGSLPLLNYLVTRFGEAGTYGFLEHLDSRASAQLVLEAITTEARQEMIFRQFQGLFAMPFWFTTAITQSMQWTLMAPYIISCPAENARIEWQNFPALNITNTPNITELTNASFPAITQNHTAFTSPGQNISLSWESPGKSVGPNNSYTTNTTAGEPKFAAWISQLNTTYTPLTNVSGHTAYTTQPGGEIYGNNTFSTINGTIFVVITDSDPYVTPANLSFINQHVVAGPAYYFAG*
>EED78387
MAKTASRYFNQEEDSQGGQSRCQAREAQPFSLCVSLATVDITFDHGSMSLQQFADAFLPNSPSTSPSQILNISSGTPSFMLFGQNPPLPMSSLPAPRIHRLIPASGPTFGGIEVTVLGANFHPTMQLNCTFGGTPSTSTQRWSDNTLVLPVWFDGLQKEEEGSLPCLFTYTDETDKALMELALQVVGLKMTGKIEDARNVAMRIVGNTDGDANSGMGTSNAMQLANEVGPTPDFRHLLLSRAGSDGDFERVLIDFLSILDVPLDSAALSVSSSISHSTANGHTLLHLSTMANFPALVKFLIAHGIDLDARDKNGCTALFLAAVARSSECARVLVQAGAALDVVDALGKTPVEIVPSGFFDFTTSVGDVADCDTQEEEEAAWADVDSEDEMEARHTPRRHVDRRSGLRRRRSAHLPSQDDQKRQDDTVARPLESKKALEAGMPDEKQVASFMEMLSRTLMQWQHPQDMIANMQNLPLPHLPNLPGAWNALPQMPAVFPVLVPIPALSALWGDKRGEGRGQHGEAKGANGQPWRAIPTSQDWRAFVEKWTTQATLSARQAEDMSDAPPAYTPRSADVAEPIPSSSKEKEVLAACSAVASRSVVDRHVSRAVGYQTVPLPEEEMQAFAYRPHKPSRKQKKQSGLSKLEAMRPLSDHDAKNLDKDFTKWLDCWRNTLTTIAPFAFDLANHPDDRLATHCMYIEVERSPSALILEQSFKMLGGTIFTRDEVVERFHELDATEEQIEDWKNDNRGDHTVHIIIQFYDLMRFLWFSLIDLSEYKEDKKTSAMLAAGSMLVTASRTSFLANLA*
>EED78388
MVSNVGSRRTRKKQAVTIRPNERVRIEKKITFEESERDEEEFSIDDDPAAGIDDGDRNQVHLQAALAAQSVGKTSTRTDTTAQIPIPTCEGIVVNYEEMYTSPWSDPDTYLEFSDTVEQSISFAFFGGGVYYMDECDKEWLHGVNAEISRNKRRSAMRTTRSASDITEDEFEFIMAWFEWSATSKENPNFVPDSQPLISSDSGVSMEDTGASLKWNINDEWLKDRLSPITKFASSKIPNWIYSLRSERVLHLGQTLYPHWHRRRLEKITQAYRKHATVDEEQTLVATLWWQGYKGVGI*
>EED78389
MSLSPSTSAEYDHPTAKRRRTSFPVGGSARADAMAMSPDNAPPGLSAPSASRLSRLEGQYLVMQSQMIGMQSSLDRILSAIHAQSQQTAAIVQHSIYANGAPPPMPPPLRNSVDVYGSPGPVDGPSRPVPRSFPPLPGFAPPPHKYATYGIVPSTAPSSEDESEDTLPRSTLNAPIEALQGLANAAAEAAAVAPSASPPRVKKRKKAEPTPRNAFPHVVEKPGQNLVSDAEARELYTIFFSGCHFFIPCFDPSYDTYEALMERTPWTFDSILAVASKIRSGTGPLSPTFYKCLEEAQGIARSSLFGPVVRKEAVQGMLLLAAWSTNGWLPSGHAMRMALDLGLHRALEKLADHESAKKRTEEERILAHCLAFPLPRDV*
>EED78390
MFYKCLEEAQGVARSSLFGPVVRKEAVQGMFLLAAWGTNGWLPSGHAMRMALNLGLHRALENLTDHESAKKRMEEEERAEEEERILGMPVLQRATAFVASPHSPARLTHTRALF*
>EED78391
MASEVAALMDDHQQAVSLGRHVDRVLFDEVPFLPDARYVSVDIYDHEKLRIDAGAIHGIMEGSEFSIHQHNHRGSLNPVLATYSAIEVYPTCRRASSDLKIIDDAARFHLHLHRRNPERPLRGLVSMEIFQLDASTWTRISGNLLVNGKAEIVYDEKATLYTIVLHNRSDYDLWPYLAYMDATGYGISMICHPDLSSSTDPPFRKHSQMAVGEDPDDSAFTFALGDGAEVGAGFLKLFVSSTFTPMTFIEQGPPSVASKVPRMRKARDTQPTQTDLWDSVMACITVVRKSGRER*
>EED78392
METPAPGPLPTLPSLHQCRWGCKGPRPHSQTKRPLPPPSGPASEISTTRRQHK*
>EED78393
MSQQQTAPKPSLQGVRIRARKGAVKAHAKHEPTVFRDQLLKHLETVPENDFEGFTNKLVQAGSTLEYLKYADVLFEILLVGGLLQPGGSYLDDGAPISPFSIVHAKEPVEVADIKQYVEVLNKLIRRYKYLQRPLEDTSLPTLLQYLNRWTDAQKEKLAIATALLMSQGLASASCLQSLTKDHLVKNDVALNAITTIFRAYLTDQAMDHLAGALRRGGIKDLTLFFPPNKRDDKILDAHFRQAGLPQVAEWWTKRQYASLKEGIIKTLREMLEQGVPHVEIVAAIKTRQEEQPLPDTELVQCIWQGLIASVEWSARQDQNEALALREISGFADILEPFCNGAKTEVALINIVQVYCYEDTRIIKAFPQILKVLYNKDCISDQAIIYWHQKGAKPQGKQHFLQAAAPLVKFLQEQDDSEEEE*
>EED78394
MAPASSPSTVFDTFLGALQGTISVLLTCFAGYTASRHRLLTRQTVKHISTLCTTLFLPALLIVQMGPELTPPSLSRYWIIPAWGLASTIVGHLVGWAGQRVLGLKHWTIIACGRPNSNALPLLLLQSFESTGVLELLARDGDTVKQTLHRGRSLLLLNAIVQQVFTLQLAPSVLARDDGHHKADRQRSNILRPGPGRLLPIVQDEERVGLLDDPDTEAEQRPEVLGDALDPIVDAPDVHWPQSIAAFEKPVKKVWSYMSPPLIGAIIAFAFGMIGPLHRWFLDEDGVLYASVTQSVKNLGDIFVVLQTFSVGAELALVPSSHPGYLPTVWVLVVRFALMPALSLLFVWLTAGRGWYVSDPLVWFLLVLLPAGPSAMLLVNVAELVDIDQGPIAGYLTIAYFLSPLMAVVCSLGLAVVQRAQERV*
>EED78395
MGLLSLGTPLAWDDAKQHADHVRTHGITQFLNIWSRLKDRCGDELLWGDEIEYMVISFDNDAKNAKLSLRQTEILAKLTSITNDIASDRGESDSVPTFHPEYGRYMLESTPGSPYTGSLTDLLSVESDMRYRRRLARKYLKQDEIPFTLTSFPRLGVPGVFTEPYCDPADAVSSHSLFLPEEITNPHARFPTLTANIRRRRGSKVAINLPLFIDDNTPKPFVDPAIPWQRSIYPEDPGSEERGLKVMKSNKPRRERGADFAVAAEREPVQDTEVSLQQRQPEYNDIYAPHDEAIFDRLRKHGIDDPLAKHVAHLFIRDPIVIFSETIDQDDTASNDHFENIQSTNWQTVRFKPPPVNSPIGWRVEFRSMEVQMTDFENAAFSVFVVLLSRAILALNLNFYVPISKVDENMARAQKRDAVRSQKFYFRKDVFPPGSSRASSVCSSGASSPVDGPGGTQQKERKLRNCFPSIPRPVDGFDPRPVEDEYEEMTMEEIMFGKYGRFPGLFGLVYTYLDTLDMTEEERFKLEGYLNLVKRRTDGTLKTAATWIREFVRSHPAYKFDSAVSQEINYDLMVAVDEIERGVRKVPNFLPTGV*
>EED78396
MAEGNQHGKMTAYMADVEIADADPEATQKELREAAAPFNRLSADVILRSSDRVNFRVWKNILAEASPFFHDLFSLPQPVTAASGKQQDEDDSVSVVPMTEDSVVLDKLLRFCYPIRDPEFASLDELRPVIDAAKKYLMDEALQLLRTRLLAFASVSPISTYAVAIRYGLEEEARHAAKCFLGHAWEWDKIYVPELEDISAGAYHRLLEYHRRCGELATGLVKIFRWIDSANAWVFLECSNCAGDQQQWYMLGDVLRTPRTWWMQHMERSGKLLENRPSAETIRSTGWTDQAVLDAMNCAVCKQKVVEHMRRFNEKFAAELDRVVSEVKLEIRM*
>EED78397
MSEPSKNTMSGNKPMNSTFQDPTAFGYSDLGTRTRSDNTEAVSGTTGSLVERPVDAGARHEYANPAPSSLAQGDGDPVGKQVLEEAKKLPGAEGPVVKGNASAVEDAKQDVGFMEGQLSTVATQTRNY*
>EED78398
MSTEDCLTNRLFGCAIGQAILYYTWYQSDSSVTKLMGPNQGYLRILDSVRNIWGSQNHGDLFNFLIVSHSGAQLYQLTEAIMIVLVQWFFVRAIWPSLVYNLWSRDLLVGAIQDNEIVIFIAICTSIAADLYIAVSLCLVLHGARTGFRSVIAMIFYLPVGSIYANSLLAALNIRKRVSEAGAAAHNSVVVPNINTDHLGLQNHQESDELHGLPDSQPTADIELADNAESAAQWRLA*
>EED78399
MPFAYQLPSDVSPATVANTSSLLRIIALALISGAAIASRLFAVINFESIIHEFDPWFNYRATKVIAEKGFYEFWNWFDPTAWYPLGRVVGGTIYPGLMATSGVIYSLLHAINLPVDIRNICVLLAPGFSALTAWSTYMFTKELKDESAGLLAAVFIGIVPGYISRSVAGSYDNEAIAIFLLMFTFFCWIKALKIGSAFYGSLAALFYFYMVAAWGGYAFITNMIPLHAFALILMGRFSSRLYVAYSSWYAIGTLSSMQVPFVGFQPVRTSEHMAALGVFGLLQIIAFAELVRTHVSSKQFQSLLYASVVGMGITGALAIIGLTYKGWIAPWTGRFYSLWDTGYAKKYIPIIASVSEHQPTAWPSFFMDLQLLIFLFPAGVIMCFRQLRDEHVFVIIYAVVASYFAGVMVRLMLTLTPVVCVTAAVAFSVLINALGMLFFFVMHCTYVTSTAYSSPSVVLASTNPDGSQHIIDDFREAYYWLRQNTPETAVVMSWWDYGYQIAGMADRPTLVDNNTWNNTHIATVGKAMSSSEEVAYPILRKHDVDYVLIIFGGLLGYSGDDINKFLWMVRIAQGVWPDEIQEPNYFTPKGEYRVDEAASSTMKNSLMYKMSYYRFAELFGGNQAVDRVRGQQMPKVGPTLDYLETIDTESNAGAQKE*
>EED78400
MARTRDIDQEEEKGCPYCLVEDGGSKRHARLVPEKAGISSSVANIAPAAPAYPFLSQPPPQKKRPTQPLPTTPVQAPASPCKPVANRRRSATLSVIAAWAANETVSKAKKSKYAKYRPPPLAAELALAQLADGGKMDDHIRRFAEAQARAGGAMVVDGKLVGVGDVWRDGEGGVWRDQDEEWEYAHLLGGDDQLRASDGGWERFGSGSASNSADGEEHRGSVSSQDSDLDPRYAMQAEGERDDLAVFGSAVMPSAMRKPGMSVLAIPTRHRRTAPHLRKPEFLLDVFPVPDNADAQPLSQLSSNGQQTRATGKERRRPAPLTLPPPSPASKAPMNPLDDTRKAFIENSFAPPPAPSPATHRQHHQRPVEPPRTPARVDSRPTTVRKMAFGGKSTIKMDMRGLLKVMGGKRDI*
>EED78401
MSLPQIQKAWRATAKGEPSKVLRLDDNVPVPKLNRGEVAVKLLIHHHTAAAVKERKGALAQYASISASHIVRRPVNVTPTQAAGIPLAAMTAYQALFDVAHLKPEQHVFINGGSTAVGGFAIQIAKSIGCKVVASASGKNESYVRSLGADEFVDYTTGPLHATLAANPPSQKYHVFLETVGLLETSLYTSSEAYLAPGGIFLDSAGSPDLEVSCYLDIPYFGLADNARSGKIKPLVDSVYNFDDALKAYERIMTGRATGKVVVKVDLETE*
>EED78402
MSHMPRRSRREDDPKMIGLWKVGRTIGKGSSGRVRIARHTKTGQYAAVKIVSKNALLSSRMSLHSLGDEAERILHSIEREIVIMKLIEHPNIMRLYDVWETSTELYLILEYVEGGELFDYLCNKGRLSTSEALGYFQQIITAVHYCHRFNIAHRDLKPENLLLDRNKNIKVADFGMAAWQNKSDLLQTACGSPHYAAPEVIMGRAYNGSSSDIWSCGIILYALLAGRLPFDDEDLPTLLEKVKLGKYTMPSDIDSRAKDLISKMLQKDVSKRITMQGILQHPFYTSQKPKKMDYDTPNLDVIARPLASKEDIDPDILANVRTLWHGVPDDEIIDSLTNDEQTWEKGVYHLLVRYRENHLENFEEEEEQLAARRSQRRCKKDDGRAEQPQSLPPRTGPPTPRRAARASETVESMNLAPGLCQMRQLSFLGSSLAISSQTTPTARVDTHRPQASTSAASSLAVPTARSPATPRATSPIALQVPEIQDERIQQFFHQIVEHLQVMQAAGSPQPPHGLHDSLMSPLNTAAPPPTPLTMDPRSPRDGRQLPGRRQDMSISTDTFATEDSVCTNGTTRPLRIRPRTPQRGHAEEDKENTGHLPRLTIKTDFDGAYTKSPTRKPFTQSAHVDRRVQIIEPLTVERARLKKRRHLAASPASPASALSDSSFALPSTPKRRWFPQLFKFRPTTYHLLSVEESHRTLEACHQLLEAIGVSVALAHAPSSDPNSPVHSSETMTLKCWLDDGKGIKGARFRVEIQKPSGIQMMAGYSVLLSLVLERGAATTMKLVYNRLRREWNLDSALTSSPMASRSPMLDDDERFVEVVYAQ*
>EED78403
MQSENKQSSGACSGGGGLGQLSATMRQQHGVHWPDIIRIAPMASIASIGILPITSIVVHYKGLIEALQEKSGFADVPMSEDSAVLDSLLRLCYPTRDPEFESLEKLRPVMEAAMKFLMEEPLRRLKERLLIFAVESPIRVYAIAIKCGWEEEARKAARCCLNYSIDWNESDIPELHEINGVAYHRILDYHRQCSAAAKDLCSDSYQWIDTQEQWAFLECGICIATQGQQIFKDNVRRTPRGWWTIYMGMFGVWLGSGPSGATFIKASQTWPIDDKPEIEAMTCKTCKGKIHRQMAAFSKKLAAEVDRVTAQIELKVEI*
>EED78404
MSSSRDRVEDTYESQNDQRLDELHTKLRTLRGVTTDIYDDVEGQNSALDSAGFPQRDTFASFGTSLAQSSRRAGQAFGIGSGGVKQWRTIAYCAAAFIALWLLWKVLWWFWPSSSP*
>EED78405
MGAECGYLDELDCDNIQALERHIAHLENHIANLEDPSLAPVTLHDPYEQFHRMQGPHQGSVQRHRLSELSLRALACIEFRLLPFMRTFILPGQALQTDHSAALLNAIYLVGAHCSNDPQMHGLQAELLTLTLEHLAVGLNNPTPTAIMHALQTEVLLASYFFSNNCALEGTYRANAAADMALACRLHVIGSLCGPTSDVLPISIPYRLAVPANVVEMGECINAFWTVYALDKAWSFALGYASAFTDDERKGTEIDVPWPLTVHDNMPASLRTVKVFVYGASPAHAQESWLALHAKAISLMEHATRTASLISGGEHLPYHSDQSRDL*
>EED78406
MWRGGGISTKCDGVRPVCGPCVRAETAQDCVYSDGPAYTAAQQLQEQVTRLEDRLKELQASAPGPIALHDPYETHRMTEASQYTTDLPPIPTHVIQTLIVNFSNYASELGFFLHVPRFLEAVSQPQADDLPKHTSTLLNTIYLLGAYLSNDLPTRALQERFLSAIPEHRAVALAEITPGTVMYILQAEILLANYYFAQDRTLESAYHCSAASAIVLACGLHHMRSARDIPGVFAGTMQFRLDPPADHVEEGERMNAFWVAYILDKCLSFALGSPSALTDEEEKGTQIDVPWPLDTSAYEQNPIPAGLQGLRTVRTFVHDTAFRNVEQSILALHAKSVALFEYAAEMAKQVVPNTNQYPAGFGHLAIRLERFRLILPSTDEFGALRAELRRRFLVIHMAIHCAAIQLWQPIEMQNDAISLSGRSIAAARGAVEILRKSDVGAVEHIDPFVGVLLKIVADVLRRSLMRALSDHSSPQASSDTGSLHCHFQNAKR*
>EED78407
MDSSELYHVKQQFILGAYKSLADLALPDPTSPDYNPTLLYKCRAYIALDKPHDALELVPDDTDDVSLKAVSALARYVGAADAAAKDASLEELRDLCVEIEGDDIEADEKQKGVVRVLAGTAFAVAGEVEEALETLGVGANVDNLEAVAICVQIYLSISRPDLARKEFERAKRWAEDDVLVQLIEASIGLATGRDGYADCNSFYTEQLGNPSLSSPHLLTARGVTRLLRGEVPAAQSDFEEAVAQQGGAADAETLAAMAVTAGLGAAKPAEAEQLWR*
>EED78408
MAPPKAAAKASKKSTAQKKEKLFHPQSRKAGQLARVQHRKDKLTDLARARVGKRRSQVLSTISADIYSFFYKALPPEGVLSLEELHLIVRDIWMTRFDSEIEEEKNARRKGRPKSVKEQKLEEIKLREAEEYRTGLEVVDLTDPPNVELFRRWDQKEVAFIQQLRFIRIAGDAPSLVVISRPGQHPSLVQSNQTNVELKDQEMDTDDAPLLMEPLSRFASTIMTMDGTS*
>EED78409
MAVRLKPSSSPEPPQPFSWDTAPIDPPTPDSVSRSPSPPTLWLSARDMKIFGAEPLRPEIGIVRCRECNKPVLKSAVAEHAGNKKPAKGKAAADADTKGKKRKAEDEIPNPDDPNAPKKKKPATKVTKGRFKGPVDYDKQCGVINDKGLPCSRSLTCKSHSMGAKRAVQNRTRPYDELLLEWNRLNNPNFVEPVKKESKAERKERKEREKAEKKRQAMEAAAAAGADAPKKGTSGAGSGAPAKKSKKTATAAAAAAAVAGATVGTTDDGYENLDELDSEAEVDSLVHAVRAAQDRGLIGVPLAVPYDASSWFVARRERLRNCHQHIMSALAPPQRNSVGPVARI*
>EED78410
MTIRPQCRTPANTAARVSGRLCFIQP*
>EED78411
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKVKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFKDLSSRLPEICNELASLNITFKYEV*
>EED78412
MSDNIAKPFIPEIEDPCFFHFEPPRDDAAFHELREHYSKLRSAPLLRFTETDEEHEARIDAIAGERKAVSIPITATARWKPSQAPWSIITEEGHRPVPAVVRHPDFQQLDQLEFRLVDRLKTGQQRCAQVYKGTLSLQSSSEPPAVVVFKIFQECYFPLPTVFHPERGMHYGFWPDGAQQARVHTWAHQQLTSMQGKQIPWLYGVFKFNLPPEEAYGLVTEYVEGMLGYCVQLDSIWSSKDEMRKLAEYALSTALDMSERGVIHGDLMKQNLIIRQGDAKFPIVELLNVKKSGKESKRMLVGRILQELVRMGFPKEVLPVLVSATATTTSGVDPEMNPLGARRAPTILFISVTPQIAMFKRDCTPALPAGSKHSCELYILQESKIAMLWLRIASQMGLALFTLIVQMQLHGNLYVPQSIGLFFDAAVPHLLLIAGDVSHSLLASGRSPVAPPTVASEYVPSATMSPVDAITWNTTTRGVSVESSLPSSSSVLAATSTSLPPRSRPTSKSRDRPVPVWREGFITPTEESNTSHVIPLVVACIVGAAFARATSTMTIPSLRCLRRFIPSNAIAKLKGILKGGTPTQSGTELVLDGVGVSSTVSLVSASITGDQPATDAEGPRPGVYVSAFHGFLSACTIAADTPAVEDSQEIRETVNSVLGMQTEAEDMEAPESNTPASIPVRIVNVSAGAAASPGSQSASNSAASSQSDIWTGPFVALLPSQHEFTGILYPTGAYLVPLLDSTTPLSPRRAPSGSSKIPPRIASIPDWLCPTYTEQACEEHMKRCGAIVDEMTRQCTRYIAARETLPAARSYLEECVEEQRAYIEELEARLKVQRGQLEAVYAGMKKRQSDIASRRERLQEEQGRMKEERTRVEEERLAVEERRREAEEARLRIAAQRTRAMEERAKLEAKRGALEREHAGMEKEGLPAQGAKTAKEMCDKETQVDEADAGLSEGQLDPREADTVSSSEGERRDESAQDGPDAGAALGAEEDDEKSGELQQQSHRDANQVSTDRQDQPKEASTSAQQAEPSMEDDQGKPGIHDAEGVDVPMGDHGDEDERIPDGPLSSTLSTSLGPGESHEECDLGLSANAPGLNDPPRSPTSEEVMESPKDSVIPELSGPDGTGSDDSSHPGASMHFGTLTASSTGSQPLFTNMFQLPSTQGSQPAVASNNTGPSTAQDAASDDRQADEEDARAAPRRKMQTDVITSSSRRDSGNRGRHGRPAGRRRGARGH*
>EED78413
MHPTSVRVRGGLRMQ*
>EED78414
MSDNIAKPFIPEIEDPCFFHFEPPRDDAAFHELREHYSKLRSAPLLRFTETDEEHEARIDAIAGERKAVSIPITATARWKPSQAPWSIITEEGHRPVPAVVRHPDFQQLDQLEFRLVDGLKTGHQQCAQVYKGTLSLRSSSEPPVTVVFKIFQECYIPLPWNLHPEMGLDHGFWPDGAQQARVHTWAHQQLKSMQGKQIPWLYGVFKFNLPSEEAYGLVTEYVEGVPGYCVQLDSIWSSKDEMRKLAEYALCATLDMSERGVVHGDIMKQNLIIRQGDTKFPIVFIDFGHATAVKDETRVNNGCQILQELVRMGFPKEVLPVLVSATATTTSGVDPEMNPLGARRAPTILFISVTPQIAMFKRDCTPALPAGSKHSCELYILQESKIAMLWLRIASQMGLALFTLIVQMQLHGNLYVPQSIGLFFDAAVPHLLLIAGDVSHSLLASGRSPVAPPTVASEYVPSATMSPVDAITWNTTTRGVSVESSLPSSSSVLAATSTSLPPRSRPTSKSRDRPVPVWREGFITPTEESNTSHVIPLVVACIVGAAFARATSTMTIPSLRCLRRFIPSNAIAKLKGILKGGTPTQSGTELVLDGVGVSSTVSLVSASITGDQPATDAEGPRPGVYVSAFHGFLSACTIAADTPAVEDSQEIRETVNSVLGMQTEAEDMEAPESNTPASIPVRIVNVSAGAAASPGSQSASNSAASSQSDIWTGPFVALLPSQHEFTGILYPTGAYLVPLLDSTTPLSPRRAPSGSSKIPPRIASIPDWLCPTYTEQACEEHMKRCGAIVDEMTRQCTRYIAARETLPAARSYLEECVEEQRAYIEELEARLKVQRGQLEAVYAGMKKRQSDIASRRERLQEEQGRMKEERTRVEEERLAVEERRREAEEARLRIAAQRTRAMEERAKLEAKRGALEREHAGMEKEGLPAQGAKTAKEMCDKETQVDEADAGLSEGQLDPREADTVSSSEGERRDESAQDGPDAGAALGAEEDDEKSGELQQQSHRDANQVSTDRQDQPKEASTSAQQAEPSMEDDQGKPGIHDAEGVDVPMGDHGDEDERIPDGPLSSTLSTSLGPGESHEECDLGLSANAPGLNDPPRSPTSEEVMESPKDSVIPELSGPDGTGSDDVFRYPSSPSSPSSSCPSLISIDRERRSEIEESRPSSLIVQQGEADDVFRYPGASDDDVRSSPTDDSSLPRLIPQQQSPDSVDCSPSHVDATPAPSTREPPTPQDDAKPTQHGGLTKAFTFSIGTPPLRNEQPLQSSHPGASMHFGTLTASSTGSQPLFTNMFQLPSTQGSQPAVASNNTGPSTAQDAASDDRQADEEDARAAPRRKMQTDVITSSSRRDSGNRGRHGRPAGRRRGARGH*
>EED78415
MSDYKFPTPSKQQQQSVEDLRWPAATQYTALQFLGHQPSALERWKFQDADSYDSAQGNSIYAGDAVQLKEINIEHALVREFRTTVRSVLGDKWTWSEENPVVHIVGREGDVAVHCVSQVLLPAIYVINKLFAEARGDKPPIAVTLRGQLPMPNDPTCTVDHALVIDSHQRIEPDPTILVLFEEKCIPHQFIRQALGSMASWNTSLKDLKDQPKKLQSKVDTAMYMQLPQLRKYVFGAKCVYALESDGETYIGMVWDRLNAWKSGMTLDQMPGIGGLINQNSHAPGMAHTARYFLTKIDDVAVQGPVKEYLSPREMVALYCYNALRDRGYL*
>EED78416
MEMPAPGLLPSLPSSHRCRWVCKGPRPRSQTKRPLPPPSGPASEISTMRRQHR*
>EED78417
MKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAGREPVLLLPHQGPQRQGLP*
>EED78418
MLWLQIASQMGITLLAFVAQMQGYNTLYPTHTIGLLLNAVVPHILTIAGIAHSFVATAPHRSAYPAQYSSPDQTATISSKDLILWTPTVIPMSERWHLPSAATPPVPQASIVTATHNCTSASQLEYPTLDFIVGEWRTALEEPVDMSQSINCLARGILAIAIAGSAYFVIKACIHWITRRSLYASQWRSMSVVSSTFASDTDAGAASLFNVELALNYEDASADFLSAMFDIISGLRVATGPDWKIYNDDSDYQVHLAQTAGMTHESEAANNVHITSEFNASPAFTAALYPDAAPAHFHSDDVVYNPDTLAENDTKNAQEAADLTQEGPSIEAEETFPEVNHSAEPANEDAPGCADISTPAATDETDSQKKEACSHPAAEDSTSRTALHLDAAPAQFYGDDAAYNPDTLAEHDAQNAQETAELTLEGPCIEAGKTVKHFQESANDDVHGRAYISTFIASDETDSQQKDVCSRPCVELLPHGCGIPVDQIEQAQAKKPACDDSRLVEESAWPDKAHTQVEARPTLLEEKQVNQKKDLCDEDAHQSLKERKPQEDGGGTRKGGHRGVQEHEVKVVQEETDRDVRVERERTVPDDQIAKDARGKKSEADDDIECLDQKEHGTLPHRISEDVGSRSRRKPHLLSSTKNNSSFLPERSSSDDHAQSSTFPSAHEHTSTATSGLTCVSMSHEKPQIIYQTDPKASSINYTRAPSSFEHFVFSDLPEGPLGYQTPVLPEVSCDYERVYIPTPNPPRSLRATPSSAPSRVAPSVNVPSPSPTSPPSGEASGSAQGESTERRRPRNYGERAFQSAFAAALRPRRER*
>EED78419
MVIGGGPSLNGLAFDHSLPSTTTHSNALEIIPSLNSMLWFQIATQLGIALLTFITHTQTYGNLYIPLTIGDFIDAAVPHILFIADHAAHSLLASSVPSLPAPPIRHGISAPPTTVSSKDLILWTPTGLPVSAGLHLPTLTAAPVPCLLTITEMRTHGLGPQLEYPTKDLVVWEGHTGELVDASGSTYFVTSGILAIAIICGACMIVNAFRSQYITTLRASFKAYLWSILFTGIPNADAGAAPPSNVELALDGEDALVDVSDRELATGVINGSNAHLRHNKAPTMFLYPDTVAAHLDDGQAVDTQDIWCTERAQAGTGIEATGPHPPVRIHAQDLLIPDVALLPQDPQSSSSTPPLPSASQPVSPSHDVAISLSTLLVASTQEMCDSYERRCKEILDSVQKQLLELLKRNTHTPQGMDYAQQHHTICIERNSQINSLRTQAEEARERLTEFNEAIAESKAWCDDLVSGYNGLELELEQELALERATATKEVKLLAQESERLRFARTQLMAELSRQRAELGLPREEHTRTEEECQMEHLRDNVGPTDLEEREPRADEGGSVQVTREQLSYGIQAEQEAWDEPIVEGAEEQEDQGAQEDQMTLNGQLRESENLGNVEQPELEKVRKALDQEAEEESGDMRQTQLLQSDGTPSDERDDAHDPVDEQSGVAEQDHAEQLQDYLEDSGKRFYRFLGEMTSRAGDERDQESTTGEDTERQISEPDADEESDADSDQAQRTDDLTAWRRVRRVLRALWPM*
>EED78420
MSAAQLAEYKELMHTFHVLQSSDISTAAAMSWVAYDIVLTFGEEIEFIWKAKWSLPKCLYIAARYYGLITLIVYIAVTLLSNPSETMWSMAMVHWTSSYHYLFCTLLATSVGIVVIKQTVQFSKPAYIPITGCYNKPPAHVVLYAWIPSLVIAWIFYGLTVYRLFKELKIQSWFTFTAASGGDHAASIIHIFFRDGSIWFGL*
>EED78421
MSRTHSLQDVAQHNSASSCWVIISDKVYDVTDFLPEHPGGAKIILKYAGRDATAAYEPIHPPDALDKNLPPEKHLGSVDTSSAKTLQQERESRKKTKDEIRMEQAQTRKPQINQILSLRDMENVALNVISYKAKAYYYSAADDELTNAENARAFSRFFFHPRVLRPISKVDVSTSILGIKSSIPVFASGAALAKLGHPLGEANITRGAGRTNIIQMVSSNASLSPSQIAEARLSPSQPLFFQLYKHGDNRVAEQRVREVENLGYRAIFLTVDAPVSGNRERDIRAPFELEEQRRESDTSTAPDAQRTTGEMPRQPEDAEKELDNQVNFFGTAGALLANMDLDMTFTKDAVLAAEAGVDGILLSNHGGDSLPPLEVLYRLRQQRPDVFDKLEVYIDGGVRRGTDVLKALCLGAKAVGLGRPFLYAQSAYGEAGVVQAVRILQREIVLGMRLLGATSVSELVPEMVERVDWQPHLAKL*
>EED78422
MAETEIPSMAAFDDAPDGSSEDASYELNITQSWRGRDYQGGLMPLHWAITVKTASIDGKPVGNVYNAAGNIDSFMYDAPVNVLLQDANWRGNLKICSIPQTYLPELTRLFSEVPVERYNPDWNCQNWVWGAVHALRESGHEALKGFSMRDLRSKMLELLDSWEAGDI*
>EED78423
MSQYVHVPKSELDEAQLRALEEFEISQGPLSVLQQAVRNHTQVLISLRNNKKLLARVKAFDRHSNMVLENVKEMWTEMPKGKNKKPVNKDRFISKMFLRGDSVILILRNTA*
>EED78424
MSPVSSWEVTLFHREFCGYPASAIALGSLTLARFLCGKSRQILEETEESLEVVEHLDTRLSQITELSETVVKKYSYAFFSKAATFVLHFYLQGGRFSHQPLVELPMTPIRSRSSKASTPMSVSTTASDLSDDMPVTPSSPGFSSDMFSGSYMSDDKENLPSETFEPVVNKQHLEPTPEQYLPHDFVAFGRPALHNLNISSPRPAVVA*
>EED78425
MSHVQPSTQRRIVVLISGSGTNLQALVDAQNTPALPDTRISLVLSNRKAAYGLTRASQADPPIPTAYLALQPFLKANPGRTRDDYDVEVARIIIREKPDLVVLAGWMHIMGDGFLDVINGDRVLEGEEKVEKPIPVINLHPALPGAFDGANAIERAYEAFQKGEISHSGVMVHRVVKEVDRGEPLLVREIEIKKDDSVESFADRLHKTEWEIIVQGAAKVLDEVAPFPLS*
>EED78426
MRQVLYQAITTS*
>EED78427
MPFEPPPVYSPEPPDDESMSDGGGGPVEPQILILPTGNDVSFQKGYLGADGERAAIEGELQLKCADNFNWEKVTMSLRTVETASGSEIELAASDVVLYSSSSEVRSLRSSFPFAIPLPPDTPQCIHTARSSLTHTLTANVYASGNATPVLSKSVTAHTRRYTTHSFGSPISPESRSLDDPTRVEVQIPRTTFRLGDHLPIYVTVPAPRRELVVEQGLRLRNIRAELVRTVRIKGKDEDDSTFGSRLDDDLLDDGDVGRQKADALPSSSSQAASSAGLSGEHKVISLSGASCRLHPTRPIRMRLVLHPPVESPPALPASDLPAGDFYAADTIECATISQTTLLHSVSFKLRVKVAFMHMSTRTERISTVSIPIVILPPPAPLPEIEESMNTAYHKKHDRPPSRTHRADDADVPHYDEAGPSFLSGAPPPFEEREAPPPFFSTVPEASSSTRLPTFLESEREIYVASNDDGLDSHTLPGQSLVIEGEGTLFGFAATEQFDGHADMDRSFTPPPTVEMATRDTDVTGLANLSPNVAIQALGVALGLDDPENDEHEPPPPPPPMDDPSDPPPSIDSDFRGPQGTHETPSPRPPHPPGLHIDRHPT*
>EED78428
MATSEIDDIFASKGKAATKPVASSSSLPPADKVKKKKKGTKRDSNSALGAEDNAHRVQTVKRPVPETVLDPSARLPAAAPKKSKATKSSDALSGSTKKRKVDEDEEWFRDSRGTGPRRKTEEGWAVYKEDELGITDQGGGQHTPHLILAVILTSHLRHATMSL*
>EED78429
MDTEEIQHYSLNDIQFNPTVFILMYSARQPGETGVCGNRPEAAVTYSCVMGAVLFKPKEALLAMAGWDGNVYLYADAMSAPTKSRLRVAPVSNQEISGLLWGRDASSSMLFASTMTRYEQDFLGYHRAYDATTRQIVCEYKIDEAGEQLAVEESGTRLIISTRRSKRAHSLWLFDARTRDTRPIDKVDMLLRGAIT*
>EED78430
MGNAYIFSLQPTFTQGLILGQFSILFLLVLVLKYLFFDTVSDHAYRTSSYQPKIERDEDEDGIALVAERLAPKPAQDGKQSGNECESADWLNALLIQVLEAYRVKLRDGLPGAEGDEVARKRVERFANQMRPPGFLDPIKVHSVDLGVTAPRLSRARPRPQKAPNTDPAIEFDMSYADTISLSLSTSVLFNYPFASFARLPVSLTISLSHFSSSVLLTPPQPHAQHPTVTLNLPSPGTDFVLNIQTKSLMGSRAKLADVPKLHEMITHQIQRVLLEKGTWKVVLPGLASVSEVKEDVKREQQAGELPVN*
>EED78431
MQSGKTNREALLLELKAQDADPLNSPLLNVSTIARGELREQDTELLEALPLIWERSDRLDTLEIDVGNATALAVDYLVHLRQLPVWTLRNLRIVGPRNGPDRRPALGILDDGAQVLRAARFLGCGLPLSTAVKYNTLERLLITHTMITLSAFVPRGFGHLTYLLLAGRIVPETPKVQRLTFPALQELVLHLAKNTADVLGAIDAPEPSRLQIVDMNVFDANDLQCFVKALTSSQKKSRKVYALWLDIRYDKNVAGRSNSDSSEWQAQPDEFRKFLTFLPELQKLVICGPNADDCAPYFAPRNEYSNSSGSIVSKLRSLTFLHSSKASMKTRTRLSGLHEGVCPTQRLFHRSELGEGAICLTRPDQQKRWKPERWSWRAICPIGQQMKNVRADKSHSSKPKTESDRISIAKVASFEALSTMMMLRSESPGLGQGNVARGLKAAISNPNNSEEAKERASERLQELQDAGHLDSREAHDANVAIGHKAAISNPNISEEAKEHSAQVLEDMDQE*
>EED78432
MTRSTAVKKLQCSLADFRRLCILKGIFPREPRSRKRANKGSSAPTSFYYAKDIAYLAHEPVLKKLREHKAFAKKLSRALGRGEWSSAKSLEENKPVYRLDHIIKERYPTFVDAVRDIDDALCMIFLFASLPSTAKVAPSLVENCTRLASEWQLYVMHTHALRKIFLSIKGVYYQAEVMDQTVTWLVPYQFTQNIPADVDVRVMLTFLELYQTLLGFVFFKLYTDAGLVYPPPQDVEKDEAGAGVGAFHLQGATTSASSSAPKIKEVEINGKKVSTKDVRQTIKSISTSAPADADVDMPADDSAPMDEDEDFVPHPSTSNPDDAPALPTLKTLAALPQSGSSRLFAPYTFWLSRETSRPIFEFIVRSFGGRLGWPASSGSGSPFDESDESITHVIIDRPLIDRANMSEEEKERRRKRKYIQPQWVVDCINAGKALLEEPYLQGKTLPPHLSPFGEHAGAYDPTIGLTNEDAVMEDEDEEEVSDEEALRPEEAALKAAATAEDEAALRAAELEAEAAGVDYGTFAKEAKKAKKAKQNKAQGKADGDAEQEMNKMMMSNKQRKLYERMKYSERKRTAEV
>EED78433
MEILMRNIAPSANPPQLKISLANILHSPDYPRYRGRVANFEGSTARYLHRRQHFLREYGGQTPSKSLVIGIRIHFTQSNRPMREDTLEVIRRLPFVDPREQVEREERDNQLEQLTVSVSTIQFGWVCRDDIFSVEYEKHCSRGSVEFRQDRREWRIKVYEADIVRIVAIRAAQVYWMAASVDHLDGPIIFFYLNYPPSFETESMHHDLSTLFAGLMLHGNNAEPTRQRWSAFDDEHACIAPSTSLAIRVVCQSTNDLENMRRCCRIANSRVDESSYPIAYRSLFSPERRLEYQAWVSGLDWNVAFQVEALARSQFIDLRQTMGLRPEIEQMVAEHGPKVTSAFLRNFLGQAKSIFWYGTEFTPPTTDDNFDCLRVIVTPTCLHLEGPDPERSNRVFRRYPGQEQNFLRVTFVDETRLMYRFDREVDGRGFINRRVRHMLVQGLTIAGRHFEFLAYSQSALKEHAVWFVTPFRDPDGREVRASTIIASLGSFRPAFEPQLIYCPARYAARISQAFTATDSSISVELEEVFYDPDIKDNTGQYCFTDGVGRISPALARAISSELRAKKRRARRARTYTRLFQIRFMGSKGMLSVDYQLSDRSIFLRPSMIKFDAPDSLNVEIAQAFDKPGKYYLNRPLIMLLEGLGVPYEVFEALQDDAVQDAEGAVHSLESSARLLEAYGLGASFRLSSTMVNMHRLGLRPLSEDIFWQQMMDFAVNHVLRELKHHARIPLPDGWTLVGVADVHGYLEEGEIFACVDSPDLLEPIYLEGPTVISRSPTIHPGDVQLVHAIGRPPPGSPFEHESLRNGVVFSIKGERPLPSCLGGGDLDGDVYNVTTMPSLRPARTYRSGSYKPAVKKTVDHPSTMEDVADFVAEYINSDTLGIIAINWLIIADQSSEGILDPHCLRLAQLHSDAVDYPKSGNPVPLERIPRLKFKAKPDWNAPETLTRATPDFYESTRAIGRLYRRIELPALRTVTRASRFQRRNLEDGHELTLADILDGFQEDNVDEDVISRLWELFEYYSSRLRTICADHTLSDSRSAMLTEEEAVIGTIVAKCSQPRKRKDLMSQLREQTATLVASVRDEIAGEDDTPSEKSLERAWIAYNIALMEDDYFGARSFAWIALGEIFDAIKDIEEEDRRLLR*
>EED78434
MVGLVAKATLLLHVSVLAPLVELELVMACATLSFSLQADIGDGAKDRHYAMCAAMHNRTCLVPIPGDGLNNEHLTTHEQECALSKQIGRKARASGCTALSGELAVVTGFTTMPEAWGASEPPSFGILNAPDAELTLKDVDDVAAGRKGKGRATAEISDEELAFQLFAEEANSLLDFTKDAAFARSINYAMQTDIATVEEILREEITAREDHELAVALSEGRSRAPPSRAALPAPSQASVPSGSAQTSGLYSAR*
>EED78435
MSSTLHGSAQDFIRALKASSDPPHPGSPSKIKLAQNAWDDNGFYLPNKGEVIVEWLLTRFLKDKAKTSDVNPIMDTRYWQLLSDIVCSSNRTQADLTGSARTIKSWLLPILSRVPIAPIVIAFLSLLSSIEHDAQLVLSKLVSRSIAVLWPIAVPKFSPETLLECLAAVLSHLAALQFTRLNETVESLGAIPLSIVSAYRTAFANSSNKRKIYSTFLQNHLLHWIQGMHLNTGDGAHLQEAVAGIYAAGVETMFGVDVLRSLEEGKCDPALKNALPNFSHECQHSVRRHKTALFSQGSNRATDNVVAQVQGAGTAFYAMCDELVTRAGNIEPVRIWETRIKCLAIVEEERLHRILRQNGDTALEALRGSWGEQHAQRVDAAMEILSVLTRIDYDIVSPTLPSVWQRLILNLQAGPDDARDTFGLVNSSALFSFSFLDRLAKAIHGFLTPGQVQDVTKLVLQNLRDTFKEFKEQDKRFTADEGSGARKKSRRSGTTVSVDGHPNPELAAVTFASAARAVGLVVGSLPLHVIPEEAREEIRCLVGDIHSHVIPRALKGSYKAMEATDRRETWAWQIVAAAALRVHYELSTARVLKLELGFDSSAISQLRIFLQHDGTVPEFSVEIARFLLHQASSDPDQALSVFDNVLNYLERHLDNKTSWSGKTHRLTPEAGHKQDERQQGSQAVNAASSMRRMLHNAAFWELPNVRDTFMTQISIQTASVDQVDVEHLLSYPERKSVHADIATSVPLYATYKTLLHAPAEHLSRTARADFLQRARITDVVMSRSEQQQTEPRLLLVVREFMRRTFMHMGSVEHQGALLFLHHLIKTRLPAEFGDPSLNEFVSVTLDVITMYMSAFLKAAQRGDEDAVASVVEAFSRFLEIPREQTAGAFQESCLLRFIETLTENHEASQFNERVLSLLERLYERMFTTSCSRMLAVLSTTAASDLYHHIDTLKAWSRTLAAGRWLHEEVPEHAKFGRALLSRLFSKSHLSHSVETWLAVLDIVLEELNASGNGDGNTGLENVVATYIAAARTLDLDGKTS*
>EED78436
MTMSAAPSALNPASVRPHVSSPLASNGLPRPPRSIAKGPAFPTSRPLRPFPSIANTLHGASARPGSASSKKPVKIIQPPADFKCDALYAVRCVLREHVVDAKSGTTAAQGG*
>EED78437
MLKFYVVTHRSEDSACWHWALTLSPTIEDPMSAAKSTMWCQILDDVCNGVQGYRQEYVRSFAPTEAVARILVGWVPPTRRLALERLIASTRHRKAGTSSEEWVRAVLTLLLSTPGKVITDEPVDPHVALRLLDKFSNEVVCGVHPSPGTGLPFTTYYSP*
>EED78438
MSSMDMMMMTPYLHFTGGDNLLFKTLTPSSHGAIAGACLVLVAIAIFERWVAATRGILTDHWRRRGILLTTIIRAFSILAGCHPANDSQASSPSLENKDNGLDIEERPIRTVEPFMLSHDLPRGVLYALQALLAYLLMLSVMTFQAAYIIAIVLGLGLGEVLFGRLGGRDNHLLH*
>EED78439
MQAPPLVYPLSLVPLVVLGLLKYAYVKYRKAQSIHSAGHPDTSRLELRLFNRIKLKIEPVQTGYLVGFLGSPEWETRINCRTTRAARRPPLRGVVTSKVSSQPSSNVLGDSSRSSGKNTSTLHASPELPSPTLMQIMGPVLSSWYDDTGARDLEIEQDYSASSGTTSGQNRSRVGSRSPISIYQSPSLSVPAPAHTLDLRPRSWDILSGEWQGTNAKATVQPGSVLQSPPNRSPTFPATSQHAESDAWGPLSPNTRPLNVLPKLKGASSPPTSASFGSLSPLGIVSGKARYVPKAVALQRRKSGSPPVGPSPLRKSLFIETSASMPAMDISRPISDAPSGNGAWNLEDLVKDGQLDVDAVSAVLGLGLSIIEDEDDDKDDPRRVTDSEVEQSHEQLSPDRFAGMGWGRACETGVTTLQMRVPGEQLTAIMEETDELSINESARNSAASLLHGVLQFSGPSLDRLIEVSCRASVVDSEDGERDADELDRASVWADEQSWRDSMSVR*
>EED78440
MTCLRWSTPRVASCSRMARKRTRN*
>EED78441
MVPKMTPLDXEDNKKRVCYFFDSDVSGFHYGPGHPMKPTRIRMCHSLVMNYGLYKKMEIFRAKPATKREMTQFHSDEYVEFLSRITPNNMLNYSKEQHKYNVGDDCPVFDGLFEYCSISAGGSMEGAARLSRNKCDIAVNWAGGLHHAKKSEASGFCYVNEDIVLGILELLRYHTRVLYIDIDVHHGDGVEEAFYTTDRVMTCSFHKYGEFFPGTGELRDIGIGKGKHYAMNLPLRDGITDESYKSVFEPVIQSVMEHYDPGAIVLQCGTDSLSGDKLGCLNLSMRGHASCVKFVKSFNKPLLLLGGGGYTMRNVSRCWAYETGLAAGVELGKEIPMNEYYEYFGPDYELDVKSSNMENLNTPGYLERVKGIVLEHLNQIGGPPSVHMQDIPRLPIDELMEDMNEDEDMIPVNERRPQRLLDARRQADGELSDSDDEGEAIGNPQGQA*
>EED78442
MANIDVLHLPEEIKKADGASGSAGLDEGAEPKPDTTIAPEASDDQGVASPASASSPASALTPLRASPANGQSSSPSTAPTLTVPHPKKFTSSNINRKFLEKTSSTSPSGQTLSASVTAKIGSSIQKPPSQAAPTHSRLVTAKLTATPQSSSTTPGWSRPPSSVSSVAPTPSAAANSKPQPIQSSSISPQPTLGKVIQPQPRGASEAFVGVGKKESSGKPVWGNAKGTAAVVAKLDAVANDFPTAAEAAQGRAAKASEQKQATEAAAAQKQAVAAEEDTFRGVHLDPNAHHWDEMEEDDDNFLDGVIEFDDGRQYKVQPSDAPTRNSPPHDLAGNSEGHDVRSQLEPLGTPDHPKLASLKSCDGDALQSARTACKCHFSNSLIGVNAFATGNVARALQ*
>EED78443
MER*
>EED78444
MGTLCTCTSLARASCSSTTTTLQSTCSRSVAPSTLTSPASLWQATCASPSLDTETNPAASAASCSKRSA*
>EED78445
MVSRRHTYMVATLSSRTGGTLTPTHDNANAAPLLRGKPCARAGSLAAPRTRYRWRIKLRGANNPGTCPGVEHSTRTDRPSSCRSTFNQLGYIDLEAGVSRDVTIYRGRRLSRRHSICSIAAGVSRDFHLCYSIALYLDFAANLYHNRFPFLLRPTAYINGRAPAQPLINFDVKHDTGGASTAYGEGTGEGGEGPYVPAYGLDKPCFNRLPCEGRLSIANPLVLEPTRSPDPAQIILLDQPGFQTKGPPDSASVYFGGPGPLLSPHDQAGFDEFCINFANEVILSYIIRHTFEDSVGYRSQMTGDGISLAPITTMDNSAFIDASGKPGDILGVTHKDLVSKFGVHASFIAGSPESASSSLQFGINYFAGLCQDDKQLFRGTLQSLSHGHAVAVFPEGTSYTERHIVQVLDVREHPEQIKAGAECVVVVTAAIVYTNKTKYRSTQFMSTEEGAPRAAVKRLTATSEQQLIEATINAPDWDTLYAACMARDLLWVDEKAINLDEFVAISQTLVDLFSTPDLVPNFISIRRHLLTHYSLLQSTGLSPLLLRQVSRRGATRCDWHLRVLRRLRIQIHEDSKRGCSACSWRRNAPARRSGRSAWHAESAPCVRARENGILRGREGERSVERKGGRLGHAGLSGEELIFINNKLPERALSIAGAPEGADVQHEGVSADEREEGDAGMVREVDGWQAIGRPGGGQRALDGTDVTRPNRAARKSARWARDVAAGLGTERGVAATGKHQRKVVPRPRMRGPPSVVSTTVSDVVADTETVSDVVADAETASDVVADAETASDVVADAGLDQNKKKRNRSAAKRLVVATQRRAEAARHAEAAAQVVDFDGAVDNVPTDPVSDREGGTSARRRRAQQWVDDESGDEAAGVEGALLARSSLTSLARSSLTPLTRSSLTPLASSPPASDPPVGSRRVLRSASVRTGVIRAERDSVTGSPVPVLGKRKLVPYVEIISQRAGTRGARAVNRSGPKDGTSNAEALKRAVLAMAAVKKDALQAKQNDDLPPRQRRRWNAEAKAAAAVEKRLRPARVDFKAKKILHGNLRRRWHKEHFPQQNEVVIMGKRSPKLRCCQNICLKRKSRLIAAKELAHCLTIASFHVGQKTTSRQLWSHWGCLKQGQLHFHRRVDENDRVHVSGLGGFNRLADKQKEVITATIVAADQPGPPPPDPKSAAGIARKAKKAKARRESKQKRSDLAKLGECLATVQRRLGKKTFANILPS*
>EED78446
MSSRTTYAGSRYASKKYASQEHATGYRLWNQGLVGAISVVDDGSRLPINIRPPPCPATNSMFVGRQKERTTIIAAVLSRTPVIVLGIGGVGKMALAINSLHDHRVRHAFRLRYFVSCDKMTNLEELRLEIAQALAIPVNQRYEGLAPQILLALQREPSILVLDNFETLFNQLELRMDMESELETYAGIKTVALVVTMRGAEVPASGSVPWKRLSLQALSLQEAESLFNNVAGLPHDPRDEFVQKLAKAVDCLPLAVILLAHRANPRAGTTYNLWRRWKRERLGILTRLEDARDKRCDVSVTIETSIRSLLKSLHNRPLDLEAAVTVMASLAQLPNGLPHADRFLQQLQCSLTNSVDVSSALYILCCVGLVELEKRDDIEQQR*
>EED78447
MSHIISHLTTSLRNALLCSDPHLDPQSLFVIIDRPASLKASYDVGDHPSMPSVPPIRIRAPAIVSALSNSKGCTHIYLDPTTYIPVVNTLAQVATIADPLSPVLVRKDRSLVFWQYEHHSIAQDVAETYHKLRHYARTYDCDKVTPRSATSPYTLFIGEEYAASSNTRAARRQPRDVATLPGAGYIHGIAPVHTTLPVAVALEPARGKKRQRGQSSISTANAPAAPPLADRGVLPLPRRSASSSTRLHIKPTHSLAETVMSSHVGTLSVPHATGSGPPMVEQNTGTIRYGIGYSSDNTTSVSSSVSAQHITWFDDETVTVDEIDGSSPHRTKRSRTSASVTPFSTSFCSATLPVTSPTSTWPPSISPAAWQPAPRPDKGKQRATPREDESGTSPSLRRGPQHVQPSRGRKRKTRHDDNADTSGPSHKRIAVSLSYTESSASPTPSQESAASPAASQGSAASSTSSQGSIADDLITSERDSGNSDWICSEREESQISTLHSQYFKYYLHCVFPLLISLAQRVHEEVAQIAYRRNMTKDQGLTDAKKKVIVDSGLKATCDDSDTVGPSQAESSFPQLSRLGLACVRLLVLDHLD*
>EED78448
MPEPHSRPPSELSRSRSTPIPLPHDETSRRDEMATPPILSPRTPSVRPVSPSGLHPTDPKRGSERHGSPCMNITTSNDGGDNAADTDQAISTDGSRALPVSPPATRSHRRTKRISKVKKPESPRSPGGRKPQRYLRSRGRDTYADDGVNDGGTSISKSRPSARKRKARAQRNLSESPNSAYADDEPKSSKRGRKKPRTVKTTKRYRCSHPMCDKSFTRSFDLRRHMDICAGPGGEKAPAQHFCSSCGRGFNRKDALKRHCTERPAACTKYLRGLEKRAALQSGKEAPTHGASSGSRSGGARQHEEWRDLDEDDQGDSGAGEEDEILARPEDEEDQMSMSG*
>EED78449
MCSTEAFLDKWDIPLANLTAPSGDEQPPMCAEAESMDTVSPMDTLLDPYVVYGGGESISSTSPPVTLHQHSPDSPASEYDSPAMGMDAIMDASDVQRHNPVAGLQEASRTASTTCNKTNTRDEEEGSAHCNCDRRSPENKHASILLSETTELSRETQPTRGAKRKSPEDDEYVERPPKKIKCASKKTECASKKTKRAAKQRQPAKKFPCTRPGCEESFTRRGDLLRHQLGTKSCTTNGEAIPKRYFCGRCRMGFHRGDALARHVRSKMGCTKFLNGKRR*
>EED78450
MARLHSAAIVRQEQVLVMWSEKEHDIIDDFQDLEHRLRHFVQTCTCGDVSTLPLHGGKEAPGIPTSFRSPNFERSLAVLNVGSSRRTEYEDLLGAPAATGFSIEEHVQPSAPMEVALVTIHPIQTLLNPELPYWDGSVWRRYTDRSSTDVSARVSTLDWHNANASTMSIDQSLPLIDGDGASEVSSSDAEVGGPAMVEPHLSTPSKSASPIATTPPLAGSPTGVLKRKHSQPRASGSSSTARQLRSRIPAPTTSALSYRPLAGPPKLRNPFLAATVVAGASIEAASVSTSTAHPSCMPPMFPAHLFLSDKEQEDGRHDSSNLLDEDTDDNGGSSDDNDDAVKKNYMSIAKKSRTKHAWTAQSAVPATTVAPTAANLILFPSKSISRAQPPRGRKRNASEDEYLDDAHPAKKIKRGYQNQSDEKGFLRKDAMMRHVRSENGCEKHRHKLKKLASKRKQAGLRNDEEIGTSDVTSGGRGGAGRKVVDWDETEDNDSRTDTDTDTDTDTGTEDED*
>EED78451
MSNTATATLTETFSRLSVRASTEPLKPTGAFDKYEFAELTPVIGREYSNVQLTDLLKAENADELIRELAIIVSQRNVVFFRNQDIDLEQYVPLGTKLGVLSGRPKESGLHIHPTVATQEKVEFSPDTQVITSAHHKQIELEEDLNVSQFATKEWHSDITFEPVPSDFAILKIHTLPETGGDTLWASGYEAYDRLSRALAKHLEGLTAVHEATFFKSYVERQGKTLHEGPRGNPENVGTDLRTVHPVIRTNPVTGMIATESCLYSFTRRILELTKDESDATLDYLFRLVSDNHDLQVRFKWSKNDVAIWANSASFHNVTRDYDDHREGDRVVSLGEKPYFDPNSKSRREALGQKKRIFGFKVTQ*
>EED78452
MFGSIFGFAILKPMSKALPERFGGGYFGPKENVCCQSAATAAGSLGLLFSSGFPAAYQLGLLGNDPSADFGRIITFTITCAYVGIFFTMPLRRLYILKLKLTFPSSVATAYTIRSLHVGVNAAEVARKKTWALAISFLISIIWRVTSEYAPGIMWDWHWSWWFYRAGWKWIICAESWGWIWEWTPAFIGVGLLVPMNSSVSFVSGAALAWAIIGPALVTTGKAFGVAMSSQYPGYMNYANMVLDDPVHAPSPEYWMIWPGCMLLLSSSVAEIGANYRTVATAFSVMFQPALARLRRFRGKGGEDGNESVVLNKEDGFYDPVPPEEQTPWWLWVGGLIASSIMTMCVMKYQFGQNAGVTLLAIVFAFIFSLVGAECVGRVSVNPVTTLGNFSQLIFGGISKGSGMAATTNQLNNGLTGMITLAAAEQCSDMLGDLKTTHLLGASPRVQLYAQCVGALVSIFLSSAMYVVFSTAYPCINTLSTTRCSFPAPDVASWRAVSLAVSEPALPIPLSSGITALVLGGMVIVSTIVKYRFVPLAKHHLFPNWNAVGIAFVLGPSNTYPVAMMFGSLIALVWRKRFGGNWLMYGYAVAAGMIAGEGLGGIVNAALEIGKVSGSLYGTSVGCPMGEYCG*
>EED78453
MRLVRAGRVGHHLPGGEYFTQPLPVIQRSAAALTPRELAEDCKAKARSDTVNVDEKPQLEVPKRATLAPGSTVQPKAIVARKHKLTRADESVPVSNLPSKLRPIAFGTDERIPLCLPTGLGKINVTMLTTLNEFGKWRRDETAQFYLDSFKIIHVAPMEALVQQMVGNFRSRLALNRLAVFIVGIADGLLANTRKESEIKTEGKSIIGLLSMLGVVLSRLCLLHLWPTKITIELFRILALVLRVPALASPSRDQDVRMQKGRRSGCAPRSIVSYNHTFRLPQGRLIGLGSAV*
>EED78454
MRRRLSRIGVGNVGTDGLSAETARGMFSGKGPGSRQDCTVVLSDAPDYTEKAVGVPFFALSVSTCGPAKCPDHEDRDPLSIGHADMEWAVLLVPSISKTFSGDADAGVLRIFNLQIDEPVMHCTHCLQSHVILRAEATHSWRFANITPSEPLRPMPLIYVSDGQDHDFIDLGSLICEGVGIKSGSQSCRVQGKRLRVSNKEAGFSAGLHNVRGQRQSRRKRLARRSQGTARVKSRGVRLDLDNVAPSRLARVERTSLTSRLKRPGRVIVRAGPLLVTSVLLLYKVHIREKRTDSNMQYKGEKAREFNQHRNDASNKQEALDAVPFLRKDLEHFRFPEPDSIVSIWASAFAFCNWNTEQGMELYDNVRAAFNTVMKEKQSLHEMSMTQTLTTKFKPRMSAVLSEHLPYGESPSGGKAGQPPTSIKQARELSSPMAGNRAPHGALQRSATYTDPVHIKPEPMEDTLVSDSPPQQQALPAIQLSETAMQAVQQLSQSSAVLSLLQKMKVEITVPEPPPLEIPQTRNVVQNTYNHSPNPAFRPSSDEFVPRTASHMQREPSQQSAPAPPTAPRSSAYRKYEQARTLNQSSSDRVVESSSQYRQMHDMPPSGPRALSRRLQDSSFDSDVSRGGYRHRESGTDKESARAAYRHQEGRPQQSGTASPSISRPKANTPPQSNSSYTRPAPQRRVSDPTDAFASNAPLANIPRAPSRGAAASPAPTSASLARSRPGAVHSDSHALNRELWDVRRQLTALHAREEAIAQKLRQLGALPQSDASVPSAKELPRELGALEDELVATRTQLQRESEARQVAEATLEGERLRRVYTEGVLDDARRESCATHGICANGDGDSGIGVVEAARAQLQQESGGQHAEEAALEEERTRRTYTEAMFDDARRIPSQSYRIGVPVVGFFVKVKFKFIVHTLSVYLDSSVESLLCVIKTSHFSMGPSENTKSIGFPTRVRNISPQVDSLNLPSDVRSNCENDAGKTTMDRDQWWIRLQTDGATYGARRLPKSYSHSAKAIEVTCFGNQSLQIRMDMGCVSKILLSIDKVAIAFGVQADFAEGAVYPCGTVEVAPWKSQSRSAPSSSRTASSELPSPSYTSASEAFSFPIVVHCSLIRIIGVAICLHSIL*
>EED78455
MAAELRHITSNTNLVNPARERRLSNRNSQLLRTHRLGSRSPSSIPSSPTSVHSSSSAIFERDIEPIAPACASLSTPTDPHRIPRGRITEQIEHSVPSVLDSAVVVLTADSTDPDELDSISIIAPALPDAGYRSGFTSPISRMSSRSPSPSAPPHRRSLLLSASPPQSTSPLTASPPLRPTVHTVNATAPAPAHVHALGPPATPTSAYFSAASSSPPSDASSASSSPTTATHTEHPLHTLSSPSTAASSPAAATPATVTVPPPASPHTYAYAHPPSPAHTNKRLSFLSYMDLLSSTPASTLPLSSLTGASAADPPHLPSVLGLPQAQYAASSAAGSVFGGGGGAGAGGDNLLDDVGGEWEREGLGRGLEERLEALNAAPSVVHGRA*
>EED78456
MRNAIASKSTQVVPRHRTTFLDLNDDVLAMIVSDFTTKDCLQLSLVARRVHLLAKRQALSSVTMGSVQAVKRICRYMLEDVVGRLQWIRRLSVSALIPDQGSGRSSDFLRRVWPRKEPIAMGLLVSLLKQANGLQYLHVGYVDNNLEFDHALLDAMCALPNLVELELLGGGGSETLKLLDGIGSRPRSLMLEVQSNNADRDAIISQIGRLQELRTLCLDGIYTPQDSDSTNTVPFIRNLRYTWPNITDLTLTHCEIHLPAVLRAFPNLRALTFAEWGNLRFAVPDRPEYSVQPRGPASLEYVEGSGHLFENWPTGRPVYHILIQSMLAIPSRWAMDGPTRCGDPEIPILLQMVRHAEPVILTFRIMAFESLRETFWKSLAAGATRLRCLEIELFLFSETQGLMSVFLQWMTKVPSSLSPITSLLYLEVALDCSTWRCFSYGETPEPILDQSQECAERFASLVVAQIPSIRYVSLGFGHMASHIHPVHTQPFTGKLWMWKVENTGGRRSLYLVSPNAAQRLKALLKSPLPLYPSARE*
>EED78457
MPFHILQELEAHLKNASFDAKDTAVFVNTNEAVATSNNRDLPFAAAIAKFGYSVAIKARTQAVELVLSHLFVARELGPYDISTPIVESFDTLHEAFAYGAAVVREDKVLVIWSDKKEDIINEFRHREHRLQHLTGLCTCGAIPRRPLLNVANCGEPVASSSTYPASVVYEGLFDKALQKRLSDKTPGSSKLTVRESTIYSALSWNAPPNARKRMMGEGDLKIVPNRTSSKNPARTTHSSKRKRNHVEALGATTVLLQQISAPNPRPLVSSRQFAGPKNPQRKSTASMSSTSAGAFSGPHVMDKDQITATHNEAAHDEDVDSDDGTDDNDEYIDGPTTRKRTGRTCPERNAGPLYARSGLAPCAQTERMLSSKRGTPGKGNEHEVPLAGVSPQTTEEGRTSPPRFTATEKGKGRAVDSPDDNGGAEPGPSPHKRMERTQSTRKAKRKVNDHDNDPYADAADQRRLKKQKQASMTSASGSMTRYTCTYPGCPKTYGREKDMVRHRKTHDAPTNFCGWCGKGFGRVDVLRRHCREIHEDHQGEQDVSVTKDGDDDKGDENEGCSWEKSEDSPEDQDTDGWDDGN*
>EED78458
MSKSVEGEIEVLGFERHVAGAKRWSERGYKQGRLSLIFPIPSFLHRYLAVLPRTPSASTMSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDKETELRVAAAVKQLTERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARHVEEAAKRAKAAEDRRLEDERCRKDEEDREGLTLGNEMDSPCNERQLESILGRNVGYNHRMDIIVEIKERALELGLFQGPCDGVSGSEISAASFYGNEPQHTHIQTYFWLRLVALLDLQRTVINFNLIVRSGIQLSYTAMDIIWLAQHTI*
>EED78459
MGHSYPSGIAW*
>EED78460
MAVLTPPASGPPMDVDSLEQVDGSKRPRYTLFHPAIAEFRKQYLSFYFIYATLLILLMWALLPIYWASLAYATQHTSRLTVWVVSRDRSAVGNGVVAAVQRAAADAASIPTLGWQLVDSDDDTVNSNDKVIDSVIDEQVWAAVAGECPAHTVDLTP*
>EED78461
MPSDITIAEGQLIALFMQSIAYGVHLVTFVICVSTLLDRPNGRLHLMSSAYGPWLLIAIALFVVGTIDVSFTFYHSLVAFIFYTGQGGAARVFDDISNWVNVMKTQYVDALLLKMYRCWAVWNRGWPVLVLPIFMWMATLADVGVYLFLICTLHESTTIPTAGKLRVTLLCYLSITMTFNLITTGLIVWRIWRVKKRSSQFFQRSWRGSGRLDLSAINTIFVESALLYTTSVVITLITSVLGNNLSYGFSDITSRGSVRVSLRDVNRIMIESALLYTSAIAVTFIVELAGSNAEYNVSDVVHTFLSVADVSLASGGKKPPTTSILVQCMMEEFDIPIEPVLRKYWNETAGLDFVNQLIVDDEEGAATLVAASSKYYALSAASALFKHAEAKLNLRFAAGSLLIRYVQVNGTMMIDPETARNLELVGNMSNKKSSHSLFG*
>EED78462
MSIMPRSKYPTSAYSKAIKINSYMRTVVYAWFMLGETSGISRVTIRHSAPSQATSRTLFHEKIVAGAAPRLRVYMVEKTQKYPSRKVPTSIVMSSLPIDEAQLIALFMQSVTYGIHVATFTVCFGTLINKQDSSLPVHWPWFSIAVALFVVGTIDVSFNLYHNLIASIFYVGPGGAEAEFLDISTWVNVIRMYRCWIICNRKWGLMAFPMLLWILAIGTAITDLYFVSTLRTTTTLVDQKTLQPLIIAYLVMTLVDNGLCTGMIVHRIWQISRQSSEFFTQTFRGSGRTNLRDVIVILIESALLYTASILATFVSAVAKSSINYEVTGIAFDLIIIRINGGTSAEQTQAFTDYGAHSNVAVHVSMSTTLDAGITHMKTSGSQKAVGSGTPASVEVVELDRYFHRLLVGGYGARREVSSWWNLDRDCRIKAVINHFLLDVVRAQLISLYWDFSANGDQLWTYDLDCIEDELLYTLSNVRMSINAQRPVNRLPVEILSEIFHQVLPPFKLASYLHDHDLKEFLVRESFFDFKNTDALLPLTHACRWWRDVALDTPTLWTTLYGSSHSDAIGEYYLRSQGAPLKVLNIKNTHLDVQYLWQVDGQRIQSLASKTGCHSDLPTSCAQGLHAFAARDCLLQGDVSNIKALALHAVDWHLPSTLTNLTHLFLAKRRLRVVDMSRILSIAPRLEDMVLYKISAEEAFNPHENIPAVTLQHLRRLAIYWPDKNIISRLFSHVGLPASLAVNFEHCQVSDLQWLLPLTHNDVDHLCISALTHSVIAASPLKAVRFSTKDDSEVVVVQWIAALLSHFQSKDIWLANTYHWDEFDEAIIKHTPWVETLHLGSLNFINMIEILEKNPTYWPKLTKVVLSRPYHLSNILKLAESRARLGCPLEELECYGMRNTVVEKYSQDVEKIKSHVEAVKLHKDGTIALPLPDVCTDGVPSPWFKWQKKLFDVSSGNLQSETGIPPT*
>EED78463
MADIRFTCSDAASLLAKLRNSCREWSLTDLDRIEDELLHTISDVRVSINAQRPVNRLPVEILSEIFHQVLPPFQLASYLKSLSPQSFLVWDPFFDFKDTDALLPLTHVCRWWRDVALDTPTLWTTLFGSSHSAAIDEYRLRSKSAPLKVLNVENKHLDVQQLWRTDGQRIQSLASKTGCDPGLHMSYAQGLHALVARGCLLQGDVSNLKALTLWAVDWHLPSTPINLTHLFLAKRRLRVVDLFRILSIAPRLEDLGLYRISAEEAFDPHGDIPAVALQHLRRLAIHYPDRNIVSGLFSHVGLPARLAVNFENCEVSDLRWLVPLTQNDVKFVCISALKSSVIAAGPSKAVRFSGKRDSSTGAQWIAALLSYFQSEDIWIANTYKKDEFDEAIIKHTPWVETLHLGFIDFTTMVKILEKNPAYWPRLTKVALSHAHDISGMLKLAETRYRMGRPLKEFECHERPSIFHEEYSQDLKKIRHYVSVVRLIKDYAIALPLPDVCTDGVPSPWFQWPKRLVSDICDNLDVSMQRLREVSEVIHVSTGSKLRHWVNSTDSTLRLVIAFSHVCMWSIFTEFELALPDYTDDRKRGV*
>EED78464
MSSLNDCKPPLGSLYLTRTYLSGLCLPP*
>EED78465
MSSPAAVPDKETLKLLLPLRYDGKSVIECNRFISQLLIYWTINTALSSLELKIQVALSLLDGDARAWATPIFSQLASVQIGIQGATTPFVDEKAFLQAFKARFGNLDDAAAAQVELTKLCSDKTMREKRTAAEFSALFKGPADRSGYGNLELRDKYLSGIPSRVYRKLELETFATWQAADKRATEVEQILDLLLGTRSRTRWGTWRCTYDTRSFGQHQCGRRKRRLPRHMLWLREARVPTFRVPQL*
>EED78466
MPVFPIDEAQLVALFMQSVTYGVHVVTFTICMWKLVKKFRSGQGSAHWPWTVIAIALFANGTVDVSFNLYHNLIAFIFYRGNGGAEEEFTASTTWLNLIRSVSFSLGIVISDAALVTIL*
>EED78467
MLSACALSGEPLIQSMSPTVDAHAYALDEPFARTVVGERRHLSAYEARTIPRLWQLHKEKRALRKSHLDHWEATAARTGTGRAVDAIISPVEPYAACPHGCNSDAFYTTLCNVLDYAAVVFPVTHVDGARDAAQPPHAFRNQEDKAVYEMCESSCGFSLRVGSGARTVALITHRAHAGGRGGPGDGGGGGRGAEGCAVDLIRAVLYSKSKGRHNDIKDTMYAAGMTQYYRMWNTRARQRIGGSFVTRRMSGNLAVLPSSRSGSLSCGEKKLGAFLLGLCYALVGLHSDGQRLGLLLSEQMHKEEVAPGFSGAGIDARTRPMWARINSVPVPWS*
>EED78468
MALMTTQSQPSFDLESGAQIPDPDAHQYRFLTIRSYESVLHIRGILACCERLRPGEETLTPSGAHNYIKSFLGDNHHFENASKVQGFVRIVASVNDRNKSWGNAVFRVGESGGGTHPQPLLEHTGGAAGRDGIV*
>EED78469
MSVMAGAAVLRQSNFIDLGVLVTGGKELLMIAFASLGFTIHCVFSRNSHRFQGRIWVDMSTRIFWSESNRPARACPIWFTAYPGSFVYDWADTRQTGNIAVLEAFTLAAIKTPDMACSFSFRIKGQEAAVLLRQPLQEARQRARTLGVPRLRRPLAADPVFALAADPPTSHEVDLLLPFEVDLHLPPEVDLHLPPEVDLHLPPEVDLHLPPEVDLHLPPEVDLHLPPEVDLHLPPEVDLHLPPEVDMCLVSRPACARAFECTFKHERKPATPAVEAPVSEEDEVDPDFFSMEGLAMNTGSVREERHSLTPSEAHNYIKSFLGDNHRFENASRVQGFVRILASVNDRNKSWAFLDMIVNGNAILRVGDILRFEPVSYMIGSGGNTLSFQKGYFPIFEYFASSLVLKTTLHKNINHLYTVLENNYDTVHAVIEQCVEGMIQAKSWQDPTPSLPSMLQNSLSGVVVFKTLTTVLHQFFNRFKDAIRAHPTIVTLINYLANWFDTWSADVSATPARFFDPIVSSPPNVRRLTLAQIKEDVDRLQHIVQRESDATERLRRPAAPVRMSAAQRREVLLAQLAQTYDPPGEQRHDGPRHDNDKLDISAIRIAPTNNELLCAVAPYLPVFLPDAPHHLTAGSMERHLDVQFRLLREDLIATMRSSIAAVHSDLAVMWQSRAALRQPTKLEELLTSKGGAYRTSGFDSVFFQLYTGVEFAPVRAERRDLTVGLMLDTPKHQAARDKEARKRYDYWEHSKRLQSGTLVALVVVSGPTLRIYLGVTSSFNKDIAESSRAGPDAIQIRVSFFDAEVEFMALRRERISVDALRFAFLVDNSVMFEAARPFLERLQSIEPTEIPFSRYIAHGGSLEGVELRPPRFATAPNFQYKLECLAKPGHAGRIKSLNLSTPGGVDAARRQLIASSILDPSQIDAVVNTLNREVSLIQGPPGTGKSFTAKEILRVLFASGIKPIDAHITDKMVRLGSRSSDDRIAEFTLDKLEKIVGGSDMMDRPIKRQYAIMKKLEEDMMRVMTSIQLPELGWDDVRKYLSIHHTDLADSFESPPFWIVELRERIAREEEANGEWETAGKKKKSDRKLARTIYGYWRDGQDLEFISPPSQPPVKEKQEAQPRAALPLVDPIVLEFFSSLGFDSLPPVPTTSRSLRKLLNSDSVWSMSMDERRRLSEDLEQNIRRLAYTSHLQEYKRLRKEYKEACKEYNDARDEPNRPDRLYHHSLVCAVNQLICIGDPQQLRPNLATFDQRAEADEANNLTLHPVLPSSIRHTREGSSVLFVCRQILYPRLEDNDVVLSYPPVHGMQKDVYFYTHTNKENGSDDSVSKYNSFEVEMIRDLVLYFLKQGVYNGAGQLQKVRAALKDLKISVSLDERDQEQLVRQGIDEEPEFEEVFVTKHVRLGTVDIFQGQEAKIVIVSLVRNSGTFETNSASIGFLKSSNRVNVALSRAKHGLYILGNAANLRKNATWSTILNEMDAREQIGHGFPISCPRHPEQTQRGVVYFRAGIAYHVAIIVRLVVMPLSTTIAAPDATKLAIALHAHVAILAHGDAGKTVVTANFLCMESRCHADTSRAGFLGSPLQLLVQGGLPTAMRASQVPEALFRALCAVYGAVSVDMRPPFVSCCLRLGRKKDIVDFIMQRQLKEVDLESSDISERLITLGCGHMFTVETLDGHCKMSEYYEMDDMGQFLATKAPPISYQTPPTCPTCRGPIDALRYGRVTKRATLDILEQNVASTMSRALEEVSPTIEELSSNLETMLAAAKQLNSDLETVSVPSATRSAEGRTIDPLSAKHFAVDALQTLHGLQKDEANAWQAIVKDLVTVYRKTVKVATTRGAHVKAYEAALATLYRLELDAIASDPGRDTDSPESLAMTAVNAKIGQPPHKADTRFQIEAYTLSLEVRFMLAQVGASRLEGLPITSDNENVHKHRLLWSSFVEFILASCLADARKALSMAQRSSASRQAAKCALYVLRSDFEQFRVRIMEERADCLRRAIMKEGDRNMLAAKVKEKSTYMLIYISKAEGEYIRSRPSQSMADLREERWWFKEHCASKVHRWRRDCEKLEKYMLRDTFYQPISLQEKEDIVKAFGFSHRGHFYNCENGHTFVITEYRNWGLTIIYCTSVVAQRRPPDALNVAPRLAVEGMCYMDPTRERQSSNRFRADMARSPEYGPGREMLDSFNPAGGRCNPGANVAPSGGTTCQACVIKALGAFGPRLLARPSLRARS*
>EED78470
MPQNSVVKPHVVIIGAGLGGIACAISIKKQLGFTNFITSLTAMAVKMYEKGAGYGGTWRENTYPGSASDTPTHWYSLSTELNPHWTAVMAPQPELLAYWQGLAQKHKLHANTVFHAHVVCATWNDALREWELVVEDVTTGICTATTARAVVSATGILNEPFVPEIVGASIPPIHPVGHAKASRLRGRYQPLQCRRPILDPGYLACLHQPNIELRWGEIKEIQEDGILMEGDVDVTPFDVVILGTGFITGKYFVSVTGSNGQTLEEYHKGQGGPSAYAGGVCVPGFPNYFFIGGPNTVTGNGSAVYTHECEVWSAAPSLYTFADQTQVNYIVQLLRPLLCPPSCALRAASLTVLPTAHAAYNERLQRAMAGPEYGGCPPSWFRVGGKNVSLWPWTHAWFWWVMRRVRWQDYELQAE*
>EED78471
MRDAANTTVRGRANDIQDALSYNITLPQPYRTELTFTIQSDWAEFGLLSPSGVIIENKANPYPPLTQGSETKITWGREHSHDQRRETIRFSIINDGSPIDFYISHGSDGGSGAKGRAEVLIGEANYVNDKITDNNWNTQWYYQAPMSGVPQQSRYRLNHEVRTWDETLQGYLGEIGVRNRLYPECLRPCPSRAAPLARPLSLVVQHLRPPRSVQAENAAAAPAPSKEDPYSPRLPESVLSSASPHGTPQRADFQDFLACRLLVTSPRRMAIDDDDAQTDGGGATPAPLGTRFGAEVVSLPPPFVRAGSGAGGKLAECKDVVSLGGSAVILPQLRLAPPFEPGETPGRTPAHERNVSTDTTDSEADSESGEAGGNLTVKVVASQDFELSYLPLKRGFVTFGGLRVVLIEDVTVNEGQEESAQSRHHAEDVRVLKEWDVVGEIWVES*
>EED78472
MPVDLPATNWLGYSLDMTTVTPSDITALTFVHKLNGMADGVAYNVPKNVVIATDLYNANRYAAGLKNYIVYLNEGLLKNRVSRLPAWPSSPDTTVLRDYRAFFDTVGSHSIINATYGARFQLVYNSNSSVNSRFSANVLYAYNGIPNGGKYDANVEPESQYKTYQGLAQRLLSCQGGDADMANTLVNSPTDYSLYEKWTQTNTQNTGVVNFSLTEIWTLMRSAVDATLQARADDIQNAFNYIVTHPDPYKTAVTLDVQSDWAEFGILTPSAVIIPDPSKPYPSNTTTSDNKVTFGKRRSRVIKRGILNFFVVNDGSPLDFYISHGSDGGSRGKGRASITMQNITFVNEGITDNVNNTKWYYQAKVSNTPATSGFRSSPKGRYGMSIKILQQPAACGSCVILHTPLMPWSYFVLTRNTQSGRDADSLRSMVAPPCDRVTLAHSTSVSASQSLMGAPSPSIAEPARPSSIGTGCRASFTIFNAFIFHDNSRSNPYSNFNLHSTSTSIIMRTSIAVPILLAATSVAPALAVPVASASDAELLARMYDDGLFARAEPTPAGSQAVNWKKVGNVAGKVASGVGKVISILKREDQELLARVIEDELYARAMIDELAARDVPGFHPVTKFPTAAGLGPVVHPPHSVDRQTFARELPVGGIHGAKFTPSVRPFPYRIGTVVHPSRSLDEGELFARSLDEDELLGRSFDDELYARAEQPAAGSQAINWKQVGNVAGKVLSFIKREEQDLLAREIEDELYARSDMGLDELD*
>EED78473
MFAFAIASAVLAATASALPTLSARQNPCNALGAGSTDSLSYNFTIAAIDMDAPDNSTGAPLALTTGPPGTSGEASTWWISTISASYQSDFPTFSLVGGALIPNPGPHEQGLAVYDNAVNPGSELEFTVTLPSSGLSNDATYCAVSDANGYATIAVNERTDKFALCEATGSAYIVVYEPSTTNDGLYQADTCAPKRLRAVRV*
>EED78474
MATDTSSFTGGSLLLAWQLKGKRVLIVGGGDVASGRIESVLVADALVTLIAPRDGLHPLTKRFIESSDRITYHDRTFAGPEDLVDVDMVLTAIDDVETSRTICAMARALKVPINVADIPPSCDFYFGSQIRSGPLQIMISTNGQSPKLANIIRRRIENSLPEHAGEAIEKVGQLRNKLRERAPGVGGELGKRRMRWMVDVCTAWEMEDLAALDGEMMAKLLDDGWEYNRV
>EED78475
MLRTDQLRTNQSYAHLPILPPSNVAACQGQVHAFDSQDLIDVYIPDGPETVIYHCEQQPCPNWTPRSIAEDYPHYKAIRRAQHPLGPRSTLASRSASRHSCPVSPTSRLPQTVAESSQVRGDLPPDPVPEPEPEEGAGEEGISESKSEDSVGSASPTALAPASAVPDVRDPPTELPSAPSPPTPLRGRSSTHSSRSSTSGGPPQPPPPPQRPLSPPTLVMSSPATAPNKETLKLLLPLRYDGKTVIECDRFLLQLRIYWLINTSLTTIELKMGVQGVTTPFRNEAAFTAAFKACFGNLDDEAAAQVELAKLCADKSVREKCTAAEFSALFKGPADRSGYRDLELRDKYLSGIPSRMYRKIELKTFTTWKDAEKRATEVEQILDISWARQPKLNNFFSARGRGRGGARGGAPPRRKRKLPRHLLRLWEARVPMF*
>EED78476
MKMQGFVKILASMNDKNPAWGNALLRIGDILQYHPVGVTSRRSQSLSFQKGYLPILEYLASNLVLKTTRRKNIISLYTVVENNYEAIHKVIDECVGGMIEAKSWKDPAALSPRDDIDGVLIFRTLTTVLFQLFTLFKDVVRNHRGVAQLVNDVIVWFETWSQDVSADPPCFQDILTDLPQKARASSIARLREEVTRLHDIVQRESDAIERKTRPQAVRARVLNQPTRGLNPQLVLAYDPPGNLRSEGPRHDNDAADIRDIRIPPTNDELLCAVHPYLPPFRPDAPHHLRADSMARHVDILFRLLREELISTMRSSIRELQSDLTTMWQMNNRDREQTALERILASRGGMYRSTGPDSAFFQVYTGVSFADVRTERQTVTVGLVVDTPDHLDARSHEASTRYQYWQYSKRLQSGNLVALLIVEQSTLAIYLGVLASTNVSIAKSAQESAHTIQIRVSFFEAEVQLRALRRENLSVGDSTFAFLIDNSVMFEAARPFLHRLQTIEPTEIPFARYIAHTNSLDAIESRPPRYATTPGFEFKLSCLEQPGYGERIDDLNIFVAGATDVARQQLMESSELDSFVGKEIVRVLIASGIKPIVLVAFTNHALDHLLAEILDANITTDFVRIGSRVSDERLTPYTLDHLEDVGDQSMENSVMQQYRSVQSMQQDLEDTMRSIQLPDLNWRDISSFLDIHYPEHAESFFFPPSWIAGLFQAISKDEEENDSLSPTIYGFWRRGLDMSFVSQAISESQGQNYSPSSASLSKHTSARDVLAGYGYTSRLPYIPITARPIRQLQESNSSNVWSMSHDERHRLSAEWEQCIRQSAYKSHVDEYERLVEGYQMEWQIYNDTRNDPVSPKVLIVEEAGQVLEAHTISCLPPSVEQLICIGDPRQLRPNLATFTLSTDNERGNKLFKFDRSLMERLADDGLPMSILQKQRRMRPTISHLIRTILYPELEDHGAVHAYPSVQGMRKDVFFCSHTNKENGVEESVSKYNEFEVEMIRDLVLYFLKQNIYNGAGDIAVLCAYLGQVQRVSVSLDQRDKEQLVQLGIDEGHEFDRVAVGTHVRLGTVDVFQGQEAKIVIVSLVRNSGTTETQSASIGFLKSANRINVALSRAKHGLYILGNAANLRKNETWSKIIDEMDAREQIGLGFPIVCPRHPEQTQMVSKPGELSRFAPGGGCLLPCEYRLPCGHNCSSSTTIVTQGVTILATKLPAHVVILAHGDAGKTVVTANFLCMESRCHADTSRAGFLGQSTKISSTLRAYSRNGVLPRFEVHSMQGAVWRRSDMLLQDLQVCMLPLPDGDRRAHIGNNANADRSPLQPLVQGGLPTAMRASQVPEALFRALCAVHGAVSVDMRPPFVSCCLRLDLCASALRRAVYEHAPVWTSLSVGGKQDIVDFIMQRQLEEVDLESSDISERLITLGCGHMFTVETLDGHCKMSEYYEMDDMGQFLATKAPPISYQTPPTCPTCRGPIDALRYGRVTKRATLDILEQNVASRMSKALDEASGNLEQLSSRLESMRNAAKKMRASKFARSSPPSAGAAAGKPGEPLDPSLLTSAAMYNMHGFFQFEADAWLDIVGDIVRVYENIVGVANIRGAHVRAYDAALATLYRLEMDAIAQDPERTSLGAPEPLAMETARERIGQPPYQADKRFQVEAYILSLEARFMLAQVAESRVQGLPLINTTSLAGQYRQQWFSFLEFILESCVADARKALSIAQESSASRQAARCVISILRSEFELHRTRILAQRSELMRRGEYSEVTRATLADEAELDSESREYMGKRRSHNVEEMRWFEDNCARKVKRWQEECDELAKYLVGDTSYEPLSLQEKEDIVKAFNFSHRGHFYNCENGHTFVIGECGGATQSARCPECNAPIGGTNHQVHNANTRARDFEQIAGEQGAMPGAFAWTRDA*
>EED78477
MAVDRGTGMSAGDSSAEARVQLGAVENKSSQRRGWLTRRLWSGARGVKGWSKTRRAQSVALEKRRSGKICHIGVGWPRPGQDPETEGRRIEMANICAASHDVMAVRAWRRRRMLAEPSLSSRPRQDVPMQGLWRVQDGLQQKRTSCQAHQHAQTVHADKQEQNERMMHELTSLHASMTAANKGSQRSKRAQASLAASQPAAASAALAGTTTPMAAIKQEDALHALPPVVHQRPGTSTGYEGSGLYPASTWHVQTSDVDRPSLRPTNSHSFRDPGQSFRASSSAHPAPASPANQHPGQSFLVPSSAFSFSLPELVGGVRPGSGGGPPSAPESAPPTLPSLAAVVSSALPASLARPFPPPTAPAVAAHQQPLTHSHVLPLPTPSGPYGHGRRPNTAARPGTAPASYYYPPNAYASAAGLHGLGASHVELSLPPFGRSGGGGGGGGLESLPRLTPGGYVDVGDDREPTSPTGAFGDSPFSFHAPATTSTTTSSSSGAPGVPSTLASDLTLTQDPTTTMMAHANGEMLAAHLAAVQGNTNTGASLGHSLDGSPSWSSATMTQPMQLLEEYYMPPQQQQQQHAQAQAQRQAQAEHQARVRHQQLSRGPPPPPDSSRAPHNSHSSIGGAPTPPTMAHPSHPHPQAHRYSLELRRQQEEQLHMLRQAQQRQQQQEQQQAVAERSRRKSAEEAYLQQLAAAYGHPSPHTHTQAAHGDHLYMAYTDGAGHPGLPGGGEEVYRLHGHPGMLEQGYRLVDGAPGPLDLMGVPGVPAQENSPVGMLRHAAGADPVGALRVNPERYMSEWNGAAWSATAACPALPSAPPHMNYTLDDASPSLTFSHGWGIQNASEPDLDRYFQHTFHVAENDGATMNFSFLGSYTFVAIYGSKGPGHASYSVQVDDAILPNQSAYASTPQFQQLLFQRALASTNSSQHFVQITAQFDGASQPWLDVDFVTIGDAGSAADTTAPVATVTPPFGTGASTSVSSPSATPTSASSRPSATTTPTILASVFGALIGVALLIILAYFGFHYFSERRRPSDRSFPYGSASASASKRSGVDAPDDPSMAQYPSAPQPRQHLVRGLINGSTYSESTHAQSLSYARSLASPVTGASAGGYAHVPLHAPGHDAAAEDAGAPAHEPRRAGTPVRALFSGSPIRFNRAHKGDADSMRTDFLQLRLRDGCKGQAHNVSRRVVRTTLIVLPRHLDLRDEGLGAAKLYDIGAKRRNQTISCPNPSTAVHDCEALSLLEGVDCGEIHGSATPL*
>EED78478
MSTSCLPKANSVV*
>EED78479
MSNRKSRKRRRTEAFQDEGQDEAVQSISLETGPADASAEQQEPLSVEIDNQQDKTDVAEQECVDAVEVISDPERIQKEQEIWDVIREEQYAVLEQLPLSLHRSFTLMQELDQQVHRHEEQLQAGLRQYSIMRKELARIVNSVPDTQAVESNDEEKTNVAQPGPEDSSLAREDHTLLPDAQPGENGHAEQAPENASPGRAASSVHSEPEPAQSRGSSRRLLVQVGQLADEVERAANEKVNVARYAHDLIDRYIRDLDRAIKEQETSISLGLRPGAYPASIMLPEVVAPSGLRARVVPEPLAEEPVVEAPAAPEEAAAAAADTGETTLGIVSEEAAAPTHGSPVRPQRRRRRPPRITMKKKVPKLLDLIEFVPVDMEVPSGGSGLKLTVPPLAAVIAANDPPIDPNEERWCFCNQVSFGVMVACDNENCTLQWFHLGCVGLTEAPADDEKWYCRDCAPLMVASSPKLRMHRVLISVPFNLSTYSSYDLLEGRHASGAASQDAEESTFEMLYIAEDRPTQTSRILCVFEYLPCSMILRLSYQTGRVSPPWFDDALKWLGMPANTLFKTLSTLWGGTCLMLCSGNSRSSGISFPDPSTAGVILNA*
>EED78480
MGSIASQVCKCIPGSPCFPSQEELDLLSSNLSHPLVVGQRPFASVCYNSAAGYDSLACQEATANQFSIEIRAASSNTLQWVNFEDYITTSTVQQCPFNQTNGDLCYQGRVPSYAINVTTVEDIQATIRFASKYNLRLVVKNTGHELMGRPFGIGAIELFMHHMKGTNFTDNFVPIGTAADDTDSQPGPGVQWADLYLAAQEHNRSVAGGFVPHGTVGAGAGWPMGGGHSPLSPFFGLGVDNVLQYTVVLPNASHVVANQYIHPDLFWALRGGGGPSFGVATSLTYRTHPNYPYTGAFYVASAHSSTSFHALLALWVKHHNDVADAGWAGTWPWSNNTLYLTLLAQGLPATQTANTTLEAFFAASRALPGVNVSLALTVPYTSFYEFYFDNLVDGSLGFGMNYTAGEQGGINQATSSWLVPREIYDTNSSTLADALANMPSGSAFMGGWSASELSCVYWGVANLEGRYLGWHNKGAGRGRSKEHERKQECLKEGERVVAERERVANSGI*
>EED78481
MRTTLAYAPVMKDDGSRDSLLESSKVVPVSSTHPRLRKQTWRLFPPTAINLVILCLSFAFFVLSLQSPSTALCMKNFSTFSPAFEAVELYNVKFNGTLNYPSVFRGEPNPELDAAWDTIAVDPLPIRITSEILQKIRQPERPSSVRFLTKMEEVSWRRSKYLINYIVWDLSFDLLSLARAPPLPSPITVADEFFDCSRLEHAFDTQEQVDVSRDDPESNGNLAPFFICDRQPCPNCTPRSITTDEGRYVPIRRVQHPSGPALRYAGTSRSTSRHVTPAPSRPASPGTRIPQPVASTGQARGDPHLPRGTEAVAQPTATPQAIPQPSLGPRLERPPKTEPRDTPPHSWAGSSSAVTASTSVPVLRHPASGLPQLSSPPSPPRGRSSTCSSRSSPGGQSQQSPPSAGSPSSPSSPIMSSPAAAPDKETLKLLLPLQYDGKSVVECNRFISQLLIYWTINTALSSLELKIQVALSLLDGYAQAWATPIFSQLASVQIGIQGATTPSADEAAFLKAFKARFGNLDDAAAAQVELTQLCADKTMREKRTAAEFSVLFKGPADRSGYGNLELRDKYLSGIPSRVYRKIELETFAT*
>EED78482
MFYGTATFERRRCSRVDEEAQPISGLFMQRNIAPAESWIQSTFTTSPQNHAPQGPMLVVELYRRLDNGSSSKLAHILGGCTIDPRCESRRSSMVGSRRQSQHMDHAADSLGLLLSHLDAQYESYLKCEVKQESAAMPSFSLFNEEAVGYRAMTWSCRDAGVFLDDRAVVQCLYATVPPDPPSIDQSPNGV*
>EED78483
MVGILKPRRSLRLKDVKKVHYDESSDAGSVPDLELKPKHVKRKIKSSDDEDGYEPDREATPADGSTPNRRVKRKVCMSEDARQRAVDKSPNKGACILLRLNDRTVQFCHVLPRATDSSVLASLEWWWGLTKTLNVDSHHNVAFRASPFPEASRYAWAYLHTVRGDLHVLWDRGDLLIAPMPDVVMRLLDKFTDSGRYNIGRYSKKRSXIATLRSLTQPLQIDKPEKGSPSQAKPHFMILNAVMKIKENKKLWIKVLEAFYKRINLKADASRVVEGMVTLADLWTAPPPWDAQLVRNEDEPQELEDEPQEVEDEPQEAEDEPSLPIIIPTGIPRTPERPKAVIGPGGLVQDIGRESKTPEPEDQSCNSNLKSCAAQLTPTGPRCLLSLQDDKSVQCCHVVARSTTHKTRQTLAAWWGLVDFDINTPFNIFLLRADVHSMWDQGDLLFMPEPEVIKKFFAQSIVPIDVGMSLDEPFEVCDGPIHKYCVVAHRDVPDTEKNSAFRREFKTVGYVYSRVPPQFATYNAGLALSKGAGPANFVMALDAFYKEHKVNYNAIEILNETLQVFRRWIERKPRYTTTQLSMYFLYSMSTSPETPGQMSGKGEIGSNRSHVAIPSAEHSALISVIYTFLQGCLISGVNNVNKEGHVLGTRIQPPLGADAPLVPLTLPRAIVLSTLLHRFSCSLAASPSVTPMAPQSTSHAGVFLESEWIGSGEKFTKDLPAYVKMALEAKLSLPPTILSLVLPLPNATMHTIFSTSFPLVSNETNLSIRSAFRFFSTAAPSHPQLTLLCTLAIPDQDTVNTIETRRSARSGMSSQARVHE*
>EED78484
MSLDEPFEVCDGPIHKYCVVAHRDVPDTEKNSAFRREFKTVGYVYSRVPPQFATYNAGLALSKGAGPANFVMALDAFYKEHKVNYNAIEILNETLQVFRRWIERKPRVLGMRRTARRRK*
>EED78485
MVPHPSDLQPWITPSLFDKTGNDNIVDEWTFCELQDTATATEALQNHWETWITEGDIADIAAVGLNHVRLPVGYWAFEVGAGEPYIQGQLFYLESAVNWAANYGVKVIIDLHGAPGSQNGFDNSGHRLSYPEWQSNSTNVQRTDSIIKTIISMYADHPDIVPMIAPLNEPTGYDGSAVMDVLTQYYYDSYGNIRRRSIADTMLHRRPYGSSQESNTVVLLHDAFLPLSYWSGYMTPQNWQGVAMDTHIYQVFSQAEVEYSYSQHISAACAFASSLSGFDLWLIVGEWSTAPNDCATYLNGRGVGSRYDGTYPGSTYVGSCSGLTGLSASFSSDYKTFLRQYWEAQAIAFSAGAQGWIMWTWKTESADEWSYQAGLAGGWIPQNPTDYDYPNICS*
>EED78486
MNGLYILIPDTSHMFAAEHPPREMSTPALSDDTGSCSSRESSPPATPVFGLSRAPSISFDDYCQHIPTGDGTDIGIVEPDVDYSLPEDISRLLKRGRLADTRTDEVRAERPLPPDIWSDATRTDDDAFFGLGHIPNSDCTSVGDVEPDAVDPSLEYVSRPFKRKRCADTSTYDVRVKRARTSGTTNDATKAEDRALAKNTSSYRSLGWHDLSANLHLMTVRVGREFIKRPLHYQHLLPIDALVHIPIIKLVHPEAVEPASLHIEQESPVEDIPRPPKRKRCPDTEPDELPAKRMRTSGTASEASKDRIPFGPHPRCMITGCVSADVEALSTHDCSFGFVADKVNRKIDYLTFSMRTDYDTFLCHEPENIIFLRRDLRELWETNRLLMIPHPQHLEHFEYCTVYKYYVIAEDEHPPDSCATMGHPITPPMLTAPCSYRSLGWHELDADLYSMAFRAGRKLSKRPLHYQHILRELLPHKEVNHTYSIIFQYVEWTDPLSLQMLPNRRLWTTGELSPCPDGYYRRPLTEYCSPLSDDDTARFPRRFRPVVSGIKRKRSGDTRVDSEPCTMKEYAQQVASVRPLAEPEDPELLIYRQEEAKDMVPAVEELWSDQDYLSRCKCN*
>EED78487
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNISDKQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKQQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVVAT*
>EED78488
MLIFCLVERPVIDAEGALDMAVTLMKTLHSPCWHPFHLNLSPSTHPVCSSISMKFLHLAIFVIVLVTGALAVPHPNASLTMRQLERSSKTTKSDASNWFQDPGILHAEDARGSVPAWEFATHDGKQVVGFPSHIHGPSARTRVMKELCECWRDKGRWTDMIAHADGRTKYEDGRNYAFMMERSACVDRRQAPLGPDTPLFGSRIPPGTSTQSPNSLISPLTLFDIFDGARRLLEARHGRPDASRVDPGTSSAFGEQ*
>EED78489
MSRPSRFWAPAPPPATKLGRHPRLAPLAGIHVSPICLGAMSIGDHFRLLDAFYAAGGNFVDTANAYQDETSERFLGEWMAARGVRDQMVVATKYSTNYKRAADLPQKSTYVDNNMKSLYLSVEASLSKLQTDYIDILYLHWWDWECSIEEVMNGLHHLIAQRKVLYLGVSDTPAWVVSKANTYARLTGKTPFVHDFEREIIPMAREEGMALAPWNVLAAGRIRTDEEEERRRETGELGRRTASNEWERTPDQRRVCQALEKVAQEVGAPSITSGWRSPYVFPIVGGRKVEHLMDNIAALDIALSPAQIAHLEDVLPGDGSEFIAMYKASGQFDRWPTQHAIRPAE*
>EED78490
MLAAEHPPREMSTPALSDDTGSCSSRESSPPATPVSGLSRAPSISFDDYCQHIPTGDGTDIGIVEPDADYSPPEDISRLLKRGRLADTSTDEVRAERPLPPNICSDASRADDGAFFGLGHIPISDCTSVGDVEPDALDPALEYVSRPLKRQRCADTSACDVRAKRAWTSGTSSDATKAEDRACFGLGHIPIHDYTKSRVVDPDAVHVVHPRIDLYVPLEATARPPKRKRCADPTTDEVRIKRRRLSDSPSDASKLKDGDLFGPHPRCMITGCVSAEVEACYILPPDTPQPLVYTYHVIAEDEHPADSGNPRDNTTTSPVAKNTSSYRSLGWHDLSANLHLMTVRVGREFIKRPLHYQHLLPIDALVHIPIIKLVHPEAVEPASLHIEQESPVEDIPRPPKRKRCPDTEPDELPAKRMRTSGTASEASKDRIPFGPHPRCMITGHASAIIRRDLRELWETNRLLMIPHPQHLEHFEYCTVYKYYVIAEDEHPPDSCATMGHPIPPPMLTAPCSYRSLGWHELDADLYSMAFRAGRKLSKRPLHYQKILRELLPHKEVNHAYSIISQYALWTEPLFSEMVPHRRLWTTGELSPCPDGYYRRPLTEYCSPLSDDDTARFPRRFRPIVSGIKRKRSGNTRVDSEACTMKEYAQQVARVRPLAEPDDPELLVYQQEEASDVVQV*
>EED78491
MLTRPRGPFPLGVLILANVLVVRPSSPVPLVAESSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLNVLDLDDESRGIIRVIREERALIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED78492
MDTPTQYKVYIGQYCSPARPNVEPEYWVLIVKKTPRDFEGISHATGDVFRVRDGFRLEHKKDDGYNASGLYRGAALIGYLETTHLDEAAALLESEISYRDNHYRWDRKDWVETALSVLQNQEYFTLRGGPFTTTVLEKMMRKVLDNFDHLDSSETEAF*
>EED78493
MVTLADLWTAPPPWDAQLVRNEDEPQEVEKEPQEVEDEPQEAEDEPSLPIIIPTGIPRTPERPKAVIGPGGLVQDIGRESKTPEPEDEPCYSNLKSCAAQLAPTGSRCLLSLQDDKSVQCCHVVARSTTHKTRQNLAAWWGLVDFDINTPFNIFLLRADVHSMWDQGDLLFMPEPEVIIQYLAQYIVPIDIGVSLDEPFEVCDGPIHKYCVVAHRDVPDTDKNSAFRREFKTVGYVYSRVPPQFAAYNAGLALSKGAGQADFVMALDAFYKENKVDYNATEILSETLRLFRRWVHGKPLPSPLASTTPVKTERFLLHSTANAHLLAHTVERVFRWQRFHHDRNKVPAFTSFLSEDFAAFRNVEARATNLLILWEERGLALGTSPPPALSPAAGHTA*
>EED78494
MSLSNSFKNIVVVGAGLTTALLIQEKGGYEVTVVAETLPSDPKTYVHCMLYWRERKCLTGGLNFQGAYHVIYRGSDERERKIQNDTFKVMWNLSAPGGEAEGCFRRLKTMEYYYDKLEVNADEMPGFQEIPEHALAPGTKCGYSFDTYVIDPPVYLNYLMSRFIAHGGTVIRGAVQHVNQIVEGGAFIILADTNLEFTNSSRVGRLPWVYLAAEPIEPGISA*
>EED78495
MFERPCCDGEPVERFDGVLGCDRQACILAHPNDPEWRTAETASRAPPPRLPLQSSNRTQDYDITSNPKRDAGSSQTRPIPTGPRKRTAITMQPTQVQASTCDSTSSSLCGRPAKAPPTLPAALRSHLSSAESQMPAKLKINITNNSFSDLPRAEAPAIPTPPTSTPPPLPPPSEPADLSTPVPPSPPRLRWASIETSKGPGKAPAGRSCTDSMSLPDRHRTWDERIQRLTSSSAFSSLPEPERTTIQSQLSAAEEKCTAKAEELKDALLRLAESNFWPLVEQSESKAPSSAVSEQLVAEIGSLRNTVADLQSYLGEVQRQLHAAASGDNAGCGEPSSKRRRVDHDAGSSSVVSELDSMRTRLSQFHDTLVTLESSFRAHKDHVRNEMDDVFRKRDALIEDKRAEFTQHCDKTEYEIEELAREMDALSIRTDTVNKENIRLKREVATLARQIEQLKTKQRDQIDVMQREIRELKALVAERLPASTPSTVNSPPRLESPWDPEVLFEAVRPHLIQSIRDEMRPELSIFYTRIQDILRSGYNPGMGREYRGGRRGWTY*
>EED78496
MQFQYAIILFTVLASSLGVASADLQPTRITPPSPSVWVSFTLLPERVLSVSLTFIWDITHLSSQPVLGVKEKYWFMHCGGNQLVLPCVQEDYSVSVALFIDMSSARYMRLSLSLPVLETPPTSNQVSANDSIMRTEGASDAGGPGNEILRDPEFWFPDGSIVFVAQGHGFRVYQGFLAQKSEVFKDLFDLGSCDNAICIDGCPMVDMPDSAVDLRNMLRVLFYPERYWPHDQPVAFGIVASLVRLGHKYEIPHLRNVGLVRLKSIFPDSSAAWRQVYPAGKTDLVRLADRREAITAVHLALLTNTQRMLPAALYLCSQLPVDVLIDPCSGPDGIPEPLPPALLVKCLEAKTYFARDYPRMCARILAESFPAQGHDSCRIGKDCMLGFTVSGDLATVEQLERHGYDHFAGWNQMVQYSRDDWPVDRRLCYGCASTLLRKEEEEYFAAWNSLPARFGLEIPKWIPEAPSLDDFWHMHEIPFSLKHPRFVVPLLLAPWPNSPFFSAAAYDSFQLVSISASLCFQACPLIATTKPGPFEFPTGPEAYSVLKELRVLGEHPLASAWDSGLVDGLRRGLNTMGVNWTSIDALRIGEVGESSGTAIVWIGVEFGALSFKEGSVVAHKCRTFIDSYGIHDYHVDIREYLLPLDKDDNKEYERKDDGKARADVVILGTSSFNDKLAVIDYDIRGQESVIIDANERIDSVKGLDDPGSVREREQAGLKALRAFRHEIATHWEAKENRVFGELVWAPPIVLSTDPGQYTLDIAVIKVDAGMLDANNYRGNAINIGDKYTRQQFMEQVCLHPTSSTSFKFPANRCVALQDQVPASDLVKPPMLDANRESCLLVFKNGAKTGFTPYFTYSQLKHSRIVTRRNKHHQRTRKSSQPPAEIAKELPFHLEAKPTLARLVSSQYAPGPAVSILDRRLIHGECVIVLLDPPPPSFRRPPAPELPYPSFEPTALMGLSGNLSTAFPLLAPPSTADPHPFITHDVSEQDWTFFVRDVKAAALLAPSNSMFAGVAPVHMRLPPIINLIVAKTLEHHWQNKQNGPSGEVVEYWNHHFFHPRRMRVVLARGAVSYSGPDAPPPDRRSASSKDVPTDSPVSDSASGSYKGDKRSHKAAKAELRQQKKQRENERSEAASENWRLVITSYTP*
>EED78497
MPAQESNFKRFVEVGRVVLLKSGPQAGHIAVIAEIIDHNRAIIDGPTTGVPRQAFPYRHLTLTPFVLTKLPRAAGSGVIRKQLEKEATVEKWEKSSWAQKRAAVEKRRSLNDFERFCVMVAKKARRDTVRKTLHKA*
>EED78498
MPTDSSSSQMRVPIETQVGWIANLGMSATQDQSDAELESFRTALASFAFVSPAGPRRSPRNRNTPETLNVSEDKDVLPVFSESTLYVPARKKVAAALKPRAGKQTVKEEIIGDLIPQEAKALIKTIGKRGYAPPETYAHLEPLEDHLKEELDSRITSGDVFTDRVRLQIVTTVYRAGDEPLFADLTPANECLDPSEDHTLPERFNLGLTNLVHRPSAERGIIM*
>EED78499
MPPARIAPSSSKGKSKGAFPSHGRLARKPLAPVELNPLSYDRTDPFTALNAMRTVLAALPTRQLRLTVEEHALVMRLLAIVEPFVGPAPARRALTRLPTEILDAVAFCVDDKRDLLALALTCRRMYSVVFPRHYDYRIIKCKVSSLRVWNHLIVRRGLARNVRQLEVLDERSTEPETIPCDIETSDTDLESSDDELEMHAKQERYLAAALARMTALVSFTWSCSHLPISVESLWPILMKCQTLQQVTVNDNLVFSPDNDDESSAARKKRQVVVSPDFEQVSTICVNNAIVSCIAGRDASAAIAPASVQMFCLRGHRQSLKIDYTSRRGPGFSSPILDDFLLCGRWPNLRTLALTNLRCSPQAGFDATMGFLLAHPQLEVLYLDVAFGAGSPYVFPPGCIPRLRELRCGRDIAGALLACPGGLDGRPLDVIGGMRLSGSMRDTSFLYALKQYGKSVRRIELAGWTDMDDIRQLAESAPQLTWLDVGRRTTSAHAAKPASATTTNVAEWAAILAQLPQLTTFHGVRFFYEVAQGSGATLSVPLTLSERSRMRRNDEIASLLAWKCTKLRRLDHWEEGSGRVVVLLRDGDKVRYEVRRVKT*
>EED78500
MLPKLHAENIRRVLTHTNHTSHHFSHPNLQCKPVVYRETSPRTPSVFSRSQNGTGKTGSLRGSSSLNPVIILRIALRAKVSFFKFSRHLPLGVYSFQIGRRIIKRPSSTLKTSPMAALPTSEPAAELEKLSISKHGSEKDSELIDELLALSKKNPKLVRSTEYTAPADPNINVRSWKMNEFKYYDVPSPFPTLARGLFTQDVQTNDRVKHRIVARGYDKFFNIGEVPWTTWASLESHTGAPYILTLKSNGCIIFIAALTPDKLLITSKHALGPSNNAEYKSHAQFGERWLRKHLLDAGKTEEQLAATLWEKNWTAVAELCDDSFEEHVLPYGPEKTGLHLHGLNKCTRQFKTMDQPVVDAFAKEWGFIQTLSTVLDTVPQVREFTEAIGRTGKWNGEALEGFVVRTRVVDPPTKGGQPAAASPYRAGSSFFFKVKFDEPYMMYRDWREVTKTLLSKGPSMGNVPKSKLRRPETRVYINWVIGEIKRDREQFATYTHGKGIIATRERFLNWLRSEEGSKAVKRQDELPAERGLIREGEKFGKTIIAPIAVPGVGKTSIAVALSHLFGFGHIQSDDIKAKKAAPIFIKNVVNALKTNDVVIADKNNHLIQHRQQLREAVRTFKPPVRLMALNWSFDQPLSTIHRICGDRVLQRGENHQSLHGDPLAKSHEDVIWQFMNQAEELADEEVDVAVEMDWEETLEDALTRAVDACVRILGVPRPDQEKVGEALAIARGYAPSTKANKADSAKAKKQAVRYYAILAEVDLQDVLGKRFAEADVPGGGKAFWENLKAQKRVAPRPHITLVHQKALPGETVLWERCKELHLHSNPPLFSFRLSNIVWNDRVMAATVADFAVSTDDSHQDEKGLDFILKLPFEVQNRLHVTVGTRDGVPPVEAKTLVETWKKNKHLSGVGSLELDNVWVKGSDDGSGVVPAPAGEAPEPAPITDQEVGEYREQDRFLPIANVSRIMKAAVPGTAKISKEAKECVQECVSEFISFITSEAAEKCQMEKRKTIGGEDILYGMVTLGFENYAETLKIHLAKLRQHQTSAGNDKPRGGEASGNATATEDQ*
>EED78501
MTAGSELDKGFPLIAPPSTTVPHPFVTHDVGEEDWLRFLNDAKTASKLSPVDRVKSTVAPMAMQMSIGIGYLVSKAIMKRQKDKKATAVGQFIDNWNNGTLSHSGPDVPPPDMAHLQHQDHHYDTDSDSASDSDVNYSNNSPESSKVATRGGRRERRMERRAERGQRMGRRREKRSQAKEQWRLILVALPSIAAISYQLDSHLSLILSDATGTFGDEFTLEALAKSLRPSSALSAESQSEECGPCDTTYVRNQT*
>EED78502
MSTPAAQPSLPLDAPKPERPSTGSRMPSLNQLAARINLNANTNPASAATRPRLAAALLRTSSQGSASSSAAASTSDSVAVNPPGSRSASPANLATSPPGSRATTPGQSDAGQGEQLTSERLERLNKETDQKKDGKMPRGYKNIPSLDAITARLAKARSLSVDGTAKPPEAETIEDPKTPGLRIKAPEHPLEHPWTIFHDTKSKMPFTPASANVVSTIASAEPQSATHFQPPESDEYEAGLTVIGEFDTVESFCRYFNWLKPPSRLERNSNYHLFKSGIKPMWEDPANADGGKWVLTMKNNPQLLDRCWSWLAMALVGEDLDEGDEICGAVVSLRSKVDRIQVWTRSKDDVEKINGIGRKMAKLLDVSEADGIGLEFQYNNDDRPVPNKFLTIQALPQTSFRTSFHNKNSPMSGPGEGPSIAGSGPGVAGPGGAFAGFGMGGGLGGPGWRSKPRQ*
>EED78503
MANTDAGETSRRRPLKVTDALVFLSLVKVALQGTPDAYKRFVKLLKDFKSRSVGTEAVIENVSTLFKGHPNLIQGFNIFLPEGYQIGCTVDGLTITVTTPTSVITRTAKGNTTLDHAYNPTLDVLYSLNNDVSMHVGGTGLQTPLSFTQYLRTHTAKDPAKYAKFLEALRLQASVAGESQDFSTSSDNHFSSETEFLRRIARLSRDFPGIDREFLNFLPKGHPHRKVLRDLIASNQTTVDSSGGNMAQNLVSAVDASVATKRKRSHSEIESERLSEGTYGKTVSAAEESSRTDFSNVSLSAPPSLANNDTLETPPALLNTLPLLRSFTPTASIRPSQDDPFFSRVKEALGNQETYHEFLKLVNLFTQNVIDAAWLVRESRSFLGEGELLEQFKETLSWSNWAESAASVEHVWLKPRAVLDRPSIDQLNSRYGSYRKLPADEIGVPCSGRDDLCYSVLNDEWASQPTFATEDTVFQAHKKNVYEEALHRSEEERHEYDFYIFALERTIQLLETLNTKLGQLSSIARRDFRLQPNVDGYGKGIHLRVLKKLYGSSAGQAVYQELHKRPADAIPPVLERLKPKLMEWRRAQTAWDKIWRELDAQNYHKSLDHQAISFKVVEKKALTARSLVSQIEAANDEQVAAWRRASTSESQRGGLKPQYQLEYAMDDLTILGDSLELSFSYLDHMSINAIEKNRIQTFLRTFISLFFVQELTTMDASCNPQNSDGPEDVIPSSAIRXFTPSYGLLITYSRLASFKMLSKSFDEETSESGLQPCVQNRYRELLVLCKNLFSAELDQPTFEERLHSLFGLKVAYIMFTADKVVGSLVKQAQHAISDTASQQLLHLLRRDRGISLLTAQDQMHARRNAESIVGPDENLFRIDWLLGKDDSGSTDCEVVTGRWQASSRIFASSQAIPTSDETAPNFSTTDSHKRKPPSQNLRANLPTFLCFQYSRKLVASNK*
>EED78504
MVAQTRTAGQSSPRKLKFHDKLVGKGLSTDALLKKLKALHTELADMDQEHVDVASLSAVRKELINTSVLLHKDKGVKAYAACCIADLLRLYAPDAPYTQSELRDIFQFFFRQLYTGLKGSDSPYYNEYFHLLESLSTVKSVVLVCDLPNAEELMTDIFRNIFSMVRNDLAKKIELFMADILIALIDECQSLPFDVLETVMAQFAEKNADRARHKLGVVATGVMCSHKWVQPPCGSYPFVASANRYGMDHPNYRLAVQVCNETADKLQRHVCQYFTDMIVSHSRDENFEEVEKAHELIKRLNRSCPSLLHNVVPQLEEELRVEENQIRIMATQVLGEMFADKGGADFVRKYPTTWNIWLLRKNDKAAAVRLTFVEAAKGVLVNLLEQRDAIEDALQTKLFDPDEKIRAAVCKLYSQLDYETAVHHVSESQLRAVAGRGLDKKHSVRVEAMTAIGKLFSLAYPEILTTFSENNDPAAVKHFAWIPESILHMAATTVEVKTIGEQVIGEYILPLPSPTPTSNSRANDVDEGAWTDRLLFVMKFLDEMAVNALLSLSGMKGAGRPVFERYLQACVEHNGGIIDENEEAVVNNLNAIVKRIAVTFPDPQKAVEDLLAFAKLNEGRLYKLLKTCMDTQVDLKGLMKATNEFLRRLEQSSSSLVPAMSVFLRRASLRMVNQSSIPTLIKRVQKGDPSGDGSGSSQAELIAHNAETWMRYISKHCPQLLQSHVPELAKAIADERNARLVEVCLQSLAAVANWDRKLVPSDKRTSERVSRFVMSSHARHAKFAARIMTCMKDSEDLCVQVVETMADALPEAEPELLVAHVAVLAQLALRAPDAFEQRSDVITAFILKQILMKKTEPSDAMQIDEEWIPDSAMPPQLQAKVLSLKICRNRCLAHAESESALDIARPVLKMLATILQLRGSLTANAQAEDELHAAVSLLHLACVEKYATEVALSLPAIAVTIQDPCYQVRMTFLDKLLVLLPGRAGRKLPASYNVVPFLSVHDPEADVKNKAKAYIVMAMRGLPKAVRLQDIEINFIRLLHVLAHHPDFGLTEEALPDIANYIEFYLDLVVSAENISLLFHLAMKAKTVRDAQSHTYSEV*
>EED78505
MSANPRQERATINTSSAQSPSVYQPVFGVSDAKSTVPRWLPVSLRATAAAGRSLSTAAPPPRKAAISVPPPPRRTASGAPPPPRKASSSAIPPPRIATPTPPTASSPTLSTAGHEVRAAEDNFNGALYCAKAFGIATVLVSVGAGATVWGVKSALGVRNTQEFADRMRSFVLARMPMLSSRIHRALQAEDHSEEFVNSSAATTHLHGAPGSSNTLQWSWPEAEERLRVAYDSGGVYTWAEAVLHELETEGQVERVKRGHA*
>EED78506
MTQWLCAHLLMTLDAFVSCEAFEACAPLSCNVFHGDDPEDMTFVPFADDPAFNVQDHLEEYKTFSWQSAHEDSDLNTILMETARRLWDKYGVTIEHVDETGVLPRPLKTYLGVSGAISASLQRDLLNWPGSSHSSVSTLPDILPSQRDDLRARLQDHLNLFCPNLNCIQASCFTHNADPVKLDLQSGQFRHVPSRPRGRPRKQTHESCGSNCESTHASVTAVAAMRNVVGVAPLEDQRNGKARMSALQLKFVAILAYTRVHAPRRHDALASSTKHIVQGTAAATSVVKGAGRGVPATRKAVSQVTVLVAVRTGSATLSCARRAPEEYVGELIYEPTFDSRGQLSEHRGRSYVYGLNGSLNIDSTYAGNEARFINHAPANTANCQVGIFLVNGDHRIGIYAKRTLVTGTELFLDYGPEFPIK*
>EED78507
MEDPLFVDLREQEDLEVQRIREDFSQRAQAARDTRALIISVYRAVWGDFYRDEADACLSRINELATPFPTWPLSSSGDLSANAFGEVEDGMILGEVDHDEDSYIISDFEEAVRADVICTDYAASAFAAHAKYEACTPATRSIKTDDRSHVLQFVHMDGQTPMAVEHLRTLSLSHETIDGYAILPPLKWKDEGLLRRMRQRDMWFTTSYMPPKHLQLGLLKHVNEIVDVFCPSLNCIEALCMTHKEPSVAFGKKSPKISNSDLRWQNKQPCGQYCYLIHLDATMVTIA*
>EED78508
MIDDGVPVVDETFDGRTHNDDPDRRVLASALPVGSSTTNPDTQPPMQDAAAGTNLDVEPGHIDRPLNVTDALSYLDDVKQKFQANPEVYNRFLDIMKDFKSQLIDTPGVIERVSNLFHGHPTLIQGFNTFLPAGYRIDCTTDSLNPNTITVTTPSGTTRQPTNGPFAFGPAASNVSVPKAAQPPPPSEAESSQAPPVNLGPALAYIQRVKTHYANESDKYRRFLEFLTPRPGMGPGAGPSSHEVRELEQWAVAFLSARCAQGDVVQRIGKLFHDAPGLMKDFIEFIPDKHAQEMELARLAELQETRKMGTPASETKGSKRKGDASSVASNAASGSGVPQKRRRKPADKDKDKDKDKDKEKEKEKEKETPKPAPSKAKKARAAQIHPNETTSPSLSQRNPAAPSSPRRQAHAQSSSHMQHHPPPPALPLPTPAATAPAPPPPLGPADETQFFDRVKRALDNRETYNEFLKLVNLFTQDIIDTARLVRESRSFLGDGELMAQFMDILGWDERRDRIAVDEDIWTRPMAALDRPSRNQLNIRYGSYRRLPMNEVNVICSGRDEMCKSVLNDEWISQPTFASEDAGFQTHRNNVFEEALHRSEEERHEYDFHIEAIQRTINMLEPLNNKIAQLSPEERSTFKLKPNLGGVGKSVHLRVLKKIYGREAGFEVYQAMQDVPALAIPVVLSRLKVKHEEWKRAQREWNKVWREVDAQNYHKSLDHQGVTFKAADKKVITAKFFVNQIEAARDEQVAKRAALIDPLFARTRPRHQLQFAIDDMSVLQDAIKLSLSFLDRTQGQINFQDRKKIETFLRSFMPLFFAQDASTFNCVFVPHHETADSDMDVDTVVDESEAPNGTGSARAGRNNRKANGAGSSGDLRKKLLKSEQAKSSRRTRAHTGSPSASRLGSPAVSDGMHVEENQASPVVRADSSREPTPGPSVIGSERPRARRRYSFFTNTTFYVFFRLLELLYSRLNHFKSLATKLANGPSASHKPNHVAADVSVASDAYIVSNPASQFYSLMLESCEKLFDNEIEQHAFEDRLRWMFGSKDAYKMFTVDKVVGAIIKQIQNILLDAKSQDLFDLLSREREIASPTTQDQINARRNTERVLGPDENLFRLDWLPESKLVTVQLLGTDDSSIDDSEVLTGRWQAYVEAFVSDEPTQGIVKGPIRRPFLGRSSRLPKSESALPDIVAHGGLEIKVCVRTYRLFFVSNTEDFLLHASSRDERERYRRQMQVTNSKRQKWMKKYLADLDKTRSSVTDDPPTAAPLTTVERPPTGEIDAALSTRTKTYDSSPKDPVDVDHSVPS*
>EED78509
MSSLTTPDPVHASQPVRCSEDLPLWSPEPTRHGEVLVGDVGYIRHRVFYLNATKAADDLIHKEYGAPEEIPDDTQAFLRSVQPTIEVNADKHFNAIAAQTAAEDAEQPETDEQVQIHPDPNTEPTLPPELDIAENLERRLIVRHDIACMLLGLGADAHRGSYSANGLSVASGYEDSTIILWYPATGGCITELKGHTDTICALALSPDSSLLASCFRNRLIILWNVAASEKTVALNGHDGFIETLAFSRDGKKLASGSVDCTVRIWDVESGQQQSLCKVHNALVMVVTFSPDGTQLASGSADCDTRVWDAKTRGNFCSQGPSIRYEVPTPAPIPRDDTSTCKVVTVTVDDSAATSTVRLLFSVSSIWPETVQDNMPQSTITGEWNKAQNRACFEADVLKLRGNCW*
>EED78510
MYFAFRLLLYALLRLLLSRCNLAAQINRTIDDYHGDSATGVVPVYGNEWNYGPACPACAIQLDPHYVFDQSWHEATVHPGNPEPHNVTLTFTGYNKGTTTSVNIIFVLDDQVYGIFTSPGTSPGVLYNVTVYSKTGLPNTQHTLVIIPQYDVSASYIAFDWAMYTYEDDDTTSPLTTSPTTTESQNPTSSPSRPTSIVSTGINRSSMVTSISSGRADSTPISGDSRNAASKPAHGPTITPSGSFSSLPSTGAIIGATVGVLPIIIAVVVFNFCRHRRLCRRPLRQALPRCVQELAPAMLSAPSSSNASLTRTSIAVEPSVDLNDTVAQSPRQSGTSGATVRDDTCTGITRTTLMQEELTSHQRPASPERTAAVARADVFSEAIAPQEAAVLRRQMELIHVELGRIRLEAAAANVEPPPAYEPSDSAALTWCMKGLGKLVPSAHALQQISIDARGDPKRNSTALPYSWSGEDGTFWSKQLPNLNKHGMGLVSRLIPAIVLLFLPRALASQTIRTIDDYNGDSVTGVKPIFDGSWNYGPTCPGCKVQPDPQYVFDKSWHDATTWPNDTSSRTVTLTFTGTAIWVFCVVPGYMKYGVTTFANISFALDGEVDGRFTNPGTGSEMMYNVTVYNKTRLANTQHTLVVTPHHEPNASYIAFDYAMYLYEDDETSTELITPTSESPTSSVNPSVIRSHSSGHPTSRVDFTATLSNESSTTVTRTSSGEYYPSSATADPGHVSAVSQTSSPGSKTYTEAILGGVAGGITVAVAVAVLYFCYWYRRRSQSAWHNRMKKFYPPGSAACSPCQDSWRQGPFTNGKPNPLRFAPSFSRTPQVRTSAIVGIAADVDYSRGQPSRHIEPVPSVYHDARTGRTEKVLMQEELSRLEQEMADLLLQQASSPAYESVMTMRAESSLDGAVTQEAVGDGDATLNVTAEGIWENESIRSSGKYNGATSV*
>EED78511
MDPKTIAENWLHTFGLAAYKGDVAAVVETFAQDGFLRDLLVFTWDLRTLAGHDKITAHLGPTLAPAHLSHFALDISPALAPEFITDGPFAGGVGAGFVFDMPRRRGQGYVRLLRDANGEAWRAVAACVLVAGLKGHEERGPEPGVYVAALYFGIPPRWKSADARTVGAGQSGLNAAARLEQLSVPTLVVEKAARVGDQWRARYPTLSLHSIRNFSHLAYQAFPSTWPEFAPRDKMADWLEQYTVTQDLFVWTSSQIMPGAQYDPASQRWSVTVNRNGTHVTLQPAHIVCAIGSTGPPHISEVADQDVFRGTVMHSGAYAGGQPFVGQHAVVVGACQSSADICQDLAFRGAASVTMVQRSSTCVVGIKTPTDEERAWYPVGVPTEAADMKNFSVPLKLTRREALKANAAKWERERAVHAKLKNSGLKLNMGRDGTGPLFLAYERLGESSRDLLTGYWWDVGLADYIESGRVEVKQGVEPKRFTADGVTFTDDSTLKADLVLFATGWCDVRESLKEIFGNEVIGTAGPAWGLDDEDEIRGCFRPTGYPGFWYCMGDLATVRFGSRQLTSHNKPFIVDVTNYYISVHTSARSQDCKEVYGHDNLTAVGVDHYRERASRHIESVPSVYHDARTDIAERALMHEELNRLEKEVADLLHQQASAYQSVMTMRAESSLDDAVTQEAVGDGYATSYVTAEGIWENEAGTKYTVHLPLVEDGTAPHAKIRMPPDEQQTITELAATTLFKIVWISKAIVLQRIMELVRKQKANKEIQWRFNLSMEMEDQMAR*
>EED78512
MPAQADGIRPRASSCIGQRAVMMRAAYVMRHDATEAAALGLLGLNASFDTLSTVPLKRKAMGESAVNRLDDDEDTSDSINCICGFTYDDGFSIGCDSCARWCHAACFGIVDSQVPEEWQCWVCSPRPIDRDRAVRIQKARQRAALDLDRQKRRVSSPGVDRRARRASAAAIDGGGQSKRRRRPSINVQPTGEDEHVDIDEPWTHSYVSIEKDIIPRNDTRERLRRAAAQWRGVTALEGESSTTTSPTTTPVLLTPDGFPSSSPIHLTALTKSAFHPALSLSVDPSLRPPSYAVHAARSIPSSSFITPFTSTITPSTAYLSDPLNAYAHLSMPKPFVHLIGPPLDLALDARQTGNQSRFVRSGCRPNAILRPVLCPRKKRSMSDQRVEDDGEHDDEDALTFGIFALRDLKAHEEVVLGWEWDDGSVIHHLPALINSPHIFPPHQLHQYRQQLMSMLHTLSSTFATCACGSKTRDCALARMAEFIDSHTPPTPSPSPPTPSVSDRDGWLGVREGASAREEDEHLGECIRRRVDLGPLVGRERGFRTRERVPSSGGMTGVEMVPPPRALVAPRLQERQTSEGGRGRFAWHGRAVTQPERESKTKAAPRASSVPPAHHAKDRKGKGGAAPTAVEDKDDAMEVDEQEKEERLPPKLRKGWIRKSIETLRDVYQRDAGDALTVSHNEVGATGERDDTGMDMDEVAFDPREMPPPPLPLPHAVSHQSLSPRLSILSSTSPARALLNLTPTDGQISPSTSFANLSLLSPSLASPPSFSSASRRSSSPASANVHVLPQTVPAELSLHQLSYTTAEPSLTPTEDTHSQAVTRTPPPVADPAASLSSSSPRLLSRVTIKFSSPASEYPPDEHDANSISATDLPAQLGPYPDTVASVEPHCVTADTRPSADVKSVVSSGTPPSVDNAPASALPVVVSPSKVKMSLKDFAMRRKKQRQEQTQTSPSVASTPLEHASSNDMMRAVESPDIRTHEQVPPASPDCAISSASLCTAPDLPMPTREASPLRVQERARVVDLPEDLDTRLSTPVSLHAKVELLEQGLPSAATDSRSRSLTPLPPEPPPPPKETSKRSTSPDEGTISTDPKTLLIRCQEPAHRTIQEDGEILSPPPPKAPSYLARSRSPPTHPRSFHSSGAESSHLPPRRPLYPAPHRAMQNTAPPSRPLPSGPRALRTPNYSTYPPTLSIRAPPGVPRGPSADRDRPEWDRERGWPGPARGRGRGMSGGWMRPETNYSLVPTNIQAAVCSQGIRLEEILYNLFWCPGSCMYTREVAQQDQAANNNDTFTYYENAVMSFNRATEYQSRAVQLSWDDTAGIFQSRQAYVQPPIKLCPRLRLQLQHRIIHPHAAAIFGRDVHDDAATGRHKMSTGAAVGGAVGGMLGLVALLMAAAIGRRVRVHAVYDVEARVDAAEQHQPWGHRNSSAFRTGSELRTLRTVTRPLTESAGILVHEEDVGHIEGGPIRTALDRTQRSCIEAAIVAVLLSLPDNRARRRARDPEPPRRSHFGESSRKKPSCKLGCEIENQDVDCNSRRLALGTRAESCGWTGSRKATSRVLDARERRVKDTNSSAGLSLAASVVITLTSGASILAASTAVYFAEASLLVPELNEEKRSSDRMQLTHLSKAPSTNPTLDSNQRRILLLATLAISFLSFLSFLIMIWGAGPASPPADVEAMELEHGAPPVGVGQSRADEQRGEDARSTAWEDVAVGDFVKIVDNESFPADILICATSEKENICFVETKNLDGEINLESHNACAVLTHLRTASACADSHNAFHVDCDRPETNLYKLNAAIVGKDGEKPAMEVAYALADAVLEQRYYPRGAPWLYLDNQGDNNTHVNGIITWAFALITSVWVL*
>EED78513
MSLASHVDKIRSLETMLAEHEAIKREVGSLRELMEERKREMDTSRGRSGSPSGRRQYGHDDDSHYMSDDDDNVHSVSTIVPHELDRVDEEDEEQLATEEKRSSRGSGGKSWVARACQSQRER
>EED78514
MHKALEIIDIIREILEHLLPVKPKSYSALGIRVGMPGRINANITREQRAAIKQLARAARVCKSFRDPALDLLWKTLEDIHDLLRVLPAVQSKVGSQDLEYLSLCDEVTQEDWARFQHYARRVRYARRINLDHTQASLVPHLFRQNGEKPLLPNLIELYWEQHCDSHADDRILSFISPGLRILAFHQHVEHVDKDNNDDDESDNSQDDIDDGESENSDDNSDDDESESSDDNSDDDESESSDDNSDDDESENSEDDRDTQDTGDEDEDETDRIEHDNGNGTHDEQHEDHAQGQVQATDRTAIPEDDQDAVTITKGPESDLLAVFKKVVAMAPELEEICLHNVSSLLSLPFFSRCQSLQRVDINDAIANTDVLRALASLQHLQWLHMSVAESDEDTAMSINGFVALTTLNVSGPAGALARLFTSIAPARLETLNITIEYTDLYGSVDDLHSCLVPACSMSAISLRAVCLAISKPKKRISFGRAALLDIVRPLLELHNLESVEIRFVHFGTKLSVPDYAFLAMAEAWPRLRSLVIEHRLLNDDLPTLACVYDIARLCPDLRSLTLYKLQPCLEFPAESYEPIAHELERFNMYFCDYDHVTSQAAEVEVFLYRVFPKSVIDYYGTVWEGNPRRCLK*
>EED78515
MATQPGTTASSSATLVDHFRLPPNAAASPNSPPNTVTATNADLWTQHLSTSFGTIADQITAASHVLAAVEVPAGTPAVLPTDSTTAIAALASRLDAIERTQEHLAEELDAVRAHMGKADNAPSTNGALAVEEEPRAPGTGAPEAEPTDLAKTVEELQKKVDKLAETFRGGTRALTVFPRCSQSRLYARLRNAVIPINKTPISPLVMANGKTPANFPGTKGEFERMTKERYEHLLKSYDQPVKGDTAAKRQAAREFLGLPPVF*
>EED78516
MYLFYLNRFALIFLAATNLANQWLFTSTEDCKISTFIQTIAEILQYVVLADAVLSWFVGVNHVLKLTGIQTIVILITGRLCVITNTASGTSYIVLVETYYRVNFGLAIPARRRTIYSKETRPIALWGF*
>EED78517
MFPPLLQVPTLASIAEVDAFIKVAAQALIEGEEQGKLFEKRIWNESYRYRCLRLLKHVYGSNPTVDRRFREGFERNARSLEETSATSDLFHLRAFETGFGALNDANAGCFGGGRVKRFLDLGCSPGGFSSWLLKNNPDTKGTGVTLPEEHAKLPVQIEPALRSHGRYQVIYEDIIDIGVKALEQNMCPSIPLDDSFTGLYDLVIAGAFPTMQGRAPWWHRVQLLLSQLLIVLTHVAQGGSVLVLVKTKPQLLTMDILGVLQQVFRFVLAHKAGGLHSYCQSSMLASPTDPALNLANRMPLLSADSAEQVFDNQYRSLLQLLQPVWELQYKAIRKDLARILAPEKEATTSRAMSGVASTVNVGVGRPHGRNHKSATQSHKHIGSTTSSKSGSLLQLTRSPSNAEKTASWRARPCTDGAVVPGDLFGRKRGSSRLSVAELSTTWRARPRME*
>EED78518
MSILHIEVPSFTSIHEVDSFIAQSLDIQLDEDGVDVKRLEGRFWNESVLYRRLRLLKQIYGQNPAVDKRFDSNRQANLRRINENRDTIPRDSHMENGSHIRIHAQAFEYGFSAINNANSRCFGGGRIVRFLDLGCSPGGFSSWLLKNNPGVEGVGITLPDERSQFPLQIDPAFLTIGKYQVRYADIIDIVSVSPGDGALPIIESKHGLRQPYDLVIAGAFPTMQDSTPWGYRTQLLVAQIFLIIANTAPGGSAIVLIKTIPVLLHLDIIGLLRQAFDSISAHKAGKLHAVRSSCYLVCRGFHASAEEIERMAGKLRYALQWLGEKALAMSSETGGSSTAGYNFLLSADSPEQVFDTNDRFVINLLRPVWKSQYDAIRADLAKVLVAEYGATADSVATPSGTDEPKQRWVRTKRDKRV*
>EED78519
MSATLAHARLVSLVLSFAFGIVGMATGINALAKSNSSKSAVRRAASGLGATVNIDTHDVFSSGVVITVVCGLIALTSFFTLVHALLARFRWRVSAASSSADIGRHLRTVPLTGAHVLTFLTLWLFATLVPFTDFVANRQAKVTAYIGGVQLPPNLVQGTEAELGVTPVYHKLGYLRAGTVLPWIAFLFAATSAALSYAYARSAASLIAGTNGYAAQPEVQEKEKVPEQAEAQQSLVHHVGSPRLLITANESIAASSTGSRIHVALSYRDLRRAGIAYQYSFELLAVLPAPQSRAPHFTVSQSAWPPLREHLHYIRTIVVWLLYESVLQPCGNLLSLRAARQYCLQILEARSIKLWLGFRISIKCGP*
>EED78520
MSNPESVIISNIQQSYYYISLTALLVYDYVLTIRREIQFIWGNELRLWSIILFYLNRLVMLLLAASSLTSVVPWTTQESCKGSELAYLAAGLMTYVILSGLIVSALRVHALSHQNWYLSVLTLVLGMESFVVHLYFSAHGVIYLPPVTIAKTISGCQLIEPFTQSTVVKVTYRGTYNGANRAISLERKPLLAELLLRDGQWRCYGFGLTIAIFRSCAIPGGIILNSRQCNIRALCAGIYTSADVHEWEDRRVQNAPLEGEEEIRMEARTITNRQAGRSLSQEEDNVNQCHCSVDIIQGSAVLCGGVVTLRNR*
>EED78521
MERSTSQGRPGASRSPSVTHYRQKSRGSSRAPSPSSSFSHSYAPAFGPRARSTQTHESSGGGRADFQSPLVRLRRAPLLQVFVPSPEGAWLSDANVLACEGELQAAGVMRLMRAGDVVWDIAVGDEANVGRMVWDGSYLIDLDYTWSPIGDVPHYLPTLAFPPSYFHRVIRTAGAGNPVCHIDISSWGEEIAKNLNMVQDRARTETPQGSHHTVVRWMSRSKFTIRPPAPGKPIRIQVPPSVGPGPGPGGAWVVDPSWYGTVVVETESTNESIADLQARCGNAFPPRATGSNARGKSENKKVEDRQVFRILRDRSRPGEIWIRTVTDKERLLPR*
>EED78522
MSTAADNGVNDTTRKNLILNYCFIALSGLVLYEYIITISDEIQLFWDRRPTTWSFFLFTSNRLIMIGLVVTGALTVAPADRVTSSQLVPEYGYVRNWVGPTGNQYLTIASRTSAMVSDIIVIVVTWYYTYNRYRYPLQLQDQPSLTLLMLRDDYSSPTDLHDSEGSSTDLQDLSFIDASTRLHQQLELGDDESVTLAGTIELDDVVDRDQLDLKSGSVFGKSDSPVGTGDNFAKDTPQRCMAGVLNTSLREISGQLISEVVHNLTPVFEAHRDTYVAMALHACSYFTAGSKFHQSLEMARASLANFFPKYQEWNEAAKLHVWVSQDADAILLYEYIITIWREVTLVWNRRHGSACFILFQLNRLVMLGLIITGALIVVPASQLMSCKAVVFPYSILIQATYALWAGLSAIRVFALSSRHLILTAVTLITGLIPFGINIYPMSPNMDVCEFEYNYYVPPQFSTVARASSMISDAIVIIVTWYYTYTRHRNVFRLDCQASLAALLIQDGTLYFVIFLIMNIARIIVVCTHIIDIVPEFMVTILTLVCASSLSTVLIQAPLIYGSCPFPPTQVANVGRRLAVSLHLNLGLRGYLGGMDEDMNLEHGNNGDALEIEEELRFCETQSDMSRLIYPPARTSGTPHPDLLGAIHITFTFIERVMSALKRIAHKKPDTCPPRMNDPALAMPTPTQDQIIETARKNAALQYCYVAMSGPNVTAWPDVGVRGRTGHLSYEYFITIGSEINMIWCHRRTTPSFCLFLINRVNMLGLIVTGVFITMPASKLTSCEAAVLPYNVFHVATYATWAGLSTLRVFALSGRNVLLTGITLITALFTLSINILAECYRLPVCTASGLSSMISDTIVVVITWYYTYAQYRNTHMLKMQASLTGMLLRDDHQYNPGVHDVVSTIENPPYGVGDDGLDGGRISTVLVSRYFLDLREVAYDSTLADPWSGSGLYSLPFLRPPFGGRERCAQREPAMYVADATAGISEGHGGTNTPDGVREGTNDEMV*
>EED78523
MRPRSMVGGDLHFLWKPYAIYQNVDRNYGVQAYEKGHGFPNAQAFLTLVENFMNIGYLWLVHAQGSPAAPLLGFASALMTLSKTALYWLIEYYCGGCSIMHNDLQTLVAYWVLPMGLWLLVPACIVWRLGQDIATVLHAA
>EED78524
MTQLALPSVTSIVEADEFIAGHSDAVQHAAIIHLNAFEVDFWNKSLSLRRLLLLKRLFRSNPAVETRFRERRDIHLQAIGGNDEPSRVHLFAYAKAFSLINRANGGCFGGGKVHRFLDLGCSPGGFSSYVLKHNWGAQGVGVTLPDEEDRIPVQIDSDLLANYDVRYTDVVAFVARSTETDTPPAILAHDGSPVRYDLVIAGAFPVLQGAIPWWRRTQLVFAQLILIFANISKGGSAIVAINTKAFLWTVDVIGMLRQCFETVTAHKTGRLHAVRTSCYLVCRGFRATSEEVDRFTGRLHGALLFLAAVPMESSQDDNGRWEYKHGPEDTPLVSGQSAAEIFTAHHRFVLDLFEPLWEVQYNAIRVDLAGVLVDLYGAEAGIPKYAESDGFDSPTDVQHEAVEHSLATSFRPWPHRQPTASPDDYTAHHPDTIWRSRGRGQAFSQSGQGPPEGLFGRRRSQTSAANSSSTGYHPVEKLWDSTSRHR*
>EED78525
MHAAAVPLLASLLCYVVAAAAVTEEPLHTLKKSCQFTLGNRRFDLCPVFEGNEGGWNVAYDRQTPPTVTKTEYKISFAGALKKSKKVPSHEQCPDGTWICLIGQCSRAVYDARLTEHIAGELSLPDEGDSFARDDYVPGVNITAKLVPARTQTKHDILHIHLHGGYYVYRQQKADFQFVCDHQVEEPSSPGMLWNWNGTHTFEWRTKHACGERLATPTKPASEPEPTDEPKPDDDEEQDADAPPQDDENKKTKGEHKLLDPDLVGARSRQYMMTLAASSAAVMLVLMYILYFPPPRVRQMITKYVKTHPWLLRSRVGERVLVRWAYEDFSVDGAEEDTMVNDREFEGMIALDEQIPLKPSPRRTVTDPWCIYVTVSNPRLIIDIRDIPQSDLYDSDPDTGRPNRGSSRLDPIPLYARLEHVLLRTLVSNLSQLIHYCIMVQSVSDSAFSPAPFSPFSSYSVASGVTSDGGPVHPADVVNATASSLGFSLSDYDSEVAQISPVWSRGQLSAYLSYSSPESVSRGRSPPSDSDSTASDVERRTLLEMDDPIAGTIGEPSLGYLDEALEFLAAERAKLLAQRELGLKGNSSSTTTTTTSDGVWRHVIQPRRKRRRKKNRSVQEVSRVRISERDQETLTESATADDHDGDADDGYDSSSSVDVTSSTQARAKAAASFHDKQDKQGKRRPRPLAPTEKLRLHHSKSTPNLIPPVSIPLDARALHLRNLAHKLRLFFPQDVVALRTILSPESANQGFVDTRGPEPRSQDTLIHVFIDHSNILIGFLSYLRRHAHRVTHIRSRYMSHAALALILERGRPITRRVLVASSPLYQPVNTAEQLGYEVRVYARVPDTGDGADRQPHISHTDHHTPSRIRGRKGGPSQSQKHSHRTSMGGGTSTESDAGGNGNGNGVAGGNATRVRYREQGVDELLQLKLHQAIADADEVPPGATIVLATGDGNVGQFNEEGFLGCVRTALKKGWRVELYAWEGGLSRAWMREFGEGSFSSRFEVHMLDRFAADLLEVRRVTMLIGSRSQNVDSGTMRITVLEAPVLPFPRGLRPQRHTVAKCVLFIRARYEDAFWKSFSLTMTMAQLPAIPSLTSVTQVDEYVDNHSFELRHDAVIKLNSFEVDFWDMTISLRRLLLLKALYRSNPAVDERFHERRDIHLHAIESTDEPSPMHLYAFAKAFSLINRANNGCFGGGKVHRFLDLGCSPGGFSSYLLRHNRGVQGVGIDLPNEEAKFPLQIDPTFRESYRMRYDDIMAFVSRSVNAGTPLVIPNHDDPSTHYDLVIAGAFPVLQGPIPWWHRIQLVLSQILIVFANIETGGSAVIAITTKAFLWIVDVIGLLRQSFAAVTAHKTGKLHAVRTSCYLVCRGFRATPEEVDRFTGRLHSALRYLMEVSDGSSIDNNGRWHSDGNPEELPLITGESAAEIFNAQHQHVLGLFEPLWDYQYAAIRADFAQVLVGKYGGMIAMPITHLYPKSWRSQQHLRSLPFANGVLGYPRQPPM*
>EED78526
MSVQGFDLSEYFDNLPLLIAPYEQIPEQVEAYHLPPTPPKLFMPVSPQASSHLHQGAPSYQEFDELLLDGVGWPSSSGTMDTYYSEPRASSAAVQSMNAYTGPYGEFGVSQFYAPSPPQVTYPQPAPTHQAALHLQGEPSTALYLSDNQWPSMTGLPTVHAPLTTPSPSMTLASTAFSPSSMASISSGYFGVPGSARELSTPLHETYDNEHGPMAIFSPMPPSPSPIAGPSTQPYTIHKGQLIASSRRVAAACAPTSGSGTHYVPSLSPSGLPTGGQKKAYTGYEGYVPNAATNGNTDVFFDNNNIDYCEKAIGKHVADNGDELADAVRPAVKRPRVSPSTGLSSARTRTQTQPRRKGVRSKPPAPLLPDPREQYAQQESRKRKNKDDNDDITTISIKPSKKARQAVTQSQQLEGGGSKRSNTNKNLFCPFGCRKEAFHSKYELNRHLVESCMPNPGRKESQLPCPLCGKKLKRAWTLKRHLTTRTGECKAKEEERRILASAAQR*
>EED78527
MPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRMGVVINNVFLEGIINEAKERKERERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDSTQWTWDSSWPHQKHLSGEEWMNVGRNACKEWFDEEEDDGVDWELYGDGEQPSDPQHIANMYRLTVYTHVAYPGICWSDPSSSKMLTHTCQNVRKFKLQSRKQEI*
>EED78528
MSTPQTQNAWLATAKGEPSEVLQLDESVPVPKLNCGEVLVKVQATALNPIGYKIMTLFPNFLIKRPYIPEHDLAGIIVDANGTEFQNGDEVFGFIPPQPSLKAHSGTLAQYTRISASHVVRRPINITPTQAAGIPVAALTAYQALFNVAHLKPEQHVFINGGSTSVGAFAIQFAKAIGCNVTVSASGRNEAFVRSLGADGFFDYTTGPLHARLASNPPSSKYHVFFETVGLLDLSLYTHSESYLTPGGTFVSVGAVESKAYEVSYIGKLLWTVFLHPRWLGGTKRTYKMIMVDNKRKDLEIVAQHVRDGKIKPLVDSVFAFENVLKAYERIMTSRATGKVVVRVDPEAE*
>EED78529
MTVQTTWAMSLYNAAYAHDHSRLASCYLDTVSSQKNADSAVSPSAGLRICPCAACSPVAPSAYITHSSVDKLSYTDEMGPPESKRYLPPFTRSYIHHLLHTHEMSAHSLLVMHNLSVMDAFFAGVRSVLESPSGGDTFGEKVDEFVQMYDESMVVFDEATVDWAEVERARGKGRMAREKIKQAESSLGRALDL*
>EED78530
MRFNDGFWLLKNGVKAHHGLQVVQATQDGDGYNLQVSTKPIRHRGDTLAGPVLSVRVHSPTEGVIGVRIDHFRHIDPTPNFSLFPDDPPVPSATLTKRDASWSLATGDLSAEIAENPYTITSASNASCLATDIDSNPNPLPPPETVRYMHSELNLSPGELVYGLGEQFGAFVKNGQSVSVWNQDGGTSSEQAYKCVPFYITNRNYGVFINHPGEVEVEVGSEKVSRVGVSVAGESLEFFVIYGPTPLEILERYTRMTGRPALLPSWTFGLWLSTSFLTSYDDKTVSSFLQGMQDRNCPVRVFHLDCFWMKQYEWCSFTFDPDNFPNPRGYLSDIKRKYDVKICVWINPYISQLSPIFQEAVKGGYLIKRTDGTPWQWDLWQPGLGVVDITNPAAQKWYEDKLEALIDLGVDTFKTDFGERIPHASVVFHDGSDPMRMHNAYAVIYNEMVFRVLERRFGKGEAVVFARSASAGGQRYVRGHHWGGDCESTFEAMAETLRGGLSLTTSGFAFTSHDIGGFEGHPPPEIYHRWVAYGLFSSHSRLHGSMSYRVPWHYGEEAAAYMARFLDAKHRLMPYLYNLALKARTHGHPLQRAMFIEFPSDRTTHTLDRQYMLGPSLLVAPVFVPQGEDTEYYVPAGRWTSFFHPQRFIQGPIWVQEAIPLDEIPVWVRPGTILCLGPRGVGRPDYDYAKGLDVQLYELEDGQKVETEVPTGTGTEIAGIITAEKRVGQLQVKVEGNLELASVTLLQGGQEVRVKVEKGERVVSLRL*
>EED78531
MTKRTRKVGVTGKYGTRYGASLRKQVKKMEITQHARYTCTFCGKDSVKRQAVGIWRCNSCKKVIAGGAWTVTTTAAATVRSTVRRLREITEA*
>EED78532
MPKARKNTRKAPITHGEVAAPAGSSSNPAATRAVIRRFHVLLKRKAQLEKAPGDARTAQALADVKHEIESLGGLKAYQRMSTIGQGNDRGGGSEKIFVGWLQDIGVAGSAQAEEKRLSYSEFIPTFVCRPARCAFKAAGSGCSQAR*
>EED78533
METWAQLSRDSDAQEQFLKAQRQKIQDIKKHANGFRNWEARILQARLDEERRILDQRLYDIISRLRQDGWGDELDKISEMRFQPLANHRHFKKTHELTDREWGRIKWGLVGELMLIRDKRLARERAAAFKARFTILASITSGLQATRRTREAEFRPQFIDLAVMPEVQDIMSASDGDEIDAEGEKAFRAAFPTLVERWKADADQALRSILEPAIKPRRGTDVFKLAIAYFECDACGHLMPYPDVLAHSCCRASKAKMPAWTEYHTLVCGTAHRRPWDTQHLHAPSENAMVLLRKVIKLCGQDPDRATRPIMDDSNVRICRKSVLGKRIVTWDRAVGCSSLVRIREEWTCRRKRGTHRGADPLSIATEADMINARVQNDDTDPYVSICNESKTLFSLEAGC*
>EED78534
MCVGENCAPQAVHYMNAQLAALYDNARACLDDHHVTEKKPEETRYSHALAEYKYFDGHKDEPKFSASFDKPWVEFICNHDVILHLKIREGHYRLDYHKAPITYSKQDIVQPLEEVELSFRVRFDIQGLRGKDAKISSQDNLIQLVILNLTKAQLIASEPAIIIGRDAFVHYMEHYLCFLHQAGNHVLFSLPDFDDDKYRLTIDFSLMKSHVPDVHELHGISIDKINEYLLSVWLKAAMLMDGAHGKVHDWKQTCLAEYRSTWSHHGDGDNHFRVRLGAPRIKAICSREVVLYFTIEEVHFYDSADFEKEPCHSYSNWEIAVLVDVIYEKTHDGHVTTCKLDLSTARSYHSQCNFGDIVASDETVLSYCTRMLTFFTEAYLDILESIELHVIYRFDARWLSPVHADDGSEDEDEPSHGEWETVPKPGTTRGGWASTVTQSEMYGFDQITAISQSSVNAHFRALWEVRHSVLATWKFEQYFQAEFEPVTIRLLSHERAIVSIRIQHGFLKPLKGGKLHADHAERHFDNWHLVFEVGIKRTKHSELNVSDGWRQKFKESLIFKEHGHDKNRQLEHIYLDFQHAEFLYDFSTFEGLFNKGKHTHGAIDNVLAARHYVEKQYLPHLVHSGLHIIHTVPVWTSGPADSLPSCALTSFTFHVYSKTEITRHSWAHGALEPVIIVLGMTKYRELPSMHLEYSANWDIRVTKGVSHGTVCVSRSAFMETRLLRLLEQVNSLTTVVPKFYGVHDGDWVLDLTTWALHDWRRNLDCKWVPVGGDSSVVKYKWQHRDCWNYEHEGSGEVANGTYSVYCFTRNYVELPTAIRNKPLDIKVWGEIDLEMSYKSGTHHGSAKSKATWSTVLCVQTDMDGVKVTVGAQPIVEIEKTSHEGNTISAKFPDLRAALEAQLPKVVDFNAILKELRTFEGVWRYGYHGTQGYCLANPVFTLHGDLLFELRPHGQQVVRPKPLSASLHQVSMSGGRAPSIIRSDSFFIRAKSAIKSVLQDNANGQSLTLTNGNGQVSTNGNGKASAKGNGGAHNSPTGNGNKRRVSGHKDVVYSEKTMQLDVKTVLAEKSTVQVEEEVEVSFLVPKVAMA*
>EED78535
MSVEDQFPGKLWLETPLIHSPHISSRLGCNVYLKLESFKFRGISHFAQNARKTHGPDVHLVIASGGNAGIAAACAAHALGLRCTVFLPEGASASTLDFLKGEGAEVIIEGSYYLQALGAAERVVNAETNAVMIPAYDDAIVWEGHSSMIPEIAQQLPPGTRPDAVVCSVGGGGLSGGVMMGCKSVGWDDVPFIALETTGSNCFYEALAANTGAFSTSGLASANVRVEYDATHDVNIAHLATLKSKATSLGASSAAPGVVRMALDRKGGIKSVCVPDEFAMQAAIQFAEDHKTVVELACSTTLTAAYNRALFDKLVPPSPSGTSRTVVFVVCGGYKISLEELEDYRTIVESSLRETKQWDVLCNGIPNSYAYLNRVVGRASLVLVRVPGGLTFTFSLCPLLSVAHPYLSQFLLQTVGKGWSLAVTSRSSGGNILSLYWKGAWISRPEHYVRNVCPVCTDVPAVPFRVAVVQHHGDWEEHIDGKLEYFKIWAAHRALEFHSSV*
>EED78536
MSKRWICGGNGGELGRALRVARGTAMAEEARRSISSRPTAQSLSFSSPPRLWRPGRLLATLSSSALPFAMQDSPPSNSAAPARRTNRDRDPAQPTDLADRIVALQRRQAAVTKPRERAERPPLPSSSTLRPRPPSPRRLHAPLHPNPSIIVSQSSSSAMEADADDFSRRLKISASSPRTSHAKPHAGPSGSPGKLYNPNADYSRRPILTAEPDTISDAASSSYAPRGPAPHGPRAHQPPAQSRAAPDAHRQLFDHRKDDPVKFSVLTRPQASSNVGGSPSSGRPTPTPKSSGDYVSASSTSSASYAHSTISSNFTLSSATTDSSAPSSLFDNAGHARRSEDSASSTNAFSMQLKKLYRAISALEAKILGEDRDKDREDDGERDVQRVGVLLKGRPGPGGTETKVGEDESERWRRLMIDHKELAEKMHQMLTLTLAPAVPASLRNIPTKYNLIIRLWTHAFHRLLESLRRAATPPNNSPIALEYLQDFIYYSYAFYSGLLEERNLFDFRSTWVEALGDLARYRMATCALLDNMQAASSSITPSGAAPLLNAHLSAPQHVDPEMDDSSDKPAIPAGKPVSPTPAARIDDSPPSSPDMRQQQQDVPSVGLAAARMMELEPEKERWRQIARDWFARGLSLTPGTGKLHHHLGLLSREEDASDEELRALYHFVKSMIALHPFSKARESILQLWSPAAQARRQLPEAGLTELFVALHGMLFTNIQLDDFRRVLERFEEKLYIEESAAVQERDWIMMAIVNLGAVLEYGRPNAAMRRVSGLATRDAHQTKPGVSPMVANAVAGKVKLMAKRAEEDEKSMDVDDEDDTTEPKPSPMRTSPIMPEPPSSPELPQSLKMGLLLSFSMFAHVLEKPMRKPSPFAHSTLNPYITIFLTFLATTVKDSQTLAALERVIPWTALVKFLNSAPRRLIFREYHKERGDAPPLLTSGCNPMPEDWCLRGMGWGGKRVYPMGFWSKEADSGERNIEMEVLDKVEAGDQRDGIIEKDDDDSRADSRDSELMKRWVRIARAGLKIAKYVNGFEYIPPAREEERGQWRIGGALAAKVTRWQDEDRREREEEERRLRGRRWDDDSMDVDDDEGLAADTSSDDTEDDEYDSAEVKALKARRRYLKSLQTTSRGAAPSAGRRQRPRQSNFGRSSRPKQSVRAIPGYSVLVFDTNILLSSLPAFSSLVESLQWTVVVPLPVIMELDGLTQNQHTELGSAAGSALQYITTHFRSHGKSLKVQTSKGNYLNSLTVRTEQVDFTDEASWERNMDDLILRATIWQDEHWIDRSNMLQPGDGPRDTTGAAKVVLLTFDRMLRLKARSRQLSAANEQDLATILSTSR*
>EED78537
MAETAKELSLRLRDPEGEHKCDIVIALTHARVPNDIALAKAIGALSPTGQTDSPGWHTTHGVDLILGGHDHLYYVSHGVTSWEGYDTTHPVLGAEADHGDVLVIKSGTDFRDLSEFALELVDAPPGGVRRKAIAAIRGKRHSTQPGSKKNAHIAKILESVLSSVSDALKAPVCKTAVELDLRSELIRTQETASANWFADVLRHCYDDAPTMGSGSDGVFICAGTLRGDSTYGPGNITLGDIMEILPFEDPIVALEIDGASLWDAFEQSLSTWPAQEGRFPVISGFRVSWDSRRAPGQRVLGVWLVQEPASATNTSASPTPLSSATASKTSLQSTQSTNSGASTPVILVDGESVPRSREGRKYRIITREYMAQGHDGFLALKDQKYLVDDESGQMMSQIDVAM*
>EED78538
MASSLSLSTPFPSLPSLYAPPPSQDTSMQEAIMPNVIVSPPEEEQHENPPWCYFHADDAAHEVQSTTPDIDALDTALSLQQQLDNRAPAFHRCLQNVSQETIVLPRKGSLAQLKENSAPAREPRRSSRSRVLDEDSEIVEVFKVRRNEGREDAGQQKGQMTKSKTFRARATDAFRSIKNVRKASRGPIPSTSGSSWSSGENVRLSEDSHDQGAASRSSAPNLSRRRSLVLSQLFTFSQNSKSAAEIDEHAMPISQPKPITALPHRRTMHTVPSLASPSEPHYWEPHPLPSLEDMPITTPSRGISSHPTVSKRKSFRRRLSVLELQKLFTLNPSSSSSSLHDTQMPDVPVDAFSPHGLPDIG*
>EED78539
MRQGLQARRRARGTNTRRD*
>EED78540
MFAFILTPIALAVAANALPALSTCQNPCNVLGAGSSSSLSYNFTLAALDVNSIDNSTGTALALTTGPPGTSGEASTWWLSTGSGSEFPTFSLSSGILRPNPDAAESQLITHDMSVLSGEAVEFMVMQASSRVTNAVNYCAVSDADNIATIALNGDANSFSLCEMTGSVYMLVYKVSVTNDGSYEYDTCVPKRVQAIQL*
>EED78541
MKRVASPSVHAECTSTSSRTQLRA*
>EED78542
MDEEVLGACFNRAQLCEGLEVSTPRREREAYEPGRVAGAVIEAQGAVTAAISHSRQGESVSAYSTIVCVSSTGTSLTRFTSAPDVFSVSQNWDWKLSSLRTEEYTKVGGCHLALPWHGLEIRDHKDTEFRPLLEILSSSCGPQLETLELLLQSYKGPCLSCTILPTNYPLLRSLSLRRVAITWTGPVPAGLTNLSLDLTQTNPSDACMPSEGQLLDVIEASPGLETLTIQISEGQLRPIAVRRSPILLSHLKSFVLSARQETWISLLSHLTLSETTYLQLTPSGPMAIMDRDHPVSSLFPICYQSVLRQATRAKTLRLCAMSSCFFFKHYQGVSGLAEAYVREAIERGTNDAQITRPIPGPRRRAYIHVHPHRLSGEYPRFGFSLGAYSYSPRVYRESALRKTDGKTSSDADLYLYDPSEIAAKSPFASADEEQREQHSPFLLNSSQTLGDTSSPYSLTPRTPIENLFSSSPAGYWMAGSSSVSPIFRHSSSGHGSEHGVSTPSSEGKIQSLADYQRSQEMRLKSSQRSLDASSPSSLSSLSSVPSSPSVYGSPSYSAFAVSRSQRPHLPQFSRSRLSSNAASPGSRYPTRSKLRTSTVAPPVDDGREESDHEDVPRPKKRRRTADIGADDSSTEEELVLVPDTQPPSQHPSRTFPLRVPVNPEFPLFYERFHVSSFCVEDSDKYLTCKGLSDATFNPPREPFDLYTPRFVKGKGATKVGISAMTGRPFSPPLAFRTISRTNVGKHEKAVLMQGKCHKLERSIGGNMRPLATKVPL*
>EED78543
MQPYYHPKTHGIPVALVHFRSHFPALLDQFTHFTAHAAAALAIPVSKTVHLPTQRSLWTVPRGPFAHKKSQENFERRVHKRVIKAWDADQEVVERWIKYLEEHTMAGVGIRVVRWHRAPV
>EED78544
MAKSRKASKRKCGGCGQKGHGSNECTKHNKAGMAIGNARNLTGIYEYHARIVHKSEIIPKGVGTSLGLQANAINCESCGEEEHFRPRFSKSWEARNNKPDPTATYSWYPDNGESTTGYAPRWYSESVESDNDQETPVVPLAARARAACATENFALAVSLFAQAIASDPHVASHYTERGIAYAQSKKYACAIGDFQTASSKADYIPSPLISFWTAKCRFYLGSHASALFAVRDVLSLDPDYTDALALKRRLVELQKHIESYHAARKRQHWKLARAAYESCLGIYSEEDCDLPVDIRCWNVELRMAEADWKSVVSSVERLMHKEPKSTEVMLLRARVLLLTAKLTDALAQTMTVLKLDPDDANAKEIRTRLKGIIRSQSEGGVHFRHGRWIDAIREWDEALHLVGDKAEEGNGGIIRATLLRGKAEAELNIGNYSDGIKHVNIALELDATYAEALLTRARINVSLELYDVAVEGFRAALQLGKLTMPVLVLSSVQEELDAAEQKAINERRDINLEILRVEGYRKFCNKIYNATKFAMLKLDEQFVPEPTAKPTGNESIVERWILHKLNVAARDVNQQLEQRNFMAATNTAYNFWLYELCDVYIEAMKVMTDESASPTMRRSAQQTLYTSLDLGLRLLHPFMPFVTEELWQRLPRRPNDPTQSIMLSAYPVFNSSSVFEDAYRDFELVFSAIKTSRSLAASYNLQSDLQLFVRVQSENEVALFESQVPTILALTKHGKSVKIVRTPEDIPAGCGSAVLTPTVVLHVLVRGQVDLDAEIVKCQKKLDFARLNLDKILKTESQPDYENVVAANVRLFNEEKRRTLEADIANLELSIQMFEKLK*
>EED78545
MPSKPKPPPPDLGQNRLSRLRISLTCGLNPGGKTLSFYAVGGTFALIRCCHLRFASFFLRGCRSPRTRSATAPDVHWCRIGPPRMASYSGLYSEYVILGRNGIFVAGLEEGTRCLIFPNMSFLIGSDATVAAMATSTIAQERTPMNQGRQDVWQYHTRSPHRPTNVHNIIVIASSTSNINPLTGPEFAFCDVAEMPDVDVFPTSRKVAAPITRLLAEYYSWLQNALISSSMPRGSKALCSATNMCFTNETGKRRPRGANSGSMLSWCDVFFQASSDLPLAQIEMPAAAVAMIRINLNHCCVVGRFREPIPKDTSTRSSGPL*
>EED78546
MSTTHMQNTLPEISILDLHPATVRACWQKRRADVTRQNRRSCIAQLRHAGPRTIVVRAREMAGEPDRREKRCLEALPAGPCLPRADALGNYRRGHIWPAGADRRSGSSDTRAAADGGQGRRARLCSGFWEARPGNGDLHTAPMRCVKSRGRVHYSRCATASLPAEMREKSRDCHSVVDFRVVGATGSTGRRPAQCLGNGFQEGVEVDHAVASAYFQDIARAHTQHRIWMSGMPSQRSAPICRNVAAQRGPREAGAGAVICARGGRREGKEGAPRLCRASYAAKGHGVTRPASLQARIARAALQLDAGTRRHPRPAARCIWWTCYLHAPGWAGRGDGEISQGCVCGNDPRGWCTEAWVCARRLHLTTSGDRRGSRQKAEQGAGVSAPLNRTARPYPYPVLCWRRQDGEAGG*
>EED78547
MPAASLLLFAASASHIQSWPRNMPRKMQKIFSKVAARIKRECKACEGCRHRDSKSKHCEAPHVDKNAIGTPKPLAARKDTDTADISRKSGISVLVAVKNANGAIGDINAKTAPAVREAPMQRIPKSSVRSTAANQQESKPVVHSVAVTGVSLRTKTALARARPAHGARSVSKPVKSKNGSIVAGNPRLLEPKSDSHLRANTASGSSKHRVGNSKEELSNNDRTGVIECKNVAASAPRPLLLTKGSSIPVLARARKSGQPRGIPGLVQPVDEAQASVAPAEVTAPDEAKLFSMRVVDVEVQATGDGKPLVAADAVVKKTGKATTACALVAVKESQLAVKQREGHAQNIIKTQRIACAKSAIDITVKRPRLEVHTQRDNKTTPDESAFVTSERGGSRSAAPSEDLTLVGPELSLAIAIHSGTKTAFPRAPSTSECVRKIVGLILSLSVIKRTAPEPIQIAKPSKPHFVFPALPVNADGVPILTARIREDIAKIVQMETDIIEKRRAALNQLEHFLIQREKIGGSGTDEWQDQEVGEINSPRLSGCEQFWSNNLDAEEPWHTYIGNILSTIRQIPTPAADPVMVPASASVPALTSVKLVSVQKDTVQPARTEGLVISEPAVNSALVDELASVDNDEDGDDMHKLLCNLSKEAQQKALTLQKKLKTMREEERSGRQVERKDGGEGIARQRLLPYKKVGGDDAPSVHNAVQHIQLHQYGAPAADPSNVAPGLTMCGSASRGKVRQLAVEAEVRPLQPSAVDKKRAVYEAWLTKRAYEKLIKVDKQQASSMPRKPEQRTLAIPGASHIAERLSSSEREPIQVPSMPGTKATSAAWTISNTPTPSVPPTPVKQTIQITPISTATPSAPNANSATPGKVVASPTKPPVHKPWGPCVDRARAAMTAKESLQADVSGKQEARKKAPDADSFATRGLQFRAPLRPITNILGASANDQRLFS*
>EED78548
MDTPDREQTMNKCIAFVRNYLTMRYGATFADELLNDLTLSTGSSQHDRQIRHGERVFESDPRSTPRDASTGTKRHRVADIISIHQDVHDDVHSAVRQRREVVDPATTPRLAQKFGHRRKTPLLFVRKQKAPKRRMSQQCMRCGVTCFKSLRPTPEDTLPWREQLTAAQAQAVLQNVATWMPPQSHSYQQPLEDRMNIPDAMFISERDEEMADNFAPDASSSVHYTPAMSADYYAQRTPEPFPMLDVHQSTVASISMVQVPQVAIAAEPVDLLMHEAATVDLTQESAFDLSSINGLDVQMPALSSALTLAIEDDHAMEEPTGHEIADELENASEMALGETMRDSEDEEQANVDISAASADVRADVPIIEYVREEDVQDIILKAIEDGKRIQDALRTYLAPSVDEIDDQLDVGLPPLGFTDLGLMPMVMPFELDDYEDILAPPPTYAPGVSALVDRSKPLKTRASRFANTPYQTRSIAHASQSVSIASTSLDTETGESGPSSASFNAWGDRNEGWLGGASTQLEAAQGSEERRAARAQELAEQQKARKFANLRGRKTAWEVIEDADRQDAERREAERREAETAMRAMEQPSVELHRPTSSEASHSKAPRSLPSVPDPSAMTAEEMAHQTPDDLDDGAASGPSVDDLCSQFEKL*
>EED78549
MHLVWTVAAVVDAEGQWGYATQVVNTAGNANTTCVGLVSSFDTTAEIVAQAAARAHAAAEAAKRRRTDIIVGVVVGVCGLLIIAGAAAWWWWRRGKAREDGTWDHQDTLARAWDAQDSTVAEVPRAREYRRVKTFSQDMPPMTSTGSPAYTPLLTQPVDAANEHTGSRPIPQPSPPATPPPDRSRRRRKGQKAPRYTTNASSSSAWSESSPGLSRTPQLPPLTFSQPLSVPALQASSPQMSTQRSRSFMLSPSILDPDVQPDIIIQHRDGGIVHELPPPYLDRYDAMSPESG*
>EED78550
MEEFKDFEGYLNKIECWGMKSGIVKVIPPKEWSVLQKDTLPSVTPQLGNVKLKNPIEQHMLGRGGLFRQENVEKRRVMSVREW
>EED78551
MTSHATNLQVEQVDKVRKPAPIDELSIDVFLDIVHLVVDNKPRDVTWVRLMMVCRSWRAALRDDPGLWSHIDVARNAHFVDLCLQRSHDRPVHFCNSKWRAAGFARVLPDNLHRTRSLEIRDHKDTEFRPLLEILSSSCGPQLETLELLLQSYKGPCLSCTILPTNYPLLRSLSLRRVAITWTGPVPAGLTNLSLDLTQTNPSDACMPSEGQLLDIIEASPGLETLTIQISEGQLRPIAVRRSPILLSHLKSFVLSARQETWISLLSHLTLSETTYLQLTPSGPMAIMDRDHPVSSLFPICYQSVLRQATRAKTLRLCAMSSCFFFKVHVDVEPNPDNGLVAIEYTDVDLPPALFGPALVDVAELFSASPITSIVLLGHEGDVGEKDWRRVFCALPHLETLLPRDYGGLKSLWTVLGSRQVDGQSVYCPHLRVVRPYSTTLTDTTIAVHVAQCLRSRAASGHSLSVPLLEDIVGGAKYD*
>EED78552
MQSTQFLWDYQPSQDHRSSSTTEQIAALSPPQKELPSTLEVVPGVVQPVQTRRSSPIKNSLATTRDTHPLTQKMYSPPYGLTSNLHKQQKVCSHPSTNNHSNSLKFTPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSMANRPLRLDIPTPEPFSGKAEDLRHFIQCILSYFIATNNTRLSDEAKIAFTVALMREDLGKTWVDAYYEKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDCHTLHANFVKGLPKELYFALATRVARDRPNTMKAWDYGEPMDIDAAAIASTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCHKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELRYFPTRTDMSSTLSFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTFLLSNPTDVFNKLKAHNPKATNATDRTALETYLSARRDYDEAVKAADEAIDHHKWLLHQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPMSAFRQPPIPSPFLQATPRSTTIPADWQPNPGWAPKGSCRRCGSSRHWVWDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEEIINEAKERKEKERQMKAVPIPPPRSANPKPPTSPIVGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVLGIVPLRFFLH*
>EED78553
MDTPDHKQTMNKCIAFVRNYLTMGYGAAFADGLLNDLTLTTESSQRNRQIRHGERVFESDPRSTPRDASTGTKRHRVADIISMHQNVHDDVHSAVRQRCEVVDPATTPRLAHKSGHRRKTPLLFVRKQKAPKRRMSQQCMRCGVTCFKSLRPTPEDTLPWREQLVNRQTLTPCHNRLTWVQQNAAQAQAVLQNVATWMPPQSHSYRQPLEDRMNIPDAMFISERDEEMADNFAPDASSSVHYTPAMSADYSAQRTPEPFPMPDVHQSTVASTSMVPQVAIAAEPVDLLMHEAATVDLTQESAFDLSSINGLDVQMPALSSALTLAIEDDHAMEEPTGHEIADELENASEMALGETMRDSEDEEQANVDISAASADVRADVPIIEYVREEDVQDIILKAVEDAKRIQDALRTYLAPSVDEIDDQLDVGLPPLGFTDLGLMPMVMPFELDDYEDILAPPPTYAPGVSALVDRSKPLKTRASRFANTPYQTRSIAHASQSVSIASTLLDTETGESGPSSASFNAWGDRNEGWLGGASTQLEAAQGSEERRAARTQELAEQQKARKFANLRGRKTAWEVTEDADRQDAEKREAERRKAETAMRAMEQPIVELHRPTSSAASHSQAPRSLSSVPGPSAMTAEEMAHQTPDDLDDGAASGPSVDDLCSQFEQL*
>EED78554
MPRRILIGFGVDVDAVAGWLGSYGGQDSPLDISRGLYAGEVGVPRLLNLFKKYNMKTTWFIPGHSLETFPDQMAAVRDAGHEIGLHGYSHENPIAMSLAQQKDILDHTYKLLTDFCGGVPPKGSVAPWWETSSEGSELLLDKGIQYDHSNMAHDSQAYYLRDQDSWTKIDYSAHAHTWMKPLVRGKPTGLVELPGNWYLDDLPPMM*
>EED78555
MPSDLDRQIEQLHRCEPISEEDVKKLCIKAREILIEEANVQTVDAPVTICGDIHGQFWDMVELFKVGGFCPETNYLFMGDFVDRGFYSVETFLLLLALKVRYPERITLIRGNHESRQITQVYGFYDECQRKYGSSNVWRWCCEVFDYLALGAIVDGRVFCVHGGLSPNLQGIDQIRAIDRKQEVPHDGPMCDLLWSDPDEISGWGLSPRGAGFLFGADITRRFAHDNAIDLIARAHQLAMEGYKLMFERTIVTVWSAPNYCYRCGNVASILELDENLAQDYKVFAHAPSDVRSIPAKRPPADYFL*
>EED78556
MPRRILIGFGVDVDAVAGWLGSYGGQDSPLDISRVRRGLYAGEVGVPRLLKLFRKYDMKTTWFIPGHSLETFPDQMAAVRDAGHEIGLHGYSHENPIAMSLAQQKDILDHTYKLLTDFCGGVPPKGSVAPWWETSSEGSELLLDKGIQYDHSNMAHECAYYLRDQDSWTKIDYSAHAHTWMKPLVRGKPTGLVELPGNWYLDDLPPMMFIKSAPNSHGWVNARDVEQLWKDTFTYLYREEENFIFPITIHPDVSGRPHVLLMLERFIEWVNTHADVHWVCMADMAREFREKNPPPAGARMPRGMEAA*
>EED78557
MDGSIVLQAENILFKLHRSRLIQHSPLFAAVLSAEKKNNNDVEFNSTDILAGNGEFLDGCAIFKLMHVLARDFENLLRALERGYDFVVNPPPFLVVASILRTAHSLSFASISQFATHVLENMWPNDIARLSTKPKLHAAEAILLAQECRVSSVLKHAYYDLLRTRAFGASIPTVNDDMYLDQSPRSDTSPKAQFLCSDQLVFMVVVRERLQSEWIHIMRGLPKLSACALERRPPTERERVDGMRQQLERCKTATSKMAQDWSMLLAKTRVYEIGLFDILGGLDLLLNENWRGAGFCRGCVEARKQIWTDK*
>EED78558
MPRRILIGFGVDVDAVAGWLGSYGGQDSPLDISRGLYAGEVGVPRLLKLFRKYDMKTTWFIPAFNAAAPGHSLETFPDQMAAVRDAGHEIGLHGYSHENPIAMSLAQQKDILDHTYKLLTDFCGGVPPKGSVAPWWETSSEGSELLLDKGIQYDHSNMAHDSQAYYLRDQDSWTKIDYSAHAHTWMKPLVRGKPTGLVELPGNWYLDDLPPMIGDVRRATQDRFIERVDTHADGVPMAARREVIGRVDALLSVIRETRDRDAHRGLRTIAYMRVRQTQTTDPTHPTGVEDTTTGSQTQRRLGQKIDPPEASTPPEKV*
>EED78559
MVPLAREKGFARVFLECTNWTAMEWGSVGFVYAGAAMRMSFLAGLGL
>EED78560
MGVIASVSSTFSFPLASGGHVGMVFGWLIPSLFVMAVAASMAELASSMPTSAGLYYFSAKLAPPKYAPLASWITGWANITGQVTLVCSIDFTCAQMITSAIAVGSGGSVNLGSGATYGILLAILATHGLVCSAATRVLARLNLFYVVVNGECLGTSIAAIVALLVCSGASGQRVSTREAFTDFENNTGWANNGWAFLLAFTSPMWTLTGYDSAAHIAEETAGAARAAPIAILVGVGATASLGWLLFIAASFATASVPALLASPLPLPMAQLFVDVLGSRGMLALWSFVIVVQYVTGAAQGVDASRVVFAFARDHALPGSRWWKLIDRRTHTPVHAVWLVMVLAGICGLLGFSATALSSLAGASVIGLYTSYVTPIVLRITSGRDKLVPGPFTLGRWYLPIGTIACAWVSFIVVLLLFPPGQAPTADTMNYAVVIIMAVFVFASVSWIVSARKWFTGPIVNVDD
>EED78561
MAPSASKQKRLAEKAAKQASKGKSDASTSTATASSVNGSSVNTPLTSVSAATSQEDLTSMAKLQIATDRSAAGVLVSDPKGRDIKIDSYTLSFHGRLLIEGAEISLNYGQRYGLLGDNGSGKSTFLQSIAERDIEIPEHIDIYLVSGEVEPSDVNAVDYIHSADQLPYMKAPIEHFQSLYAEKYPDKDLQAWRAQLGRFGLSGSHQTSPIKQLSDGLRNRVVFAQLAMEHPHILLLDEPTNHLDMASIDALATAIKEFEGGVVIVSHDFRLISQVAEELWEVKDRKIKNLTKEGITIVDYKKMLAKNSYASLEKAKLFSKTTSKTKGAT*
>EED78562
MSDAGDEIQVDEAPVEVEAAAEAPKGKLSVEDALQQVLKNALKHDGLARGLRECAKALDKRQAHLCVLVETCTEAEYIKLIEALCAEHKINLIKVGDAKILGTWAGLCKIDREGNPRKIVGCSCVVVKDYGTESEGLHVLLDYFKNR*
>EED78563
MVPLAREKGFARVFLECTNWTAMEWGSVGFVYAGAAMRMSFLAGLGL
>EED78564
MSSSKLSVASVRVSVKELLAEANGEKKRNFVETIELQIGLKNYDPQRDKRFSGTVKLPTVPRPRMSICILADAADIDRAKQIDLEYMSVDDLKKLNKNKKLVKKLAKKYDAFLASETLIKQIPRLLGPGLSKAGKFPTPVSHAEDLANKLTEVRSTIKFQLKKVLCLGVAVGHIQMTDDQVLGNVMLSINFLVSLLKKNWQNVKSLHIKTTMGKPIRLF*
>EED78565
MSSSERKHDKQQALATAATVPVDDHTQHHHPELSHLRHADDALLAKLGYKAEFKREFALIETVAFAFSIMGVIASVSSTFSFPLASGGHVGMVFGWLIPSLFVMAVAASMAELASSMPTSAGLYYFSAKLAPPKYAPLASWITGWANITGQVTLVCSIDFTCAQMITSAIAVGSGGSVKLGAGATCIGRNPHTHPVSAS*
>EED78566
MSEKTRVDFSPEELAAMHKLAQEKEREGKASKASASVALTEEGGPAEYGDPDDFPDGGRGWWVVLGCVMFAAATLGWANGIDGLFPLRLVGGRRSVHSMRLTGRLAWGVVQTYYQEHVFPDASGTVLSTLGSTSAGAIFWLAGMLGSAFCTTLWQFFITQGLLQGLSNALLFPLIVALPAQWFYKYRAFAMGVVVAGCSLGGAVASLIMYKLLATLGYRKTFAIYSAIDAVVFAVALMLVKERRPACKRPEIVWVDRSFFQDPVFWSLGMCFLFTVFGYLSPIFFLPTYVLDKLPGTSDFLSTLPLTLLNLSAAIGRTSVGFIADRIGPVNALFIAVLISGLAQILIWNFVTTYAGIIAFGIIYGFFCGCFISLSPAVAAQFWGADRLGGLSGLMIFFNLPGNAAGAPIGGAILQASGGNWQAVSSYSGVMQIVSALTIRRTLPARFKREPRIVAVY*
>EED78567
MCSAILMAALLPFAWSVSRAYNLVKEYSVVTAPLVHHPPQSNTSIHFIMCTGDIFWVRSKTQDVFPIGSVFVIDLSHIPYGCSVWPSFWTQGVNCMWPYGSEIDIIKMINLMPLNQYALHTGNSVGITSTSAMQSSTIINTNCSTPPGSSSAGCTISEPNKNSVGAVFAAADGGMYVTLFDTMGIFIWFWSHMDVNLSTARKQVHARLCIPVVYGRKLTISMSYRANIIKVWDGGRGTGMWVFCYTKGSMHAKPNVVQCADQEETNGKHTGILDDTGLEFQHFPSILQES*
>EED78568
MSDDEQHNHNFEQASAGASTTFPMQCSALRKNGHVVMKGRPCKIVEMSTSKTGKHGHAKVHLVGIDIFTGKKLEDISPSTHNMDVPNVFRNEYQLVNIDDGFLNLMSADGASKDDVRVPEGELGDQIAAAFDEGKDLLVTIVSAMGEEQAISWKEAPRGA*
>EED78569
MTTIPARLARTAQISANPAQARQRVIQLYRDWYRGAPEMVSLYAIPVSVQYFRQCIRRKFEENRYVTDQRVVDVLLLKGRQEYQETINLWKQTDHVMGILLETQSRPPRPFLQKFYEGRDEDAVLPAATGAIQ*
>EED78570
MSTTLALGIVVAAGLLVSWTCRESREESLKQTAYILENQVTHARLLPEPSSHAFSYPTLSFLLSLNSLESHELDLWRGWVFGYDGLFFRLTGLRPSAYLTDAGGPACSIREKLLSVLAQRGHDRLRFRDAWMMSMPSYLGFEGINPLTVYFCYDGNDSLWLVVLEVHNTFGERHAYTLEIGRAEDASPAAGFSHQWTFPRQFHVSPFNDRSGFYTVAVTPPSHPPRNGQTDPEMLHDISLPVVRIHLHTPGPIEDVPGALKLTAALRARSASPLTTRNLLTALAKRPFVLLLPMTRILYHAWVLHYKKMLDVYVRPEPHPAVPGWGAIAGPDIGPLLPPSGGIGWQAEGMLDKYARSRVEAFLWQRVDKVGISVTLMSANPSLAPQVFSPTGKTWRGEAAEPDKSAALTIWYLSPQLFVTLLLAPSPAHTLLLGYHTERFFVPSSLSLFVAVFGAHGGVDLHDTAPVLTVAQRMRANVIPRELMELPGYAVSAAHPLDPPPSHAVRNLLNIALIWAVLALARVERLVYRLSRARFVRGEEPWGRWDRLLFDWTETLGLAPRSDLHRRYHLSPRNDSPKCYPHLPSHTMSTSEAGKAKQTRKRQRLSCVECTKRRQKCDRQTPCGLCVSRGVAHLCRWEPIVVRPIPQRPPVDATQSVPDAEKIQALSSRIAVLEQMLLGQNVALPTGQASSRESSSGSGNSLTQSSNDPESLERQSARQNAMAAPSPDTTIGGPHALYDFKVQAAAVALAQLSLAPRDEYVGGGTILCALHKMGDPETWRFPFSRSASVYAASSGSSQPDMHPMAVPMRALVANMPPRAIIYSLLDTFFAARNWEFGLPEGWVRRSCNIMFSYLDRRCPGTTCRFPGICTACNEIINPHWIALLFAILALAPAPAAGVTGTDTIDHAAYFTQALTAKRLGEDILLAVPIYCMSEKYVQGATHSCIAAALLSAYLADRGRMSEAWKVVGYGMRVAQAMGLHRDPCWRKWDHMSKEEAEMRTLGWWLLWMRDRIYSFILGRPTMAPKDSFDVTVLPGLTHGDGSPNPHAPFLKAFIRLCEVIGDGADRCLGIRPPSLVDVLDVDRTFQVWLTDLPSQLQWRSDTYLSSTASPPPSRSSLHPPFSATELALQHQREMLAAYYLGGLMNMHRIYLMQPPPSPAATAGKAQRSPNPCREACITLALELVRVLVASPLTAAYLAALIPSNTTGPSEMIPQPLHLFHRAYFLFDGAVSLVGARAQTPPHPLEESCLAQLRRALRILRACAASADKQNTFDGLGETARRAVSVLEALWRAVGARAGDPHETNDNARQPPATPQQSSTSSASAPPPSGDRLQHPTSLSPSGFAVAEMSPFSTQPAESNVRACPLYDFFSPSSCPEPSTSTQAFDLGSDAVAAATVPADTAQPISNDASLPLPCPDFLAFMALLQTPDASCNPFAWSSSTAIHQQWRRDLNEPLA*
>EED78571
MPNQRMEFSVVAALIRLGHKYQMTALRDAALARLQTCFTTSFAVWAELTRYEPHRSASMSFRRRDAIAVVNLARLTGTASVLPGALYLCCQLSAQELVSGVHGPDGVCERLAPDDLARCIDGRSALLSHTAHVVARILSPSVSVHCFEPPACRVTLEACLQSFQSPESKALQSHDVLQSWEDAFDTYGVPMERLCEKCFDLLWLREFQERQKIWKILPELMGVEVPDWLAKSEIVSARRPTFTVTGDDIEADRCLVSNRFRRHRQGHCCLSQIDPPSWIQTPTLTAARCLIWRPRRLLNPGLMWDLIRHVNDYARASEDPETQREWNRVALALGIIARVPSASMLTFLPFLNHSRLNAVVRCASSTVATASQRIETEART*
>EED78572
MDQSDISRCRRPIVAAPGPEAESSIDHNEDELRDRRQSDELLSNVKEVSVAAVATLLAILCMVGGTRILAQAQAHQDPQTKERLTTLGTVMIAASRLSVNGVPTVLLARLDSRVRAIINGRETPSFVAHWVRNAVMAKLPSVAAALRRRGQEVDSAGPGNNNDIRITFTDGDAALAVCWLSMACLWISTVIDSANIGGEKKERLVIYSLCFAGIGPYMFGCWKLYKRLQLQAAPTLKAVALR*
>EED78573
MSVIAWAFGAALVAFSCWKLLKISGLLQPYMSPLGDIPGPPSPSFLYGHEIQNSETSVVREQWVDMYGPTXKYKGWLNVDRLYTLDTRAIHHVLTHSHDYPKMELSRYFLTQVTGNGDLHRKQLRALWTATLELTGGPARIDVLSGLTKVTLDVIGLAGFNIDFGSLNPNGHARHSELTDAFNVMFGALGDTRTSLFRAMKAYLPPLRLIPDSTSTRVTAARKVIDRVGMQLIREKKAEVIKAERTGEKDTLHSRDLLTLLIRANMSTDIPENQRLSDAEVLAQIPTFLAAGHETTSNSTAWCLYALAQHPAVQLTLRDELLSVPTDSPSMDDLNALPYLDAVVRETMRLHAPVSSTMRYAAKDDVIPLAAPYTDRNGEVCDGIRVSKGSPIIIPILAMNRSKAVWGEDAHEFRPERWESTIETAQHMPGVWAHMMSFLGGPRACIGYRFSLVEMKALMFVLVRGFEFELAVPICKKRMLVQRPFVSDEMDKGAQLPLLVKPYVHA*
>EED78574
MSRYQQRAMSDLEETLAFLSFKTVPSLTPLDPQVQSGEASLPDLPDGYEAHGCHYVLGWTEIDHLQALGRAYYKGDSTRQVDWDCIAMAQLKLMAGYHNLYWASALPDDVLVPPPAYAGRPQGCSLVFAICSSATMDSPSARLSQAQYDWLVAAFDAISLSLLVFGIHMASLDLPQ*
>EED78575
MPIRDNCVVGLRSLATHAPELASLFLTTQVGMFQQVWSKVQSGSDKRRMWAWLCTVSSAVQPLVVGPPLIHAGSAYSTVQPTSMSSTVENRPVSTSWRLYMPSMPEPLPIPPTAPVVAAEPPEPVMEELLLAGNIAPEDEAIVLEDALAAVLLKTLSTAGPCGMMVGSSALVGAHGKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRLNQGGSGKDNFCARIKTLSADEKRELYEELTMEDF*
>EED78576
MFRSGAGNVTPVPDDTDKIQRYIQDRRVIGYDPLVQPSLLRHEIQSSPQSQKTIAAARFNAARILAGQDDRVLVIVGPCSIHSPSQALEYAELLKSKMPEWTNLHIIMRAYFETRGNQDVHVILRGGTKGPNYASEHVKAAASGIEKARPGHHPSIMVDCSHGNSQKNHENQAKVIDDICEQLAAGEKTITGVMVESHINAGRQDVPPEGPGALKHGVSITDACVDWPTTVEMLDKLNQAVKKRRLNYIEEGLKKPAAFQRVLESS*
>EED78577
MPEPKHKTPDAPPAARAVPRGPSQAASGSLGTLRHIALVLAVSALAGLATYFSRTRAHTRAVKTLPESYALCADSGKIYTVDQEKPSVDCVLVRRDRISSTGSLDQIQEAWDVYQTELIHKFYGGEAKAKKPLKVVHAPKGSIVVPGLADAHAHLMMYGAKMQLNLEAAKTINDVLDSIEEYVNSHPDVLADPERWIEGFGWDQTRWENWKGGFPSKADIESRPLLSNRPLALSRVDGHALWVSSRALDIAQAKIPGGEWPAPGDVEGGEIVRDASGDPTGVLLDTAMALIPLPPPTPQLMREHAERAMKDALAVGLTSVHDAAVNSEMIKVFKSLADGGQMPIRVYAMGNEEEPKYWGGRFEKLEDYGKDGRLNMKSIKLFTDGKLSEAALKETVSRFWDDDWGVNIHCIGDRANKAVLDIFEALLHNDTKVADKRRPRIEHAQIMRMEDLERAGRLGVPAVHHLTLLRDSTSDMWYAESRLGVDRIKGAYAYRTLLRSSQKAVLPLGSDFPVEGINPLLGFYAAVSRLDARGESPHGEGGWFPAERLTRSEALKGMTLDAAYASFAEEDVGSLVPGKKADYVVLDTDIMDDSVPFADILGAKIKTTVIDGRIAYGAI*
>EED78578
MMALLFSDSRFAGVTGDVRIWNKSESFLALMSSIRYWFAFNVERACQSAFGCVGCLSGPLGLYKTSDLISVLGPWILQSFLGKETTFGDDRHLSNRILSLGHKTGYTHLAMCDSDTPAGYVRWVKQQTRWSKSFFREAYWFPKSFAYHKFVSRLWLTVETTKQFMYPMVLTATVIHMLYAPSTWLRPLIWLGTMFGVAVIKSIYGVVCLRDPRQLLFGIYGFMYFFGLLPSKLFACFTVHITNWGTSAR
>EED78579
MSRDKTRWDSVTTSVTPRPTTPVECRPHPMAEAATVEASRAKRIERLKSRYRDRGGIFVPATHNPLLDILLSRGVDGESPSKARRRASRRSFVAIRESPTPGHRTGPDVDEDVVGDVPARSAKPKGKGRKSAGTTAQARRKSTAKERKESVAVPEAEPSAGPSHTSPLEPDTKQQEVAEDARLKNGAVSAKPSTRRPAVKGTRAKKQAPPSNEKTDDEPEQRKPSSAPPKKRKLPVIPEKNEESEREGSHPVRAKKRRPSTSGSAIMPNSGRTGRPAQDDDPEPRENASGGSASGSANREKGGRVITTDAETLSTNKVVKQANTRRSKPKPRAPSPNEDAASSSDVPLCTIRQLAEAKTHKPSRTQADPEPRKRTKMKQNEVPEGVLADVVVQPPGSRKRKTAEGEDASRAKKPKKDTGKPKPEDAHKSKVRPADKENYVASSNKLTKGTPRLSMFPTPSNVANDDDDPIDFLS*
>EED78580
MSAFVSPLVLWSLGAAAAAYALWKVLYFFVIERFTSPLRDLPSPPNPSWLYGNLQEISEAENSVKQEAWVQEYGTTLKYKGWFSRDRVYTIDMRAMNHILTHSYDFPKPPLARWSLSQILGAGVLISLSPSVAWFAGGRR*
>EED78581
MEAILRGLRKRHASTGDLPILIHTSGTGVIATPAKGMFASDLIYSDTDVAQIKSIPPPALHRNVDLRFLGGVVGLGKSIWANVHIHDVADLYIVLFDAITANPEGVGHGWEGFYFGENDEHTWYDVGKAISKALVDLGIGGTDEPTELTDEELTRYWGSVDAAVHVGSNLRCRANRGRPIGWKPTYTTADLIASIKPDSRARVAIFCAPERMHLSKQLDLYELSLVVVLVHDNLPCFGGLLQGSDPKLE*
>EED78582
MSPSKTNIFLIGATGYIGGSVLTRLLNHASANTFDITVLVRSAEKAKVLQEKFDVKAVVGSNDDLDKLEALSKQSRVVFSCADAGNLAAIEAILRGLKKRHTSTGDLPILIHTSGTAVIATQAKGIFSSDTIYSDTDVEQIKSIPPTAPHKDVDLAVIAADEEGYARTYTILPSTIYGQAKGPLFGAGVSNSTSIQIPYLIRAALGRKQGGVVGQGKAIWPDVHIDDVSDLYIVLFDAITTNPEEVGHGFEGFYFGENGEHTWYDISKAISKALVELGVGGTDEPTSFTDEELAKYWGSVDVGNFNGTNARCRADRGRSIGWKPKYTTADMLASIKPEVALLLGKA*
>EED78583
MSSRSATPASTPSLVNRRLSSLLAVLEAPPTADTTLDVVEEWAQDLSPLVLSYRKALGAIRDEEMELRVAAAVKQLAERASESWVKWARGDWPELATAIDLEVERRAEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDEHRRKDEEDRLRQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPWTVEHPFAMTEVDMAAAAIVKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIWPTSPVPSVVDSSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIICVIREERAFIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED78584
MEGEPSSDASRANTPANRGETPVRTKATQSASYMEGNLAAARRAVMKELGTTIPQVSTEFFQTNLLPPLPVGVNINQLVNNLRARKYIKGKRWAAFRKDPKNARGKENVCFKSLETVAAAIEKVAAKMAGEEPLLKFVQNPDGAPRSKTRTSKSRPDGFFIRNSGPKGRVRWMDIALSAEYKKVENVTTKDDLWQDVRKVIWSMHHCMREDARRRFTYGLTIENRTMRMWFGSRTELLVSKPIDFMSEHHKVAHFFVAMMYAAEHEAGWDPTMQYVRKKDGSDDELEPDIEGKPRLDIDVRDQNGTVVRYRTIRWLSDDGANGLRGRGTRVWEVCILSDDGEEGVDRFALKDQYIDAGRQREGMIIEELRNTETDNATRDIIDRSLLTVEHHGDVYVEGMLDHTRNLMTRRGEPNSHFSFKLQQVPEDGVEDTTTAKLSKQTLAGVCHYQTPEELRTPPAQKNYTYHAKVHYRIVFKEVCKPLREYTSLKDIFYILINAAGALIALHDVGWVHRDMSIGNLLGFVLNGVLHCKLSDLEYAKRMDDRSGHEIRTGTRSFMSVEVYNLKYRFTSSEAEAKGANPPPNVIDSNQAALDWFESFHRGSPVNVDVPISKDGPRVPFRYNPLNDIESLWWVAIYFVFNRSVVKVGGEPPSMDASQRGHYFTQEAYTRDLIATPHARFAALTDKDDLREEIIYLHAAIRDIARHLNEIRKQLVSCYKEAEKKPGVITPTIGQDLLRGVFLPFFGSIVAKNLRGKDIQVDILRNDPRQYVENPLEIPTQADRKDGVTDDNGPADDDGTEHNSDEGDQGSSLATLTREVSVGDVAEEATAKDCAQGVIVEDSDAGRSVDKATGPSAPQISTEPIVEGRSTRPERKRAPPVRYTGTEYNLKKGTTSKTASSSSAPTTATQAATGQSYTKAATKSSTKAKTKAKTK*
>EED78585
MLLLGATDLLLSITSLCSLWLGFAVPLFGVIPRLWLKSEISTLLRLSATFVAVFSGISYCLILARTKPSHTEYVTFKTYYAWDADMTYVSYLNTATAVMLLVEAMLFCRHLVGIRRKCILHIIFGYLIVPLVVIKLARVGNLACTIFGSEIGHLDSTFSLRPSFWGHPGMNAEWLMQASDHIRGSEDDERGKGIYSVSAAFDGLHLLFWMASSVSDGLITALDKPQTPTPRKIFLGNIARIFHLALTHFWVQFYESD*
>EED78586
MCQTISTKDPHPPLRVTSTDADFRGDVNARYQAIIEDIGEMIPEVSTIDKLKMAGNITPDGHWVLFSVDPEKAEPVENIYFRTLVKAAECIVSESGGEDKYQLLELIQHPDEKPVAYTRTSETKPDGCFVLKKRPLTCLRWQDIALCAGYKKEDQSDDRNDCMREDARRRFVYGMTIENETMRLWFSSRADTLMSQEINWRTDHETVVHFFLAMLYAEEAEVGWDPTIKYVLRDGEFVPGLDGAPQLEICVQDESGKPAMWYRTHRILADNGVHRGPGRGTRVWEAYELTEEDGEKRGNPVALKDSWINHDRPKEGQTMEEIHASVKESNPKGYKRLQKSLLTVLRHGTVSVKIGRRWEVDHTRDLMRRGLDPLSDYGGFKFQESPESGISSKNLKGTASSFPSNAGTGHHRSHAPQQRPSTPAPRLHHPRVHYRIVFKEVCVRVCDLTSLEDIIDVLIDALGERLPDGTIRGKVTDFEYATRFGDTQREPHEMRTGTQDFMAVEVEAMRYRFSFGQPQHARQDTKIRVLWELLKKAHLGDSGQNQTPADAKPDQPVPEQPAFRYNPLHDVESLWWLCTYFVFNKAVILVNGVEPSMDPELKGNYSMQLQYASRLFWTQQFREWAMTRPGDFKDEVIHLHAVVRPAGYLLDTMRYRLQNQYRSIEETRTPITYMVASDITMQMIEDLGDIRADVKGLTIGEFKDDPRRNYLANRNANISLKRSHISPTIAKTEGKRAMKRPCRD*
>EED78587
MFGYNPYGDYSNPYAYSRAAPVYSPDQDYLQALADERAAREQYFAARRAQEEARERAARARAARQAYAPYNSYYFDDDDGTHEDNDSYDGAYSASPYGSYGYGMSPAARQRRVMAEQQRRREQLEREQERLRLEEERRKLAQERRAMEEERMRQLLADARRRQDEEELYRQRAHQYDPFLRGFGWLSGDGDGDLNTRTTRTPLRSQTVAPSNRRQPTYNPLHAMHTHLNPAGAGTRSSSVPAHQPPSPVPRHAGTSPRHTIAIRTPSPKERTNSPPNSPAPQHVQQYTPQQDAAARKIQETYRAHAARANALRTIAGLRTRFAGLRSNFVFPAALDFVVPGGGQIAVRADVDALASATQASPDTDDAAEPTRPDADDAAEPTRPDLAYTPGNAPLHAYLEELSRLLTALDAVESRGVAEVKGRRRALVREVEGEAESVERRVRAVWAAWVEKTKTIAEPSGSQSPADLDPAHRTGTAAEAHAAPDSQPQTSAETSMEVERTSPAQPQSDCSPESPDTAIVEEVEDKDAEPPMSAEPHTQLFDDSERMDEAPDEITIEVIEAEPEPPEDEDEIKADLEDGEKMQVEPATVEADFTFERLPAPDKDIPVAPALSISAPSPEEAPPTPEIDVVDESAPAIRLPRTGSPMSSEGNIIQLDRQPSADMEDEPVSQTLEGWRRELVANHTTKASGHGFIARGGL*
>EED78588
MPPGSTHLLTGGKTPVRTKATQSASYMEGNLAAARRAVMKELGTTIPQVSTEFFQTHLLPPLPAGVGIDQVVRELRKGDYIKGNRWAAFSKDPKNVRGKENVCFKSLERVAAAIEEVTFKVTGEEPLLKFVRNPDGAPEYNSRTSKSRPDGCFIRKSGPKGKFRWMDIALSAEYKKVENAKTKDDLWQDVRKVIWSMHHCMREDARRRFTYGLTIENRTMRMWFCSRTELFVSKPIDFITAHHEVVHFFVAMMYAAEHEAGWDPTMRYVRKKNGKNDELELDKEDKPRLDIDVRDQNGTVVRYRTIRWLSDDGANDLRSRGTRVWEVCILDKNKEGVDRFALKDQWIDADRQREGMIIEQLRNANADKQTKDAIQQSILTVEHHGDVYVEDILDHTHSLMARDDVPPMHSGSKLQQIPEDEVEETTTTKLSKRTQAGVGNYQTPDELGTASAQKIETYHAKVHYRIVFKEVCKPLCDYTSLKDVFDILISGLVGLAALHELGWIHRDISTSNLLGIMVDGVLRCKLSDLEYAKLMDDHLGHEIRTGTRPFMAVEVYDLDYWFTSSKRQTAQAECCKPPPKPAASRLDRVDSMQRGNHEDIDELEDSDCIPTTPFRYNPLHDLESIWWVAIYFVFNKSVVKVGGKPPSMDTLQRGSYNAQKRYAELLITSPHDRLKALAHETALAKSITHLHAAMRKIAGGLIEIKKGLVNCYLEAGKQLDLIHHTVGQDLVDDVFITWFGYLLNALQKEDIEIDILRKDSRQEFENPFEIAQDKSDQESVAEDPARVTSTGITAAEATAKDGAQDVIVEDPDVGRSVDKATGPSVPQISTELLVEGRTTRSKRKRSVPVRYGAEFNVKKGMTSKTASSSAPTIATQAATSQSTSRTTSNAQATTKSRRKAKTKAKTKTK*
>EED78589
MPPCGHSTAPSFDPSEVRSLRRYFQDLEVLFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQNRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYIMAEIHAAATFILHGTSSTPTTAANQTIASTSNTSTMVPPGMIKTEDISMIIESLCGKEAPGHLERECGTRPMKQHVSTPPEEPARHVGVVVDNVFLEGIINEAKERKERERQMKAVPIPPPRTSPVAGPSRPRPDTPVVFRKVDPDWTPDSTQWTWDSSWPRQEHLSGEEWKNVGRNARNEWFDEEEGDGVDWELYGNGEQYSGPCIDSDRRQAPLGPDTALFGSRIPHGTSTQSPNSSISPSTLFDIFDGARRLLEARRGDTTYETLTPNLVRGELAARCPAVRVNTRNAFGKGYEQWGSYEVASTRRRSSSEAVDVSSTIVEVEGFVETVSTSKRSGRGFEITDEAAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQNRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYIMAEIHAAATFILHGTSSTPTTAANQTIASTSNTSTMVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENTGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIILPNGSFCPCTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRNRPEERRSLGIWYIERSGGTLEHFDKHGPTSDDTSSSTRTVFFPAYASHVSTYYIRSSSTTMYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYRDAITPKRVSTEPVASAHIVEIGADEVLAVNQLSCSGATLEPGATIVPDPYETYLKHIPHSEYPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFNPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETITIPTILSGVANRIF*
>EED78590
MDAICDLRKCSVEYEPYGICLAPRPTVFIPHFTVAGRGYGGSGYKAKLYFAFSWFVCQQLYSSNISSTITSNNTVNPVPLGLPALAGSPLLQYDQADRCFVTSTKRAPIYPRGGTWCHATGPNPQVIAHQELVSYYQRHPPAHPEDVFTILRIDVEPTQTAESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPASTNAPVEVPMATFTQADIDQCIAVALTAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTQLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHQILMKLPKQQRDRKTALSLGNYVTRFEQLASKAQLKDTEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRSNTMKAWYDEVRNADTAKQGALVVTDTRDYGEPMDIDATAVASTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAA*
>EED78591
MTPTDELTATVCGALGTLSSARKADLLLETSLSLLEAGRYGEEVESYLEVYLRTPDLPKANVVKALSARANARKAAGERLLARAQQDYNPYDHTGIEHTANAPIPIQSPFFNLPASRRAPPEIWEHIATFVPRYHLRTWFFVSPFHRDIAVRRIFRTIDMYFGEDQESVNRGLDIFDRAKADPGFASLVRTLRIHWSSEEGDMFDLMLRLFRTALPSFKALREFEWIGYPEMRADMVQAVLASHPRLQSVGLIGWHFDAVGVSAFHNLHKFCLRAEDDDGFADMGEVRSVLDQNVGTLRHLTLGAHLQRTHSWDLAFESATLRALTHLDLVDTRISHAVLVRIMHASALRSLTLHGTFADPAAARVVFGSDHVIGGDHAVLPHLEAFRFVTVGHDDDWALFEAVAKFVGQRPRLRRLDLGSCPWELVRGVLPGLAGLRVLRVRIANLCTEAVDALIQAVPKTMLALHLNTVVSNAPLHEYASAFSVFSTLSMLHLRSHSAHRPQPNLLSEKEFSMQTDHWVTSARAVALALPSLDFVGWHGEHYVVVRAGEKDGAVELKELPSRRRLDCGKGVDLGGEDAAWLERKDVPMDYEMTGLES*
>EED78592
MFLNAVFAAASLLAAVCRVDALWPLPRSLSEGTDALRLSYGFHITLSPDIASPPLDLIEAVARTQTYLFTDDLGRLVVGRGASDVSAFETAPYLPELTLSLAPGSTVLSITAEAQKPLGERDEAYTLTVPSNGSAASITATSTLGLFRGLTTFGQLWYEYDGTIYAINTPLQVEDSPAYPYRGLLLDTARNYFPVSDLLRQLDAMSMVKINQFHWHVVDSQSFALQIPGYEELAEYGAYSPQMIYSASDVAEIVSYAGARGIDVLVEIDTPGHTAAIGDAHPDFVACNLARPWADYAAEPPAGQLRMANETVAEWTAGLFSAVAEMFPSTIVSTGGDEVNTYCYQEDPETQAILKASDSTLEEALNTFVMGTHGALLKAGKTPAVWEEMVLDYNLTLSNETLVLVWISSEDVQAVAEKGFRVIHAASNYFYLDCGAGEWIGDDPSGNSWCDPFKTWQYTYTFDPLANLTAEQYPLIMGGQQNLWTEQSSPSNLDPIVWPRAASSAEVFWSGAGGNLTAALPRLHDVSFRMQQRGINSIPLQPLWCALRPFECDLTW*
>EED78593
MSDPPPPTTSHILRPHHVNLLNLFLLTFLEFERTQPDALPPPFFAHIYRVLLRETAEVTEPKGYTALAAEIKKGPLGDLPASKDFNSRFALTPFRLSTTKEFTEMFERLPLLYDEKDEEEGSGKFIRRSLFGYFCRRCFLSFCKLSFTVRNLALPHAKYLVNQNQDYQIHKTSLDKKPLATGEAYAAFEKGLAVGDENIATENLRRFFEQHFHQGSDSLLHRLPPTERGAKPILNDIQPDLHPLEVLFDVQKLVQISNQQPLSASFEKIVQATGLYDHWLDVQRGAFVEAEQWGQHAVQSVVWNMHGSVQLAQVEENIVTAFTEVGGDDNNRLIVNLNRAYHRARQGKYEDAIAILLEPDVWCGLTLTQYHNWANKIWHIFALRASRRGQERQFSDFLKQRRPHGVYRAREYWFWSPTPLGSMVRDPIYEAMQMRHADQAHAWVEPLLKALWHAEFQGRYGLYRTALVILADVGLEFGMTQWCRRIIDEIMPQVISGAELEQRALACFTLARCIIAAGDSSPEALRECLPYLSMAEADHATLEILRSLQDVQYLLSVVYHNLGMTAERDEAAVRHLRTEEERKKAATTILEPWVLEVWELVGDVGAALAAR*
>EED78594
MVTGRGQPLPATSRGGYLSGRVSTIARTPILNRMCHHKGPRARNLPRRTTREQRPARVTPEDADGQLPTGGGGKRGPWCGCDLAEPGQYVSCGTNARGTHTAREGAGQRASMPNMDGTQIIQVLTDVTTSLPRFLASPSANINRPKQLFRQTLFRLLRACMRDPSPALEALSVSDVKSDSEVLRIWPRSTFSLLAASDDGSGEETEDDVGVQEIEDDVGVQESWGDNDELGQVMERNTECNALIPADLADQDLLDMPDPLSEGMIAIRAHDESTRPEPIPMDTSRPIFQRDRRTIARVHGDPGHMLERTGRRYVLASDLSDEPTLAPRAARARPGTLAQMGARRAQITTQRRAERKHASSTATLTQPLPFTRSRCTGPRSCAHIPMKGHLESRAEEANRPADARRQSASPLLHRQSTEGLLPALALSVRGDSRDPHVAAAPCSRTAKTGSRTAKTGSPPATDTHTAECATGHAMYASAARNYAPDVRAASISPPSEDPGRSREQVRFPLGVLFPDAQKSNRNATREPGILSTDARRGTRCAHPAPTRPLSVTSHGGYLNGHVSTIARTLSLNSMRHRKGLGARNLPYAKHGGGSPDERGRNAARDGSLDTYRVRVQRTTREQHPARVTPRGADGQLSTGGGGKRGPWCGRELEAEPGQGQYVSCRTNGCGTRTAREGAGQRASTPNMDGTRKMSGGGVFSAASYLVPCEQGRRALGMVTLWHGQSAVVLPEMRDKSADTYPKGQSSTGDGRKRGRELGAEPACVKTWGTECRLDGGAKYGLKCVNALTDLVIVTRAASSLLYGNAYRGAVTVRTEQSSSLDASRMQDQAGTICIPPSGAQCSQERPAGVVPSGELTGHSARASGTHQCDEFEVRAVSKVSYALGMSGMQDGAGTVHIALLGARHMQDRRAETVGGTSLPRHTVVLRRPGTARGSAMCQRLGAERADRAVQMFLYTRELARAQGGVCWWRDDGGRERARVGESGRERQAGQWGHYQTSLYVW*
>EED78595
MQKTPIRILNAEEIEKMRTVCRLAREVLDIAASHVRPGITTDEIDEIVHNETIKRNAYPSPLNYRGYPKSVCTSINEVICHGIPDQRRLQEGDIINIDVTLYYDGFHGDLNETYPVGRINEDTQKLLRTARQSLDEAIKLCKPGALFRDLGKTIEPIARANNCAVVRTYCGHGIHELFHCAPNVPHYAKNKAVGTMKPGMIFTIEPMINLGHNWDLVHWPDDWTATTVDGKPSAQFEDTLVITETGVEVLTAGKRRPDLYD*
>EED78596
MVPPVCYLLFPVVDGSSAGTMFELVQALNDDAEVLKRRTPNPIGLNAGCELFIAFVTLFPHESESFAGLKTELVHQGRKYAAEALTFRDKIAELTLGFIKDDSVILTHSYSRVVMKALLHAHKTKRISSIYARSPASDQLMHMRHRLKTYETLTAAGIPCTVVLDSAVAYVMDRVDFVLVGSEAVVESGGLINAVGSNQMAIIAKAASKPFYALAESYKFHRLFPLSQYDLPTHNSTI
>EED78597
MIALGGAIGTGLIIGSGTALVRGGPLGIFLGYSFVGTVCYLVMVALGEMSAYLPHKKGFAGYATRFVDPAFGFALGWNYVFKYLVVTPNNINAAGVVIQYWTTKVNLAVWMVFAINLLGVRVFGELEFWFSSIKVVTLIGLILMGIIIDLGGNPEHDRLGFRYWDHPYGPMGTYLSTRVHNQHLAIFLGFWSTLINALFAFIGTEVVGVTVGEAQSPRKSIPTAIKRTFVRIVVFYIGGVFVIGLTVPSTNKTLFVANSSKAGAAASPFVVATTLVGIKVLNQIINACILVFVLSAANSDLYIGSRTLYGLALQGQAPAVFARVNRMGVPYVALGLCTCFSGLAFLNVSAGSSKVFGWFVNLVSTFGAITWMCICYTHIRFMQAMRAQGMSRDQLPYKAPLQPFGSWFALVSTAIITLFKGFDDFLPFTTSSFVTAYIGLPVFVVFWAGYKAWYRTRVIPPEKVDLISGVREINEAEARFYDAQEAATPRTIWRRLWDAM*
>EED78598
MSDLQHAISCTSNGALRLVHLGAEENAPSTQTGVLPMRLCDWRLSHSGETFAYGGDEVELSVWNTEAAFTRLPAGDSGSESKKRKRDQLLPGEVWRAKNLPNDGLGLRRPVHITALIYLQPSSSISHHHLLAGTQEGHIRRYDTRAARRPVANWERIGKMGGISTAEKGLHEHEVFVGDHGYNLMALDLRNGRVIYGYKGLSGAVSSIAPSPELLASACQDRFLRLHSTFPPPAVEGQQQEHKGEVVDKLYMKVIPAAVVWDGISDSMRVADAAADSDDEEGAEDDVWDAMDDAEDDDDEFELNTTFVSLSAITHMTLSMPHDFVPVWLAGNIPHNYAHVATIEWHEYNSIYPSNAIFNLIPWVYTYGWLLTMGSTPSYGSAQLNAGRTVSLPYRFQADLVPSAVLVRLSDNEAGEDGEEPASDAPYDLAH*
>EED78599
MYRVPMTVAAKSKSALTPHRPLTAKSQRTLKVKPFITVSRPLGDKTPFPNRVANDAQSQTPSPLNEKLAKLSLEEPGPAIVPGALLRPSSARTSLRIPRTSGGKYEFKTPITQGNHWDVSDGDIDVESEIQSEEQEAVQEEDYDEIEYMPPTAIIPPYEPPFEMPDYKVLGKTMWEMMHSVKFDDSADLYYAADIEIEIDVQEAWRESGFDSSPSKWERPKLPELDTRHSLYNSSTIAANDTVRSENHCTITHYAHHNRTKQKGCRSFDIEKYCWRCTKKYIHNHGHCGCTEGKDWEHIGQGRIECNWFQDKGSSGSDVPTNQ*
>EED78600
MSHLPPKPDFGSPSRHPPDHRHYSRPPPPPPDRYREGDVYIAGRSPPRSRFPTDPYPLPRPAEPYRGSRTTTDSYVATYDRRLQDYRDYWQARDRDRDWRAHPQSDPRYRPVDPARERSDWERERERDRGERRWEPRPGPPERAEWDRREYAPRPYRDVRDDERIRGRETTGRPPPDRERTWRPRDSRSPPRHADHLLQSALREDFPHGLHHEMLDAVTIPVPNILTVDQGLVNETDAVSVRGLQLLDGETCLGVATRRIADTAQLTMMRHLESRQMDNDPVADQIPVIAEGTWMLQKSPVQAEPDETLPDSGITTTRDGGLTSGYATRRPDIASPTIVQDSKFGRLTPDARGSHATDKGKMREDVTTAIRDDDVQMHDASPRLAKISREASPLSKVPSDASHSPVEQSRDPTPLRFQRRRSPSPRGHARTPITPSSPRTPHPLSTSNLPLRPVPSRPRRHPGPTRPGAPPHTQSRQAESKQSQQREEEVKLPPGPCDAVIKEASHTLCCSLLMGEYVNAEKAKLRAMHELQMAQFDLEAAMFRRQIADAQLDMARQGKLGIDYERDKTITLERKLNIITVWEELKFEVPEEKTPVVKPQGEQAEGEKTKAKAVSAKTPDGTPGEQGDAEPTDMAVGAPLVTVKDIPADSKSDNGIDPSIKLETEHEGQREEILDLRLAGKPVSKLEEMEKMTVDQSGAGYAVSLETQETKEEIQTEFQP*
>EED78601
MALFGCAWVLSSLRLILVFIDRRPLGRTHKPHLRSRGTASAHHYGGYPSPSSNLTIEQGYLTIFASDPLTQPRASFLFSLPRTASQVRSSYKRRVRHRITPGIAEWMRKPVPTPGTRLETWDVRVVASSIPTRIANSTHATSFPNACLHSPPRVLDIQSFEDKAGCLARIATAPDNRQTPRSMEPRRRRTMTALHAPTRNPNFTRYSDKYSAETADKCWSPLWSQFEGPRVEAPVVRGTVDRETLLDVGVRGARMGEIATAMGRLAIVVPDGPARLTRRHKARHRRDDLSTPYARRARRQTYHRGRLCVCRLGRYVYMLRDRAPRGPSACAAGCDVTVRMQLGMDAGATCARMTIGGYEYACDTECAHIRWGDVEGICEVLGEGLMGQGGIDGCVRGKDEWVKQAHVDVALDVAYEGEMAGACVADVGVDGDGAAVVAGDVVGELSGGGGETAGVGGGGEKAVAEGEHLGERSPLEGVLALCLVHDKTAENGERERPRSVKRRWREKAASDDHTRPQQAPPPLTPVTHLSHLGYAVQQQFAPQQPYWHPAQDSYLFNSPVASSDMFSAFSRWSLAPQEHLLPYHYAGAIIPQEYGSSEVGFSPAPSDASLQSWDSDASPASAPAPPPHPTHVVCNAPLVAPVPLPYHSPTFLQYDDLPDDDEDLSHPPYTRRPHKRKRRLDEDEADSAAAGIQPMPAKRRSVSGPDNHPFAYRVPPDANAQALPLPMRALPVSIQTRHLGIEWRAGSSLRAALHMHLKNAICPQPARQMCKDPVSPRVTGRPAHRERPKRFEWHHHWLWQGSPGAVGWPEEDRDYPGTFTLKVWGCVRIRI*
>EED78602
MAERRCPLGQRVKERKRPLTEKSYQYPQMMTTSSCPRRTWIYSRSTVMP*
>EED78603
MPPPSTSAPHEPDRRGLRGSARSVTWPNWLSSPHSSSTPASSSVSSREPPSDAASSENALHGEMRNTSESDTDSTSTAGDRSRMRARRKRHSVWLHVRPPAMLALLAAKPAHAVHVGSTRLWRIGRLTCTRQSMPAALRRDVMYGSPAAVRGAHDGEDDAEEQVCAAGSVPEELLRAVDVCGRGREEAREAHRLEDVIEGGTARAPLSGWLGGGRETAGWALSLKKVACLPCGCFDSGVIKACGGSSWRMEGECDVEWQALLDHGADPGGGCQADVLIIGAGPAGLSCALSRALQGLKNFAIVDFLPSGQNTSRASVIHAGTLEALDGVGCAQSLVNLGNRAPAVGVWCGGHYVQTTSFDTLAPYTKFPMALLVSQTVTERVLEDALCERGVSVLRPFKVIDMKANEDDPRTVDVHFESGETVRARCVVGADGAHSLIRRTVNVQFREPDNETRATSRFAHMIVADITFTRPPTLPNDGILIISSPDNAMMIAPLPASTPYETNAQEKAQIYRIGINVPRALGTPPPSPPLEYVQSLLAAWGPRHLDRDPTPIEVRSVLWSSSFRTHSAVADTFLAHLPRLDAHTGAPVLLVGDAAHIHPPVGGQGMNLGIRDALALGPALGAFLAASSSADDAERPLRAWADARRVRALSVIRMVKGLVAATMVPDQTTWVLGCIPINLFWLRNTMMRCAMRLRWVRMMSAWRMSGLAFKD*
>EED78604
MALPQSTDVLIIGAGPAGLSCALSLALQGLNNFVIVDFLPSGQNSSRASVVHAGTLEALDGVGCAQSLVDLSNRAPAVGVWCGGHYVQTTSFDTLAPYTKFPMALLVSQTMTERVLEDALCERGVSVLRPFKVIDMKANEDDPRTVDVHFESGETVRARSVVGADGAHSLIRRTVNVQFREPHNETRATNRFAHMIVADIAFTRPPTLPNDGILIISSSDNAMMIAPLPASTPYDTNAQEKAQIYRIGINVPRALGTPPPSPPLEYVQSLLAAWGPRHLDRDPTPIAVRSVLWSSSFRTHSAVADTFLAHLPRLDAHTGAPVLLVGDAAHIHPPVGGQGMNLGIRDALALGPALGAFLAASSSADDAERPLRAWADARRARALSVIRMVKGLVAATMVPDQTTWVLGCIPINLFWLRNTIMRCVMRFRWVRMMSAWRMSGLAFKD*
>EED78605
MYGSAYLVQVSIGGQEFQVLLDTGSADLWVVSSDCTTSDCQGIATFDISESNSLNLTSTDFHLDYLIGSVSGTVGTDTVTFGPYEISSQVFALASNTTGLDLSGTGYSGILGLAFPAEASIPSTTGRTLVENIFASLNDTDRFFAFKLGSNATGSSFTIGQLDPAYANTTSALTYTPVSSDGSGYNYWKLPLQSLTINSTTFDLSKSRVKGASSPIAVLDTGTTLVLGPTSDVDRFWQSVGGARKTDAGWQVRCNRAVIVGFVIGDDSSQKEYVLDPADVSWDENTAQGDWCMGGIQGNDGVFSGDWLLGDTFLRTSLKHHIQNVYVAQRVFASNQSASIGLLGTTNATSALTQFRQTRGDDSTPPARVRAHAPNHNLTGADVCGIAVSCGFVFGVLLTLVWYSCMEWRAKKRRMY*
>EED78606
MSTSLYPLASLAQIEKTPSREDGIPDELEQDLRAYGCKMIHEAGILLKQKQVAVATAQILFQRFWFVTSMKQFGIGDIGMGALYLASKLEECPVRMRDLINVYDLLLQRAAHNRASALSYASSFTSYPRPEFKYTPMSYFGNTFYDLKEALVVAEMQILKRLGFNVNVVLPYGTLVNYLRVLGLTSREDVCTRAWGYLNDALQTPVYTLYAVPTIVSAAILLSSRHLGISLPSSPSNRWWELFDAEWEDVWSVCGYVMRLYRERSAEDQTRVMGMVSKKGVRQWLDENRVP*
>EED78607
MSNVYLNQRLGFGKWIAYFSLACIGALFQLGGYAFISPGGPFPTICTGVGLAGFGIAMQMAQANGFVGSLKENTRVKFGILHASYGLGAFVSPLVATHFSTASHWSFHYVIAGWIVTFIVDKRGGGATAGYISSGFFGGLMLGRLILMWLNQKIGERRAIMLYGFIAIGLEVTVWVVPSLLENAIAVSFVGLLFGPMYPIMMNHSTSILPKWLLTGAVGYIAGIGQAGSAVLPLVTGLLASKFGIGSLQPLVVSMMSTMIVLWALVPK
>EED78608
MPLSSVILLSALLYQRLEDARANEQAADFVRQEKILKLISTELTKNTTRVVEMAVKSEVQNSVLPSLENITKTEVKSALNNQISKGLSDSMKQNLPNEIERMLLRPDISLHIARTVSGAVTPVIEKQVKDAITKTLIPAYTQQSSAMHQELSREIHTEILNLKKDIITWQTEALRGQETIIRELEQSVRMLSEQVKFLALNPPTIIQQAPSRYSPSASSSQHQQGVPQLLRQQGLVPTTQSHSYNPPMQGPFQQPQQQQPPMHGNWFNANIAAPQASHPTAPPPLPTKQTMRSSPAQPEEWDDTYLAVLGNQDIRQLRELLARSNPDVTMPLNGPSPLSQAVILTLVHRLTSVVGETSPLDESFKVSMWWLQRAASVLNASDPLIAQYTARVLPNVQQMLNTTKQRLNILPSPPIEATRAISDIQDILNRKPM*
>EED78609
MVDDSLRAVWDVDVDEILRVECVNLALTGSHIGWGEDGEEPRLRVREDKYRSLKHRYRRGAHHPTYRKGIQRSRVRAREKEKIKKQLDAVSTRDNQQALVDSYKRQVDALPASTGSGHSCQPKIGEPPAFKGSENKTKLEEWLDLIVLSCEHEGGATDKQQIVTALSKLQGPAHQYMKSYYIYGQHDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDTEAALTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRIIQVELTGSEDDTPPSTKAVSANTARIKEIVNVEESTLAGKDEPQLSAKTEPIAATSDFWKKYM*
>EED78610
METPALGPLPTSPSSCRCR*
>EED78611
MSQNTTAPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGANDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKGVAAPSSTTTSTAPPPTIPAAAPAPSSSSPAQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPIIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKCNVGTRCYNRPRPV*
>EED78612
MIGRSGNNVAARRRLGPNVTKILYRWGFEEKLKACAVVSQYTQFMRYMRKFLYDAAVEHGTTVRTNAQAVEVKVRRERPSIILASGEEISADCIVGADGAVDSLCRKVILGGPEHEVFKNVMMFNLVIAASSMQSDPELAKLLKGKEEGTVYVWYGDSYGVTGFMTQSQSENEFSLHIYAPREEGDEQAKRAEGSAKLMKALTGCEPRHVLLSVRLRIYLTSILSLRKLAERAHTVIAVPVSGKAHMQEWTHPDGPVLIVGDAAHPITAGNMYAMGLAAEDGMMLGRLFHHLHRKDQIQSFLAALSTKRMERIAKVNQVQRVNPLAMALPAGVEQARYLKTTVEHMDNAMAVVFLEEAIRTIFSYDPEDEADDWWVEWGLLQDRAARTVPSSAAITVDIHT*
>EED78613
MRFIQSILLPLTLLATAVLADDYARDTLDLEARSVWDDDALFSRSDDELYARDFEEHKDHPLVRELINVLAARAGNCYNNNQRIAVPVGRCNPANSVGNLAAHQCANRGGKYYYCHDRAGGACMAVKKAIGLEYGECFV*
>EED78614
MALPPTIPAAAPTPSSSPPTQSTSRPTTTSAPPAPPVYPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQHSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAINQLSCSGATLEPSATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFNPTIQLNMQSANGEVDRSLGLIRNVPFRIAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETEDREAGSILSGVANRIF*
>EED78615
MSARSATPASTPSLINRRLASLLAVLEAPPTADAALDVVKEWAQDLSPLVLAYRKALGTIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEDRRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAAALEKRQAGQKCDCCAGYRSAPVDCVWAENATTCDRQDKEDVRRGINHQKTQ*
>EED78616
MPALVAHGNIQRAPETLPIEFVVVGGGVAGFTSAIALSRVGHKVTILEQRDDFQETILAGGCRFAPNTTRIFYRWGLEEELRKISIWARYVQFSRYESGEVVAKGEWVHDFKVESDGEFIQMQYGAFRKLLYETALKYGVTVRANSKVTSVNIKPEAPSVTLESGEEIVADVIIGADGCHSLSRQVMFGEQDYMKRKNIVMYNTVVPVEKMAAVPELVPYLERDKVGMVVSWFGDRYGVMGFPSTEIKRVQQGDVYNLHVYTPETTPMPIPVSEVDSAHLVQAMNGCDPHLRKMAELATQIYAVPIVERPHLDDWLHEDGPFLAIGEAAHPLSSGSIYALSLAAADGMMLGRLFYHLRRKDQIPVFLAALSEMRHKRVQEVWDVQSTNPAAMSMPPGVEHSEGLAAAEAMGDGRALTMTQDAIRAVFAYDPEDEADNWLVQWGLMRERTQSEAPLTFAVAIAHQNEQTEG*
>EED78617
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQRDDHVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPTDWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVHAHFVPGIVPLRFFLH*
>EED78618
MSFFMRPTQPTEDSRNHISRGIRTQQQNNRAQQAHTAADIVSFLPASSFNPLAEPFVPGASRMHTLAATPVPQPSVQQTSAATDASLVIRYDWLPSLRLGLAAVHPESRRVLAQSIVRLKPWARDPVRVAILSAKIAKCVIEGHYPSMTTVAQFSSELHQLLRQEEGGQLAMYFRRCLELYLCRCFAEYCYPLCQQPHEIYSMRAPVRSRDGEVTRSRIESALALAAYVGGLFAQDMISGSLALTCLNALVSQPYVLEHLQAIHALLSHAGQKLCKAGSPDYWDSISSVVRWRATRLLNDASMANASLDRGQVRSFVQDICDITEEWWKLSLSENTFARLADELDQQTATAQGLQDGHNITKGQ*
>EED78619
MRPSAARLLNILVPIKRHWCRTVDYAVKIRVNPDQKGIDLSVKHSMNPFDEIAVEEAVRLRERLKDTVKSIKVVTIGPPKASETLRTALAMGADAGIHVEVPESAPAPEPLGVAKALRAVIQREKEGVDLVIMGKQAIDDDAGQTGQILAGLMDWAQATFASKVEVDVGKKQANVTREIDGGLQELQCRLPLIVTTDLRCRRLLMWCTEPRYASLPNIMKAKKKPIEKLTPADLQVDLTPRLETIKVAEPPKRLGGGKVENVDQLIAKLKEAG
>EED78620
MRLGTQEVLSVPLPLEAAFLSPMKVYVHQVTDFSDTLTFPTYAGCVSLVVFLLQLLYMSLWRRWSARKSAPNDGSTDSADSDTVVSEEGAAEVNVARHDTPRGGPTIFAFNFGKLVACAVLLTLSIVSWVVSLSDDESSAHGLSQTWVLGGLCLTYAYVLVLSSVPLLAEPVWGSIASGHATLVLLCTLAVYLYRDVWPYATFTQKPLDTSEGVLLWAKIASLTVAAVIIPLLTPRIYEPFDAKNPSSQPHPEQTTPILSLMLFSWLDKLVMKAYRMPHLPLDELPPLADTDSAENLVKRAFKELDPLLVKDRHIGWGLLKVFHPLQVR*
>EED78621
MTSPSTAGNSFGISTFCSAVMIVDIILLLLLSVISAAFSALRVFASSGRDWPLTSLTGFLALMQFITNLAIYSKTTYSVAHLPYFGAVCNQSFDMSYSIITKNLTHIAFWNLYCDGYEKRCTLLVLNVLDLALFVPKIYLNVGMNFVIPWVFSHSRWQSADATNIESQNIPDIGPAQRRNLTANSQSGRASNPAHSFSELVVTLYTGWQDKTSSNQAVEAIELTLL*
>EED78622
MPQRRCNDQISNNVELSDSLPVLLLHAKARPFSFCLDTLHGTNNIIVKMIQPMRLSLGRRSATLITGNIGCDKNFKLAGGLEKQKDFVASWRRRAEQPKVDIYPINLKENMAPMNKIHAESCLSMTPKDAIRGSGRWPEFTVSSIPIIF*
>EED78623
MDSKSCSEVIARMKLLVRIRKCCKHLVRCFTHKKRRKADVDEWSPAVAPEVLLPRLPPELCDRIIDFLYNSPRALSACCLTCRSWVPASRFHRFRSIFLTPFRAERFLHILETNPDTAYYVETVVVHFQGRDPTATLLELQEGSAETLRRVFEQLHAVTSLMITRLFITPKMTDLFSVLAASVKSLDLVDILIGDPISLDTMISAFPSLEKIVTADKMVLDVTTSGRSTVVLPSSIRTLRLNFPYGPHAFPMSSWLHSKNLTQHVRKLIVDVPTPLIQRRLDTITKVSFALLFDTFGPALETLELNMPQSLRLSDHDRPARATDIPFTFKHCTSLRHITFHATPDWFCPVHAAANPFPTWAPLFLSRIEVDCVRTIAFALRLPDTPEPRDLHCIEELALPLARPQFRALERVTFRVSGERLEKELLAFVKKEIPELHDRGIVGVEVERSMPPLLVSPLLSSQRGGRLFAGFGP*
>EED78624
MFRADACFQAYTIVGRVVFPSGPHDACCHCVLAGQLRRQQLRLGGSGPNIDIDSVNGAAPEEQITGTVRVVKTNAPAQAPKADVSPLAMQAEVSHSVRAALKAESGHISTSAYCVPDAMFGEHTCDTVPESEASTCSTGSAAKIAQRVQSLAAAARDHRSAQQYKDKDGLTGGTRWSPRGGPFRGSAMAPASSPQSDQLTGGGNEAGYRLLSAARHVVFRLQRRISGTRTGVAFFLEDGAAAPFVGGACSVLAGAAVVSATAVASLQGRERPAEHVELQANAAGTSLAWHARHASLTERREGPVQSPVAAAWQERRTHAVFFFSESVRLERWAMSLSGKAGCALREGACSLWEHVVGKVPLSAATIGPQA*
>EED78625
MTGEGQGQTSKGKRSPGALLGCSQAAIAAIPRSHHTAKSPSRTCALLAGHRRRRARALEERDPSLINLPFPIPSIPILGPLLSPLIGGEPWPAATSTRAEQTTKVSPTTTQAQDTSPAADPSSSPAQDPTPEPQQTSTPAQTNPSPTPTDSGGGSESGGSSGDGQSGGEGGGSEGDGGGQGSGGSSSASGTGASGGSGESESAGDGSGGSTSGSSVSSPSDPTDPANAASASRGSQTLSVAAGSGNTPAVSQSPSGQSTNGSGDADISPFTVAGASAYRTVALAGATSVPAAIANAQGGAVISSSLPTDGSTGENGVGSAATGVSGVAALTGSDRAAAPHQTSRPSSGAGSSGSGSTAGGGTGQSAGQSGSAGTAHDPSSRHHLSQGDIAAIAVLTTFFFLLLLFLFLRKRAISHRHDRRRRWLLGGGEKSCYGRAAASGSDTASRSSSFATPAEWEGFSPTSSNAQASSIHFASTAPAAPISDGETVHVWPSNLSGSIATPLLAPTAASEPLPTVRSPDRVSWGSDGPFVDSSDASSDGSGETDWLTAPSSAALHVRSVALPSPMCVRPFTPSEAWEFPKPPSHAAASDEPDARRSRASTHATMVTPDSLLVSTVESSTYATAPENPFADPASACTGEGEGEGEDDGHTVESADAETASHFATVEVIRRPFVPTMDDEMAAAPGERVRMLRRFDDGWAYAEKVPRGRRGLIPIDCLRMPEEELPAFLAAKRLSSYRGARSPSPLWRGSEQTVGTAF*
>EED78626
MTTLSAFPMGPRSARATARASSTSSARRPSSGLSRSLTACKSSTSRTLCSSPRG*
>EED78627
MHPPSPHRAIHHVGHAGDVSESDVKRRSHACRARAQKAYTELLPAIDAPPLTVLLASDGGRVKKVAKRGGGGGRGHDRGRRKGGRVRAERACACKGGRRGRSAQLLEGVKRSGVAPVIRVRTPDGAHSVIKGHLKSPIHDIDSALLETLAACGDVKHCTVHRTPPARIGQSARPHFLSVATASSAILPQPHRSPTTPGPAARTRAPTPSIPPAPAPASTNCQLHTLALQRRPTYLQHMKRQTHGQEMTTLHPSPALFISFPLPPFPHCEPTHGRSLPRVTAPSPAAYLTQPLAYPPATPAPELRASPPGRSPGLRARRRDAFHHRTGPDVHPCTENTPMAGRARTPMSASASCPAFHMRGRSSAWQPTRGAAREPASLPGSLACAERDSYALCMSHRLPCGGRADEPALTLYDRGRPLPRGPARLRIRARASETAHSYRYRDGGSRDADGQRHLVRRDGVFKRREFADGKTRALCTRDADSTPWQPTREAGAAPGRKYLMPGATFARCKLASSPLSSTIPARRLHQEDTQDILCDRESRKRASKTAQRLSAARVGWRRGREAISHLGRAGVTFGGGAKAAGVLHAVGTGTPSDMVRRCSVSLESRTETRTWCGNIGLDGAARNLTFEAIEADSCSMTDDDGARHTDEQQQNYYISIIHACGNSRRFFRPVQSAIGRNGEGFYRFRVNGSPAPARPDTHNVRRLNLAVAQYSCPLAPGPQHALRLPLPDAVASSAVKGTQGTRYASSRRRRPRHLPPRRVSLDGLAQCHRMVRHPSFLVSASTVCRRTAWHCALFFPSSAHPSDMPPLPFSRRAHVSLLHRHHRRRLSYTTANMRRTWQHGSDLHGCPARGLRCPPWSELEAGRPVDGTLQRSESELRSVVLPGKDSRGDPEDDIVSASCVDGQEAAHGVRGTAGQRDLSRARAEEQSRVITRARPDARKIPHPLWRETASIPPIRRAGRTQTSRAVPAPWHHGRISAGQGGASTAGGTLIHCLRRMAQAAGSLVVAFCGTCNVHTNAGRRQFNVRARSGDVFLRARLSRVTGRPSSRLHEKRYAIHVTEKGARAASSCTREHRIRLRTRWPRPQRGHKSE*
>EED78628
MLFKKLISRIAADSQYLHDISHYATSSTEDSVCSVEPGTAEDVGIILQILGENQTPFAVKDGGHIMNPGYSSTPGVTIAMTRFNTVNYDSDTETVAFGTGLVWDDVYKALDPYNVNVVGGRVSGIGVSGYTLGGGYSWLTNQYGLTLDTIQAFELVLPNGSIVNVTDSNNPDLFYGLKGIVTTFILRTFPQGEVWAGQVTYSGAEVQAVTDATYNFANYVVDPKAAIITTYDYIGGALVSSVIMFYDAPTPPAGIFDEFIAVPSLASTVSTQSFLSFILSSVEEVSLNCRGLYHTAPVERITPGILEEAIALIECQFWYNELQDQSAYIISIDIEPFLPTILDHGGPSAYPYTRVQRFLPLNLYFGWVHPQYDAEFYDAIVASASSLTTVALAEGQTAILFAPHYPNYAVYGTPLSSIYGTALSELTTLKGLYDPMSIMGLAGGWKVPNPM*
>EED78629
MSNGNYGRRYSRSRSRPAFTDDEDDGSDRENDEDNTARLSGGRRASSATPNDNASALQRVKNLAQRNRMVLDKLSSMSRLSSPVPSSQSRSPMTPPSAGSSSSSSRPPSAVPRPQSASNPLPANGRYPEPSHSGSETERESQRFSTYSYPSSEDLSATPPTHPNFGAPPPLRERRISAPASPAKGTRPLRDRDRGPSPGPSRTPKKRRSVAADEIYRTFKQEDDQDVTTAALAAVASSRRSPTGSSGKRNRQPLPREFRERDRRSLDGKSNGESSTPYRHSDRNSPTSPRASRTNLSTVQTSPRRPGSVRYSTVRELTRKHQTRWLSEDLSTPGDGEDEAHETPSRSNGPIGRRQGHRGGSSDAMLLSSGGRSLVSEGLRAAGLTRRHDLSDDPFSGGDNVPISPRRTKSTGNSSVAHDDWEPSPGVSRGVGSSSRVTEGPPNGSHYEPRTPAPSSQRSSHRNGYSAGLSRPGTSMAALHHENGDLPPPRPTPSLRPQKSSYSLAEREKVTSPRTQDGYAQHSSDRAYSSPFAAYRPSPIAQLPPGTSPGPSRDSNAEHRRLMLEALGMFESHLQRLPSMGHTTTNTIPELFQNAQHVVHALDKLNGMLKSGTNRALEAQIEAEVADTGESGDMAELWRSVGSEHRESLRASDDIVRYMTGFLLGVGKVIRETSALHGQQQHLRTMSLDDEAARRTPSDVTPASSTGGPNGRKSRETRRSWDPRELRESASRLSSRERSHVRPGSSLLMKGSATSSSEGRSVAEAVGEQTPQTVRNVSHLGASSSIRRLYITRDQRATPEALAPIKTSFNAQDSPGNYEPSPTPTSRTSHSAISERTRALPPVAVPPSLSTLPSESLLNRSDTSASERSSRRKVSNNSNITVRAEPSAFTSVIKPPNATTALTTHTVSMLGSPAEIDQYPQPSPQAITRSESSNSARSNGVTFSRPSTVSMSTLSGVQQRDERTGRLRALSKSNPPPPDDEPPVRLALAPAMLNSPMSGSETERPESWRRTIATRPRASIDSQRGMDSIEEVRGSGRTATMSGSLGRKERRRTITEIFQR*
>EED78630
MRVPELANLERVVWTLRMRRRYRPDASDVDRTVAARPRGQQRSSRVSWPEIGGAQGEVGVLCFTFQWANPWRNRQESLRRLKELSSLFSGDYSAPAPQGPALAAPRARSAPSICQHLGSMFIANASHLDLVLKVEACLSLCPAAWKRHNAQEAPVPRFHFHFHFVLPFYFFLYDHRCRGRPPLSACSAAVSLPHTACLRAASMCLVRRDAERRRSPWKSAEIKRAESIAMAVGRRASPPADLADTQNRVRMSAFGVMQTYYSQGFLDNMSPSAISWIGSVQLFLDLALAAPGGDLLDKGYFRHTVVAGSVIFVICPHQYYQVFLAQGLGMGIGIGLVYLSTSVIVTQHFKQNKSLAMGVVMSGGSLGGFVFSVALNYLLHSPMTFGWTMRLSAFFCLGLLFLGNLLMFEPHPSKDTIARTDQADASSIIDEKVLEKVRQDSIQTTNLNDQSTRQGSIYDAKYLCFLGMGFLTGLGKWFPTYYVQLFAEQHGVSQQLSFYALAVMNISNMLGRIFPNWLGDRWSPFDVYMVCLLCAGGVEFSMLACSTSYGLILFIIIYGFFLGTSISLYLPAVASLSDEKAHEGKRMGLALVPVGVSSLIGTPISGAILGPDYEWWKGVVFSSHPFDAQA*
>EED78631
MSPIAAAVADGEEVSGPWSRDIRRGPGVRVGGVVGRRFRRLTCPNQSINATPNALSPPRSPPGAVAVATVASPWRGMPLDPSRPYPLFSLNPHHSRPRVAMSRAHKSNMAPPQNMGPPQDVVMGPPPLPPQVAQSTSQKSNSTDVVEKYRRLKRKYFDLEEKYKESILQLRGSGERNVKWRAERRFFLDRITELESNPQLNPGALNLAPPFNAFPRSLLSVSGQKYFVNNLRQATDEVVRGDADIDPYLLSRHVGPDARKRAEAELKERQEEEAREARRTVRRPRGGAKTKDIGAPLTFAPAGTPPVLVSSSGTRLRLKPPAPPSSEGGSLVGGGHPQDRSPSPPSPLQSPHDEYGPPLAHGHVADMAPPPPQSQMQMTLRTSSTGGLAAATRPSDLQRHAKPKRLKAHTVQSKNYSIPTVPRDAKGSPILPLNVGIMTVHRLGEVCTREHFHTERYIFPIGYEVTRRYASTIDMRAEVQYTCTILDGGDGPKFRIIAADQPDKPIVAGTATGAWPLGGRHAAVMPALSDDHEGQGAGGGYYADRGDGERELQIIQEGEDHQAYLPPPPPAPPSNKPIMFQQEYREYVRPAERGEQEPPMRRERRSSRSSNSRYEDYVETDSVVGPPDARRTMSHSPVMHREREREREHEHYSPQQMPPPHVTRSHSHSSMSSPHAHHRSPYQPPARNGEAAAGPVTPRHHYMPASPPPVPATLASIMHAYPAPPGPAGPPDTEYTYTNGSGHRRNGH*
>EED78632
MWTGRLRIFSRPPRSWEGWRGAPLATDLVDIKAATLCPSSASPVTRIDIHLPCGRASPPTQRYYLFHPSEDILSTDTAWHGSIDNTVVPGDPAYFLLEPLGLYPVSIHADDVSPMSAPGLHIVEQETNNTEQIIHDEDLEHMLDLDLENAREEPDDIDHDGTPRDSVSAAITNQPPDLRQSLNVLTPVSQSCEPPPLLVNRGKRGLRCELSSLLLQKAAPSRTQPTRAAKKPARNAKRSSDDVHDGDRGGTTSRTSKKIRLIMPPAPPAQLRQDRNISVQHLVDPAAFECPKCKKPEADFTKRPQFERHVKGCILGKLHSCWVCAEEKKVAFARFDALQRHFEAKRPWTPVPSRKG*
>EED78633
MDAYAATVLLLHSRSPTHRALVVAEIVATICQFLQEDDGESLAALARTCCALRTPALEVLWGRLKNLIPIFRLLPPDAWAFHVDEITSRRVYRLERDLVPEDFTTMAKYTPLVKTIGFNRRGDGWPSYEYDVLPAGPTQIMAQCFPQPILFPALRTLDWPRTFCTATAHHLQNPHDACEDFWACACLCIGPKLKNVVIDVSVHCRHVLEKDWNAQLMNFMTRVGTECPQLAEMDVDVTTEWGSSGILPFATEWLSSLVCRIPRLEVLRCGTVPLDGTALAHLGCSSTLKELCVLLTRDTVDSYTAISLDSGVRARFATLQKLDIRTKDLAVFNDLADGNVMFPQVEAFNIEFISCPSGSDVDAFFNVLHDHFSPSRLQRLTVNTDAWSDSWSSNTVLSRACALRPLHVRRLLAFHELRQVDFNARWSVNLNDGMAEAMSQAWPHVETLRLSPQRGHWEEDEVTSTLRSLHALATNCPRLVHLDIPFQADGANIYLLPPRTKQNHPLKRLGLQWVLIDEHVSEVAALLGEIVPNVWINASCLRDDEQESRWLAVKSERTPALTESTEDELDTPFNDWDW*
>EED78634
MPPLAPGAIAGLVLAILALLSIVSAACIVLHRRRKAINSNMPIFHPSTPDLEKAGTRYSPSLSGFSNKKLRKNRRKAPPVLPADLDHDIFSPACVVLAGGSGAAFAIAAGNGVNPSCSTLNVDISPSLPRVFKVKTEGGTTFGMEKGLTSATLFARACIDKRTSSSRARGDVKLVRWERFLLTTRRSRRRVHRCEQRMVNEFGERNVAFMVSSSQSLRRGESLSVSTRGHAADIASAMSSSISIAKCQATGEDRKAGGVRLSLTEAMGRLCTLVRAQLVCAQHADAATGAVDSDASISGR*
>EED78635
MLDYSGRKDGIFAQENLWDLMYKAPNPRSSHNYFVHVSQVDDVSRTWQNLRKNKKRQMSYVQEQERHVKEVEEFLFKHGRLCRRWKEEKADDRLLELDEIRKERIKASDCDKAKGIGLGIPYCSRQGSEVFRKMRTRVAYEFVHPLMLPVEWTKIKRDVIALMSKQNFMLLELDLKDLLLARLKLLERFVSAYYLESPRTPAKERMPRFADIAYLPQFRRIMEAPSASTGTDPLELAVVMFECSQCSSVLQYPSVLGHECNVAVFGPETEIYFKFVWDVSYSRTLPVEAFDAVDIRIASQIVRACGMDPGTATHAEMETLDLRFCCQMGPEFYAGARMVTRWRHALTLAHEGKIWRLASAEEEAQVKELERSRDEEALGYHDLGEYWCCAYCDDMFYQLARRSVVRAHVCDSHGKPDPRAEAGDVYLHPEGDLQGQIPVILLAEDLNLEPIWDDSQDLFEER*
>EED78636
MFPLSSARSLLAACRPSLSLFSHGSIPLATPQPVFARFRSQLAPKRVKYLRRHKGVVPIPTGGSIKGTTLAFGDWGIRIKENGKRLTAKQLTAAEEVIKRKIKPIKGAKVFMRVFPDIPVCIKASNGNETRMGKGKGAFEFWATRVAPGRVIFEIGGTTVREEIAREALRLACDKLPTINEFITRSTPPRLGNLVLPAEEFAVQKCVPVTAA*
>EED78637
MSPRRNRSTSNRLHSPVVTLQQPARPTSGMMSDATYANNMKVLRRRDPSIVKIADAFSHVCVYHHNGSKWEKQGFEGIRAGVWSLNEHRQSYPPYGIYIMNRMGTDDYVRQIHPEDDMDVMGEYLMWRFYPKWTQMRIAMGLPYPVPPEQRAILDAAVLRQMTPEEVAMSQQAQRKEWRGPSTTIGLWMFQTDAREPLKYVMMRLFSYIKNGKPYPEEFHYGPGRLPPPNPHLRTASRASVTHNAAEAASRPSSVSQQPTSRSASRAQQHNITNSGMAPNATVSEVDKLFAKLLPSVPSTPVAAEVQSKPNGSTSSISVHDLFASMTGPQPVQQLQPPPAPPASRGLALLDSIFASASGSGSTFNASLMAPSTLLSSNPEDIEIVSPKPKSSALPHILSQDVISTLLGLGSDSRASSAAPSSVGSRRSGQRRYEGDNEFSEGEFAAASESEYSASSTVLDADLDPAVLAAGSSSGLPLLAVQHSASMSGSSSRTVEGDVTPRAVARGIGPFSPPLQPHGSTPRAGGQQYLTPTSSTAHVTPIPESQTPNGSGTQSSATNADGTSSAIRPRTLVPFEADSDLWPYPRAPLDDRALEQDDADVVELDFTDTRALSDPALFSSRLKEKQSRVGGKKKTRKERAADREKERREIEDSWDDPVRGQMRTTDAVKQPVASASAPAINGTGKGKQVALKTTNGDGPHSANGLHVHAARDAIVSSMSSQLNQPLTDMPRNDFVRELLTLIHTDKHFVDQLWHDYLSRVG*
>EED78638
MDADIAIITPTPTGQGKRTRWIPADSRMVTLHPMKRIIPNRVTGGFHYADEEAAAGDKMADGASEYAGVTRVQDDTEENPLSDNNQDESDDDDDSDSGACRAQSLEATEPYRTVASIGNSTEATAIFSSQATVRVDSVVRTPGRPQLPRPTARTSCKPESHVDDKAMDTETEINSQADDEEIYDCTTSGDEDEYMDDYTGSDDDDGDEMDQRSEADTEMADDRTEIASQPSQASIIDASPAGQPHGPGELGPRQHKRQPMSARERYHAEDGVIMQIFNPTKELRKILWRSIPGVPEQYMPFPEDHPPPEVAHPPVRATEYRDKNDTVCIDIFETSPEIRNKIREHLMAEIWPQNDDNAEDRDKENLEPPPTHRRRSS*
>EED78639
MSRRMRTIAKPKNDWQESKLYVLPGDLEGHRNIGDHPYRAKKSAPVWPLKLEPWSSAGSGDFKNVGKPRATENARLVTEKGYDRHLEEASIASRSGYEQFKSKVSGRGGKADTAAHSRVVVIRADDGVEYYEHFDWRILLGSECRTVVWEHADQLFLGFQDTATLTPWAAFGFTSARVVAALRIKIALLHDERSENPALTSSQSDVSGGSGRPDSVKCGGCQAQFVGQCSAPPIWTRDAGEGRECRDSAKVTLPVASRDHPSVHLRDGRGRTLAQASTGEHLLANTKHASPHETWPAFLIAFSGVTLPSNRSKEGTPQPVVCLLSFKLEVRSGIPKCMTDTSFRGQPGSYACVADKFDASVPRVCPIQRTCGGRWTAAVKTAKVSVLSFLSIQSAYEANQVLVHGAGRGARGAEMGGSTARQTRGPWTRSAAARDTKRRSDPAWIRVPKAAIPPPHATECAAAGSRTSSCSDKVRPRRRVETLSDVSSTPRSVAIVASSAADTVMGGCLAGLSTRRQPRVPAKGGRAGSSASAVCIKSELRPGIEGAYCYALGKGCKWNLLASYDTSTHQIILLRSRDPGVKVYGERKVSHDLEDCERLTAGGGSVEENSVCTPITGGGSLCRQRIRGFLRAQRDASTGFQEEDDTTQPALGVAGRKRREQNPSGRALDGDRRAAIGRATAKGGTAERSIEANPDELDAKLELLSQLCLTESPRHSRKPSFVDEPHARDNPSKPSYVEEHWLNADYSWVSDAAHDSAVDLSTALADEEDGAHLYDPTFFSDPRTPTSETHGAFASHPRAYGEKDKANTLLGRCPLGSGNVHRAKCGWGKADYPNSAHFGFEKDHLYTHRQMPLPEIPRPSLVRAGVPDAPPPSPRTASAPFFTSRTPPRSPPRSPLQTAFSPSPPRTAATTPSATPPTSPRISSSPPRSPKAHTFPRGPQRASQPDARPCPPDSRPQVPQRHATFPSISSTLENLGERTSPTEKRSGMYVADPSMAADGAPRLRSASASIGRSNGETIRPVLAGGSQSPQRKQRPTLPTLSTSSSSPQLRTFPGLASASTASSSYMSSESPVTLVGSPIVKAPAALEAFPSDSLDDEAAQPSSRWSLDSVASRPAPSSVRTSAEPTGTQPQASAGRKRDRLLSFITRGRSGSLGKAPALPNSPPPPPQDVIDIRCPEPSFEMVTPRPSFSVQSPLQAPSAISVAASSSSSSSAGSSRSSLPTPAEPMPPRLPPKFSDPFASAPDTEPFISRRSVSHRHSGAPSLLPPFQLPPRSEDADYDRPPTPGSPPLEPTLPPPSPGTPSPSFYLPPRQDSSFLSSLGIKRVKRRQKKLVISNVQPTWRDDNPNEPEQERRERVRKQRYENVVLWCKSFGEIRKLECKPDGSVHVYYREWEVADMVCRVHGQVYIKDVGRVNLSWHYLN*
>EED78640
MPEALRESGAEHHRVKPKLGVLAGSPVSHHSCTSFVSSRCHFQIRRASHRALLRATSLHSAPLQSRCAYTRVMPRRRPQPTHNMEPALSVASFPTRADTPPSHVTQSPTTGLVPWTVTYIRRTARPVKPPLPTSSSKSGADRMPFPSFSSVVSRRRLFSTSKPASNQDSDASASSSRSTASTSTSISISQSVSQISEAPSRVAYRSHGLTSRSTFSVRSSPPANQAGKQPADRPSAHLATGDHGPPPRAGRGRSLDLSPYRDMRTEDMGRAHSSTESIMIFIHPTPSHSSLSLPLPPLSPTSSVSVDVAPKTALPPPVAAPAAPKKPKKTKRPSTADPHRARSPRRDSIPSYPYFITPMAFSSISPHFAAMPVVYLPAPASPTSDKANSHQQPQSAAASTARPPTAPPESVAPPDAAAPPAAPSSPKMSFKRMSSKGRLFRRATVQAPEAQDDGLCRVYDLTTLPVVSPPPAVPAEEPKPLEAAGTVTQNTNPNPDSHSAAPPDAPTTRPRNAASASDDRRVEVAVATLRMRKDVREERGLDQVIPKLRMLRGR*
>EED78641
MRVTLTLALALAMFGLAKREARGLGDSFVQERSGFADNAGAMVEEANSWMLQALQKEEHHSNDLIVSETDNAAELPSLRNVSGPASKHQESAPHQGARTVGAPDRCSPEARAANWPEKDVTLHEAFRREWHTME*
>EED78642
MGAAELARARASSIAAVKILQIGRWRKYTTLTFADIPLDVFYESCLLNIPEDDEYIFWRFSARYCKGCRKQLTIDYHQVPHELKRRLPPDATTIWSQPRLFCIENNPSESATACEAWYKSKRASERYEQCSESARGRNANKKGQKTPRGVAPIRKRRGCLRRMLEIDTDIFEERCLAPNLRSSVLWEFGVRYCYDCRASMVTIVPLVWSTDLNTRMLWLGGYELFLNTIPDPRIPQRNLFHIPQVEQICQNWSALALTETLRGAFLETVADIVRVTATHAELCRDWQEYRVRSRAQEIEDIKRARSQLIEMKLRALGWDRMVEQFKAQKKWNKLPHMHTRKALTESGWERICPDIVGELQKASLAERKGVLEKRLMLLKEYMRTYSANMRSPASECRPRFLDFALLPAVRAVIESPSSDIVDTATFQAMHRQIAALIGGLDTAKRVAFQTKATHTFVVPFGANALVLAVALFVCTACQKRLYHPHVIAHQCHQPHLSSVYRLGRENNLYRDVARRVDGAASVWSADGFEIADHRHTAQLLQACGKDPQTTTVEEMDRSDIRICYVVPGGGFSRQIMTWRAARRKWNAYTVLSHHILLPSDDDIYLHPDGRPMTPKCVTLAVDTEPQA*
>EED78643
MSKFVLALCALVMLHGAAALPVADNDLARRVPIGDKGYIVSDDLLDKRTGGEAYITNPDFDKRTGGEAYTTNSELNEKHTGGEAYIVSGDDDLSTFHQTVVLKLEIVILREPLCRSPYDLAGMTSVHSTSINNTVSNWRAACLITHNFCCLPIDWYWRLWYYIIGAGLVGRGSRGSDVHIVTLDGCASASQLFTLYRLLRKGIHCLFQRTAINIVMLFVTRRQMVYARSACHCFATRASEFTHFLNYRRESKHLKARGVTVMAALVLTIRILMIHRAPPWTYEWIYHIVVTKRRIPFDSSNTMSSAYTNSQLHSASRPRTLRGKRGGLKEFLNVPLDIGFENCLKKNAKIVLWKFSVRYCGPCREALTVPGTHSKWLRVSSQPLWEHREDWLCGIKRFYMPVLYHIPELRRVELEYGRIVGDVNALQRFSERQTTQVKLIDEYAKKMEAWEKERDTQRAQVLNEIRSRPDLCCYLWKCHSLMYKAKPLSESGKVFLFSDWQKIYDMVKSEADRRKTVSTSRLPSVPFASSLSDNFDASLNDTTFDISDWLASEYDTCAQGLSSSSVANVVDNDVSSYLQNTAASDFKVLLRVLADTLVSQASNLDTFPDVVRPSLADLALTQHVREMICSGEDEVADIQTMRDCVPSAVAYWIRSVRHRLQDILWSGMGTADLDLAMAFFECTGCMALLSYPDVVAHSCTRSLRSYSIFPAKRSQLDEYEEAVYAVDDTAAWSSDCLRIASSHSRIRHVIEVCGYYPDTTIRQHLDAGDVKLAYNLPEVPEDVRIVMMEHERKLSGLQPLHWKSSQAQPEATAKKGDEDDSTLIGYWEQQFWCCSLCHDPDGYCRDVHAIKEHIQKRYLLSQSWHRVY*
>EED78644
MSKFVLAFCALVVLHGAAALPVANNGLARRVPVGGEVYIISDDLFDKRTGGEAYITNPDFDKRTGGEAYTTNSELNEKRTGGEAYIVSGDDAYLADFARAV*
>EED78645
MSDRKRAFEGSGDSNVSKRLKSDATPNGSSSTPALSAEVLIAQKKAEIAAKIAAMKKGASSAASAAAASTPSPAPPATGTPPSVSDDLARRVAEAKRRVAEAQSKLAVKDNPYMSMPQTGKKNRPPEPAQQGAGLKMAAHPLLLDNTPTAPQSKKDRYKPMQPKFASIKANVRNVPTPPPAPTPVIPIESKANPYASAASAAQDSGFEGAPKERVGRNFRFNPKGKYVQIANQVRQEAQLEQLKQRIAESARKAGLDTEFETLEKNIRREPPPAAEWWDAALLPNKTYEDLELGMSALNIRNANSPITIYVQHPIPIPAPGDKNKLTMKPLKLTKKEQKKMRKQRRQAELQDKRDRIRMGLIPPDAPKVRLANLMKVLTSDAVQDPTRVEARVRREVAMRRHQHEKMNAERKLTDDQRKEKVETKKAEEEKKGIYGAVFKVKTLSDPAHRFKVRKNAEQMSLTGVCIFNPAFSMVYVEGATKFLRQYKRLMLHRIAWTERGGEDVEIDEGEEGEGSTSQSRAKVAVNGDNGEEGAVSLEDNQCWLIWEGQLRDRAFSNFKPKSCPTDGAAKEVLGQKLAGYWDQAKNWKPEEEELF*
>EED78646
MSHDRKTSYKVPPAAVINPLTSQEHRRQKALEEQKRRRAERFDSTRQLDFFADLTLGPSDEEADEDDSAKHEPEIVHEGVSSFASMLPSSGLSETTFSSTAAAQTQSSSETKDISMKEKKRGKKRKGKARQDAQGPKNKNLGRWADKCMYAELLEMIEDAEIIMPIHDGIPEDIETGWVAVTPVPAGKRCLAVTHHTSGIAGIAPNLTLRSRVLGKPLMKPFPSPLPPQTVLDCILDENWRENGILHVLDVLKWKGQDVGECETPFRFWWRDTRLSELTPFPPPPSAADSHAQDAPKSSSESSRYQFPHPNTLLPIPYHTNTTLLHLANALIPMTRAPRCVSVSIPSADQHDAPAMDLDAAPSPLIQLQTVPAEIKSDGLLLYVAQATYEPGTSPLSSWVPLQGYATSADARNPGNERIDNAAESPLVVFERLVKRRLSIGASESSPESDPAQAVEKCTTCMRLDSFRLDTIGTLLKSCCRRKLHAAASLVEMGGVSWQARMPQRDVATWQCYSPEMKYSTRREGRGEFFVLRTFHVR*
>EED78647
MGSPTHNESIMNVASAAATPMTRPKATPENRDDTLMLQLAPPRTAAQHTPQTAPSTSTGSHSTVKVPMRWAHGVRFNGPLDEEDKHFIRQLEKIEASKANRVAFARARSTMDRGAALGTLNAEQAAGWKSAAARQRQFQEETTRRARREKERLTKVFATYNYKWMQLESLAPCKPFSFEFVNFPWPVLEPVVTREDITEERVREFILHPLWMPGQSNAAKLELALEKWTKKIPRIAFEPEETYSIIESQDDVVHILSHMTL*
>EED78648
X
>EED78649
MLDSYLVTGGNGLLGQHVVRQLLERGENAVAVFDIVPAPHPDERVKVYTGDITNGTQFSQAVQDCRATCIIHTAALIQGAPRDAMFRVNVTGTECVIATAKAHGVRKLVFTSSASVVFDGHDQAGVDESAPYPKVPFDEYNGSKAAAERLVLAANEDKEDGLKTVSLRVAGLFGPGDRHAIPGFMGALQAGRTGMQIGDNTNLFDFTYIPNAALAHLLAADRLAPTHPAHARVAGKSFFITNGHPLPFWDFPRMLWREAGHVPARITVLPRWAAMLIAVLMEVWSWLSGRPPVLSRFRVAYVTATRWCDIRAAREALDYEPRWTMQEGVRETIKWWKEAEGSRTKEQ*
>EED78650
MTTNSDSGKSYHRECTGEALETAKAHGSPKDITMFGSCFCPFVQRVWIALEFLGIDYKYYEVDPYSKPADLLEVSAKGLVPALRLETYDPPRAISESTVILEFLEDLAAMTTQRTLLPPGINPYARTLVRLQADHVNRTLVPAFYRYIQAQNEESQIRGGKEFVQAIDGLVKLFQRAQREGDIHCGLWSEGGTPSLADVMVAPWIFRATNVLVHYRGFQLPEGTQFRSYVERLLSFPAFKRTCSTEQLYIDSYERYAFNRPNTSQVANAINSGTALP*
>EED78651
MQMFRLNGFSRATFDWVPPTDIDHASAPRLWREWAQHETAKRALAVSYLHDCCHCIYFNLRPTYDTTDFDMRLPCEDALWTASTADEWLQLLRQPSRYGSIQERLRGPMLRATYDKLAEPESVSATPLVLNPWSHNILIHVALRQFFEEFLEARLPEIGTPRASSPSRRDTQYISDERIAELQLIMHNWLQSWLHSPESPTYNAREPRFMNQALPYYWFSQVAILAYQERLPPFCTSTTFVVSGEAKFRLMKKWERHIRKFLRRGGTEPTVFLDDLIKTRMRKWDSSGLVEDAEEDPDGRMRQAASTMRRVACTRAMGGSGDYYYYSANVRRGVDVTVDERGEHRALKQACPSRMWASVIHLHLTPVGVPASAALAQDVGQGLQVVTARRCGGFSHWQSANGGRALPVICTFCTVAGCARSRARHRGSDDIQGIPLWDMSLTPLAQLVCRLGLRPQLEIPWHAFASKRSFTDYHETLHAIVLKAPESLEWMCLESRRWSLFDSGVLGLSGVREISFFWALRLAQLLSPKLPTFSVPAATNVYVYNRDYALRSGRPHSVLVLASASASREFLHAESIAVTVA*
>EED78652
MAECPICGLLWDTRGFASHKRSCRRKLEEKKRDAEVLRDIEALKLAKQKKKGKGQQGKPNLHQIPSMVLLHYIPHIIFQPMLQVQMQMNLVHHFNMLIYQTTCLVSAEMLH*
>EED78653
MVLEHQLRPGGDGLMRVYCGHLSELIERPRDSGRVWRPIIPHQYSTCKLHPSNNSILRLHSWGVIIVDRSESLNSVGSVHLRLLQIPVHVCMEDNVGHWISSTERAVMEADAIVVRNLACAIKRSEGVWPITFAKASFDALRMPHQ*
>EED78654
MALILSSSPASNNRAPIERQGRSIKITHITKQSGGLNTRSAPAAISHLDLPRGILALSPPVPASLDQSLVQVKHEEISLQTLRQSQSLKRVKKESRSPSLQILLGPPRRQRSPLRLQFLTPTGGQPQPPPPPPGRPPSPPPLIMSLPASPPDKDTLKLLLPLRYDGKTVIECDRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDARTWAMPYFAQLVSVQLGVQGVTTPFANEAAFATAFKARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSCVYRKIELETFTTWEDADKRAMEVEQILDISRARRPELNSFFSARG*
>EED78655
MDAPDVDVPQFLNPLLNYLSSTLPPTLYNIAVELLLKSISLFFSLISLVKTLASSSPSSWDAQAILPPLITLLATYLALVSFYRTTSWMIRTGIWFMKWGAILSALAAGAGWMMGNAHANGENGIGGLFTGGGVVPTVGGMILDMINGQGQNAAGGARSSRSSRTQKQKAPRPKAWESWDRHREWQYSENAQNEDDNAGNGMQKILGDVFGAVREAGWWEAAKGAVDGFSQAMGDNVKEDVSDGKQPQQGAKTQTKMNAGSR*
>EED78656
MADAGRGRGGFGRGRGDRGRGRRGPRRGGRKDEEKEWVPVTKLGRLVKDGKIKSMEEIYLFSLPVKEFQIVDFFLPKLKDEVMKIMPVQKQTRAGQRTRFKAFVAIGDFEGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGAALGEPHTVPSKVSGKVGSVMCRLIPAPRGTGLVAAPASKRLLQLAGVEDCYTQSKGSTATMGNFLKATFAAITKTYAFLTPDLWREIPVSKYPYDEHSAHLQLQSKKAY*
>EED78657
MKQQSDSRRSEPA*
>EED78658
MALGLVIFASIFLFHFQSVFCQTFRPAAVPLAVRSPYFSAWQNTTIGTNVADEWPQFWNDNENNPNPILGWAGIIQVDNVAYKWLGADTVSKTANLSGIQVTPTRTIYTVQAGPMDVIVTFLTPIEIGSDNLFPSPMSQSTLAPTTGSRIIYSSILISVQQPKCLGQVEHKLDKLVALSSN*
>EED78659
MRKDSGRRLKASSPGGTSGAQAPGMVESKIKYPKKPTATTGQEMGRRQTSETTARWGGRLFARSHATANTCTPDWSPGSVGPLNESSLAKRRDYYVLGRSARAYGGNSTGETARPPRGGEAASVRGSMRRPTPSLRVSWSLGTEGPMGETSLAKGETANTTTLQVGRPAWGSGVRIDKPTKSKYKMTIVVASTIPGTQALPGKTVDRESRCVAEPQDPPDSHPIFRLPQELWDEIIGYIGHDQAAFSACSLTCRAWAAAFRPHLFHHLRLTARSLPHIQQILHSNAHLAKYTTRVTIDYEGDPSVLSQLRQHTVLAKVLSTLPNVTQLKLLAMAVTPSLISALSTVSPRIRELRVGCLVATSLEAYAQFIRAFPHLRALSLKGVLSLLTGRWYMSPTPLARVMHQLRLTRNWQKATDRLRGGIFSDRRKALDAILQNTPRSLESLCLASRAWSIWDAPVFKFMTYMDEYWLPLFLSHTKMTSIREVSFHFYSAVEFDRTVRALSSLLSRSEFPQLQTVTFVIGIADTDRVEDHTADLELIREILPHLHAAGSLVLRILGASHAAEVGMYQCASDLEHVCIWR*
>EED78660
MVTSILKRYTPRLWMRKTLSKGPSDSHPILRLPQELWDEIVGYIDHDWATFSACSLTYRAWATAFRPHLFHHLRITARSLSHIQQILHSNAHLAKYTTRVTIDYEGDPSVLLQLRQHTVLAKVLSTLPNVIRLKLLSSAVTPSLISALSGLSSRVRELTLGRLVATSLEAYAQFIRAFPHLRTLSLEGVSSVLWGYWDVYPTLLIRLMLQRRLKRDWQRATDRLRARGDGDHPTALDAIIQNIPPSLEYLCVESRTWSIFHPPVPRRTDHTSVRSLELKFMTRVGVYWSSTFFCYTTMTGIREISFHFYIPVDVSFEGMVRSLSQMLSPLIFPQLQTVTFMIWIAQTDRVEDYTANLELICEILPDLHAAGSLVLRILRKSHAAEVRMYRWVLNLGARRYLVLLHAVSKELNDRIESDMVDVMVQ*
>EED78661
MVLSFPAELWLDIFHGLAKQGEYDTLERCRVVCKGLQPMAQECLLESMTFESTEDVERIKVDTSGEEMRRWGGPATVIIKGSPGGDQPIPHLATDLDADTVSHDLARFPSITQLTLFNVKFPTILTLGQLVCALPRLDDLILGDVRFTRQPFDASTISQFRLLPRTQLKRLYLGESEDVAVPTPSYVELVDVTAAIGNRQCLVAPRGPTQTCPVWSAIRALNLRTYGFPSVAALARLLCALPSLETLELDWSRTSLKHGFDHRSIPAHSSLPSRLEAVELTFGPPPYLDFRLMKHAMRSLHHLSVDADMLRLTLNGKYMSLHADKSAVTISVNSIYLQDKEWVLDALSVCLPKLAKRGILYSLMRLVQEELASGL*
>EED78662
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNASWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVHAHFVPGIVPLRFFLH*
>EED78663
MNDTRPSEGPNIPLVPAAPTHLNPCLGTPALSPPALASLKLSLVQVKQKEIPISLQTLCQSQSLKRVAAEAGLYTEGDKGRLCALVCAQLVHAQHADAAPGADKETLKHLLPLRYNGKTVIECDQFLSQLCMYWIVNTLLTTIKLKGATTPSTNKAAFAIAFKACFGHLDDKAVAQVKLAKLCANKFMHEKRTAAEFSALFKGPADRSGYGDLELHNKYLGGIPSRIYHKIELETFTWEDADKHAMEVKQQLDISRACWPELNNFFSARGRGCGGAHGGAP*
>EED78664
MILPFPAEIWLDIFHGLAKEGEYDTLERCRVVCKGLQLMAEECLLESMMFESTEGVERIKVDTSGEEMRRWGGPATVARLLVCALLRLEDLYLYNVRFTRQPFDPSTISQFRLLLRTQLKGLVLGESEVAAMPTHSFVELVDVIAAIGNRQCPVPPRGPTQACPVWSAIRALEITSYSFPSVAALACYLCALPSLETLKLHWSRTSLKHGFDRKSIPAHSSLPSRLEAVEVIFRDITCSGLLSMARTCPHIQTRVQVSLSWGEDWGLLMDGLPQLDAMLSLPVFGNLVKVAVFMKICGEQNDQWAQGVMKAMRKWNRTACCKLLSAAVGVIGSQFDNLMQAKSCKPICHTCATIWLHPRQNQIPTLNPHNFLQHLAQNIYKQWSSGACHLD*
>EED78665
MKARYCQATRDLVDTDNGWHFSALHVSATQVLDFRIEDMASKMQSMAPELCDLIVALLVGGESRGLKWSTSDEDEEGALLDADEIEYRSQLGDDPPEGSEAEDKALEPVEVADPTVLHIKTDLTKSDRPELGAASRVISGGRALKNAETFNATLEPLADVLGAAIGASRAAVDAGYADNSLQVGQTGKVVAPEMYMAIGISGAIQHLAGMKDSKLIAAINKDPDAPIFQIADVGLVADLYEAVPELVQKLKQTQ*
>EED78666
MDGPTDWYDMDLASRIEPGGAITTLGHALNIQMTYCAVMLSLLSAFHWGFQFAGYGKYKRYSHHFIGATPVVFGCPRSDCRTRPRGGRAGAPGTRRARPVRGRGRMGREGERSVEREGGRLGDASLSGEDLNVSSNNKLPERALSKAGAPKGADVQREGVSADEREEEVAGTVREVDGWQAIGRPGGGQRALDGTDVTRPNRAARKSARWARDVAAGLGTERGVAATGKHQRKVVPRPRMRGPPSVVSTTVSDVVADAETVSDVVADAETASDVVADTETVTDVVADAGLGQNKKKRNRSAAKRLVVATQRRAEAAHLAEAAAQVVDFDGAVDNVPTDPVSDRKGGTSARRRRAQQWVDDESEDEAAGVEGALLARSSLTSLARSSLTPLTRSSLTPLASSPPTSDPPVGSRRVLRSASVRTGVIRAERDSVTGSPVPVLGKRKLVPYVEIRSQRAGTRGARAVNQSGPKDGTSNAEALKRAVLAMAAVKKDALQAKQNDDLPPRQRRQKTTSRQLWSHWGCLKQGQLHFHRRVDENDRVHVSGLGGFNRLADKQKEVITATIVAADQPGPPPPDPKSAAGIARKAKKAKARRESKQKRSDLAKLGECLATVQRRLGKKTFLDMWCGCFKSADM*
>EED78667
METETERSWFRTRSARPALASHLPADFASEPLGPTTPHHAEPCVDAIIFLYPLERVSASHWLLQLDGVWVHGGAFGTLNLYEDHTSVNRHARNPFVLRSRTKTSLATRDDQLWGGVQKAVNGMGSRRAASRVLEAQKRCIEGPPTCGTSSESGFRAAVPRPGFGALKYTADLDADWNQSCIKAPNCSYHLHTNSYFGLSLAASTVIILTSGASALALRPRTGSRNLMSKKRSPDRMRSELPPDPDKGIDAQPNFKDPKAMDVGWNRDLAQLSPAAVNGLLNEGLFAFIRRFCMSPHRNLYAILDSILQWIMGMAASESIKPGFARGTSCCAQSASAWCLALAHAPVSILAQDVVSCVVTLLYRDLGMGAYRLIIKDVPTNTQLALMLLRIAEDSKTLLPPLLLPPTNNTTEEPDEHPDGIETAVRENDHIVFDSHRTTIPKAWMHMAKR*
>EED78668
MPRPSTLAAALALAALATPALSGNTTCAGNALDWYTSAVGETPSDDVFAYVPRARTTEKQARMQRHGAGSGTGTGTGTGSGGTRDSSALGSGLGSELGTGAPTESAGSEAGLLDSREMLLQEEDAGRIAGGIRLPPAYRSEWGDE*
>EED78669
MVATSNETAARGSQRRVWGASGGALGKWSWAVEGLATGEDADGRRWTPDEAEVGGQSARPLSYIPDRLPPAASRPSRPPPAGCATDDGGGDGDCSRWVGAGGETPPHWYITLFCQYMLADPPNRIVHLRALAIKDGAFLASARRADGRDTWLEDFSCAPLLSDVLRAAHNLRRLYIRDLEPLLASQPAVADAIAGLTRLRDAHFHIVGKATLELLARTAWRPTRLVFGVWRDGSARVAGDTAAFTAYAPHLRTLQLWQVACLLESLPPGCVCAGVRALGLGGRIPSLGGVAHAFPTVRTLTFAPECSVGDLPAAGAWDALDSVFTSVPVPPLGCAVRRLELRYVLGAPLRRYTAQAVLARTLELIRPSAPTVLACALAPGIATDVLRTIADAAPRLAHLELVLVADALDGDLGAWVTQHVACFAAVRLQSLSLSAPGPATPELAMRIALAAALAVPSLQWVGVALQPRGAAGFSGGATRGCTHHGPREGGNGESDAERFERPHVWFRVRRMQGPLLERLSSARGEHEHTRLRAAGEVVAE*
>EED78670
MYCDQYRICIEGNNADGTPKHGLLVRACLSLGSGYSSFILTTLLPTESLKYFDISRYLVPKTLACGDVIHAVYGLAGARTPHIALESLLTQQWSPALLSSLLSVSISAPFITNFDAPRLARIRLALHGEDMEGMVLEGGRNAVMPIWRGVWGRNG*
>EED78671
MTSWQQNNAQHRSMDNNTQPFQHLVLLGEVTVEREQDIAWIAEVCDDLAGSMSSEIMACISRMGCLKGAYILRAQPYRFTEDVHHPMAREQLIAFEDGLEYDASILTLCADTSNVSTAPAPGLHMADQNTENNEHDARADGEEDLLNKHDYRVPLMTSSSVLPSAAWRGEELDGATVLPTINGSAGEDYVDVGARVWPSARPSQISDASAARGARATTSIEHDRSPLPLPGPSPASTTPSTTILLGEEQGSNSRSGKGIKRGRDDDSDEENDDMAHVEVTTSVEPTQERKVKKIRIEPTEVPHVTCPGCGGSFREDTFGRHWRDHCGMSPERENRQPLICDVCRRMWQPGNDRLRDFSTDHSLRRHVVRRHTEEDWHAMQRERGKKTRRSHKRSKGASR*
>EED78672
MAIVRAHVVTTPPPLLVLPARSTTRALRPYRTPQRSTPHNKRPLRTPRVRLPVCPLNATASVAAWRSSAREAAPRMHPRRPGHASRAHQSPFVEPGQPEHDHARRDEKAVSIWDTGTGIVGSAPRDVAYVMLVRPRKSSLHLLPLIALSLDPRASSARTTSVPALEGSAGLRAARVRSREICKCKTFPGATAASERVHADAVSAAWAGVEAPRTTSGGMQSEHPGQSRACRGQTRTRVNAGCGTICKLDDAYAGLA*
>EED78673
MAICQFCNHTFKSNSAVRQHQRCYKKRNTWWKALWDERKAQNRNLGSAPIDPGATATYGDSDGAIDVEMSMESPRMNVQTGEKSAGLTYGEAKLPFQAIHDEQVLQGADIFGPFESEEEWDLAKWLIKNVGHTQAEKFLKLPIIRNRVDPSFHNKDAFFSAIDALPGGVDWQCQDICLTGDIPNQDGELPSENLELWFRDPLECIRELISNPTFKDDLHYAPERRFVDPEEGIQVTDEMWTGQWWWDIQHKLPSGATIAPVILSSDKTRLSQFRGDKSAWPVYLTIGNIAKDVRRKVSSHATVLIGYLPVAKLDCFSDKTRPVAKYQLFHHCMKAILESVAKAGHTGEAMTCADSLIRSVWPIVAAYVADYPEQCLVACCMENRCPMCKVPPTRRGTHESHNKRDMQETLTLLVTHAHGADNPQTKAHFKDLGLRRIYPPFWHNLPFADVFQWFTPDLLHQLHKGVFKDHLVKWCTSLVGADELDARFRTMSNISGLRHFSHGISMVSQWTGSEHKEMEKVFLGLVMGAVDQQIICAVRAVIDFIYLASLQSHTSHTLSLLRQALDDFHTHKDAFIELGARTQGHFNIPKIHAMEHYVAMIEKFGSADGFNTESPERLHIDYAKDAYRASNRKDYLLQMVVWLRRQEATDRFTIYLDWCRNRGSSPEVHSAHVTPEHEADDAAEAITAVNMAVQAGSSQLRAAALLVRYNVPTRHPATLRGIPAADIIERQGASQFLPSIQAFLRLRNIAYTPRLFDGFDIYPRLALFLPPIAGAGHGHHKNVVRATPPIPAHERTAAQPARLDFALVRTAEINQRTAGTPLEGLRVAHVRVIFALAHHYPLHTDQPLVYLEILLARWTSGTLFFRRINEAEFAEMRAAREAQIAAGEINEEAARKERKDRGQRWYRTNPETRTKTKRSKGPFRSSEIVENSDVDPSLDAPPPPPGPARPLYDSRPAPLQDTSRFYPTQQTTATHAARPSSGLPAQRDGICGRLAILGTGGGTTDAPTTHIILGIEVGCFGLTCLDGPHKAPEGKQKQTQKQKHSVARSRACRGQTRTRVNAGCGTGTKGAVVLQLSAPGAGRERSVVPSRAHRSPGRLPHGDGDGSRAEEGTLSATHRAAACAGGTAVLLATVVLTPPMRITSLARRGYAAGVLGKRSGVCLDARMPALARTAAVVSPRLFTHSFCASSRSLSQTSTRGSSATRDVAAQRSSGPRIQRHDRAAAPFLARTAQSQRRLPAATRPSLPYAMPGSPLRRSHSSMRRGGQRRELSIDEVSADVDPDTSADANERGMIFQVRTAAYDGHAVSDGTYPRKYADDSTARTFADIRTTRRSYVLLICRVNVAAAVTTTIDCCGYARLGKTGTSTYRVRDTSRGDTARRHTLLVTVRGGEPVTGVNFQLPRTWFAGMRIKYPSQSRTHIGKTGIATYHVCDTSCGDTARLWLRTTAGKHDDSRADGHERGTQDGLDGGETPQLDAFILRPSARTGLLACSRRAVPARHIRVAKPASSERARGEKYRIAQASDPTAVTRRPVRPSRVPVLRRCQGRHDGCTVDGSRAGSPSASVLRGGGS*
>EED78674
MSGTDFEAIARNTGVLNTEWPRMLPRYYVQEVRDVIEHLPEFQGSDWAIAKAALTELYQSNDKRRWVTADKLREFVADSAKTQSFRSRKDLDVYTRQFLAMSGKLKRRNLITDNEINLRFYKGLPREVKLRIRQDLKSTTASSAPAMVDVLMLVRKLYSEDDIDAESDHEDYLDTDDEDDSEASTDEECDDKPAKKRKTLGKAVGKAIGKAVGKAIGKAVGKAVEKV*
>EED78675
MLWLQIASQIGVVIFTFLVQMQMPNNLYLPQIVGQFIDAAAPNLVYIAEEVALSFRSSRSSLPAPPTRTSLPPSPAMASCKNLILWTPTALAVASQPPALITPLAAPSSVPTTSVSTIEYALSDKMNWSKDAGDSARHFCPAYLASIAPACHVRPLHLVAVLIAFTMVWGVCALVKLFKSRRHERRIASQNGLQRYTQSNIVAKLPEIIHGGAPTQSRMSSLDCEGASSAVSCASADWDSFSTTLPPSPSEPTGCDACNSIEAQGVSYWLGHTVLVCLATLLINILARRFAPEDAAAYTLAVPVLLWKDHPAPHSCSRFEREDIADLNIPQPSAIHETPAQSPEASTDGASLQSGSPSSSSSQDTTSLSSFAAALVSQDAPDALDRPQHHIGAHLLPASSPAGPSSPPSASSKSPEPSHLVAPMPETDTSARTMLACERYTKMCAKAVEMIKHKSARLDQLLPALVNERNELEAFVEQEVQRAKELRATCQRHCAQLAEVYAETKAQQDEVSGQLETLKSTRMRLGLERERARKEQEQRARKHADKERAVIGAKRMPAEQERVEVGQMRVQAIPMELKKQPKTLEKESVLRDDERRCDQDVQARHLRDQEAQTDEERICEHVAQTDHAERRDAHMDRGDLRELGMATDRTEGVREQDVQADPADHLRDNEMQTDQELPSEDHGDVLHNVHGEEHLDTEQTDQVSGERGTQADADDCHSQNTQDSQGEEPIEQAGQVECVEHVQCTDQVESIAQVEFVEQVMRSVQANHNESSSCGLDGLPPTLLASLWKDKKPVAQAGQVEFFEQVMRSLHHEQACNESSNCGPDGIPPNLRASLWHDKKPVAQAGQVQDAEQVQCVEQVQSVQQVQCVDQVESVAQVELVEQVMRSVQANYNESSNCGLDGLPPTLLASLWQDKKPVQQAGQFQCAGQVQCVEHVQGIEQAKHIEQVEQAEQVERVEQSEHVVQGAHVGQVQCVEHPVATILHQPLSSTAGAFNLQQIVPFMQHELPQHSGSSSRGFTFDCPPPRQPPPPASSWLDAYVQASRSQAVAQTSAPAPASDCASASDDDDEPASVDAPPAEPQPSSPNPPTVPATEPVRPSPFADPNAALKAFWARLPPPQAQDVPSVQVNDVQPQAAHVNPDAMQQPSGTYQFGFNNPRYEVVVHQSNTQASSDESDRPAAPLPSRAKRLRPTLSLPSRSRRLQDTNIDNGKANRWRNRHSDRNQEMEEVDAAVKRRLRNSLKSVFAHNSLSSSDPARQLHASIQADSS*
>EED78676
MSASTLLAVSLLTVTIDDELTVGISPDEVPSFRPNTPGDNCDDQYALHELSLSRVSPFLLSCQWDVGSNDTNGIDAGNGAYYMYRFSDTDGQYCGPGTNQSRPDSLPTAIQTAVCNTDIRLDDFLYVLFWNTGACSYTREWAQQDQATNANNTFQHCPSTSSSAGISSTTALAAMPSTSSSSASFSNSQGSGTSHEVDVGAITGGAVGGVVALVALGLGAWLVQRRRSSRERGTFEVDEPSDIVTAQDAAWLEQHPVTPFAVQKTTDNETPHTHEKHALLRHTDSASSLGSENGSSSAAPIAATSSSKALYNASTVMSDEHEPAREEDAGRIDGSGLPLPPAYRSEWQSE*
>EED78677
MLPRVHVLTQGLTAAPSPFACTDEVPQMSREPPADHCYDNNSTCCCNSISFALSMLCLKLYVLLSCQWLAKDGASIDASSGAYYQYRWGDDVTYCGDGTNQSLPVTVQTSVCESGMRLDDALYTMFWADGSCTSFRNQWSLDDITHGNGTFTHCGNNSEAPLSTPSSVAPGTRADTIRHASTSTAALSTTTTQAYASSGAPYTVHKVNKGAIVGSIVGAGLALLAFCAVLRMRRQKTNTRTPYDRPSMMKRETVPLSPYSAPLVQLGTAEDSRVPTQPASKARMGLQSNEHVLSHAVAASGSGSQADMDATTMTPLYTEDEDNVADDLHNGERVECALFTLGTLEPVEDAGQVDTEEFPPPPAYRSSWGSS*
>EED78678
MPSDAFAWLRDWIQGTANTSTEEPFLAESHAHLQAPHDGSDALKADEDLEGLFTGFDWDLSPRGSPENATIGINPMDTLLFPQPCSGQVYTSAHKHGKSLQHLLPHAEPSSAVSSGYSPHSALSSAVSPWAAAVNPYQAYHASWFYKYSTTSPSLVALHSVTNDPAPGLQYAGQRRNNHYINYGNGDDDVDTRAPHTPRSRKPNSSTHRDAIRLCVNRRYKPKEPATYSYGCKLCDAWFSRNSDRRRHMRTGCANGQQKEWQCPLCLKMYSRIDSRARHCQSLHDMSYKDAVALTLRRTANMVTGASEGSHASPDED*
>EED78679
MSSDAFADLRAWLQGTTNTSTEEEAFLTASPALHQEPHASDAPEGDEDLEGLFADFNWDLSSHGFPEPSSSKELTPGNAAIGISPVDTVLQPETSSGRGCTPAQRHGQVVEHPLQADYPSAVPFNYAPCGALLPAEGPWEAPVDSLQACYTNWLYQCYIAQPSHVALHSVTSDPIPGLRYAGKVRENTNYIDYANDNVVDTPAPRAPRSRRPRSGTRRDVIRLYVNRRSKPQEPAAYNYKCEHCDAWFSRSSDRRRHIRNGCANGEQKEWECPLCFKVYSRNDARGRHCRDLHARLSRRRIASNTSIWDSTNGE*
>EED78680
MTTADGAFPYCKLQRRQIEDIRDLWQTIGNQDGAIDKLMNMHCIETNVIEGILRFDPPVSFPSLNPRSVPIQRSLILHGFDNAADPVKYSGIVGGAVRNRAAALSILRDTRQVHRHECFLSMLRLLNPTSQVLYVKTLHGSQLSYVTIGATRQRSCVNVMVQSASVKVQFCPFDQVEAELEAFCEKFNFSSDLIGRDDVDPFATAAWVQHTFVSIHPFEDGNGRLSRILSSIPLLRMGLPPLCIQAEIKNIRANRDGDYQELMEFLWAGTKTSLEMVKLIADTQSSSPCIAVLVAVVAGTALANPHSYNNPSLRKSSDLMRGGCVPSFLYLNQNALRKDAGQYELEMDPSDVWHLSGFSPRRLGLGLLGGVRAGRGLRVGHFIVVLVAVITALALEHEPIPFQGWSNAVMHLTKPQTK*
>EED78681
MLDTQRQLRAYKIGQGFSASQRWRSTIATDGAFPYCKLQRSQVENVRNLWQTIGNQDGAIDKLMNMHCIETNVIEGILRFDPPIHKSLVLHGFEDPAVSLKYTDIVGGAVSGDVEALAKADTVTLTVKTICDLHKILMQSSRILYVKTPHGFQLSYTTIGETRQHSSVNVMVQNPFMKIQFCPFDQVEAELGAFCERFNVGSNAMSANFKTVTGDFRESSHQYRCCEGACRQSAFRPNSSTYIMYASTSSGRIETETTAS*
>EED78682
MNIIKIKSREQQVRSTLKTIARKYVVKQYHFDNNKSLSSIAIEANRVLVESLKVKCAFAHIDKDNLTTLCKNPIFADILEDAWFADAGSDGMRYPAYFGSLIPLVTVALLLTVVENAIDEWTSGQWRLVELRSPVYGPRYLKHLHSLHQWAEYSVQRSQAHICMQRELLQTVRLWASLKNKLTPAAQDDDLSDNDFAASERPE*
>EED78683
MTRATLCFCFCVCFCFPSGALCGPSRHVKLSDQRRGLITAAANAPTAALGLISPASSLVTSPTANPPYSLLLRVSFLTLLICYGRRQRQHPVGHFLPAFRLPRTRLYVRRPSQQSSGRGGVGNIRRTSIDPNSPKTPNFNPEDDVTMNRGREPAQSGDKDRVSVFALQWSFFSFDFVPPSRTSSFEFIARDRVFADIPFFVILMRVRIPDRLPTTGSCV*
>EED78684
MSTFLPMFGLMPAPMAYFVDLLVSQAYHGSSIVPTLHSESLGLRGSIKFVVIILKWLLLGLRGSIEFIIEGISNRCMLNYFLLGVTIATSDRRRRWNDVKKEGHNVAVLWTPVLPRAPRDETPRWPQRQHRMFLRINLRMWGTLTTVMDDLSVQIPVWDLIRRFVPGKKPTAEVKAKLAELKLSVNDFTAGEQKMYSELCAFVQSVADICVEGDAPEWKLVARDTVTGADPGKRNRRIDGKKKPDISIFRERDEARVTYQPATKANEGAENMEQAQMSLKAAESWHRASLVIECKSSNSDGHPFSFRPASTCKSSKTPGTSDKECNGAEPNPQAEKDVFLPQTDEAIESRGQLSDYAMHTMRSQPRQFCFIVVVAGCYARILRWDRAGAIVSEAFEFVEDPSIMVTFLYNYMTMTQEERGFDTSVVAAPRHEIDEMIAWKVGMVEDGRLSDYHTERFKEAMETKWPIYKVTIPREDLIPAAELGRKVNKAGAPKDSSQSGSDIPAEDLTLLIGRPLSMSNSPTGRSTIGYVAFDMHGKRLVFMRDSWPLASPLRTTERTVYKDLWQKRVTNIATPISGGIVKNGDKIHRTITQKYRNTVHGKDTRARIHFRLITDEVYEPLDNCKCSYELILVLSDAIKGKANIMVKRTGPKVGQVVGILIDWDLCKYQAQLKIGSNHPAHSGTWQFSSAMLLRYPMKLRQVSDDLESFVHVLHWTILMWYKHSRSESPAALQEVVLETYDKFSDTLGYDTGGDNKFSNMLLGALPFAKLSSEPLKSLTDKLAGICKEHYNASSTKEQRAKLEDIKDKIGKEPPSQDPVAPVEIDIFVRGDDPAEHSGSQEGAESSGEEGDESSDEEDARPLLDTSAKPKLRGHSWILQAFRSVIEHMEKNRYTQITIDRVDKPQFTPVTVSATQQSTRGQKRPSQSSNESNRSSKRQRTTKGVRTTSSSTDPTLQTDSNESLDGCA*
>EED78685
MDSTAHSGNSSNHQDIHPSPPPDETELAKNKTSPLKKTVATRQRYLTTGKIGEEDRPEFRYNLSDDMMPYFAEVEADGFLETHLPGEDFKHNARKEPLRFNTELLKNNEREMAEEFVHVASPALKRGGSKPLVAKITADSPDSTESTGFGQGGKKRPDVVLYPMDPEAVKDYTLSEDDIERLKKGRDKRKTYKNSKDPIEESEYNFSRLARTCWSRVCVPVELKADHQHSAFGFGNDPNFLPGGQKRRGARGQLADYAARILQRQHLLFFFMIAITRNEARLMRWDRAGAIVTQPLDLKDPDQADKLLTFLYRLSRAKPEQRGCDRTVQRATKKEIDLMREAKDSIPEDDYRLKRLNLAMAEGWPVYKVLCREDDVVSVDAWRAASKNTDSASTSVPPPSSPLADIGSLSEYPDPFGPGTSSTARTASKARNRPAVHYRCFLICKHDFSSDSPIGRGTRGYLAYDMKTGKFVYLKDSWRVSTGNSEIKVYQRLHERGVENIATPIYGGDVVDINGTLHRTLAQKHNRKAEYIHCRLVVEEIGESILDYPTSKDLVAVMYGAIIAHQQACEKAKVMHRDISEANMLMIPDPKSPTRGVTKRGILIDWDLCKLYDELMDGAKQSNRSGTWQFMSALLLLRPGFKQHTVADDLESFMHVLNWICLRYHDTLHEDLQAHVSLVFDGSKKGDLENRTGGGEKFLRILKGISSAELSDSTPLQVLVDALVELCQAQYNATDLTPYAKFFRKKAPIPASWFEDGEYPDVLGFESSEEQSENTHEPVAAAVDTMKYPPFSTHEKILRAFEKALLVRADVYPKKKDDKFAQFAKIDRSQYSVHSSFSGSKRYSEELGDGGDEEQPLAKKLRPARTKQQPSHTLGAIIENEATRDQPGMRDPSCMSGTRFIRHREISAASHDELAVSTTMKNLRHSRKPAHYAPSGLRTHVLAKGDRRGVMSKWRFRILLSNHFLDGNGHQTYSHDLATLLNAGYVLLDIAPRSSDHSHEELHRIIPHARPALEVLTRTQHARLDKRRRRILPIPPLKQILDRHLDGQRRRRVAGEADEVLVDALPRQGGRAAAELVQVHFRELDVDADRCEREMAHPVEDDEDRVGVGRARGDGREDKDADPRDIVFVLYAELEVRHVTDQQPPCKPERDVVVCAADTQALQNLLGTVRAPDARGVVYVWQARQAGHNGVVTREAEERAARPVDADSVKEVASKTNSAGQTYTVKVDPVLKGMNAGPEAGSRFEPTEMLSASVGFALSDRCLPSVKLESMQSGLSYEGIEMIHSYQRSFIFTFS*
>EED78686
MSVNRRLGAAFALHQYLSHLFRLRKAESAMCPTCGTNEETVIHYLLHYPTWKRARAPLRRALPAFRTLLRTLLSSPEALPTLFGYIKATGRFAAGTGRPNQNASRAAQAQG*
>EED78687
MSELDLRSGTCPHTFNFGEHKGPIFPPEISDMFIDALGEDYDHATLAACSLTCHAWVHRSRFHIHSSVRIDSSSNFSRLKELYSPERGLANYVRSLSIDACDMQADGLPAPHPWIAGNIALLKDFTKVKRLALDGLNWNDLTDETKTTILTNYPMVDDLWMSTCDFRHPRHLVTLLQAFPNIKSIRMEALATDTVEWELMGDNTGSKLHLQWLDVGDVCTMPSVVTKWASSYGSLSIENTHISWSHENPTGLSCLLECAGASVKTLSLTLDSRITSHLVGSGSVRNHINLSQNTGIHSLKLHLRLESCDIENLSWISDTLKSVTSRHLSHIAIYMAVLRVDHLIHIEWDSIDTALSSKLLEGLTDVTLCILRPRKPHEGDETSAFPGDWMAAKLPKLMGRRTITVRWHQLSCRRLSLGMTRLEDDLFPIYEPLECKF*
>EED78688
MTLNVAWTAWLSSVMEEILAKALEHREQGRPRSRANARLLAYAVEPIFRWRRFHQTRSRIREFISSQSSDFADSVEWCDVEARAESLLILWEHGVDIGAAPPWAHSGV*
>EED78689
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLSYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDEQRRKDEEERCLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTLERPFAMTEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADSSGSKKRRVDEPPRPLLRRPLDGASCLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED78690
MSSTLSFLDQFNTPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTNVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADKAIDHHKRLLRQQDNRVLTELIRLDNLKDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARCMGVVIDNVFLEGIINEAKERKEKERQTKAVPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPNSTQWTWDSSWPNQKHLSGEEWTNIGRNARKEWFDEEEDDGIDWELYGDGFTIISEDSRGPENSAKKLDEFGCLQHQENRKFSEMLSQRFQSVHSASAGILMRQRASVPSAQSAAQYDKAFLLDYTDLLGI*
>EED78691
MADSLSTSQTSGPGSPSDFVPHISTSDDELHPSLPTVPDTGTDRLKQPVTPTRDSRIVDEVQGATPGSAKASAQQTLALKSVQGKQARPDWRKRLNKEMKDILVQMPFSDFLQRFVRGKEPTAEIKAKLAEIKLNKDKFTVQERHMYNDLCEFINTISDICSEGGASGLKLVAKDTGANPDTSIGGDGSKNKPDVSIFPERDETHATCQPAMKAKKSTAKKRAAKKSTAKQGAAKQGAANAKQAQTPFKAATSWHRASLVIECKSSDSDGHPFSFRPASTSKSTKTSGTSAKSTKTSGTSDKSTKAPGTSDKECDSAAPNPQAEKDVFLPQADEAIKSRGQLSDYAMHLMRSQPRQFCFMVVVAGCYARIMRWDRSGAIVSEAFEFVEDPSTMVTFLYNYMTMTQEERGFDMSVVEASDDDKKEMIAWKDRMVQDKELSDYHTERFKEAMETKWPIYKVTIPREDLISAAELEPKADEAGAPKDSSQSGSDIHTEDLTLLIGKPLSMSNSPTGRSTIGYVAFDMRGKRLVFLRDSWPLDSPLRKTERTVYKDLWQNGVKYIARPISGGIVKNGDKIHRTITQKYGDTVQGTDTRARIHFRLITDEVYEPLDNCKCSYELILVLSDAITGKANIMLKRIGLKAGDVVGILIDWDLCKYEVQLKTGSSNPAHSGTWQFSSAMLLRYPMKLRQVSDDLESFVHVLHWMILMWYEHSLSESPAALQEVVLDTYDKFSDTLGYDTGGDKKFNYMRLGELPFADLSSTPLKALTETLAEICEEHYNASSTKQQIAKLEEIKKQRLKKNQSQSPAAPVELEMSARTYDLAKYPKFTKGATAIGKKAARPLLDTSAEPKLQDHDWILGAFTSVLVNMGGNRFTPNDKLDSPQFTPATESATQQSMRGQKRPSQSSGESTRSSKKAKTTHGTRTMSSSMGTIFEEDEHSGGET*
>EED78692
MSQLHVYFLLRQQQAFRRQLDTCGSNARAQSSTRGLSSSGGKSWNKKSPLGARSSVDVNARDPMGRTVLHLAAAAQDPAATEYVRMLLAHPDILVNFPDKESHWTALHRALYHGNIATAVVLLQRTDIDPSVRDCEGYTAFDLYNSTLEGTKPDTDDTTFADLFTWGVNRNATLGLENGDDRLFPEQVVIRPPEDVPENEHIDVRFSPIHVGDAAMSRLHTAVITSEDRGNLRVCGFGNGGRLGPGQHTQYGLVPLPQLSHTIVSIALGQDHTLALTKSGEVLSWGLNRFAQLGYVVEQPANAGGSGRSEEPIQATPRKVAGPLKNKRVLGVAACKTASACWTEAEVYTWGTNNGQLGYDKSAQPVQLLPRVVTKVSQPVISVSITDNALVCLLVTNDVVCLWNDRHSKVNFPAHAFPSEITVYRPPQAVHNTSIRKITNCDNTIAALSTNGEVFTFTVPAPSDASSGTDRNKGRNTIVPQRVWALRKKVTAVRDVALGADGSLIVCTESGHVFVRARVAKAGQGAGTRALKFQQVPYIQRAVRVYANATGSYAALRVEHRPPPIKVAGHTLAQDMAEVQPYMRHRTREAAGPEVNGTGDHGKPPEVPSDPDDQLDEEGEDLAIQRDIKQIELLCGVLETMREQGDSAGLYDGRMPFGADLLVQVGSAACEFPAHRVVLAARSAPLRHLLASGMPVQDTAALLTAELLTAEASASTHAKLGFAGCQPLSVLILLAYLYSDDLPALWDPRVGPAVGRRLVRLGSTPEQVKAELKALARMLQLPLLADVLDAPVKRLPKPSMGGDMRRLFEASQSWGTALARSPDAPDVVLRLADRDVYAHSTILRARSSFFATFFDDEDWTRDRWTPEGTVVVDLKHLRWREMEYVTRFLCCDGDQEMFDVLEQVHSVDEMLDFMFEVLSAANELLIDHLILLCCSVILKYVSVWNACSVLSDASHYNAAALVDAVHDYLAVNAETLLESRMLDDMRGNLVKQLSAFIRRRQLEKYPVSRSNKLVDAAMETHRAWLALQDIPQTIVPNSRVGALGDRDSAKLSPPGPMRSFPLTAHATSAPGSPSIRPEATAKPAANMPADDELFLMDEPDASPVTPIPGKPPAISAVPADSPRKPVVDMKAIMAEAETAAAPRRPAPIPVARVSSGGATPTPRGTPPRDGSKPLKPLIPPTATATPRTVSGSSWRIPSTAKPGSSPPNTAAGGSRPDASASLVAKRAPAVSRPQASTTPPTTPKKASRPPGLGPVITPTKQSAPSKSSPSAIRRVSSGSVWTPPPVQPVVQASSSTSAMSFAAIQELQREQNNPAKDKRSLVQIQEEERARQIEEDFLKWWATAEARLQEEQKA
>EED78693
MSQNTNTPLMPPRGHSMAPSFDPSEVRSLRRYFQDLEALFTRCQITDNAAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHX
>EED78694
MLPLAVANLHLQALKLGYGQYSSVWLARDKGEDRFVSLKILTCEATKALSGTSPLSDELGLLQKIADGDQGHPGFRHNIKYYGSFEFMGPHGKHRCVITEVLGYRLEYVRRLNPGGDRRVQTNTVKRVVKQIVRLPRIRTSNMIISSSGLSLSQKL*
>EED78695
MADSLSTSQTSGPASPSNLVPHISTPGDELHPSPPTVPYTGTDCVEEPTTPFQRPRTVDKLQGSTPGSAKASAQQILAVQGIEGEALNAWRKRLSKEMKDIMVQMPISDLLRRYVRGKEPTAEIKAKLAEITLNKDNFTVQERHMYNDLCVFLQAVSDLCSEGGALEFKLVAKDTGANPDTSIGGNGSMKKPDISILPEQDEASAICQPAKKAKKDTANTEQAQTPFKAATSWHRASLVIECKSSKSKKLPFSFRPASTDKSTKASGSSDKSTKASGTSDKPTKASGSSEKSTKASGTSDKECDGAAPSPQAEKNDFLLQTKGAIESRGQLSDYAMHLMRSQPRQFCFMVVIAGCYARILRWDRAGAIVSEAFEFVEDPSIMVTFLYNYMTMTQEERGFDMSVVEASDDDKEEMIAWKTSMGNKLSSYHTERFKEAMETKWPIYKVTIPREDLIPAAELGRKVNKAGAPKDSSQSGSDIPAEDLTLLIGRPLSMSNSPTGRSTIGYVAFDMHGKRLVFMRDSWPLASPLRTTERTVYKDLWQKRVTNIATPISGGIVKNGDKIHRTITQKYRNTVHGKDTRARIHFRLITDEVYEPLDNCKSHHKAWTKARILHCDISRANIMVKRTGPKVGQVKGILIDWDLCKYRAQLKIGSNHPAHSGTWQFSSAMLLQYPMKLRQVSDDLESFVHVLHWTILMWYKHSRSESPAALQEVVLETYDKFSDTLGYDTGGDKKFNNMLLGALPFAKLSSEPLKRLTKKLAGICKEHYNASSTKEQRAKLEDIKDNIGKEPPSQDPVAPVEIDIFVRGDDPAEHSGSQGGAESSGEEGDESSGEEGDESSGEEGDESSGEEGDESSGEEGDESSGGEDARALLDTSAKPKLRGHSWILKAFRSVIENMEKNRYTQITIDKVDKPQFTPATESATQQSTRSQKRPSQSSGESTRSSKKSKTTHGTRTMSSSMGAIFEED*
>EED78696
MSAQTWTLDVPDRERLIKAAFDAKKNAYCKYSNFPVGAALLTASGEVIRGANVENASYGGTICAERTAIVKAVSEGIRSFVGLAVVTDVADALSPCGICRQVLREFCALNMPVLLVPADYDKRVAEGKAGGGVLETSIGELLPHSFGPEHLELPRQS*
>EED78697
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVSDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEEIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVERNMRKEWFDEEEDDGAD*
>EED78698
MSINRSPRSSHRSRRSTFVDSAAQKVSSSGKGEVLSRVYVGASVTVATRPQEAESPSRETLLKKVVVSSPDDTRDDSSSNATIEDTDARDSMTFDALIEARASAVDTPATIEQHRVTFVKGKVYSTVSEASAAGEVHRPDSQRSDATEVTEAWTLCAEQVWKREELLVKKWKDEISNLLTFTTKAGLFSAALTAFNVQYYVNLQPQPLDPNTLAMLIMIGTLAQMNDGTDAMQPMIAALNASSYTPVPAHVISTNVLWFSALVLSLSAASLAISVSQWLHHHVDRAPSQSRQSVRLWYFRHSMFNEWNVPLIISLLPVLLQTSLALFLVGLVQLLWSLNTIVAGVVTVLVAVLLLLSMLTAVVPAFAPRCPYKSQFALWCFRANRPARRWLTSAVDNLLRADGHSFSSLHHIFFRLIGEAVRERMKVWCITQKRWLHTSNWRDIDDMSVRIQQENTAEALDMLAEADATVMDDALLKSAVRPCLLEVSPVAPVLPVFYRILEHRAQDVDNLTDPPTFTWSTGEQDAAANAILRETCADLLVKYLPEITGEEYRVIPLLRVFTSMIRAAPLDVSRKIMDISLQSVARQCLQKESSVASVLPVLCQVLECRAQGVDISTNPPTLIWSTNEQDVAANTVLREICIDLLAKHTQEIGSGEFMGVALLRNLMSMIKAAPTDVARTIVDISLMESVIRPCLLQAPSAEPVLPTLYQVLEYRAQGVDTSAELPTLTWSTDEQDAAEITFLRDTCIHLFEKYVREIGNGEFKEITLLHHLASLIKSMPPDAAITISCQIMETFRACDSRRTTWEEHQRLWGILPVAAMGHLSLEVFTRITSEVNDTAELHRQQMQIIDITHRLVQNIPPDGLAVFHDLISLLPAAELSRKVLDNFVGIIWHVGWKLRLDVEDTRRLVAFLPHARERLNTKGFLQITASTLRHCARLSPDDSSRLRYDVCGALNVFVQYFSSSRIEKKIRTDAWWEFSQLLSACIELARVDNARRTRDGTLFTQDVVNALEHYASRCPEHPIHTYLLKDLMDGIHRISAYPSNSNATHTVTEATVGTAPASQEDVVETWRKHPRTVDVESNPM*
>EED78699
MLRTDQLRTDQRAQPALIFPCQFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQMGVQGVTTPFRNEAAFTTAFKARFGNLDDAAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRDAEKRATEVEQILDISRARRPDFGQHQCGRRKRKLPRYMLWLREARVPSFRVP*
>EED78700
MFGRACCWNIEEATLPRDNFVISLSTKEVHQAIVEVLTHPTLARGSATHEEITPAWRIAIGSSDPEHLQRSKAAMLELEYVDGRPVFAPASTQYRRIRGVKQGHDVN*
>EED78701
MSARSATPASTPSLVNRRLASLLVVLEAPPTADTALDVVEEWAQDLSPLVLAYRKALGAIRDKETELRVAAAVKQLAERAPESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEDRLRQAAEDEHRTQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDNPSIKTPRTVERPFAITEVDMAAAAIEKRQAGQKVRAVPTGLLFRQSVCPGQNKEDARRGINHQKAQ*
>EED78702
MATFTQADIDQCIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWATFATALEEAFPEHGTRIKAHQILMRLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRAARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFAPTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGKPNQGGSGKDDFRARIKALSADEKRELSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTHSTPSPTTPGDFDRDEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFERSSSSPEPADPIPATSNLVLPTPSSFRVHAQPPIASSSRLSIIPPSDLAPPPPLAPLNTASNSNSTPPAPTIPSTITTSSSSPAPTTTTNMSQNTNAPLMPPRGHSTAPTFDPSE
>EED78703
MARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMSEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSGGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED78704
MCGELVYAVRLSRFQESRLLNIMTDQDHPIMGLYINLFAGCVYIYTKRRSNRYTLWMCIAMFTTCTAEVFVDLVNVLLTPRLISGSDLVSDTLFPCEVSLESTRQSSLYQRIDNVAFILFTTKLWLGDSVLVYRCYMVWTTQRWIAMIPAFLLFVTIVFGYAQVGMSYKYYHAQLLAQASSSAADTARVININLWMYRLNTVATATSVATNIIVTVLVVYRIWHDTRQLAATFGSRATRRYDTAIVMIIESGALYTASLLAELISNNVDGDAAYVANYVSDSLTAMLVVILVVVSK*
>EED78705
MSNPREDPNVPRQGPSSPDELMRTPNRSPQWPQSRRSPPRLAQPQPSYPQVPVNPRPAPPVPPPNALAITLSQIATLLQNQQQGGGRKPVVNKPKDFDGNKDEYEKWKMEMRLFLADHQITDDNRRTNIIVSYIRGPKVDAFIRILYNTNCPGGYWQISSAELWGILDDHYVDASLREKAQQKIEYVCQGNRSADDYIVEFEDLASQAGYNLGDEHVNGAPVSAALTATGEFARRKKLCGAATTATQTLLGASQQHNRLVKLLQQHHKELLGSYQWPGSHTSRGGMERVLCTKEVGSRCRSIRPASNAERRRQKRGHAETRGICQTGRQGLGHNEYVSWLSKRG*
>EED78706
MARRKSSRSSSRLSVAPTTEDVASVNEPATASVKDQRSKSDTPYSAEDNGETREEAAAPSSELEHSQGSSSNAQAASDSSGREVENGQLPPVQMNDPDDGQAFTKSTGTSITNGPAPAQQIEQQTEGNSFIHVATRAKDNDTPPTQPQGECPGTSTLRPDTNGNQDTTTGDHEGRRKDLDDGRLPYTTDEQGRVQPNDFKVMLNADGGRAYAKPAEKEELTGWARFAEEVWTFEDKRMERWKDDINYLLLYAGLFSTALTGFIVPFYGFQAQAVDPTVQALALIAMQLNVIALSVGHTNLTQQLPSPILPPSAAPAGRPLLTGILWTIALILSLVSGAIAIIVSQWLHHHVNRATVLVGALVGALLVTALIPVIAASCPFKSPQAWWWLCFVRLLHTPLLLVMRSMSKFVGWVYWKTTGVLENIAYPVWNGLNSMCGTLDEWHQLSNWTDFDNRSLAMLADADAIVTDVSFLEKVVRPCIQQQKLEDIEDTLSAYYDIMEHRAHHIDRSQSPPWLTWDSSEQDSGTIETLVQISVDVLSQIPETLLLADDTPKAHVKRFDNILRCLLRAIPRAESAVHSRLIRLLPTPELPHVVQDMLVDIIASCGGQYRLDIEDTRRLLTFLPHARERLSTGRFLWIACSALRHSARLPPDDFGRVHSNVRGTLDVVVEYFSSSGIEEVTQAYTWGKFSILLDVCVELSQVDTAQSARNGQLFTREVVNALERCASRFPENGYLGIYIRDGMKTIRSTVGYSAGSIRNEPVAGVTVNPAPAPQDVDEAPREHPEVVGDTPMQ*
>EED78707
MCGTLDEWHQLSTWTDFDNRSVRPSNGREHSTQLAMLADADAIVTDVSFLEKVVRPCIQQQKPEDIEDTLSAYYDIMEHRAHHIDRSQSPPWLTWDSSEQDSGTIETLGQISVDVLSQIPETLLLADDTPKAHVKRIDNILWCLLRASPRAESAVYSRLIRLLPTPELPHVVLDMLVDIIASFGGQYRLDIEDTRRLLTFLSHARERLSTERFLRITNSALQHSARLPLDHFGRVRSDVRGALDVVVEYFSSPGIEGMTQAHACPDVLFKILNDPVAGENVSLTPAPRDVDEAPREHPEVVGDTPMQ*
>EED78708
MRILPLERLSLVVSYPANHPAPYHRGGGERENLGWGRDNALPQVNDNDAGQQSVSDTPYIADNDAGQPSSVSALIANGEQVVYDSVQDEKVVDALDASGERSIAETARGFVRRIQSHDRSSQAASGSIANVQAPGEHRLEDDIPQECGHHDSSSHLLRTTVNKEKGHGAAEGAEGAILGKGGVITKEQDVGDRNKAQVHQQGASGGQSEPTSKDPDVIVKDNADTRDHKDNLRLAEDKPETKTDDDPWSLCAKEVWNYEDNQINKWKENINNLLLFVRFGCAHRCSITAHAVFQAGLFSTILTGFIVAFYLLLGPTTPDTTTQVLIVVSMQLSILTAAIAHSNLTQSQQSVLQAASATIPPTTSTISTGVLWFVALIFSLSAASISIALGEWLHHHTDRASSMSRQSVRIWAMRRRSLEKWHVRIVIDLLPVLLQISLALFLIGLLELLWALSHVVAAIVTALVIILLLPTLVTVFLPYFFADCPYKSRAAWLCFTIFRRAARASPYRLVKQLVSSMKGHSDHLCKVAKLFMPWLKHHCNDFVHTVRRLWSLSWLWTSPMCIAWLLRKLPDRGRAAYQKWKAWDPEALRYWRKWQSDTRTARNWREFENQLARAEVLQEKDKLMVLAEADELIMDDAFLSEVVQPCLQQSSLESALPVLLRILRHRAHKVSEVQQRISGEFWPIYQWFTSEQDSIAIIAMGDLCLDVLTKDLDSLFYNEDHIADHLLQLIRAMPPTESCRAFCRRAAHHIQMAAQQEYVLHESRIDVLDDGADACFLEFVVTAWYKALAPRPYINALDRFYNVLAGRWDSISTMDSKTAAATADLTLDLLLKLPLHPQARNLLDRLLTRDFFSGVTSFSGSASSHYTRLIDNLCTTQSTDKSHDTIALWIFDWCRSFSLDIEYTRKFLAYLLTACDHLSTETFLQIASSALRHSAKHSSADFCQIYDDVKSALDIVARYFSSSDIDKVVRKITTEMACYTLDSLLDACGDLAQKDADLFDRGIVMALARCVRRVPKRYSWRFYISVRMSSMYRLTGITAKSYVGLATILNEVLKLYRIQQDLDEEWQTRDAQVASHAVNEQAETGSDGAREDDSDTSSIGVAD*
>EED78709
MQHCDGIGCMYHFQVLNLAGIRFHAGALEERRALQEFSDVRKTQTQQIQKVSIRVTSAQHEKEKKAISNNGEEDEANELSEAEVGGRVLVADEQLALHTSSDRRDTGKVPTDGKLDEELVRKVRQDTLEAKREQEDPWAKCAKEVWELEKSLVEKWKDDINYLLLFQRDYGTLLLSRLRISGLFSTVLTGFIVPFYVTLAATQALISMSGHLSVVAADAGHATIANWLISISADSQSFSGPSSTTIVVATLWFTALILSLGAASIAITVSQWLHHHINGASKASRQSVRVWYFRRRGVARWKVELAIAVLPVLLQIALILFLVGLVILLWTLNSVVAKTVTALVVTLLLPTIFTVVIPALSPDCPYKSAPAWWFFKAWRCVLWCLEKLLISLYDGYHEPVIEILVRLVWNVCCRAETWRIYRDLPPLSDWRELDNFCMQTLKDDSKTKLQMLVEADSRVMDETFLSTVVRPCLQEADIKEALPAFYKILHHRAHDHDQDKEHSPRWWHSEQDHQAVSMLGHMSLDMLDKVASSNIGSEDRKEIGHILKLVDSLLDAMPRTMPAVHSRLMDMWAATNLSNKEHVHIALPLVWYYSQFNADHIDTNTVHKMIPLLPIAGRELSMTQFLRYASIAFAHAADLPPSDFAQIREVIQGALSAVAGYFSSSDMELLAQEISKARAWTYVDDVFRACVRLAEYDASLFTKDIVDALAGCAARCPLIDPCPPTTNGAQDTQPSTGIPDPDIGNGGEA*
>EED78710
MARRRESKASSVRVNVQPDPEDKADGNTTAGDHHTHAQASVEAALEDEATRGNLPRPTESVGLQSEVGGGEGLQGGGGVIDEGDVRANTNQQVEQQDASRERPGPAENDPEVTVKTTADARGPQGMLRPTEYKSGREKDEEDPWSLCAEEVWKYEENQINKWKENINNLLLFAGLFSTILTGFIVAFYLLLGPTTPDTTTQVLIVVSMQLSVLTAAIAHSNLTQSQQSVLQAASAIIRPTTSTVSTGVLWFIALIFSLSAASISIAVGQWLHHHTDRASSMTRQSVRIWAYRRRSLENWHVRVIIDLLPILLQISLALFLVGLLELLWALNHVVAGIVTVLVIILLLPTLITVFLPYFFADCPYKSRAAWLCFVILRRVTRFGQSGQAKRPWATALILRIAGLPWELSDRGRAVLQNWKASSSAVILRIALLPWELFDRARTAYQKWKTWNPEALRRWRKWQSDTHTARNWREFENQLARAEVLKEEDKLMMLAEADELIMDDAFLSKVVRPCLQQSSLESALPVVHRILRHRAHKVGEARNRWDNSIWSTCQWFTSEQDSVAIISMGDLCLDVFRKYTNSWRYTDDHSCDHLLQLIRAMPPTDSSRAFCRRATHFIQWAVSCPFSLHESRIEVLDNGADEFVVTAGFKALPGRVQNNDLDPFYNILARRLDIAFASTGNRSARMSFKT*
>EED78711
MARPAFSFFGIALVLPFVRLVLAANDWSTACLDGQCSYDLSGNVTGTLYITGNTSAISDLTAAAGWNILDCNSTSGAQDIRAVCTNRTAGCNSLFEGGAIGTIVRLSEDCGTMPFARVANIWDHENQTSSVPTRRSRFFRLLDTSSVKGITLDTNFSAIDPQQNGNVTFEFQGASIPGAEDSFQDSRRSFDIFSKFTKNVTEQVPPITIDKEETLFNQPVDCDTDVTIDGVSVTSCSLQVGMDVNANISAFYGVSVSGSVVPPKLDDLTLFTVATPSVELFQVGIPGLDIPGILSIGPTFQVDAQGIVNVAADLNTAVGFTYNLNNTELFFPPAYGQSSTGDVSSGNAFLQLSTAPYTPANASLTAELVPSIAFGIDVLDGTAKATVSLDLNTFATLELSGEGNVTASTASGLAVGPFSGCFNVLAGVNITADADASLLDIFDKDDSITLFSKTFDLFSTCFK*
>EED78712
MLCTDQLCTDQSARFGNLDDEAAAQVELAKLCVDKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFATWEDADKRATEVEQILDISRARRPELNNFFSARGRGRGGARGDAPQSHGASASINAAIGKGNFPGTCFGCGKQGYRHFECPNFRFRLRRSSTSSTVRDAYSKLGTVVPMPRA*
>EED78713
MAESCHLIDAGVGSTSGLVPTTVSAPLSRRGDADMPTRRQPHKDHPAPSTLGTKSARNWRARTPCEPHSTVQMLKRVQHRQLECGDGVGRTTPFSDLEALAIGDLRQILVEGLLEHYIAVVNARLREELLRCIWRLQVSLLVDGDAVLCETHRMFQDMDRVIFWKPGGFFNVPIVYERIRRYSFEPIATTSVNEETFEGEFDVLIVVDAKLRWRYIMKWWEQHVRGSPPGPTLKENATAREKEYLDNILDVFLREER*
>EED78714
MPNEKGLSSSRSEMASASQSPAEGLAILATNSMLENHGRETELPCESMKDRDRELKACVERSAASRMQMRKWETSTSHYGAWGFTHAPVKDEISTSTYTSSNRHYIGRSGVNMDIQTYGRRRPTSVDVAHKEPDWQYPAPVASTQGMCTQGSYHFKTE*
>EED78715
MPSTRPGAHIGAGKTPSMALLEMFWLVAQVSGEVQIGWQEGETEGRVEWREIAFGINVLDDKADATAILCLNTSRAPGQSVSTTDQRDRITTLEKMINLFKDWTAQHRHSFPNTMLGQAKILVLFQLSPQCALILFPSVAHVNNDEVHMDAFLLREWRGVGVCKHTCAAAQRGADDVLELNTGRGYSAQTT*
>EED78716
MARRAAHSAYPHLDEAENFNLADMSGSSDDEQGDDAEESAQANSIVDQDPIAVPGFGATFEDLLETLAAMQVQYVELRTRAQELVLENATLKTSVKPRKQRPVDRERDEHEALVMPLARKYSVTTSPWMSRAAFVYKTRPQVDPHSAERYASPSVEEMASAAELFDLLPDELHPFIRHSDFSKMVMRHVNNGRSTMLNSLRSVSGQIFEMDQKCFEKGYDREADTKMQNLIRVDGKYARLPPLLFPKGTEMQMPKSVLFRSRRLALMVRVMLNGKHSLYSDDKAGPPACGLLWNIKSVEIGHISFVTMVARHLFSPDLKLSEVGHKTKIPYLADFHHYKMLLMSLKPEKLEKTIRFYNAIVFHGKTSPVNHGSNDATDDDDANDVAAFNESTDNEDNTALFPAKQEEHEEEGQKWSLTFGADTMVRAQSGTEMQMPKSVLFRSRRLALMVRVMLNGKHSLYSDDKAGPPACGLLWNIKSVEIGHISFVAMVARHLFSPDLKLSEVGHKTKIPYLADFHHYKMLLMSLKPEKLEKTIRFYNAIVFHGKTSPVNHGSNDATDNDDANDVAAFNESTDDEDNTALFPAKQEEHEEEGQKWSLTFGAGGDCCWDRD*
>EED78717
MCSMVHDKKIIGVCGETELANAKQSLITMMQVYKYFISHHMAKAFESLFGSVSCLPGCFTLYRLRTPDTHKPLFISNQIIDDYSENRVDTLHMKNLLHLGEDRYLTTIVLKQCSFEMHTHTQSHPMTGRSCYLSVGVGSTPLHNLGELVFLDQLCGFCCFSMRFVVMIDLVSTLIQPVTVGYVRILSSLIAYLIYRAVALKEAIPTISIIMIAAVYGLQALVFVLRAKWDMIGWMVFYILAIPLFSLILPLYSFWKMDDFSWGSTRVVLGESGKKIVVHVRRLVFFS*
>EED78718
MARSDPRIIAPRTWSQNTRRLSNNLIKMGSPHALAINCASETTASKSSSHMPRLHSDRRSASTCISSRSRALLSQETPAILWSGLRQSCPFESLSWHIRQFLGDQLVARKFAPSAAVRDVALKQPYPDLAWIQVFFDFETDTGIGSGVFRLVPTSDGTWKAFTVYTNLEDLKGFPEKTGLNREFLPNHGKWKDQRAQERAYADRDPAVIIIGGGQSGLDIAARLKLLGVDALIVEQQDRIGNQWRYRYEALCLHDPVWYDHLPYMPYESAFSIPFAGRTDSRSSQLPSVVASVHASPEGREHSMTLSAALADWLEYYAEAMELNVWTSTTATRVEQTKDGKWVVTVSKAGGPERTFHVDHVILALGFGGGVPRMPTYPNQEEFQGQILHSTQHKTGRDHIGKKVVIVGAATSAHDIAADYADHGVDVTLYQRSPTYIMTTKEGMPRLFKDTYWEGAGPTDVADRVHTSMPILMVKELHKRLTAEIAEADKEILEGLKKVGFKYYFGHDGSGFLYLAQTHVGTSKKIINGQIKLKGDSPLAGFTKTGLKFEDGSELQADVVLFATGFAGAAQAIRKLVGDETAGKLGPVWDLDEQGEQRGAWRWLGMPNLWFMMGNLSMCRFHSKHLALQIKAKQEGVYGTRYAP*
>EED78719
MDYLQDVFSITELSKVSLVKPKDGPPPQPHLSFLHLPHELHVTILNLLDVVDLLACKLVCKAINQMLTQDPYLLYRTEAVRTRYTPDPGSDTLSIPEHLRRMQDLRTAWNTFSFSARPAGYRAPKGCRPVRWSFKADMLIVAYRSRELDAPEACFDFLYMFHLSRSNSDRPVITQKTLTGNAHNFMINFVIAPANDSVIVLGPRQYGVVKLTTGEGSYYPSCFKPTMSFWQLEMYGTLLVGHKPYSTMDKETEVYVIPFANDFQMLRHKLGRSDVGAAVISREHLVQPIFGPGPWSQLRLKVSRFQWSSADTLDVNLSATFCLPLTRQNSSGPFKCRPFSSPEQRPTYVPGPYQKAAPHPAPAPMLAFEIVLKDLEKDKDKDKIYTVFAYTAPLLAPRRQDHTVPWNEWGRRSTFWFHGRFEKASMHGYHVLYKQGTKTVLLDFTPSEVACRRGAIPASCIVEGTTVQTPGVEITTTAAFVRIESDGLDYTEYILGENIIALKNPQDLRDEDDAFTVLFPAVKKNVQSSISYTSSERARKLGRASSRGPEAPIVVYNNPARCIILSLSKGDRHLSSQIKCFGKTVRSSGYGIYFSVYISRLTSNTRTLTAKTIVAYTYVSSEIQREADTQCDSYHHRKGRLGHVDIVSKAVRFVTAVQIATEKRPTKITVHTLGTAGCGEKINTLRLR*
>EED78720
MPMKWQNNPRSTSHPHSVFEVVATLRVHAVSGGNWLWVFPVWVLGMVPIGTNIWFLTQETWLVIPPLGCEGIGPVSVIINDASEPFLPMYPVVLADAPTPDVVVIITRASVVVSDILVVVATWYHISHTTSVRTQLLCNMWTARPNLTTVMLRDDREYLLLNDKINFIYSIISLLNVVYLIVNTVAVTSSLSILDITNVIMALSSMLISHFLVRIREAAERSTQALGSSRSLSFVDSQGGSVPHPWMSSVEFAADIANRSAEDSHADAFPDLDENDDNLDSECGEEA*
>EED78721
MSSTLPFLDQFNAPSTEGGKRTSIYTPKHTHVGDNTLLMLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYNEAVKAADEAIDHHKRLLCQQDDRVLTELIRLDNLKVAHCFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPQSTTIPADWQPNPGWTPKGSCRRCGSSRHWVWDCPDVRYAGCGKGAPGHLERECGTRPMKRHISAPPEKPARRVGVVVDNMFVEGIINEAKERKERERRTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPIVFRKVDPDWTPDTTQWTWDSSWPRQKHLSGEEWKNLGRNARNEWFDKEEDDGVDWELYGDGSSDRGKPKAGGVQLVLPKRWVAIITRVSVIVSDILVVAATWYYISRTSSIVTQLVHNMWTARPSLTTVMFRDGTLYFLMISLLNIVDLIISWISIRSVHPDYHLLDITPLITVMSSILISRFLICIREAAERSIQPFSSQSLSFVDSQDNSVPYRWLFSIESAADIVNPSAGDGNADPLSNLEVNLDTRDEDNAVEGSNDGIELYEYAASVHPVDVHTS*
>EED78722
MQSPINEQPLELPEVQYVPIEIPDIELPPAPPASTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRCFIQCVLSYFVTTNNTRLSDEAKIAFTVALMRKDLGKTWADTYYEKSAGGVQVYSTWANFVAALEEVFPEHRMKTVLSLGNYVTRFEQLASKAQLKDTKVNGTNRIENDYHTLHTNFVKGLPKELYFALATRVARDPPNTMKAWYNEVRNTDAVKQGALVVTDTRDYGEPMDIDAAAIASTFASTSGGRKWELGAVLNEANRKLHRDGNLCFYCHIKGHSAKDCHKKAAARQGGGRPNQGGSGKDDFRARIKALSADEKQELYEELTMEDF*
>EED78723
MCGARETPKSRAFSALGGDQDFVSYSIREFHYGRFSASNGNIFIMASAGKGRRVRERRYFSLRVFSSSCPGISAHQTIAMADGRMDFGGRQKVADVLPNMSIAPRTAYGNPAEDASVEHDRCRGEQITLISG*
>EED78724
MRKDDRQRLDSKRQAGDVQLKLISLRNPRDILGRFSSVEFEAREGHRLASKQPQVGKGANPSAKEHDCGEIHSTQTERDDRSERRQVQGNLWAWTAGTPFEIVDVHELDLIRVRWGANFVEKAPMWAMDIRSKKRRIYRTPEITPLPGTSDLMEFEIAGWQLACPQAPSEDIETRLSEWGEIGGMAPSDASRT*
>EED78725
MTADSASCQSPSPYVDLMLYDAWSYASRIFIFEKEQRQLGVRIMTKPYARVTLHDAFTQFGTTSRWTAARPIAPSVRNARPPGQAWHYNEVAECAFSLSRTSVRLQAFEMGAKNSLKVKIPAHNTAYPAVKNAHRGTVLGERKTITHCTARCAVTKLESVASNNCASKIIHRHSSSMNLQALRPALCPFRTLCHCDRMIDIQIAIGSGSPVRHISRLDDYGTSSALCGQRVENRVYSRE*
>EED78726
MDCHSSTWMYGQLAIITRASVVISDILVVVATWYYISRTSSVGTELVRDMWTARPNLTTVMFRDGTLYFLIISLLNIGDLIVTIITVSSSFYTLDITSLIMAMSNILISRFLICIREAAERSTNAFGSQSLSFVDSQDSASPQPWISSVEFASDIANPSAEDGHADAFPDLDNNDDNLDSGAEEEAQIAEDENGIEMDKYASGGQQSPLV*
>EED78727
MPSKWYSSSILPYLHGQLALGIAGLAHRYDVREHQPSQYTEYNKVFAANAGVFQWELTQETWLFVPQHGCTGSASVSQATTHALVIITRGSVVISDILVVAATWYYISHTSSIREQLVCGVWAARPNLTTVMFRDGTLYFMLSSILVSHFLICIREAAERSIVDSQNDSGTHRWLSSIEFAADIVNPSAGDSDVDAFFDLEDDVDSRSEDSTVNGINDEIELHEYATANCSVDAFMS*
>EED78728
MSGSLSSKQSFDAEVESIGYDYLQIIHPTRCAPYDYAYSAIFFTNSAISPVVAALRVHALSRGNWYWVLPVCLLGMVPVGTNIWLLTQETWSFIPQLGCTGIVSMPEALYVAVVITTRASVIVSDILVVAATWYYICRTSAVREHLARGVWAAKPSITTVMFRNGTLYFIIISLLNVIDLVVNLISISSYSYTVDITDLITAMTSILVSHFLICIREAAERSIQTFTSQSLSFIDSQGNSVPLPWLSSIEFAADIANSSARDSNGNAFSDLEDDLDLRGEDDARDADNDAIELEPLTSTGFGDQVHAISRAVLFIHVLFFHVPQFRYEMSN*
>EED78729
MSATPPEQAVEEVLEYNFQADCINYACVALLIDHVALNLPHDVQHLWGRRSIATLLSAINWLAITGTIITFMLLQQNTTQVGSIYLIIHPTRCVSIGYVYVLVFLVNSAISPLVAALRVHAVTGRNWRWVLPVWLLGMVPVGTNIWLTTQETWLVIPQIGCMSSTSMFKAYYNMYTSVDVTPDVVAIITRVSVVVSDILVVAATWYYIIRTSSVRTQLVRDMWSAKPNLMTVMLRDERKYVFADDDIHLMTRIISLLNIGDLVVSIITISISSCYMLDITNVITAMSSILISRFLICIREAAERSTQAFGSQSLSFVDSQGSAPPQPWLSSVEFASDIANHSAEDGLADAFPDLDDNGDNLDSGAEEEAQIAEEEIEMDE*
>EED78730
MSGTPAGQAVEEILEHRQMKYCRDIFNAATITTLSALLIYHVALNLPHDVRRLRGRRSIATLLSAINWLAITGTIITLMPLPQSTTQMMDIITRASVVISDILVVAATWYYTSRTSSVKAQLVRDMWTTRPNLTTVMFRDGTLYFLIISLLNISDLIVTIITVSSSFSTLDITSLIPAMSSILISRFLICIREAAERSTRAFGSQSLSFIDSQDSASPQPWLSSVEFASDIANHSAEDGLADAFPDLDDNGDNLDSGAGEDARIPEDENGIEMDEYAAGGQQSPL*
>EED78731
MSMTYYVLNVNSIIVGTTVRTARGAFVHGRSDARKGQSRAVHTSRTVIIYYDRGLRPPATRAPELASSFLTTRVGVFQHTPSSEASEGSRALPASRHSALPQSQHPSTCGNLHQLLGSASTSRLQVRCSRRAVRRFGGSAVLSASSSGALDERFGGALGERFGFGGSTMLSASNSAVRQFGGSTFNGGVRSGPRQGSAIVRGRSRWLRPHPGGWFSSDGGGNCLRRKSDQQSVDSDGMRAGSGNAASGGLQAAPRALLVIIYYDRGLRPPATRAPELASSFLTTRVGVFQQ*
>EED78732
MADEGPLAPNQFTFAALFRGLSWRRATSGGPEAQAAMCARNASDVKLLWRQMLKIAEKNPQFEFDSHLITPAISALASGSPSDQLFAFDIVRDYLGLTKPGETPQPPRVQLSTHLLGEVLYLCNSSQKYRLGVHFFRQVVDHPDNRAIITHRHVETVIKLFAGMSMMGSDEASAQALETLEWLLQEEASARNAGDLRPTITTFSLVLNVCWRNGDWASATRTFELMTGYNPDDFADGSRVKEPEMQKRSPGRNIKLDTPAMAHIAHTALASQELANMRQCLRIIDHIGAERLLHRPKALVDQSRSSQFMNDLEYYLAKLAKDLMEIVDEVVAKEPEMCSEEERERWKKIKSQAKECRRYARPTRTPHTEESPLGSQRGLDATASAVDQEFSVRRTLRPSRLCMVHAYLVRRFHVTVKYPSNLQSSTLGTHATDIGRSCISDTGRSPEMGLENAGDGGTNASSETAIDTGWYAPPGESPLIRPTVDMVFIGIGATRPGALRARVLPRALRRKKYTAPATTSRISTSALNAMSASTAGIKLLTRSRDVMTSLESATVVEPEPEVVVLAALGLVGIDQGEEFDVWVAHLARRVVVAKVIDGVNGTQDVVADQPVTIRMFCDHTFCKARSTYNSPPPTETDWGINVPTQVDESLTKASDNDGIPKLQGDVDFGITGHNPLP*
>EED78733
MYTGFCGTTHDILNLCPEDRRLVAKYTGRYSDNKGGDPRGQMRPDVILYPANREAQEAYSLTEGELTTAENVMQEQRTFLARPSWAWASLVIEFKTESGGHPFTFSASEIIFLTADDDRLTRGQIINYAAAVLHNQPRQFCFMIVVSGCRAPLLRWDRSGAIVSESFDFVHEDKETMFRFLYKYGSMARHERGYDPTVTAATKQEVQDMKAKITKNRDGLHPVDPVRGTDSHDSGRGIIFAKPHKSSGSPIGRGMQGYVAYNVDEKRLVYLKDSWRFADVIPEHKTYIKLWQNEVPYIAKPISGGDDFAGADAQARPRVQYTLTQDYLRKRGNAKSKSKARIHYHIVFDEVCRPLDTYEHSLELIRVMYHALTAHKAAWQKAEVLHRDLSVSNVMTKDIIPSDGRRRVQGVLNDWDLSKLKKESEKGPSQVHRSKKHEVSDDLESFIHVTNWLTLKWHWHALTGEPTLLKDYVHRTYDAYNTDQGYNDEIQRMKSQRILRSQPNQPSESKRKTTVCIKLEDDLPHFPVTLQRRDASPPEGSTITEAVRPPLDDHDSLIQIFQARPECRYRMLRQSGDRPICVAHRGILNSHNEAKILCSTDGEPRPKRSRQSSLNDVKRPARLHTEGPLSILSQKSGSYSLITSRREFPKP*
>EED78734
MYALALSLAAAALLPVVLADPNAAVDPPRLYHRLFDPAASGAPWAERGTLAHSGPGSAPSASAHLVPADTLEADLAHFAQTLRSPDAAGALYQLALARPGAADPAHWHVSAVKASTSEDIRVHLAADGTPYALDYFVAPVPRDGACPKRRRKDSKGETAPPLRHVSNTTVSLVHPRHPPLPQLRVPPPLALDGKPVEPVPEKTFLQKYWIYMAVAVFALRSVRVSFRVPLGFWARDVWLCGYVPRFLPRWESTDRYDSRSLGSTRLPRSLERPADVSMAPAAASRVATGCCNWFFCVEQPGWSRAPTFRREWARASSLASCHVVSLSQKQDTVGGSFVCGSQASLGAPTFRRERARASNSAACPVVSLLQKRDTGSVESDLADISLRPHPHPAHPVLVLVLVLVLVLDADLDHAVIDRKCCRAAPPELDGASSRLVPPRLRSKAFFGASAHLGSELRAAAFSRGLRQAVSKSKLGTRAWRGVQADMCVGGTRWLVLRTCTQFPYADVATGHRIATRRKLAG*
>EED78735
MVKDKSEKKDKKKVKEVTEAVTEVPEDVEMEDTDAVKSEKKAKKEKEEIVVPLEDLSPIAHPLAQKKLVKKLHKTIKKASKQRQVKRGVKEVVKGIRKGEKGLLVLAGDITPIDIISHLPVLSEEAGIPYVFVTSKEELGHASATKRPTSCVMVCPDAKKKAKRKEGEKDDKEDDYRELYEECCREVEKLDQKLLL*
>EED78736
MYFRIFELERGPSVRQRFAAYYLNAADGPRRLGRVHVSVRQHPEAALTHSVQLVVGNRGTFARRAACRKMFSSDVGIASFPELPLIHLANRFDVRSASSGVSQLNTAAVIAPLSAEDVYTSRKADGLSLTPWTVEATAAMDGTIRTSPGTCPVLGELPLVQCRRLCYMIWAVECYIREFLNWPSTTIIMRSILKALSVEKDCKVAVLLIVSEEQLHWAIVVMTEDVEKGKDLPCFQVYDRIYDDAGGKWWYLFSQNVLLGETHRCLGGAYVGTVKECQVGSLKEMGYFQQPRWRVS*
>EED78737
MSGIQWKARPTLEAGGPILRTLLEGSPISAKLAVEDVGIVAAAGRCSYAMVPSEVSPVGANEMLDPPRPADIRRSRHGKRPRRLAHTDVDAARGNRRCTSSAEAPWKHARERMTTVGHVLIHGGRGNGRMIGTRRQSSFNSCIAYVAFASKTMVPTPTARRRIESTWSPQGIEIQGSGYRNEATAWAGAPDARGTPTGMRRRLVCGCTYRPSRCDGRLRGPAGPDAGIG*
>EED78738
MYNAASLYSQPSTTQQATDEVIADSEPEREGRRQRDKQLKKRGKKTKQSKEEVIELTDSDVGSAAPATKGIRARIIIEVTAIDNRGAEIPDDDDNASETTFPSLGGLLQEQLPTRRDPTPGAAVNHDINISGSEDENIGSKLKQFAYILPPRLSRNPSLALSSRSGSIASTSRPKHPYKKSTPQTAYPFSAEFSDADMTRLRTCVGCECAWTTRKTVAQKLKHIRTCAKKRALTNETITILIRKELDKAPPTTIREEPQIPETLLEDVMQDTGAKRKGKRKQVASTVQTLAETRGGILGRAREMLGNSQPRLSATSNDSIASSRRAHPAMPPPTQAFGESSLMRRQISDGEPVQQIAFASTQVFAPSKLATSQTTTLNNSSPRALGSEAAKMPPPTQAFASSSIGTTTRFMEMTSPPNPSSGTLASSANAATQMPQLVNTDSPAVDSRLSDPSHDPLRSTTSNTLYCNDVGIAGGSAYDVYYDYENQARWSFDDAVMHFDPHLPAAGRYEVSKSATPGPSTQLEAREDLIGWLGVHEADQDGTVSNNEIQSLKTVGQKKAQSKKKRVGETGSAEPEVVSPAEITETEFDDMGPRLASACLALRDGEGSWLAA*
>EED78739
MEMEGEVSDYLTKQISIERNVVTFRSLSRHFNIHVNLAKKMDVDVDMGLEEPDDDSELVPLTKITLVGEQDLKNQNHLSRREITVLSYTLGPRVQLVSVAFGYWLMSHTRPRHQDAGLICSSLGKAYEAEAKMSAESSALLGRIIGPHVVCPQRGKPMPLAASTSKVPAAGIQEQTVMTQKSSKPIEVEKDTKKSKEEKPALKPRASVTSGTLDWSKAKEKQADGGHKVQKVAPAQADTKAKKEMPAVRNVKSHLVPQNELSRSAPTEDTGTAKPETKRGVKRKSTSPDMSDSEGEQSARKPVLTDGKPTKTSIVPPRLVKGGPKGARRRLLSESGDEERPLPASTSARGKVKAKKGVVLSDDEEDESEPVVRPPKGRVPAKSAARSRSPQTDKSLRAMMDIDDSEVIKASRTSPESQQEIEEPEPKADDPEIVDDSETERVKAKPRKRKEKKIVPVGQNGLKKKRIMKTRMRTDEKGYMVTEDYSEYESVDEEVPEEDEKKPRGKKTSTAKTKQVIEPKPKLKPSTSTSGTSRGNLRNFFGNTAASKDGSATKTKKSQR*
>EED78740
MAGKTLLAKTLAKVLDVPFSVSDATSFTQMWETTSICASNGCMGIVYIDEIDKVARKTGSGGMEGTRDVGGEGYTALFGYSGIEIRFTSAALDEICRKAAERGGGARGLRGIMASV*
>EED78741
MIFSPDLPQHSLPTPPHSPHALEPAHSAVVLLDSLTVFYQQERYWIHTRAAXEVAIAKGSDARAIAYTVGSPAESSMTTSAASSPGAVTSPASSTSSMGDEAGPMLTAVPIIKADPDAPPPARKHSTRWNRRKNIMQLKLPGISPHAPRRRRPHRAPPSEPGARLLEMFSELVDARMESCQRVSRLVRDAKAGRQDLCLAIALRCAAIGGEEGKKGTATIVAVRRSSAGETSRERMRGGRMMRENFGHSAYFNVWENYPLTKFGGDRQDGVRTRIYSPQALAQYQRLYGIAGQAVGVIGEGRTGRGQPDYEQEETAAPMSARYAPLPNNLTDPTADHELEAAFDDSDSDDDDDVAHAPSRRPARNGYHPLAHDDPIPAQDHASTHAHIHTHTYTPARVPGTYDFESTDFDYIRPPPGSPPSPSDRARPNEHGNSNGLVPAPPTDADPRPSPRAGWVRRTAAAVLPTHYVQRLGLEQRAPHGYVGGGTHNDGVFANVVAKPARPVRIQEELLAKLHPIAAPGAEIANGGCWRNSKGSGLVQTLSKISERKYDGSMPSGDETYLVPEDVQKDTPPSYAAAQADAAPPYWETTVHAPSSASSAGEIIIDALPTGSVFSFLWNMLVSISFQFVGFLLTYLLHTTHAAKLGSRAGLGITLIQYGFAMRRNEENAFQGETTTQDVWSKPAFATPTEADEYYKNLNATGTLPTPTANDEPGTFIIGTSEWISFLLMTVGWFILLTSLLGFWRVKRWERGILAAQREDPSAGRPSGPANGALIHSLERVFGLQGLADGSLIRTGLGLSRNVDRDGDAEALLREMAGPAEPERPPSPRGEYILPLDPDDPERNARVAQALAVQARLNQDLRSAGLI*
>EED78742
MAEAIQQLVLDTLDKSGIIQDTRTLVLPGQADAAADHESQIVILGALNSLASRDMIAYTTQETTSHVLTHEGAQIALEGSHEARVWAALPPKGQGAAITPKQLEAVVGSETAKIGQGRAFKNKWIAKEGDGLVKVAESVQDATQLEMREVDSTGTLKAGEKALAELRKRKLIVQSGSWKTSTYKKYNFEAEGLPPNGGAFHPLLKVREEIRNIFLEMGFAEMPTSSFVESGFWCFDALFVPQQHPARELLDPSTSLPPPASYYSRVSQVHEHGGYGSMGYRAPWSDAETRKLLLRTHTTASSASMLYKLAAKCRGEDTDDSVNEQAAHPSASAKEGDDGFRPAKLFSIDRVFRNETMDATHLAEFHQVEGVVADRGLTLADLIGFMRVFFKKMGIENLRFKPAYNPYTEPSLEIFAFHPQLGKWVEVGNSGMFRPEMLEPMGFPKDVHAYNDSVRGAIDPSLSAAHVACLRRYGISNIRTLVGHKVSIEGVETSPAVRF*
>EED78743
MNSFLALTYRDTPQISISEYAHDFPEVLESIWLDVNDSTASTLMPELRKRASTRKGQKGKVVPTQRSLLDLFSIKAAAGNSPPSTAASESQRSEGDTKSTEASDIELVEISDASPVTTDVEMLSSEGILDDSDTLSGQDDLVEGFSREKPIIIDSSPVKPLKASDESPKAFYSIFAPRRKPATRISPIRRSFHNKFVDAPLPDCHTQHVRGPQTLFRPSATELVKRRPDAQHHPTASPMFLSTDPCASSAVHVSGSPNAIAISTPTSEAMLVAPPSLDTRMPCTSDSAAGPSSRNAGPQGSPLLETSFDDIPLSHRAYPAIRRVVELSLAREKDHLNESALSHELWTDKWRPRRADETLGNEDRALYLRAWLLALKLRVETLPSEGPTQNLGSQPEPKKRTKPRKEARGVKRPQVLRQVHKRRKRQRLDSEEPDDAWLVDDGVESDCVWGEAESEDEVAFCQRTLSRLQRAPGEAADEAPCEPIESSTEPEAGAVPDFSCSAPRFGDQIHNTILLHGPSGCGKTAAVYACAEELGWDVFEVYPGIGDRSGTALNKLIGDVGKNHLVKQTQRQQKRLFFGGHEGDAIAGADGMQEAHRPVHRRLRRVDSEQEVNVTDVSGDHAAAPDSAIDSPSQPSVSQSIILVEEVDVLYEGDSNFWPALINIIKDCRRPVVLTCNGECQQISNVDPFLAVSFRDYMNEWMTQSSTNYNFGSWAILKAVKGIAQITYRSPRRTRFLSCLVTKPLRWDPQKPCGAWINETMMVEPRTADTELGYTPLPCGAATAASALPVNESFYRQDVIIAREALARCQNQLGCKCFLPTDLQGCAPSARERAAQTIAGLEELQIPVRALTDRTAVFMDYGPWVRYMVGIDDANMAALQESSAAKEGTRRTRNSQKSYEIVRHIPMSEAGRWTLARVLVQQSRSDRARFSGRYRVALRREQCLLCCTGASLGIRTGGSAFSRRMQSNGRPIGSEIAGSIAWKPNKRGCGRAPRSHSLHTLQNEIRIMRIVVCGLKLRGRTRKQSEAGAGMGESAGDKGDGGQGTVSYNLPKTGRLRWPRGRDGSHASVFSSLTTAVVRISTSRVDPLPAPPPRPMHNTGSPRAPPRDPPSAPSNSSASPTVPARHSPVAPLAPLEFLQNQRRGSITDPSLHAGPAAHSHMTTSAALGSGPSSFRRLNSPPLPAFSVAHDHPPRGPLAPPHPASSFRFGDASALSSESKAHSRRPQRSSSADGKRPALADETRRESEGGPERSPSGSREDGAVAGASGRTHGDRMDVDSREHKPEPREQGGSRHLAAREAGQAGYSARRHSIAAGPRASGLLHDRHLPSPVQGIKRKMSPEAGVQSPGMEDMDGPGSGMETEGPAPKRRGSAIDTQKIAQLSLYDRRNSVDARGGGRERWGGERRDTTHASAGASSSASASTPMAASTSGYSTPPSGVAGELPHGRPPGGIATFAWPANPQHPDQASPMQNEPNVNMAGPPHSYDPLSTMPPVSFAHDRRMSAPAISPENLPSPPSTGPSRVLRSRSRPPSRVRAADQSPFSNPAGPSSAPAGDDAAAQQALKESGSTPYSRSPELRVSHKLAERKRRKEMKELFDELRDQLPADRGMKASKWEILSKAIDFIMNLKQSHQEMGREIEMLRHELDSIRHGMPPPPFPPGPPQSVVYAHGPPVGVQPYPHPGPPGAPPPLHQGPPPPHQAPPHHQPPTPHQPLPSGQPPHSRPGSSQNVYPPGPGPMGQPPNANNGASGVQIAKTDPSS*
>EED78744
MAFDDAVLPPPPDAVIDKLRDLVTPHPNDDSTAIHIRAGALFARLKALNRAANAATRAHKQATADARHEMDQTYLGLQNLLYEKRHLEREIEKCRQFASIYQDIPLYAVEEFVMLAPEEARTEGVLADEHQLMLNRLSFELAERQRLDQRQKELLRQKEEMLKEGKAKLATMDSVQSQVDALIRMYKRKSQISCNP*
>EED78745
MQHNRSATFHSTRPHLGVHHIERMNGYMRITAQPGEVVFDINLGKLMDGLPQLDAILSAPTFDGLTDVDVDVRILDGPDAERDEELAHELRLCLPRLDARVNLAIGFNGILYAARRARCSPFSRRRLSRIGLDWDEDAGKLRCHRIERVSAQDAVVANEETSAEDDRCTNNATTGRILHEAAAYVDAQRPSSSNPMDARVPSQPVCDDEPVPPHATAGLGMSVDISAPDNHDDESSAYPGLSTFLDLLQEVDFSATRRSRILTIYGARTRAPKTLAATTALRLFPRPLSRTRERWWHGVSILWTVFRLPKVDANLKAESEKATPMPFRSSTTQDSGQGGFGILVGSRRWMYVTRCQISHVTMSEDVCPYQEGLLIKRKRNLRLRRPHLPAHREDLHTMVKPFPNEIWLSIFKGLAKEGEYDALERCRVVCREFQPMARECLGTCITFKNAEEVEQIKVDASGGGLRRWGGPQGVIITGGNSEDVCRPIPHLATFTSRLAGRWPGVEWLKITNAVWRTQDLDLDAVLRDLAVFSITYLDLREVTLP*
>EED78746
MRVLCVAEKPSVAKNITQILSGGQFTTRQTGCKYIKNYDFNYPQTNSLFTVTAVTGHVMDHEFHYMYSKWTSCDPFALLSEEGSHSNRPKPQKRSQARQTLMIWTDCDREGENIGAEIAGICTEAHRNISIRRARFSAIIPQQIHNAAQHPVQLDTAQANAVEARILLDLRIGSAFTRLQTLNLQQRFEVLKDVISYGPCQFPTLGFVVSRYNQVKSFVPETFWYIYLSLSRPSSTDRDEDETIFTWRRGHLFDLHFAMVLYESVLAVPRARIVKVTSKDTKKWKPYPLTTVDLQKVGSRLLRISPKKILDIAEHLYQQGFLSYPRTETDRFDPQFDFMTLLQKQTVDPAWGPFAHMQGKKDDKAHPPIHPTAYAGNLAGDEKRVYEYITRRFLACCSKDALGWQTTVDVEYGGEEFYATGLTVRERNYLEVFPYDKWSDKELPHFTQGEQFVPTVCELREGQTTRPALLTESDLVSMMDKNGIGTDATIAQHIQTIIDRNYVIERMQGSTKYLVPSTLGIGLVEGYDAMGFERSLSRPQLRRETERRMVEICEGTRTKADMLTESVEQYKEIYMRTKQEFERLVNCVGQRLQGNGRIDEIDDDDIGGGGGGGGGGDGGAAGGRGGGRGAGGARGGRGRRGGRGGAGGTRPDNDSSNDDSDDIGAGRGARRGRAGPRRSLYHPMGSRRRPRIRRVNGHNREFVQRSN*
>EED78747
MLASAFIAFALAASALAIPTLFEARQNNPCAGFASGSTDTPGYNFTLHAVPMGASANDTGELLVFGYGPEGGSSPAAGLLALTTGASWGQNQWPFSSMQNGSVYPQPGPQEQGLYAYSNGANAGQEVIFVDTTAQEDIFTLTSVGAGSFCAALVDDVVALAVNGDTNSFSLCNATSNWVEGQVNVVYSPVANNTDYIYDTCSPVRVELISYYG*
>EED78748
MRLGFVSYLIILLFALAAVAETPEAPKELQIESTYTPADCSNKAQNGDVLQVHYTGRLFSNGNKFDSSLDRGKPLPVTLGRGQVIKGWEEGLKGMCLNEKRTLTIPSDMAYGSRGFGSVIPANSALVFDVELMSLDAKGPREEL*
>EED78749
MPTSLEFQLRPGVGPAAVDALPGMAVPSQLDKSSCLTTANGQAASPSSQLTSTVSTLTSEITASIPPALAMSSAIVWMSTEAVTIDVCNYHSGNSGDCLYHVWGKCRGLTVPLKRNMKKAVGYRSRKAQTPASHSSSARRPPQHAIAAVINSFFTAIYNTGIGYGRRCIPMWSVVLSSSASSTKISSLAIESQI*
>EED78750
MVLRWTKRDPAAIRKAAEHKSNVKNEESQNTIPIAQPRPRMSRTNFATILDHRWSIDLATQTITVATDDVHMHDDDCDYIELAAELSAVTLDGAIMTPHCDTPDSVGPLTPAPWTSPWGAQCASPESNKHSVTYSAESSTPGSDRPDTPMEWTTLWDASCVSPESGKESVAHIGSPHDFYVSDTILNSVWVSTARAGLGFDMDIMTIDASNTWPTTGAHGIWE*
>EED78751
MSSRASSENTLQRKAAPGQNPRPRTPENSRPTLAELQTTPLNPKISTRRSLSAEPSKGAGLDPHRIELGDEMAEYWAKMAVKTFLDEHVPGGDPDEQTKSLFHKFDGEMLDKLECDMAPELIKGVQSVFKCNADNTLVAKDTADWPDNTDAIDRVVSAKMRPDVCIYSTDDDHAAPYTLTAEELAGRKYSEERKQNLARTRWGSMVVPIELKSDISRAPFHFGSSRTEETEKKIKARGQIADYATRIMQRQHRLFLFMIVICKREARFLRWDRSGAIVTDAFDFVKNPEPLHTFFYRLSKMTREQHGYDPTVVPADADEIALMESYKDKLPQDDYLRKCLNDAMERGWPIQKVMMRQEDVVSVESWRAAANKADSTGSTPPDTSQASSACGESSPEPSSDAGVSDPGPHVSKDACLASASPPRCFLVGKPCATSDSPTGRGTNGYVAYDLATGRLVFLKGAWRAKTANSEIKVYEDLWKAGVRCIATPICGGDVVGSDGKVQQTVTQKYLTDIPVRIHCRLVVEEIGRPLEDYEGGEDLVGALLCALIAHQDAWEKARVLHRDISAKNILLHIDSKTASDGSNTIAFLNDWDLCRYEKELGSATQYGRSGTWQFMSALLLKFPGLTPHRVSDDLESFVHVLNWFCLRFHRHDHPTAALQQRVLSLYDVSEKDKTSKESIGGATKLNLMNSGVPAASLDEDGPLKTLLEELAVLCKRHYSGIDTKALQAKFSGRPSKKTLRVASKRLVIPGVVQPTHDGVACALPSGQDVPQRQESEVFSSHTLVVIAFADALHEDAWADDKTDDQFANFKIGTTKGQSTLSTGTSSHSGSKRKPEETEDIAETSSAKKFRNGPSMSSITEDAAED*
>EED78752
MHFLLAGLGNSPYPLTRHSVGHLIVDSLATRLGISLRADRSTRGLLATKADVDIGSQIVTLTLYKPKALMNISGKPVADAMRFLSVSPSETIVIHDSLSHKPMSMSPKFGGSANGHNGVRSIVSALGNNMDFHRLRAGIGRDESDAARYVLGPLSPAEREFWGPNGAGIELVWRGLTKIVTGSR*
>EED78753
MCPPDVITLRTSRIREAADAPCLPSTTTLSLPTCRAIRRRLAQEVNTLCAYIVSGNHSERNRDDDGDRDDDGDRDDDGDRDDDGDRDDDGDRDERNARNDDAVVPATPARASRPRARGVDPRCTKICQAIGHAPITAFEFRDIVCRMPSGTQATVEDAALSLLCRGYEALNNDNPAAAARWTAMLTPLAAPAASTRDLRMQSTRTTRLRSIEARLHERHRVLEELADTRAGTDLLYLVIKHVEALRFALDWNAHQGSGSTTFKRDFKHAAFADQLHIAPRLVGLTDSQQKALFKGELKPELDAWIRKVGSLITARNRLLEMYNRFGAAVLLDPVWNVNTLAAHRSNLFSHVFRAVAERLAVVDGTVGFEFLVESHEHASRVLFLVVATMGSKDDAQYVASFLEDNPPANIPLMLGLFALLMHKISMDIKQRALQLLQDGWEMDNIVEALGVCSRSIKQWPMRSSQTAECCSSMDGKATAPIVRVNYSTLIGLGCLGLVQECLAELGVCQAPKTSPTITFFAPTYERPT*
>EED78754
MASVRAARSRLLTRMVVWWWLSGNHSSMSTASSAPNAAIK*
>EED78755
MALVTISGYPSSGKTRRAEQIRNHLETRLQDSAYIGPKLKVAVLSDDSLNIDRGAYNDGRAEKLARGALFTALQRQIGADTILIVDSLNYIKGFRYQMYCAARESRLRVCTVYVLATPELCREWNTVREDGRAYLPETLDSLLLRFEEPSSMVRWDSPLITVPWTDEDVPADDIWKAVTEGNVKPPNAGTQAVPKAPTDALRALESTTAAIVSAFMAEQSISMGSGGSVTLPLSGTLRPRITIPPRNVTLAELQRLKRQFVTVHKKAITLGTIEKGAVDWSEESIADKFAVYIEGNLKP*
>EED78756
MATPKIIGGTGDGHNPEQLFGMGYASCFLGSLQLMASRMDKKHVAEDAKVHTNVFLGHPDDPTLEGFGLRVEITVEGCEDDDVITAAH
>EED78757
MAMVHPSRMALVPQDPKVSFTAPKNNRGRSPSPLDYRRRSPSRERDRYREGDSKKDRDLGRDRHRDDERDRHSRSSRRDREHRESDERRERDKPRRASPEYNEYRRPSPPRERDGPAPAQAPWRHQDNMYPRGRDNGTNGAYGGGADFMERYVSQPVSLIHHRRLQRESMTVNVWPPSPKEPARELFVFSSCLMLAGGSYIIYRSPHTESKRHKKSHKRRHDSDDSDTDSEEERRRRRKERKKARREKEKDRDRPRDRERRRPRSRSRRYSDGEDSEEEREKRTRTSRSKSKGLDRHRSRDIHRSNDDEWVEKPTTPHKPSTSTMPPPSSTFATQLQAGDEDDSSDDDEVGPKPVTSTSKSSRKVDERQYGGALLRGEGSAMAAFLRDGTDVRIPRRGEIGLASDEIASYESVGYVMSGSRHRRMNAVRMRKENQVISAEEKRGILKLQQEERERREAILREEFQQLVTEKLKSQGAK*
>EED78758
MHIPIQLIFTALLALVATLKAPSPLDGPGVVALIDGVVTSLVDVREHADHFVRRMAGNTAPGRFQLLDAAPQASLAECARPLIVEDDVVFTNMSIIVDDGVCPAVWNTVVTFDIVVPAASVSSGAVVEVKALSVRGPTSISALTCSPMDAPMTHAYMESMYITNLAWFTALVTVYVVAITLLVKHWITSMHRTRLNVEAGPSAPPFKPASPSSPEASPPYSISLQPLVMMDFLSYVHDNTRHTLDHILSPVPASYDDDQVGCSVTFEEIVAKVSHTPSVTDRADACGEGLRPSSRQRLYVSPKTDTTYPPIDQSEAVSTMISTFIATLPSPFLTGSSAPVPTIVVVLDAPLASAIRSPETEPNDEAKLETLDTSLVMTHGNIWGDKGLRLWLPPAHTLETLPSAPPAITSPDVGASANANEVPASPVISMPDISGVGASANVDNLVVLPTPEDAARQLAWFALCFLLARMQFMRLCLLATHGSAPHLEHNTDGTQFMLFFVDGQLYAGFIPDHARWPDGSVMFPHANDDDVSREAARDSLFEAIGHGTARRIAEPPPNDEHPEEPPANDERQYEPRRRRRRRRRHGSVNDADLPGAVSVQFDDEITSRPPNALTSHQEPSQTSSPLPSSASASASSPSTSRRRPVIALRGLSAIRDILNPAVRPRLGQAKNKSPSMCTPTPPPPPRPTGITNIIVHNASPNIGDLPLIPSTSSSLPITLSPRRPRQVAMSVDHLLGNIPVRIVSGKRGKKRLISAPFGFDNALDVLATGQDIFPPPIAERLPSEPSSVSTPPLDAALVPLPPSPPLS*
>EED78759
MATLTETITLRHRRTPGARRFSTNQAGTYVARSLCQSLPHSLEALDLSGSTISPALAEVCSHLPELTLDSVRSHMSEVSPLEGMRAHLSDMPDAVRSRLPELDLWDMRARLDDMCSRISDLGLTRPLHYIQSLSDNLQSLRAHLSSLELPQSLNLAALSPNASSAFNDLYDKVVSSELYAEISSDIREGEKAALEITRAVKQSVNGSRLILYVDLPQQWRNNPFVKHGYRFIPLQEWPRLILSLFALHNETLNIHTHLIPFFAWLLTIFPSSAGQSDMPLLTFTAFALLCLFTSALWHTMAGCAHPTGMELCARIDYVGIGWLISASIGTVVHYGYQCNPMACKFFLSLCFIMGLSGSILPFTDWFNRYEYRRYRIAFFVALALTSIAPLAHLAKLHSAMQMFSFMRPIVPSLVSYVAGLVFYATHFPECYLSSRWAHSHLLDRFGGGSHAIWHVFIVIAISQHKAAMSELKRGIGEACW*
>EED78760
MIWMTPSASAEMQLSRQVCAQRGSIHALPDDIMLLIIGFIEVKDILSIRKASKRFYTITKLRWVWHDAMKRHVIDRGLPVPAADADLKAFSAEHLEARAVHAARFHDNWCSSKPKATRKIEFRADRPLLDDINYQNGTSVSQIFFLPGRNGEFLVTAVGRVITCWEVPLDGSGAYRVAEWVSSKKIDQLVMNEDPRHDVVLAYVSGDPNPQGAVELCALSLDTFHGCFHMRAKLRGHRANILPLHVCHGDYVIFGDPLIAWFTMSPSEIKTLGAYHSPTVQEYAESAARVVNRYMVVVRQRSCAIDYAPSWNGKRIAYSAMKMAVMAFDNIVSEAVIVVRNTTVKRADEPEPEWPSEPVTVLARCTYDGLDTLQQFDLLPQRYFPVVVTEDESGKPVNIFRFPCIFPPRFTRVVVTAPSCRGLYVTRSGKGFWIETRNVTSRHSVHPARCIVGFQVASNPESEAVMAEIRAAGVKQGCIPITEVGNGLEICRDALYARRCDMSEILWKKYSLACAALEDTVGRIAIGDRMGKVEVLDFA*
>EED78761
MTAIQVAVQGSLNILRQAEKAGIKIFVLASSYVTLFDWRQRPISRMLNDGDWNPATRDEALSGSYDSMFVYCAEKTLQERAVWEFADQHPNLNITTVNPPFFFGPFVPGFMPRDATGLNANEFIYALLDPEGLLFSDVAMGVDIRDVARAMISALSAPHYEPRKRLLISGEWFSWREAADHIASPVATLMDTKRARELLAIEFTPWKTTITDAVDSLLEAEELWSRTS*
>EED78762
MSPMVVGFVGPSEVPNILKPSAVPNMLEHSAVPDFADLLNVLESRQIRINDYEIPTNSSLPSLRRAKLIGRNKKAEAEERARLQAAQATQAVQPSASPQGLAPTSPEQAASSSIQELGESRLEASDVFHKTLGVIFSGFNNADFQNASSTTLHETYTKSAEDKPLPVGPGYTTDTMDAVPASAGSGQKSTSAQRSARRGFESLLKKRHSLIFDFDALLPEPETTSTSGAEAASATPHAAWQKNMGLIDFDSNQPPLTTTAANLADAQAPANITSAA*
>EED78763
MAQHRSGSRSSCLENPLQLDYVLTTNLLTSTTTGEITGEPAIRMCWTKHQFYRTITMPYGIELVGMPASAGRRIPGSTDNTRDELLRMWESGRLYFERRVPGNSIAQTRQRTRSDVKSAHKFTATDLPRRQKRRKQRVPKSIQFIENSDIELEAAETGNVNKIQGTGEEPEKDGEKMVRKRRKRVFKSVEIIENSDCE*
>EED78764
MNPPHILIARNSRGPNIINPSASLSSPTETHAAAAGIFRSSGDPPYYLEECESDEMVSALSIDGDYEDPIEIDETFTEGSRFPGTVKIIVEATTFWAHKEVLYFASSFFKAALSGDWAETGRPRSMSSVITISQPPSVPGSRSKIEDSPELTFAPVDPDTEPEDIDLDPVQERRTWFLERVLKLGLIQVAKEYQCCSTCPDPTACARLLEEKWKQAYHAVFRFGPCQPSMVFRYLRTLEGVSPPLSLTHLSCQNTAKAFVATLFDRMFSLGIRGSGTDPAPLGRVAAVAGTAPGPRRHFLFCSLKSESTSRGKRSRDFS*
>EED78765
MAPFFPFPSSHQRSPRDRDYRQLPADADQNAFPKKVHPFRRRHTQIALASVVLFLGAVGLWLRLFLTGAVGIPKPILYALAKDSKLPPLYSRFHQYELSLPQHHLDPASPNAAETQYFWIANHMTRNGWGNAMQELLLNSYLAYRANRSFVFNNFTWDEGVSDYSIYNLKPIPSRIPLTALIRGPTVGGPFPPGDHAPLAVMKEFWDTTCQNPTVIDNNAVVATWGGEDPTSQAIVDKLTRGRLLQDGNRLLDLWPAFSQSPILRHFRWSELVELAFDTNREVFAPSNTVESNLMSVPDNVPSPERYTVIPGLLALHIRRGDFIRHCKRLAGWNANFVGYNAFPSLPDRELRPADLDPGALDELYRVRCFPEIDEIVRKVENVRSTEAGRGMQHLYIMTNAPADWILELKAALQHVGTWENIASSRDILVNKEQEYVKQAVDMLIGQRAQVFIGNGVRPCAFLFWRLFLTVIS*
>EED78766
MTSMLYDSEVWARVKKKWDELVTGSRSGQGSPVRSAPGFGTHRINSADRLKHRRERISQTSFENQDMGACDTMQKRKLARVPLTYRHRMRSIFHLAGAMCGIAFMSYGIQNVNLMEACAFV*
>EED78767
MWLAYRTLRQGP*
>EED78768
X
>EED78769
MWARRSVRSSPTTR*
>EED78770
MSGARAVAATSQSPTGARCAVGEGDPLASVAVSPYVGGYAQEDRRACACGGEDCPAESVLGPGKNAEEPRPQREPTLGHATGLCVYEVDDPSRKGPSNDTQDEKDRLQKRVAELESVIRELKNKPHPRWVSGAGSTDAAQRADHRSAALTASMDMRSAPPSPRNSQQASALADCISPISPMSTTFSLPTASSRTSSRNSPFIGAMSSRVPSSPSIADSSPIDTPSPMTLSPTESQMAGGSSLPSEYDIAALLSSYPPEKAGLEDNLFNEIWDRLIRPDGTCEVNRLGEHCGCLHDPANYNVVLELSLRLRKAADALSRSSQHASGSCAISQNIAELDRFTSNALGNISAPPELFGGYRDRATVPAVPPTNPPLPYSAYGVARPNPPASGPTVSPPSLQTLQPWDGKPAGSYPSPPWDDSFMSWVPQRRNPRGMWRRRALPSNCRLRVANGLARCWDWDWDYASADLDNGLDLSGRSKTRVRTPLRRRLRIRNCGLSSRQERDTEGHSGQQERGACMRPARPAVQLNPRRTALDIAHVVRTQGGNGTSACGCGAHSCPALHGGCGILNSKGGICNYKKEPLPSLPRQERDRGGKQKLEIGQIESLSAKTCQDLSALWAFLNKIGRKA*
>EED78771
MQPFSWSSVSWLGRVSGVTIGSEQSGDGAAGLYAMHEGRKYGKQTIQELVRVEGAGASRIWIGAGPAVQWDASRALLRTVRLLAKMTLSTVDAETATALRNAVRDCSERGLLFASKWASELLLSLPASKRQPSASTQTSGPYAGSPPRPPPVTHAGTSAVPASAPHPPAPVIQPRHPHAPPLSSLPDKTRLQELEWEAQDADHIAAARAMIDAKDLAGEKQALKDWYKLDIPINTSLLDLLEMVKNATDPFLLFLKALFLRRLSRREEAIESALLSIAAYPWNWSAWTVLGECLGDGEEMFQVKTLNTLHSPTDNELGLCDRLLSEGFFPRSLWVMSLRACVLYHMHDFQEAADQFTKVLAIDPYRIDDIDIYSNILYVTEDHRALSRIAHEFTVIDKDRPEVCCLIGNYYSLRNEHEKAIKYFKRATQLDRTYLSAWTLMGHEYVETKNSHAAIEAYRKAVDVSRKDYRAWYGLGQAYELLSMHQYALHYYQHATALRPYDVRIWQAQGICYEEMGRPREAIECLKRALIGADPQETVIHLKLAKLHNDLDEFAEAAAYHRRVAEVCRAANKAVAEYAKSGVYVARYHLIHGGGDIALAKEYLEAIASSNAEEATSRSSSPSTASAPLSATTNDIRSGSYINGTQSAVTTPAPEPQIPTVASTSNLDAEHIVVDDDPPAAAVNGSKKRDSRALDDDYGALRRRFKRKFEAHTPATYATHDEKEIGRATSFAQWLAYHQYAALYPDTETPFADAPDAVNRLLPYHIFQHPREDLDGLVTRPVFSKKGKGKASKADLLREEVAETRFALDCWRRKTALEKRFRRALIHSGERSSPDDQSYFLEQSLLEDERQETVATQAELRTARTELEKLERERRAAAPPTPAPRQTRPYYSVSTTTPSSGYSAQYRYSYPYAQGYGTSQYTFSPAFQTAPAYPTTPVASAGAYRSYTPATPTSSAPYASPYSTTTTSTAPPATPTPSHYRATTSAIPVQLPESSLAALRAIGLEPLAPAPAGQPQPAAVLKSRSGTTMQLEINVGSLQPAQMSGLALILNALTSKGVTVDGNASANSSATSGMLSTSTSASSQSATS*
>EED78772
MVRAAQAPLSQRSAVADAEAELALHPDAREPLAPEFEGDTNPATGEKDGPKREPVRKWVESEGDWSFKGRVSDF
>EED78773
MQEDHFPLQLKRPPIPSSNFSPTATETITRVNPLDESGFVSNFAAKNGLGAGPSASSSYPSGRSIYPSSSSIAGGNAPAPYAASSSRHSSIGASVTRADSVLSYIESPVSLDTSHAAVSARPESNGDRPRVPSQPHSSATPSASPSDLVLIISQVAIERADWNELKAMTERFQEEHAEYMRRNEEAVLALQREKEQLTKVLATVTSAFAKLDPLRVKQEKRFDAEQQKAERAFAHRLSEEKRREEAAELDRREVEAAESRKLAAERARQLELEEAARLAREEEDKRRAADVENRRKAAEEANRKADAEEERLQAAAAAAAKRAEDDRRSAQEAAKRAQVEEAERRQLLDEQRKTDKLKQELAIAKAKEESLAASEKAAKDAADARRLAEYEAQKAAVQEMKRKATEANADQAESHTTHIDPMTNVGPWTSAPRTPEEDELRDYARTPPIDDLPGRPAYDHYSPTPPPYAAPARREYDYYSPTPNRPMRSREKSPAPGRKRVRDYDPALGSNEEPLVRRARFSPPRDYPEYGGTTPPRGRSPIPPYQRTYNVRTPSPDVDQYRNDPYRRGYAEPIPQIIPTIAAALYPAHRRLPKPHVILSTGIANKRPRIPQVPSVPQMMGSSQRCCGESATPPNRAVVHPLGVTEMPTLAGVRRNVVVVLHPREGREVAMRLPGVDPGNSGL*
>EED78774
MPVPTKQRDLIDPSALRVLITGYGPFRGFPVNPSWLAVKPLHNRTIHSLNAHPRPVHITALQLPTSYAAVLAAAPGIHARPPALPKSLDPSCVPAPPPRGGYDLVLHVGVAGPGAMRVEQRGRKHGYNSPDADDELCPVALDGAPPVRGFGKGYEEFPDEMYTPVDCAKLIEYLKDMGISDVVPSSNAGLYLCEFITYGSLAEAERAAAQGKKETPVLFMHIPPVEQPLSTEYCTEALRRSISWICSQW*
>EED78775
MSSDDEGAAAAKPPKTRTTRTAGSGSDSDSDSDEDASEDESENSEEEQAGATKKRSRFLRTESDDEESDEDVKRVVKSARDKRLDEMEACGKTMDNALKINDWVAISNEFDKLVRMVQRQQNVAEPVPPFYIRTLINLESSLNNAVAKEKESKKKMNATNARGLNGMKQKVKKVMKEYETEIKRLQSDPDAFEREYQAAVAPAEAAAAPKAKKAKKPTAESDEEEDVDAFTTVGKGGKTMQFTADSIFKNLQMVQEARGKKNTDRQEQIRILEKLLDVAVTAYQRIRVLLTLVSARFDYNSSVSTHMPADLWVSAQREVDQLIAIVLKEPGYSIQEITEDYDELAERSPETEKDGVVRIRGSIISFVDRLDDEFTKSLQNIDPHGTEYVDRLKDEKDLYCTICRSQALYEQLKQEDPLGRVIMRRLEHIYSKPDPVVHALESTVAVSDIKPSIVVSSDGETSSLIHSLCVHLYKSSNSFLRTRAMLSHIYHYALHNDFHTARDMLLMSHLQESIYSADVATQILYNRTVVQLGLSAFRCGLIKEAQATLQDIFATQRVKELLAQGVHQQRYQVLSPEQEKAERQRQLPFHMHINTELLEAAFLVSSMLVEIPLLASIDNEEQKRKAISKPFRRLLDFADRQVFTGPPESTRDHIMQASKALQNGEWEKCRDLIQSIKIWSLMPECTSVKEMLAKRIQEEGLRTYLFTYAPHYTTLSLSLLSRTFSLPLRAVTSIVSKMIWSEELSASLDQQAGVLIFYRIELSRTQQLAQVLADKVNSLVEQNEKALDVKLGPTASWNDRADGGKGEKRGEQTAERRRGGDRRGGARGGSRGRGSRFAQGLGNQMAGTQRTR*
>EED78776
MDPGGWGCPQGVGLAERSQLEATFVESIEPCGAEVAAPGGWSRQGDR*
>EED78777
MAKFPPLDLADSLIDLYFKRNNSLFPLLHRPTFDTQWRDKLYESDLWFACVCMAMFAVASRWCADPRVLPDEVMHHSLEESDDGVWALAGWKYVDVALVAHAESWTLISIGLRKAQDVGAHRRKVYGRKPTVEEELWKRTIWHLIAIDRLGKVDDEYWENEDEQLAFQQPPGKPAVISAFVCWAKLSRIAAFALRTLYAIGRSKQPLGLVGPRWREDTVAQLNSAMLHWIESLPQHLRWKPNMADDVFASQSAMLHLSYYIVQITVYRPFIPIVRGPNAPHTQPPCLPLGDKPDISTTALSICASAAKAGTLILEVLLQRGHSRHTVLVHYAFLYAGVMLVSLWTQIAKEAAHWKRSVQEDEDDKPKPHGKQIDELLKVVAMLDSMRPRWELAREACDCVLDAFPTFLLSEEQRPAASHRLNAREEDLHEAERQRTHVNVPAPAPRFYYPSSGPSRQPDLLYPVDTPSSHSRSSASNYHARREQTYVQPSTSWAAQHELSTSRADPLFYNEPWFTEPQVPMRRRRAVVPYDTPIASQSYGLPAHYNGPTLPSALDGLGSGKANTSGPQPHIKHEGMDEGSLNYYFGTPFSFMPHEDRSAVTAPNVQTEEDDLGLHAVNTYR*
>EED78778
MVAMILLRTVSRDVSNAFLSAQEDVQEDWGWKLVHGEVFRTPRNPMILSILSGNGSQLCAMAGVTLVFALLGFLSPSNRGSLATVMMVCWTLFGGIGGYVSSRVYASLNGTNNRRNSFLTATALPALVFAIVFLLNIFLLSAGSSGAVPFGTMLLIIVLWFGISAPLSLIGSYIG
>EED78779
MAETQEGRTGRTGKHRDPGPYPRWSPSRGCRAALGSNDAITQHLMQCEYGRLCGVRDLRRVSWARRHDELFIFSGHTHTVATKANKPVWARSVSWRGHGPSVSASALPISPNSTGSPPGILSLGSGSGLGRNSKSPSTVAADAFPRLESHGCLRSAPDAVRPHSSSKQIHKDLLDMGTTGKVTGDPNARMRWTSQSYINHVWNKYWVALQGWNPHVPFKNPSNLPGGTRVFNNLIFRCERGIIRFVKIPEHERHNIDMTKVVPGGIVACKPWPGRPDIKRARHRPVSNPHNLPLRRQRPGPITPEYVWENADAEIGDDGTLEDDEIVETWDEEYQNSTHHGYHTSTGATAVRADVNQWVFLGERGTLMHCYGLCTPWWTSRRTMSSDHIMALPPPHELTWPVAPRSPSPGTASHVRDNPGVCTRDYAYVHDTAPAQAPTHRVFSNPGAPFSPQSRTSTNISQYNLVSAHNGNGNGNGRSSSGGRDTLAGLWQMIGAVRPQSRSERSRVREREWERAAREEDKHFRTRQSGSSGGIPDIMVESPTTTTVSRASTIATANQPHLLSPEMHQPSLLPPADAADFAVSDSDQRPLLPLPEFYLTTPSAVGIANEDEQQLPAGFVPMSAADTPHDFGAPKAKGPRYSYEVAPIPPGVVYPDPPGRRSATPGRRSTTPHAAAGVALPPSPSSTPSRRGRRGSLSGHLSPLSLPLAFVVSPSARVSSD*
>EED78780
MALPLPHELTWPITPHSPSPGTASHARDNPDVCTHDYAYAHNTTPAQAQMHHAFSNPGAPFSLQARTSTNISQYNLVSAGNGNGNSRSSSGSSDRDALTGLRQMIGAVQPQSRCEKSRVRERERAAQEEDAYNPPVQAPELVRRERERESPLERQCRSGTGMPLERLFKKRFWTCQSGSSGSIPDIMVESPNTAMVFRASTIAAANQPHLLSPEMHQPSLLPPADAADFAVSDSDLRPLPPLPEFYLTTPSAVGIADEDEQQLPAGFVPMSATDPPHDFGTPKAKGPRYSYEVASIPPGVVYPDPPGRRSTTPGRSAMPHGAAGVALPPSPSSTPSPCCRHGSLSGHLSPLSLPLAFVVSPSAHAPSD*
>EED78781
MAAVCSTPTHNTAHVSPHRPRPGPICPVALATIAGGRAAAKEQSCRLQRATATTTNQATECAPRPQNVGGVTPDPASPIGAAAETRQGLETASRATRKMQCAGPTHAVTLISGDPRTAEARGTPDRDDRHPSRQTNIFLLLNDAITQQLMQCEYGRLCGVRDLRRASWARRHDELFIFSGHTHTVATKANKPVWARSVSWRGHGPSVSASALPISPNSTGSPPGILSLGSGSGLGRNSKSPSTVAADAFPRLESYGCLRSAPDAVRPLCAGADALHSVRQVYVNILIFKANTQDALRELNCKVTGDPNARMRWTSQSYINHVWNKYWVALQGWNPHVPFKNPSNLPGGTRVFDSLIFRCERGILRFVKIPEHERCNIDMAKVVPGGIVAHKPWPGRPDIKHARHRPVSNPHNLPLRRQRPGPITPEYMWENADAEIRDDGTLEGDEIVETWDEESAPTKIALTMATTPQRAQQQCEQMSTNGYFWQNEGPIIPVLMHNPTPWPLYPPVDVPPDNYMSSDHIMALPPPHELTWPVAPRSPSPGTASHARDNPGVCTRDYAYVHDTAPAQAPTHRMFSNPGAPFSPQSRTSTNISQYNLVSAHNGNGNGNGRSSGGGSGSGRDALAGLWQMIGTVRPQSRSERSRVRERERERAAREEDKRFQTRQSGSSGGIPDIMVESPTTTTVSRASTIATANQPHLLSPEMHQPSLLPPADAADFAVSDSDQRPLLPLPEFYLTTPSAVGIANEDEQQLPAGFVPMSAADTPHDFGAPKAKGPRYSYEVAPIPPGVVYPDPPGRRSATPGRRSTTPHAAAGVALPPSPSSTPSPRGRRSSLSGHLSPLSLPLAFVASPSARAPSDWRRARTLGPLSRCATIGNSVLLDSGAE*
>EED78782
MATTPQRAQQQCEQMSTNGYFWQNEGPIIPVLMHNPTPWPLYPPVDVPPDNYMSSDHIMALPPLHELTWPVAPRSPSPGTASHARDNPGVCTRDYAYVHDTAPAQAPTHRVFSNPGAPFSPQSRMSTNISQYNLVSAHNGNGNGNGRSSGGGRDVLAGLWQMIGAVRPQSRSERSRKHFRTRQSGSSGGIPDIMVESPTIATVSRASTIATANQPHLLSPEMHQPSLPPPADAADFTVSDSDPRPLPPLPEFYLTTPSAVGIADEDEQQLPAGFVPMSATDPPHDFGALKAKGPRYSYEVASIPPGMVYPDPPGRRSATPGRRSTTPHAAAGVALPPSRSSTPSARGRRGSLSGHLSPLSLPLAFVVSPSARVSSDWRQARTLGPLSPCATIGNSVLLKSGAE*
>EED78783
MALPLPHQLTRPITLRSPSPGTVLHACDNPDVCTHDYAYAHNAAPAQAQMHRTFSNPGAPFSLQARTSTNISQYNLVSTGNGNSNSRSSSGSSDRDALMGLRQMIGVVRPQSRSEKSRVRERERAAQEEDQERQAMAPQGPAMAMDNPPPQAYNSPAQAPELVRRERERESPLERQHRSGTGMPLERLFKKRFWTRQSGSSGSVPDIMVESPPRDASAVPDAADFTVSDSDPRPLPPLPEFYLTTPSAISITNEDEQQLPAGFVPMSTADPPHNFAVPKAKGPRYSYEVTLIPPGVVYPDPPGRRSATPGRRSATPHTTAGVVLPPSPSSTPSPCCRHGSLSGHLSPLSLPLVFVVSPSAHAPSD*
>EED78784
MDTHEPSSSSSDTLPSAPSHSRSTPSRSQHSSPPPAPRRARKDAQHDRVRESAWGRSKLLSRLLTREERDVAQVRTMLALTSERLAHETRRADDAEARIADVLHKFRAARETALHAQADAARAAEEVRLYKLRLDEAQREIFRAQDVVDRLEADRADAEAEAARARTLARRYKEERLVARAREEGRQQGFQEGGRDVGYYEARAGRERPRQRQYQYRRTPVVAEEYSEEYEMHDNDNDNDDDNGSGSQPEEIVPIPRSPQPTWRPPSRPSDSRATQRSPPRATNTTVSVSDGSPIAAPSPIRPPSRPPAATPEPIPIQDPGRSRSRVRNEGPIVPVPVHNPVPSPSHPPVDVPPDNYIPYMSSDHIMTLPPPHELARPVAPRSPSPGAARDDPGVRSRDDLGVRSRDFAYAHDGAPAQAQTHRAFSNPNAPFSPQSRTSTNISQYDLVSARTGRSTAGSGSGSGGGGRDALAGLRQMIGAVRPQSRSERSRVRERERERAAREEDVPEPVRRERESSLERQRRSGAGTPLERLFKKRFRTRQSGSRGGVPDIMVESPTTATASRTSTVAAANQPHLLSPEMHQQTLPPPADDADFSDPRPLPPLPEFYLTTPSAVGVSDASEQQLPAGFVPMSADDFPDDPAMQFASPKPLAGAKGPTYEVAPIPPGVVYPDPPGRRSATPGRRSATPGRRSATPHAVALPPSPSSTPSPRRRRGSLSGHLSPLSLPLAFVASPSSRAPSD*
>EED78785
MPKHSPTPALPLGSGDASSKSWTTRRPMSSSSRPPLARLHRRHATTPSPTMTRSLSSSWRWGLKGLVLQHTSARRSTSATRTPSRWPHPS*
>EED78786
MPNDPSSPMISPLGVPYAALWAPQLRDSIELAEDLVAWPMFSMIGPFDDAEDRIVAVDSEGLMPMILNEPTPQIFVHTLFDGACPDPGRVIFVTNKNVLNALRERLPSKAAPSKASATAFSGAASASPQNLNAATGVQGVINIVEPQQSSFAAQAGVNDRNDEDIVMQECDQSPKDAESQGALKPTQNGFVKPATGCSNDANMSAEMSNAGVPMQEAVFDSHPCLVNKVGTSAEIRPLPSASSETAEIDNPANVNVASGSRQGKVRDESPRKSLQEPPFDPKILAELPKLEQFIPEQYFPDTLMVCRDNEEPVEYVRIFPTFDRDDISWEPKRVGRLWLPDLSIIGRGNHSRVRRAPLQMPEPLSAYGRHRLVTVAAKTALCVISARRFLRNEALRFNAFPKRLQQEWCGYNLVTKIRHPVPVGAIVPKFFGYYVPLDANGKPDDKTWQEYDEEDDTEIDGLSPVLLMEECGKPVLPESFTLDERSECYSLMIRLHFAGFLQNSMYTRNIMWQPGPLTKPPKQRSRKTPSFRIIDFGRGEDWDEYKDQDDGKDLEHHTMYIPKHSVLEPPLCFLLDSFMSSEQFAILTTRGFQFRVRFVDVLWAEALAPGFPELTNVAYCSEFHIWGRLEHLRADALILIQVSGPQLT*
>EED78787
MGAEGQTDLTALPLHDVTCREFESLLDFLYKSMHDDAKLTLPQWIDVLSISMRYICDKIRDRAIREIHQHHPRINPIEKIVLALQFDVPDWLAPSYEAICQRAHPLEIEEAQRLGIVISTQLARAREAIRQE
>EED78788
MPVCLLLWTSTFNRFDGPTNLDSVGINGPASYSTVPANIHGEKVFLVKLQIGLSPDTMDVMIYDRQRSFGEVYFTKYEDAQLFADMKAEMQGPRGGYKGVKMYRQKTRDLPRAHQSTRREAVLLSPPDTSQ*
>EED78789
MPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLVQDLLKIKSGLELHSAAHLQGRVAHSDDDGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSEQKPMAIAGKGGATGEWYFSSA*
>EED78790
MPNMSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLVQDLLKIKSGLELHSAAHLQGRVAHSDDDGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSESLLLLSSSAAVFDLQPARTPGTAHDLALLFVTFCFGVLTDVELPPAPHNSEAEQYFQLMRAALNVEPLLERPPSVVTVQTMAVCLVSADGG*
>EED78791
MPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLVQDLLKINIAVSRGHRRSTSGLRYGCRQPYDSRRGNASAATVEGDLELRPRPEPEPKLNIPGGEPVELGEMGNAEALTLGPQPPRDGARPQRLVRLGCNATALMGDAGSDVTQPTFCGLRARIPWPGSNALDICEDIGHGTIAAFPEHRHGNGVVGLRDGISRHGRVTSSPRERAGRGTRSPSRRQSNGFADRGLASGDASQGDSTQLVEPDALVRVRGVRLARRVEPVPCVRGAGPRVDRAPRVVHEPLGGDGEHVADPGDVALSAREEAGEELGPAPRGLAVAVAVVLCVFAGVGESEECWERAGVVREQDGADGRVALEDDDGSWTSVLAQWRRRRGRAMPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLVQDLLKIKSGLELHSAAHLQGRVAHSDDDGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSESLLLDENEKSGPPPPAAPHASLPRALARLTTAFPAAPSGLADADADMDVQELMEAHLPPWPRAAQLCDLYLEQAPWFFGAVTRRQLVEEVLPLFYAEAVEYRAHAGASGSGSIEAGAQLSSSAAAFDLQPARTPGTAHNLALLFVTFCFGALTDVELPPAPHNSEAEQYFQLTRAALNAEPLLERPPSVVTVQTMAVCLVSADGG*
>EED78792
MPNTSRQDDKDRSVRAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLVQDLLKIKSGLELHSAAHLQGRVAHSDDDGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSESLLLVRPSSR*
>EED78793
MPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLVQDLLKIKSGLELHSAAHLQGRVAHSDDDGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSESLLLDENEKSGPPPPAAPHASLPRALARLTTAFPAAPSGLADADADMDVQELMEAHLPPWPRAAQLCDLYLEQAPWFFGAVTRRQLVEEVLPLFYAEAVEYRAHAGASGSGSIEAGAQLSSSAAAFDLQPARTPGTAHDLALLFVTFCFGALTDVELPPAPHNSEAEQYFQLTRVALNAEPLLERPPSVVTVQTMAVCLRQFGAPRVVETARGMQPSKIY*
>EED78794
MATFTQEDINQRIAVALAAYQSQQSTANRPLCLDIPAPEPFSGKAEDLRRFIQCVLSYFVTTNNTQLSDEAKIAFTVALMRKDLGKTWADMYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYVTHFEQLASKAQLKDTEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNTNTAKQGALVITDTRDYGEPMDIDTAAVASTFTSTLGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYKELTMEDF*
>EED78795
MLRTRRFRSGQHLKRSSNAG*
>EED78796
MQNMFYPDSRTGLQRRGRLKPRQPKLPKTFADAMFLFSA*
>EED78797
MAGRPWC*
>EED78798
MILIALFISYLVFTTNIFIAYDHAARTFGYPSLGGPLKYLQLSGIAIRQPRWLPVVRVDMKTSSAAQWEVYEEFTLDGKVPGVPTQFSNFSISYVLPSLVSLDELTCPVAEDEEPFAQEADGRDDYHGGDEWCHALPESSLLDHLIWYHTQSAQSMCALTEAPEEESVTTPSIDAINIVDVFNWHASSSSMNGTSPTLPNSPIDHIDMLVGWSILAMVLLFSVKRPKSATREPGPARRCEKKDEPEFLPDATYVVVGSKIPDAHVFGPTRDVPPSSNVLTGPVSVNGWSRDKKKRKRKGKKNKNFIVFHTIYATDEDEGRMAWRATLAVAPHPPSDSDVAAIKLQKNARADCIGLKLLQLDDVKRMWDWIPFAFPLIERMSSSRTCLDSQ*
>EED78799
MAADAAIPGLFLTFAAMVLLVFASVSAPTWNAVSFLNTNVDGVSTHFGVFGYTGSHTSVGWYFPSGVADSELTDGLFHNLTIVLILIPIAAGLSGIAFLFGLCGASYHRAGTVFMTLVSALAFLVTLIVWIVEMALFGIARDHVRGRGGYAQYANANWLVLGALVALFFAFFASLCGIFGRYRSRRY*
>EED78800
MDLKTWGDGRFMKRRKTDGLNSRFDRMIETVSVLHSSPSTSSSSRTRRRRTASSSSLSATSYDAPKTPVDAYSGFDEGRLGEGFSVIKMRRAHAKDNLLDHSNEGRANYMRSKKRALPAPVPNWLCNTLSDLETHHPLRGLVSETSANVGGNNRGRNSHGVSPDVAAEVARSPVYNRADLEDPVFAFAPPTDLPALSCPVSSTARQEAQRSPPPHCPTLPVPSHELHNGRAEDTNVLSAISPTLDELSVGTDLTTPIDDTYGPAPFSKPGPASLISAVEPLRAVSIPFDDYDFAPYNDEKLDTGCPAQPGGAYPIVFSQADPSRWTTSSGGSSKTLPEDPFLVQTAPLKHRRISRSATPARPQGAREDISATTNGEPFQPESCRRTYARQTSPEGSLVDYLLLSPGTPEISRFRLEPHSTHISPQATAMTEDLVALSSPIAPMPFSTPGPAHPRPPRPRDIRVYFDAPAEDPCTSDPIEESDYALALDYDAGENTYDLDSLCFKWEKFDRSGPRDNDTVNDKEVSTDIRKAEQGTNGAVRREFGPVYEADLPQTPVLEAENGRSSSTLGFSPTDGNDEPIRKNATWILPPRSQVRTGTPVHNTLQETSDQSSIDRVISSPAGQAFAPAPGIFLSPLRGQDGQSEDTLDGNRTEAGADAHKTSEAAESGSHTSVNEAQRGKQRYSDAGQASTLREFLKAGRPEQIHTIEKKEDQDLRSGSLEEPDDVQEDSASSKTSASQESRDTIESWTE*
>EED78801
MADAPTLKITLLGAGQEYRGKTIVCDAGVHPAYSGIASLPFVDELDWSTVDVLLITHFHLDHAAALTYITEKTNFRDGKGKVYMTHPTKALHKFMMQDFVRMSSSTSDALFSPLDIQMSLSSIIPVSAHQVITPCPGVTFTPYHAGHVLGACMFLIDIAGLKILYTGDYSREEDRHLVKAEVPPIRPDVLIIESTYGVQTLEGREEKELRFTNLVHSIIRRGGHVLLPTFALGRAQELLLILDEYWKKHPDLQNVPIYYASSLARKSMAVYQTYIHTMNSNVRSRFAKRDNPFVFKHISNLPQSKGWERKIAEGPPCVVLASPGFMTSGASRELLELWAPDSRNGVIITGYSIEGTMAREIQSEPEEIVSLKGVPIPRKISVDEISFSAHVDYSQNSEFIEMIKAQHIVLVHGEQTAMGRLRAAMTDRYKSRDEDVKIHTPRNLETLELTFRGERVAKAIGTLASQPPQPGDTLSGLLVSKDYSYTLLDPRDLRDFAGLSTCVVTQRQKMILGVGWDLVRWHLEGMFGKVEEGLDKDGVPTIRVMGVVDVKQTQEHELTLEWDSSASNDMIADSTLALITGIDRSPASVKLTSHPHTHSHSPAPEHPHPHADVDDESALVTRIRRLAMFLEAHFGEVELHMPAETDEHEQGEDAHSPALLVRLDEADAVIDLFTMTVSSTSEALRRRVELVLDMAVATVSSLSETFSAGTSLLGEEAVPDAVAEKKSQSPGMPLGHESTSPPQDTSVPDTSEGPIPKNDGQVQIEGASTTQPNGHSSGNSGAFEEVDGNTNRVSAEEHLADGDADVAEEDSDSGTDDDVILQVHD*
>EED78802
MPAWNVVELPLELLFIILLLLNARDLCICKRDQYELQLAGVMDEPSCLSVARTSQSGCELCNACVVFKMEWDAWTACTLGSVASWRSFEGLCWAICASAKQGPAGLGFKDRLWTSLRAPVLRIPSLSGNRDGNSRPLAASSETLLPDDYGVRSSIQSDLIAYNGSPVEDRNEIRVWSWRYSGSRVHGIGVVIC*
>EED78803
MALGE*
>EED78804
MRVQRAEFGHKHEKEGGQGEMKPRKTVSDKKKATKRIGKMQKKLGEWDDEDGFGPAITEEDKVPLVNKARVVVLKHMFTLQELEEDASLLLDLKEDVRDECSSLGEVTNVVLYDKEPEGVMTVKFRDLLGAQACVIKMNGRFFAGRRIEASLYSGKQRFKRSDDDKFDVEGGDEGESRRLDDFAQWLMTEGD*
>EED78805
MDFDYSTTKLIPQEFLAIVLAGFGNELVPLTGNYGDEPSPKALLPIANKPMLEYPLVWIEQSGIKNVLLVCPTPHKSALSNYIQSDSSSSFPSLRIDLQTYDETQDLNVGTCAILRHFAHKIQQDFVLLPCDFVPPHSFSLTQLLNKFRTETMYDGAIATACFYEGRXPEKGSSTDEWGLLPSPVPIVWDERSGTLLHIETPDDADX
>EED78806
MVVERRQQRTHTLPTRPLPATSRGGYLNGRVSAIARTLSLNGVRHREEPGARSLPPAAGSGLAMLRHRRSATVLPEARDESADMYRVRAGGAWAAFRMTCAPWGQGANCRPAAATSCGCEFGAEPDLADRVCGAQNRRSKRQRIVRTRSLVASIPAKRRDRAVGVQPHSGSTLLDARYPRSRNAAGLYVWSSANTVRSKRCVGTSEHDGFVCTVHPTCARATGYQPRSVIASRDAGHANGRTLSWRTCINAATRLTVITGFYGRRTQKRSALSTCGQREFWGRYGMCQMLTLRKAWDPGRGVSKRRACANPHYRMTWATCAGRRAYGHERGGIDRDTRALSETVISRQQTTGDEHPSSVLTPLLYRCCRGFVSKVNREIPGRSVKNLRNDAMSCECDIGCSLPLGTNHLLLSSLSPSVFQQRNGEPESRVNTGELSGKCHRMEWKRYWSWIVVRLRIVLKGWPHDRMPFVWPGKLSADDVGHLLELWKAGTLFFERIDEAEFKQLCCERQEGVADGRVVPEVPIKTRKDRDYVRNIRVNPQTRGKKRRPGGAIKSKEVVTSEDERWAEAE*
>EED78807
MSWPMDAHRRSAIYSTCNTLSNQGASIGGSVEAYFERIEYDIQFDGILPANGMVYLACICERRQYYDRDNDQKRAEDIGLLRGWQGRSGVPEAECVDEQAIQGEGEADGEDRERVKEGVKAHYESKEEYLQQTSNRHVKEVAHALPFELLMRVEVCG*
>EED78808
MVGFGRLRAMSCGVIQGNGRDNVDSDEAAPLEGNTWDSPTHLSLEEARINEQRKREAWSEDERRFELTRKHRRCSTFSGAIHEAHSARVTPQGSGYGEAGGTTDSGARLSRGRGRSLTAPSTNTSIQLPVNTDRVSSTRLSSSDSSRFSPGAHSAPRTASPLALVGTSRDHVGYGGPSTAQGEEASRRETFSPTLQRVPSQSVPDLPLRSASDILTHGGQGRTRASSVSGEELPKQYLPGHRRGGSYDINKSLPNPPSIRADPKLWPFSTPPDKLLPCLAVPIPKPLLLATLQRHSFTALNPEVRPACPLFQAALSSKWSVFLRRFPSPYRHLKLRRPHTIMVEEPVAIRATHHQDQAIPLKTMRTAGLRRVCIGWPMEDPSTMLGTVTTRHC*
>EED78809
MRQKISSSSRSWKS*
>EED78810
MTARHRTEGHEVAVKFIVKEKVPEHAWWEDPQVGRVPTEVMLLSLVDHPGIVKCLDLYEDEVYYYMPGLAIRPRFGRRPSHDLFECIEQSKHKRLSESQARYVFAQVVEAIYYLDSQGITHCDIKDENLLVDSDLKVKLIDFGSAVAVDPSLPRPFYTLFFGTTAYASSEILRKQPYQAPPAEVWTLGVLLSYLLTGHSPFPTEQDAIEGRVALREPSSGRLSRAAVALMGRCLERDPERRADIAEVRQHRWLQ
>EED78811
MFYRLKCKPFEHLERLDPFRTLANSIIGQQISWKAARAIYHRFIRLFDPSLPEKPQDYTQPSEFFPTARQVVSTDLAILKSAGLSGRKAEYVYDLASRFADGRLSTEKLLQADDEELYSMLIEVKGIGRLICSLFSHFVVPISFQSVRDLGVQRGVIRWFLSLHSPSAYPITISPEKLPKNPEEDEAANGNKNADKDESTLPVVGESSGTQSARASTPDASSILPAPATGPTTPAKHKGKSKNSRDTQDDGAVLPTPFTPSINKTLNIAARDVLLQPPPPLPEGLTPAILRSRLNGKNKIKGALLTPKEMEELTASWRPYRSLAVYYMWALAEPPK*
>EED78812
MLFTRGISLLAAILPLVGAVAIKERKVSSGVCSLSHGYLDFLLSSMEQEQQVYAVTGFVKTSGQNFELNGEIFTVVGANSYWVGLTGLNTSEMYQTFSNIAAVGATAVRTWGFNDVTSPSGDYYQLWTNGVATINYGATGLENFGRYNVVANAKANGIRLIVTLTNNWDNYGGMDVYVSQLTGTDYHDYFYTNPTVIAAYKTYLDAFVGRYVDEPGILAWELANEPRCAGTTGTTSGNCTTTTITNWISEISAYIHSIDPNHLVGIGDEGFYAIPTSTDYPYQGGEGINFTANLAVPTVDFGTAHLYPLQWGETADPIEWGVQWIQNHAASQKAQNKPVLVEEFGVTVNMTETYEAWYSAAISSGLTGNLIWQAGSYLASGPTPNDGYTIYPNNTVYQMEAYYAGQLKARNAA*
>EED78813
MEEREWTVNGSKINMQEIDALSVDPAQDFLVVIGTPLAPHVPGAEELVLRFLSLSDCQPHPLAQRNNCSFETDFCSRCYVEGDLVGHDATLPAEMTILVWNWKTGDLLWQADGRGYDTFSFVDDRLILALRAQGHEVDIFELDPSNERMCFPTVMYGARCTFTLPQRVYADLDSTAWPFIGTHYMSGLSRRDPSRPFYPSARRDLVVHFSTCMASSSTSAMLVPVHAIRSWVREAKNGSIGDDDQNMDRYGSNCLGHTSENLTDNRISDEDDTGDDVEYKYADHDVNNAEAEADVRWPLWITRYPRVLGRGPPCCAEVQGMHATIANIENAEGDGYELRVTFLDYTAVGGKSFDFTAKNLTAWRHGDLGDLPLYSEGVHTALVRADDILVYDAPRRGDVVVIPDYALLQQCKVDGRYRWQIYYITSPDSDEDDVRLT*
>EED78814
MELPTSSLRGFHEDINRPWSPERATVNTSLSPLSVSSHSALELSPRSSSSVSNSSHSSPWLQQIPLSNPAPSSYMLQHSVPPPPHTGDTSGQHGPVSSLPSNEWGNVFSSPLDPSTFAALAASGVLGPPTSGVPSSLPARSTRSPHDYPINPRVPPPLNTKDIGRPAINQNLHAPWSNVPSPYSSTPSSSQRASPLHFRPGSGSIPYGKRKYPIISPPPETTLGQQIPQSDLPGPSGQFDVPLGPAGEGRLDSFSSSFSHHSSSVDVGSNLHFQHERHNITLPPSLWMSPTSVTSQSSLNGPSYPQLSELSMSRHGSITDSLSGSTHSPTNLSLYGDSTKSTAPTSASSPKGRMFTGLFTEELFSGNSPLENPGPHNFPSPRLSGSPDLKSIELAMADADPEKLAKEDPLATQVWKMYARTKATMPHAQRMENITWRMMALALKKKKEDEDRAKGGDLQSEGQVDGQPSDPTQLSSDDILKEEQTERGRTFDKGKGKVKVVGFDGTNQDGTDENDVVPMDWRAMSRSRSRVPMDWRPASRSRSRPPLPGVLSDLGSLKFPSSSPPKGLSSSPGLPIPGSSSLAGRRSPRQGLPAVLESDTRGPLGHIDPLSALSSPTNHPSSLPSFGLHGLQRLAASTVPSPEQRTFPRHVRKTSFDHTVAREGIFTGRRADAPHVDCMLRGDPSAMSHSEHIDAQDVDHMRRGSPFPSSSFNFTFPPYDSFFDLPGANTLPPPSLPSSLSSAKDIRSPEAAFHDSLRSSLNGSSYSVGPGSEGLSAAAAAASAAVADGYAQLNVGNLGLDDQPLDYTNLVGMMYPLDGSSGMGPITHVDPHQILPVEHQEGPFGIFHPSPSSDGWGNGASSNASPEPYTSNASTPPSAENGAAARNPARKIASSKRVSQDTRAGGSRKTPEASTSGRNASDDGDQNPTVCTNCQTTNTPLWRRDPEGQPLCNACGLFYKLHGVVRPLSLKTDVIKKRNRASGTPHSASRKGASALPKITSSATRPRAATTSSMPSGLHNARLSPTNRMSAGGNASMKRQRRTSTSITQSSSRKAGDDGVGI*
>EED78815
MEIRLEGLRLQEALCARDTVANHFTTACISIREKTAAIERLQYEKGDLEKQLKLLNGRQATFHAPNTTTQIAEDKRKLVTEVAKLAEILRGMQDEIAKVGKAHIPGSARGHRTPLLEAENACQTSKPDWEVSVHRILSLFHESHSLGVLRDATNDGPSLLSPMSPSPAMTWSPRTPQAELATEFHRLTIEDSTRADHTVEQAHAGGPDAMERIRARNATLAALPLPSETPPDILMPIVIPSPFTFQDFLGTTTVESTTSWCPEREEHGYFLTPMYKCHTNPRVTTAHQWTAADIDTKLDKPTGGWS*
>EED78816
MPRVPSVSPATGTAVPPYFIHTIESSFVDNAGRTLLLRGVNLSGADKAPAGKPSYILDDFWESGESGEGSFIGRPLNLEDGSADVHLARLRGWGYNMLRYVVTWEALEHAGPGQLDDEFMDYTVQVLRKCKEYGFKVYMDPHQDIWSRFSGGSGAPYWTLPASGLNARHFTPTQAAIVHCEYPTASEPDPVNFPAMIWGTNYGRLASQTLFTLFFAGRVFAPKCVIDGQNIQDYLQSHYIAAFSALADRIRDAGDLLDECVIGWDSMNEPYEGFCGYDDLNTVPTKQTSTLKKGTFPTPAQSFRLGMGRAQTVDNWKFGSMGPSRDGSVTIDPRGLKVWADPESEPDGVHPRWGWRRDPGWQLGTCIWAQHGVWDVETGDVLVPDYFKTPPFVTNHSAHFIETYWLPHWQAFATRLRQSHPESIMFVAPPVFAQPPAIGEELLRGRCCYSAHYYDGLTLITRHWNWFNADALGLLRGKYSSTLPAVKIGESAIRKSLQEQLGILKDDAPILGPYPTIIGEIGIPYDMDGKRSYGYTDGGKYKGDYSSQQKALDASLNAADGPNALNYTIWNYCPDNSHQWGDGWNMEDLSLWSPEDLRPRSDYKMELGGASSTGLLKKDVIVTTRSATTSALSLSTLADAPEGSSDGTLTPSREIASFSRWENAYDFLTDGSRAAKAFCRPYPVAVVGAPKDIQFNLAKAEFKCTVLVRAEDALRPQGISASADDGLATEIYIPLVHYAS
>EED78817
MSLVGTLASLGGGL
>EED78818
MSRRQSRVSVDSRQNDTLLEFENFKKKFLLANKHITKLNSTLSVRIEELNEQISTLYVENLRLRASEIALASQLKRERERSRKIITDAEAATHSLIKHLGHIRKSFNAPHGRESGSDEQLPPLPRAKRPVLDPNATPLPNRIARAPTVPGIFEDDEVNVSSPEDPDADVDDGEKSPTRVRHRTTKSRSVDSRIPTRVSPTPAEQTVEIDFEDQLDRIGKRKPTRRRSGLLTSMSITTVIPSGISTEVIHPRPPSPAFGSPLRREAGLAEEEEEAIVENGEEQEVEVILQSAARRERKERRRERESASSDRTRERKRPRDLDEPPPLVGGSKFKLKDVTNSQPREFVPDRGAQLLINTGAKVFIQPAADALAHATVLEPSAATAGARARGRADWGPGSATGMKRKKSRVHVLPDDEEESEGTQADAEYGGRTGTGWISAEGRRRSVHSGSSLRRLEGDDFRRHSMAV*
>EED78819
MFPLRMRPRLNGFHTGGLVLSGLWSATYALTSRLHLDSDEDTERKARLRTVRTTQASALAKEKSLDQFSLARNELHTALRNDDSNNPIEDDHAEAILPVPSGFWSFFAVLDGHSGWETSAWLRENLIPATSGALADIYQAHRPPAPSDARPLPASAEIDQSIKDTFKRLDDDIVHRAVEQVFAAGTRTAAARLLAPAYAGSCALLAFYDSHTRVLRAALAGDSRAVLGRRTVDARGRPAYAVHVLTVEQDGHNPAEEYRLNAQHPGEAVVVNGRVLGMGPSRAFGDALFKWTRDVQWKLKQSFLGRTPRANVKTPPYLTAEPEVTSFEVEPGDFLILATDGLWECLSSREAVGLVGLWLESQKGPLPQSDTSSVMPKDLPVLIEDDEDAPVEESTVRHRQWGAEKRFANADGNAATHLLRNALGGADQDLTAAILSLRSPRSRTFIDDITAVVVFFGDNPGPPSKD*
>EED78820
MAPQSTSHAGVFLESEWIGSGKKFTKDLPAYVKTALEAQLLLPPAILSLVLRGSIKGFEPEERDDDETLEWLDEPATVIRGESGFVAANEVNLNDPDLLEMLATSPPSSRAGNSQRPRTDVQEDSELVNVNEPAEDETLYALACNSDAP*
>EED78821
MSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDISTPDLFERSSSSPELEDPIPATSNLVLPTPSSFRAHAQPPIASSSRLSVIPTSELAPPPPLAPSNAASNSNLAPPAPTIPSTTTASSSSPAPITTTNMSQNTTAPLMPPRGHLTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPADSRGGYIHSTRYIEHTYDSG*
>EED78822
MWMDTKCHAAVFDSPSLATVHGLTPEKGPAREEASRAQQSGHACIVGTPLRNAFGCLNRRQTSDKILPRRPCMIIEHQAAMTDVQDAQLVAEDWIVLQIHGHVRGNDGEIKDPQVGEVSSEGNKSIDGENIGHLDVQFITQAQRSDGEVDDAVKTEKKRNEVDKRDDLGSLNLAGVSETTRLRQIFQVFETGKSPQNRTIQTSASSNQVHTPQRMPVFERCLKTKQRDVLHAGDIDSWRCFGQDAKTLADCPATVHGLTPEDGSARDQSSGENSPGSWQGACIFSRNVSWERGPVLAIAVSRICPDSQVQLHGLEAGNASVNHHQDHDEESSSEDIKGFKAADLERHEDLWYRIHHQEMSFPFIVSLLRIAFKYDLADLYKDTSVRLKAYYPTTLPAWRDVCATKLTKPSADYAILVVNIARSTNTMSILPAALLHCTRVAYAKFVNGVRQPDGTLESLSAEDKDLPTFMDVTVDHWGKLSMQELEQT*
>EED78823
MLSSAWLWLALAFASIFTLSAKDILLTNDDGLVVAQISAQYRGALMSFRGQVWNGVQNYYTHTRVNYVNAYPVDAANYGIKILSPKFFTKEPDFVDSGPNIGNDPRTNVTPGVPLLPEGVTVNVNYPAIDGCMNPSDYKWVFSRNLANIGQEDIRTCESTVLPTEKDDIAAGGCFISVSVLKATTKAKANAELQAAVYRQLNKLPFTCLASS*
>EED78824
MTFFDITVHFLSASGIPKMDVVGTADPYFVANLDDRLKYVSTVQDNTLTPTWDEVWRVKNVPQEATLAVEVLDKDSNSLTDGYIGQFSIPVYSGEQQLRIEDKALKRNRGTFRLSIDIVPSEDPEASHHPYTFDGPIRFSHHCSPTVGRIANTDSRLYSTWKVYIKGVRLFFGDQVQTWNQDYAKARSIFQGPTSMAVRSVIHTGHRMLYARTAANGFGVLDTPDDFFHLLHGHADPKDAQGGLFVHRIKPALYTYIIAVDDESLRFSETGARFLVNMASKHALHSNCAEAVRYSGEF
>EED78825
MWHNIIRLELRDAVTNPQIFAALLDLEMPVPSQSRTRVEFTETDADYIIQYLAKYTPDGRDRSGNQIWKALGENAKQKWAWSSRHTWQAWRNHYVKNREYYDHKVSRLLKKQRKLEEDPSYIPAPSNPKPTPSGSTRTAFTADDDKHLVAYLAKHSITTNGRLGNSLYLKLMEDEEGKWPWSQRHTSHSWRERYKTQRAEFDSYIEEWQRAHPTEEILETPGPRSRKKRVRNGTANGRASPSSRVPVVVEEDEEVKEDAVASGKHREEQMIHGKGKRKAKHTAEDDPQSTRRKRRRKGDSADSILAAEADFPPIREAEESKPIIGPSGFQVADPATPHEEDTRSVSSRDDTPTPVSRAKPDIAQEGKLYPDISAFAPPPDNSGSFPGAFPVTSTPRGQPGDHSGSLQIVSSLKAIQSEQQKSAHGPTKITQGPTPPTSVARSPSSIITDVAVSNQRTAVYRAGSAQSQVSVPADQGQPNSKSPTDDRLETPDMPSHKVADHHTNVEAGPSREFLKSSAKPKKSSHRPFMLKSADDITFASEPPTPVAASRESTPQPRKRPREPPRLDEGAFNNAFTDAKGRRRVSRDGFGPRVSGVEDTDDDEGDNESDEGTTDVGDWPPARRKGKDKEETAQRATSRHATAETRRGPSNSQPFAGAKSADTYASTSRTSQVNRQITQRVHHPFSQPTQDPGTQAMTQSQGQSQHHPFSQPTQQIDSVPKLSDFMTSRVDRSSTAQPSKVALPPVNHPPTRPFASGFGEFMRRQDTISQAAHAQSKVAPDRAIASTAHIRPSNSAQKVPHDAYEEEAGGSRSVHFQGDLSDVKGKSREMPPPTPYPNPRRNTLNGQRTDIAPQIYPQEKAPRVRMSEPVLPTARAIAGSLDDSLLGTSLRHRRSSLMNRPRSRATSLDPDLHLSEADESVIVQIGIDSAIQTMSENHGFNPEIVRRVWSQTGSLKETDVTLAKMREAAERAALQHLEALQDGDEQAAPRPARGRSSDARRSRSSVGGAPSRSSHVGTSSVLQIEPIIDHAQEGSDDEYSPPRRSRAGQYARLVKEGREDEALAREYSRLGGISPFQTPDKREAVDDGDEDEDEDEDEDEVEGSDDGSALDEPWGGDERMDVDPHQLASEAAGDGSEQTGLLQLENKMGQNSLRLMLAKQLGSFLASGTTA*
>EED78826
MNVMHRDLKPENFLVDRTGHIYLSDFGLCYVPKKGHSLRKCTAFDVVGTPGYFAPEALSPYVRIEGYKSSADVYSLGLVLLEVMTDMPQPYFNAKDMQENVLLMARDRQEWRRLVKDADAYDLLDRMLRLRATERPTTQQIKEHPYFKEIDWDVLARRGYLHVDTPPSKSLSRSDVDLTFSTFHRGKDSKYAELERTDAGRILPNTIMMDQLLAGEKDDFRFTRAKEHIARHTSTF*
>EED78827
MTNRRLSLSSSSSSSSYVSETPIGYTERLTQACSDLDGLPDVLHPASRPSSPRADILIQRIGDAITDAINDAVADPTHPKWGHVARVVKLGCTSRGYKGAEPGVVVGDMTKLVVRDVPLTLPETEEEWWEYEKKWGHKFRPTGLVSKYWSDSLEPSRPQQVQEHTKKLQTVQAKVAAWQGLVTPIDGGAVQDVQSQNITETVNGKSMTGNTTSPPQTNLPMLTHVSANTNVVQAGSQSADGTAKEKSKTKPLEDNVSQGSLGFSVVKRTVAKSGKPEKSGGPQLRQRKSAVQRNPTPPNAPIASISVDKDPVPTTSPNDQVHEGGIAAISEMVRESASIILRTLTIYRSHFCLRHFRLS*
>EED78828
MLSAGLLALSLLVSALGKPVARSLQVHEARATIPSGFVKTAAASPDTVLSLRLALKNSDTDGLIAALYDVSTPSSANYGQHLSKEEVDAFNAPTKESVDAVNAWLSEYGLSATSISPADDWLAISVPVSKANVMFDADFSIFTHESTGKTSVRTLSYSIPTDLVDHLSLVHPTTTFASPTGPKLPITVKQLSTDARKRQVSSCSSNVDPACLEALYGIPTTPATQASNYIVVTGYDDQYPSTSDLENFLGSYQSAESSSASYTVVELDGGSYDPSDPGDEADLDIQYTVGLALGVNVTFFSVGEDTSDGVFGFLDTANYWLGQSTAPSVITTSYGSDESDISVGVFNSLCNAYASLGARGTSVLFASGDGGVSGSQSGSCTDFVPTFPSGCPYVTSVGATQNTGPETAADFSSGGFSNVFGTPSFQSSDVSSYLSYLGTTNQGLYNASGRGFPDVSAQGVDFIIGYEGEFYTVSGTSCASPTFASVIGLVNDRLVAAGKSPLGWLNPFLYSTGKSALTDITSGDNPGCNTNGFTATTGWDPVTGLGTPVFSALLTAAGL*
>EED78829
MANVCNCKLPFWFRCYRSSAACLCVHCSNFLNEESHDSESDDSLGPLATITRRAKSSTTATCLAKYKTPKLSRRVRTYEPSLIKESDEVQDMATDVVKMHIEAELHDRTYRDYPVIDFVEHVWGYKPQDLPTDRTYTLPFGAVNGYAESTERAAYVHLVELMTTLVAQVYPESSADKLAATDPSSAISSLYSILLFEAHDTVDRICANDPDVGCETARGNWEWFPAYGEVKKTCIRKMAYEADMAIDLTRLHKVYFQQIIDQRYPAVRVTQGVQAAHEVTGSNEKRKDSPNAETNRPHKKVCLDVARISPTPAVEKEMKRGGITRQEAQIGSCATELMAHNIRCYGTGIIIEDFMVKLWYADRHGVVVSRPFDMFIECDKLLLVVAAIAGADIAKMGVCPLLRFPSSKFNSYEDVKLVFQPGPMTKGLPEVKFTIDTSRIVETDFGIVGRGTTIIPLKATAEFGSEDLVAKMAWPSESRVAEADYIRVVRSRLAKKPQYLRHIVDMKCWISRTIEEIQLPRAFMGLHNFGSNNIMFYRDGGRVVGVLCDWDMATHISHEDEAADDDFENVVPVSIAVKTERTATADGKPTANADRATDEAGVANTGEPPVDGDVDLKTEARCKARYRTGTGPFMALDLLRTCPVAFPRYHYELQSFFFLLVWFCVVYDPVKHEFGHFPEWENSDMFTIGLNKREFLYDVDLFDDISSRANPIYQPLWKSWVKRLRVVLCSYLHDHDGIRFLKESLVLHQENYSAAMVAETLEEIAATKKRLRDRLTRGKPQFSGRRALGIKPGRLARLETCLHIGRNELS*
>EED78830
MTEDEEDWEDYVKGGYHPVHIGDSFSDGRYVVRRKLGWGHFSTVWLARDTKMNRHVALKVVKSAPRYTETALDEIKLLQRLITSTQPPTHPGRSHVISFLDHFRHKGPNGTHVCMVFEVLGENLLGLIKRHQNKGVPMPLVRQIAKQILLGLDYMHRCCGVIHTDLKPENVLICIDDVESIIEAELAAQSASATPPPTRLVGVPPSRGRGGNQTPRSESVFITGSQPLPSPSSSFGSTSHLDRWSFGMSKIDGDGGVSGPASVGSADAVRPLDKRADSTEQAAERMSGVSLDTSPFGEKSKPRPAAGPSLLSQQALAAGSSTAPQPVAGPSGSRSSPPPYTSEPGSFQEGLAMPASSASAMSVDVPPLDAMEKITVKIADLGNATWVEHHFTDDIQTRQYRCPEVILGAKWGPSADIWSVACVLFELMTGGDYLFDPASGSRYSKDDDHIAQIMELMGEFPKSIAFSGKYSSDFFNRKGELRHIQKLRFWPLDSVLHDKYLLPKDEADMIASFLTPMLRLHPDKRAPASELVHHKWLEDVVVQGEVDVMRRTEEERRRRQALAAGNTAADKQPMKDPEADAMKPVDAEEAQSPQSMVL
>EED78831
MAPSDFAKADLAEVVEQLTTDEAILLTAGVGFWHTHAVPRLGIPALKTSDGPNGIRGNHFFMGTPAKCLPSATALGATFDPELIREVGRKLLAEEAKLKAASVWLGPTCNTQRNPLGGRSFESFSEDPHLAGMIAAAYIGGVQEGGIAACIKHFVGNDKENDRMAYDSIMSERALREIYLMPFMLAQKYAQPWCYMTAYNRVNGTHVSENPKIIGDILRKEWGSDALVMSDWFGVYSIDHAINAGLDLEMPGTNKWRTLDLMNRSIQSRKIMKRTVKERAAKVLELVQKCATNAPEILDGDGLEHTRDTPDEKALMRQLAAASIEQKIKKVAIVGGNAKAIVLSGGGSAALKPSYFTNPYEGIVQALGEVDKDVEITYSEGARAYMLTPSLDYDIFTETGQRGWMGSWYSHESDESMTPVAEPLKTQYIDETRMFFSTSYPAELTKRWTLRLKGQLKPRAYDSQFEFGLISAGRAKLYVDGKLVVDNWTRQTRGDAFFGSGSTEEKGVFPLKAGVAHAIYVEYCNVRAPAPNDLDEAVMDSNPGVRLGGAEVQDPDELMETAVRLASEADAVIAVVGLNADWETEGYDRTTLALPQRTDELVSRVAKANTRTIVVTQAGSSITMPWADEVPAIVHAWYLGNATGEAIGDVVTGKVNPSGRLSLTFAKRLEDFASHGHFHSENGKVRYGEDLFVGYKHFHHRKISPQFHFGYGLSYTTFKYSDLTLSAPSVSKDEFSLTAKVTVSNTGPVSGSEIVQLYVTLPSTSELTHPPLMLKAFAKVKDLLPGTSQVVTLSLDKYAVSYWEERISRWIVESGEYLVRVGKSSAPEDLTLGTTFTIAKGFEWNGL*
>EED78832
MSHVTQLDKYYIRGDTNLELRPIKDIESRTPHGCNEEHPRHQESLPRLMMLPNLHVDPMRVEFDLRGLPRGTGICLGLLQDKTVPEIAPHVVDVQKPVILPTHTGAIYHEIFITFWWPAYPRTSRSFRAPVTIRRNNSAMHTITRAGLLLQLVGVFNAFVELTKTEIPYPAAREWALLDQLWIVSLYQMSGPVFRLEIHAARPPVHPS*
>EED78833
MFGRLNAAVLLQLNWLAMEASKGIPVTHIFTSTEWTNLGRGKWWSTVLGDHGALLSVRSIFRWIVTAVAGHREKSAQLSLEGDFGSIVQASVNGSLTQTHDSGYISRSGPPTEKGTGDQHKNKSALKYNQCIFMHYYKMKKRLRIFPTILKAAAEPKDPDTGPPDDDNDFEIEQVPSSAKPYDPVGEVLDYILEHAPEATSAIASDFDLRLLCKDNDIPDDIPTFLQESQPQIEVNENGLGMLSFDDETVAQYQLRFSVPVAQLDSNPSSDAPIHESASLQTAAEEVGGRDDRSATSDHNKATDHVQRGTLSSPEEGHPPHQPDHTEESSKLMAEAALQPSVELVPPEKAGMLIAVEVSPNGQFAVAGFEGSISCTWDNEVMNPLPAHEDIITAIAFSRDGTLVATGSRDKRIIVHHVPSLEREITLEGHTNLIKDVAFSPDKELLVSGSVDFTVRLWSLTNGRKLAEGHHDAMVMKVGFSPDGTRFVSASADSTVCIWSTEDGAAISVLHGHMGVIHAMSFSSDGRRIVTGSDDGKAKVWSAVSGDCFVTIDEKAGVVRQAMFSPDDQYVLTAGTDMMARVNDSYTGERVQVIEGGLDLQTATTFTLDGKYVAAGGHGNAINIWNTDTGDRVAQFLGHQDTVTCIRFSADGLRVVSYSEDNVLLSWKVRDAIVTPPAAPLAAPPEEVAEPVDQA*
>EED78834
MAATGHLLSLKVMRVSRPSTTSAWEPFYSSSPSFSAHSTGSIVSLQGKTALPGHPKTLRDLSHVTEVLMLPSSFGAIQLGETFTSCISVNNEANMDVESVVLTVEMQTATTKAVLAQFGGPEQRLALGESLERIVSHEIKELVSYRLPPGDHATIPPVTDPNDPGLHVFRKFYKFAVTNPLSVKTKVHVPRAPSALLSRPEREKVFLEIHIQNLTEDAMWLERMHLECADSWKVHDVNLADDGSEMEKEGIFSGSMALMQPQDMRQYVYVLSPVILTAFPVAHAPGSIVPLGRLDISWRSSFGEPGRLLTSMLSRRIPLIQAPQTPNQPLALPAKQHASAIPLHLQRTASLTSGPPSRPRSPQLTQRPMSPPAAAAGATPFRPGSPFRSRTTTQGLQSPIPVPTSPNPAMIRKEDVEVDLVVRTIPRDALHVEQPFTVAFTLNVSAPVPLARLSESRAVRLLSLVVQHLRPPRPVQAEGAAAAPAPSKEDPYSPRLPASGLSSPSPYGTPQRADFQDFLARRLLVTSPRRMAIDDDAQTDGGATPAPFGTRFGAEVVSLPPPFVQAGSGAGGKLAECKDVVSLGGSAVFLPQLRLAPPSGPGETTGRTPAHERNVSTDTTDSEADSESGEAGGSLAVKVVALQDFELSYLPLKRGFVTFGGLRVVLIEDVTVNEGQEESAQSRHHAEDVRVLKEWDVVGEIWVES*
>EED78835
MAPKYFDAPESDDNDQWPADDIEGPDDDLDSGFDSMASDDYGDTDDGSDFGDGNDGNATAFSDGSDVDRLSKRLASVNFASAAPASRPMAKPQNRGGQRMCAVCGTRPAYSQKGKNYPTCGTTCAAKYKPSGNSGGGGRGGNNSAKIVILCVVCGKKPAYNQGGKSYPTCGNTCAGIYKTGTSTHLCVLPYVRPYLCWKIQYRHGERPRQRWRAWYYSAMRLLPPPAKAAASQPVRADVPRPVARGLPHVPLPSEAREVSFLRADMQDAGLENYPEDHGDSPGPCHMENGRDEVQQRLEAAN*
>EED78836
MANIHEPQAFTKYGWFACAWILVVSFQAVLTCRDAGPSLDSPTVYYGLPTCIPMSDATFSLLTSVYTVGGLIGSLGANVLMDRWGRKGASRCSSLVTATGAGLMAVSASLFPLTIGRPSMIESPTWLDRHGLLLEKDKAARRIWHSAQGPRSSELPNYDSQDPLLSADDPESGEITPAKADDAHEATLSVPQLLIAPELRRPLTIVCFSMLVQQLSGVNAVLYYSNDILSKALPDLGPYVSLGITIVNFFMTAAPIVLIDRIGRKQLLSLSACGALLSLLGVGFGLDVGAVTLASITILTFIASFAIGIGPVPFVMISEVSPQYAVSALSSVALSLNWIANFLVGLVFLPLRNVLSQGDPEKEGRSTGGNKSFTRVRGLVFLQDLMRNLAVRVSRLPAYYCQIENGTHSSKLRTDWVLPLNSYT*
>EED78837
MSLFAAAPPPPTKLGRYRQFAPRAAIHVSPLCLGAMNIGDKWHAIGMGDMNKESSFKLLDAYFDAGGNFIDTASNYQSGSSEEFIGEWAEARGIRDQLIIATKYTNMFKAPNEEVKQKVNFVGNNLKNLRLSVESSLKRLRTDYIDILYVHYWDLHTSIDEFMDGLHNLVTAGKVLYLGISDTPAWLVTKANAYTRSHGKTPFVVYQAMYSVLQRDIERDVLPMCQHEECASSGMALTMWGVLASGHIRTDEEEERRRQTGEKGRTVMGPWERTPDEKKMCDALVVVAKQVGAKNITAVAIAYTMHKAPYVFPIVGGRKVEHLMDNVEALGISLTREQISYLDGILPFDKGFPNTLIGEYGTYPWLLASNANFDIQPLLPPITPSVN*
>EED78838
MLKKVSLLIKTARGNADLLHDSLVNSESPRDLRSDIVQMPWVAAEARKRRGPNGAAASVYVKELQAVDGQLLSVLQLYDDLIRVGYNQQAQQRVKDEHALRMLATSGMSGLSLDDASSRRNGSQQPESSTSTQRSELERLFVLSQIGLGNADLLRDLLVKAQPADVEGPLIQEFLKACKDSQNEIFEQIRWADVEAARSRQNSHCSTSTREEQLLESLLKTDNALTQALKMYDDLVRDGTVTAGELQASISSAGSRHDPQSVAELCRNARGNAEVLQEALAHAMPSDLNGELIQEFYTNCQASQRQILDKVPWATTEAEGDLLGELLQAVEEVNKVLHQYDIIKAGKRPVQSHSIEHGPEAPQAQRSQTEHDAQRGSVKADFGSNLPPLTSQDRSKFSKIFLGNNPQNGALSGERVRDLLLKSRLPPATLSQIWDLADIQRRGVLDVGDFIVAMYLVQACMNRQLTSIPDFLPTEIYEQAHGQVPSGISDHSNGSPQLGRSRSASSPATSSIEGLVVPTETKAHADRIFDTLDAKSTGQAQGYVVASFMLKLGLSMDVSNHIMVLADLDKKGYLTRNEFANAMTLVDMNKAGEALPGASSTSTLHSDVPPVASSSSSQRRRQIKSSLIDFDAPVDAPLAESSTSSSDVGAASSRLAVDTQSRPPETTGTSVPPSPFYVSPSRLTPEPSMASSGSDHPGGWAINPVSKARFDKFFDTLDPWRKGYIEGAAAVPFFSKSQLPDNDMAAIWDLADINHDGKLTRDEFAVAMHLILNRTRGAEIPSTLPPSLVPPSLRSPPPSQSRSPRYESPPSNPPPPLEIAPRSETPPPPYEAVAGNLV*
>EED78839
MGPTGAGKTTFINLANGSQLRVGSGLESETAHIQLSKPFILDKYQIVLIDTPGFDDTTKSEADVLRGIAEYLATMHTRKQYLHGIIYLHRITDNRMGGTALRNFRMFRELCGGDALANCAIVLNMWNEVSDDIRRAREKELRETDVFFKPAIDAGTLMMHHEGGAPSARAILSQIAQNNPRPFLIQREIVDMGKPISETSAGLVLLGDLAAKHLKQLAQLHEMEAELE
>EED78840
MKARELRLGHSKQIRKYARSKVSGPLQSQVSSKRSSRGKDTMLALKAKIRRLEAQVETISSGQTHSAQTTSQIHRPSKGSAGDGFNLQVEMGLEDDDRLYKSIWSKLTVSLCNQHKRTIRTIANGSHLDWCLPWYRQDKTKIGRIMLLARKRQPYLERFARDWATEEFLKSHLKNKRRYNKRMGYDDEMPDSPEEPEENLPFIDEGEIDMAEEGDDHERDEVEHESARRDSEEDL*
>EED78841
MADPTLLLCCALFVSAAWLLRTQFRQRSSYRIIHNIPGPPSNSWLKGNFMKFFDRHGQTFQQDVALNYGPVVRLEAFCGKKMLHISDPKALHTILIKEEIVYEETDAFFASHEMPRSFKLLFGDCLLATAGEHHRKQRKMLNPVFSVSHMRHMLPIFYSTIFKLREVVRAEVQSGKNEIDVLEWTGRAALELIGQGGLGYSFDPMVANTETRNAYGDAIKSINSNLLAVENLRRYLDILIDLGPRRFRRFVVDMFPNPHVQRLKYVVDTMDEKCREIFREKKTALAKGDEATLRQVGEGKDIMSILIKANSAASKEDRLPEDELIAQMSLLVSAATDTTSNTLSRILHLLAEHREMQEKLREEILKSGAGNGDISYDVLNKLPLLDAICRETLRRYPPVTILVRAPRKDSVLPLSEPICGVDGTMIREIPIPKGTELLIGAFGCNVSKSLWGEDSLEWKPERWLSPLPRAVTEASIPGVYSNLMSFIGGKRACIGFKFSEMEMKVVLSVMLSNFTFELTDKTIEWNIANVWYPTVGKDSDLPQLPLKVGLYKA*
>EED78842
MADPTLLLCCALFVSAAWLLRTQFRQRSSYRIIHNIPGPPSNSWLKGNFMKFFDRHGQTFQQDVALNYGPVVRLEAFCGKKMLHISDPKAMHTILIKEENVYEETDAFFASMKLMFGNCLLAAAGEHHRKQRKILNPVFSVNHMRHMLPIFYRTIFKLREVVRAKVQSGKNEVDVLEWTGRAALELIGQGGLGYSFDPLAANTETRNAYGDAIKAMNLIEVEFFRRYLNILMRLGPRRFRRFVVDMFPNSHVQRVKDVADTMDEKSREIFHEKKTALEKGDEATLRQVGEGKDIMSVLIKANSAASEQDKLPEDELLAQMSLLVLAATDTTSNTLSRILHLLAEHREVQEKLREEILKSGAGNGDMPYDVLNKLPLLDAVCRETLRRYPPVTILVRAPRKDSVLPLSEPICGVDGTMIREIPIPKGTELLIGIFGCNVSKSLWGEDSLEWKPERWLSPLPRAVTEASIPGVYSNLMSFIGGKRACIGFKFSEMEMKVVLSVMLSNFTFELTDKPIEWNVAGVWYPTVGKDSDLPQMLLKVGLYKA*
>EED78843
MRACIAVPVALAIASAAPALAAPFPPALDAGHTVHSRSGVQCVAGYHGDPSSMSYRLWKISSTMSGCSPPDIIHKSVKRDDEELFARAVADELVAREPGLDRLLAARISEDDGLYARGIHWDKILLQGPGLHVNTHYARAAAQAHTAGSEALSFEPIPKYAGLDEMHWSSHSHYPRVPARLTGGYAGDPSSMSYRIWEHTNTMENGVQSLKPAVPKHVRREDLEHLRLGIEAANRKRAHRLAPVIGKAMKGIEQIGSSLKREDQELLTRAVEVLSARELDEELYAX
>EED78844
MSMNLTEQPYHHTDTGLIATGRGGVTTTSTGSENARACFSHGPHTRGTSSSSDSTPSPSRDDARERDRACEYSPREWVRACECAYSPLERALSQLARERRPSLDPDTDDVGELAASIKECTTGASSTRPPAGSSVNVCARSRGTGPSESRTGGWNAGRGTEGSVCRGRPRRGRGPVTRRNGVGAERRGMIVIVVNFERASFWGNSSELWSTRTAAARIDDSELDSDDWTDAGSWNGCRQISDRPKQSG*
>EED78845
MAGARIPLLIDGSTLEGGGQLLRNSAALSALLRRPIAIENIRSGRKQSGLRPQHAAGLRLVSELCSGNLIGSELGSTKMEFYPGHIRLSEHYVADPQTAGSVTLLLQVSLPCLLFAPPARTYVPTHLTLRGGTNALQAPQIDYTLNVFLPFLRRHLALSPALHIAKRGFYPKGGGEVHVSVTPRTDPLPPVTLTERGPVIAVNGRAYVAGLPTHLAASMRDGAAAILVAAGVSAEVIHIETVREKPSEAVGSGSGIVLWAETQRGCFLGGSAVGSKGKNPAAVGQEAAEELARNLRHGGCVDEYMQDQMIIFLALAQGRSRVRTGPITLHTKTAIWVAEHLTDAKFEIREETDQHFVVQCDGVGYIAQNDPEGEAPSGSNETACATG*
>EED78846
MIQMGQVMIIFPDWSRNTTPRSSTRVKRFGRSLVRFRAMVQDTSPSSEMYLARSPNGKCGGWGIELTEEENGEVDYTNLRECNVLWAVSVPAESAWCAEELDGSQTRIYDTDSAEKLKSTDIVTFVGILTTESSISLSYSPADLLTARTSVSSTGTGLESRDEVPTLHVLYIREHTATLLSRPYPSTATPDADEHSAPAKVRSELISWMAEEALGGDREAAEWMLLASIARVQSRSPPLLPPSLNLTHFPSPSPTPSTTDAPPTFLPTLSTVLAQILPLTHTLPLSLDVLNKDAFVPESKEEDLHAGVLQLPQGTVLLVTEGGVHEGKLVEQGILNVHALQEVMNTQTLAYKFPFSQFSFPTDISCIVVSEGSKSAFFRTNISVPLTTPKDPEAIARLYKPVEDINLPSAERLAAFRDLLVGARAGKVHVSEETSEHIQRDFVRERQQDRSVTSDDLIRRMTIAKLYALSLHETELTVDVWERAKAFDERRRAACASTTTSSATV*
>EED78847
MVVCEASQIGRLVRIQLRPKARTVDKDISRLFELCQTRKSGQPRAEKESATGASGRSFLALNDDVLLAIVSFLSQEDALKLSCTARGTRSIAMRQVNPKLRKLSMSMSQGDLDPMDVFEGLTRLSNLQTLAINDASPRGERRRPESQRSRIPVVPSMKRLDLSYCRDVSGLRLSPVRLSFTIGTCTPSFWETLLGNLPRLRALEVELIDLRLEDLGQVTLIQWTENMLRFLRPARIACLKIQTNTITCSVNKTSLQIAMDPERLHSLPRLVAESIPSMELFAVGSNYLQPNPYLTHWTRIHVNEDNQRSVVHGLRLFVCKEQRLSSLTFVSFNADIVHSEAYNIDRFHVALNMPGSCSETWQGAQHSSQFSTGSGMRLQIRPRRHTPA*
>EED78848
MISWWSILSKAHLRRHRGKSIGRGVVCTDPEAQVVIALDVKLVSKQHLFRTDVHRVRGAAEDILAVLGIGNLSSGSPVEDGTTPSVDVDGEASGVDITGVGFRPPIAIFVNVKHVEETDDLWRGDKRTREVDATLSSDPALAGRAVLFGGEPTALVFEVCRADDEPIDATRVKCGGPLRIEVNGERFPGYVRGVSTDADVSRAAYIERA*
>EED78849
MSTTGAFNTGEVRPWNKPQLLNSRDITFDKPFVAAPQLPMGLNWLDIDANADIRVKAYTTNITKESFTAHIDSWSDTTFYSGGANWPIITPAHLEYQCGQFSTEEDHPWEKPQTTTQRRISFSRPFVTPPKVICFFNQVDIDKNHNWRAKTYVSDIDATGFTIHIDTWFDTILYSATAGWVAYPEDREYVFSGTANTMDIRPWEKPQLENSAQIKVPFDFWKVPKVFMAINQFDIECGHNLRLKVHADNVTANGFTWHIDSWNDTVQYSAGFSYLCVV*
>EED78850
MLLAHLFSFLFSCLVSAPHCRPGPSHKPVLDLWQPRASVYDICLSWCFRLSATPSLCSGLSPQSRLHGSTLWRCAASSFKAAASSHQGGYMLGSKVNSWGSPRLDVGTGFGVWFYPSVACAKPRRRHKVFLAVAPFSLNALPTVSSIAFKDASTLSVDQYVGPSPSKIVSSLLSLPPALSSLSSSLLSAHCRPMKRSYERSKHMHHLRYLEVPLSAGCIYA*
>EED78851
MSHGLRSIGRRLSASTCTCLVRLYERPSTGSEGVPATAMTCAAHGALHIEYCHAMTMRGHGVIWCNAKLFLRARFTLFTPPRLRSSAAGIQLGEEGSKVWTGPNREPESEFIKVLQCPQQVLKESGTLLRFSSEMLWRAHSSPRMEAAADLQNHPWYPEHLEAIPSQYSSLADAMIPHRQSEAHTAIKLIEQRQCEARTTFQAQEPAVYHNEVYPRVFGYAHLHNDFPKGGDVRTPTHKNMNMNRKVTLRVDQELRSQKQERRQGLQKAGKTCRQKHVATREGQYAHLLVSPRFLCTTAAAIWWSAEKSESEIQG*
>EED78852
MHALHMFSRKHLVHFLDPFQKETFYTAGTSTLREYPPCRPRSPPAQPIQSNGSHGRRQDNVHQRCQWFSSTCGDWSRVPDICRRIFETILAREKPKVLKAIAEFLARMRRQNQKLHGVIYLHRITDNRMGGTALRNFRMFRELCGIKALASCVIVLNMWNEVSEDVATARERELRETDIFFKPAMDAGASMLHHTNSPSSAQEILRDVVKKEPVVLQIQEEIVEQGKPIYETSAGVALLGDLAARQQRQLAQLQEMQRELEEAIDQQNESDRRELEEIEAGIKAKTAQLERDQESIRKDPIPEPRIDCRPQPKWMGALLVVAGVLTFWKRGRAQNG*
>EED78853
MSSTLPFLDQFNAPSTEGRKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKTHHSKATNATDRAALEAYLSACREYDEAVKAADEAIDHHKRLLRQQDNRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPCAIPNAYLPLPAPLPTSAPIPSPFLQATLRSTTIPADWQPNPGDVDRLDTGYETVRTYDVQDVEKKPLDTWSESAEPGR*
>EED78854
MDPASGREPIFDALQHSAVPKAESANVVFWVDIFILCFIAICTLFQSPRYFARLLSPDERGGGHFLSRNRVARTVASSPSLIKTSDSSADLVKRAHSGKLEQRTNAFVPQHIRAWPTVLRTTTAFLRIRFLGRIHLGGTMLLTFYFGLLLFAGLYRDSLFTNQMRAAYVAVSQIPFVVALATKNNIISMLIGIGWDHMNYIHRFTGRLLVIAANVHAIGYIYRWTILGKFTRHIEQPRYIWGIVALVCVDILFFFSLAIWRQRAYNVFFVSHVVAFILFLVAVCFHMRDALPYVLVGAGIYVVDLLLRFAKTRICAANLQALPELDMTSLQIPTLNAGWRAGQHVRLRVL
>EED78855
MNEKQSFIIEDLDDHHVVIKADEEWRVRRELEDELEKNTYN
>EED78856
MFLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRKYNEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSTRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPVAGPLCPRPDTPVVLRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNVGRNARKEWFNEEEDNGVDWELYGDGEQNVSGLKKLAAHDYEDILQSKGKSRASHMARNVATTGCSSQHKIKKFNLSTSKLHSLGDIASAIRQYGTLDNYNTQNVHYLVVLSPPKLEWWFPDVPMHTSMVDTQDFMEVDLIPLKVHGVVFFSYSCLHAFARMIFPDTSAPGGFETQCPHRVGFVDEADPNTFGFLDPNEVLHAAHLIPHNRDWVYYYVNMHVDCNMFMHYLGGGIGHKGAGASIAATKITLQYARESMPQGASSGSAREEDIEMGDEDDTFVNPAEDKDIILEEELNYGYRLTEEDGEDEPHEEAFGPEDGKDDWEEVYKTEGFAQL*
>EED78857
MERKPESPVHPRVAETKLSETPLARKATSTDAYLQGNTNARRKAVIEDIGETIPEVSVKYFLEALVPQLRRGLSVDKTIKKLKLKGDITPDGHWALFSVSPGKAEPVEDVYFQPLVDVAKSIVSQSGGNANDQLLTLVQRPREKPMAYVRSSETKPDGCFVLKSKTPDAARLRWQDMALCAEYKKQDRLKDRDDNVAKVLWSMHQCMREDARHRFVYGMTIENETMRLWFSSRADTFVSKEINWRTDHETVVHFFLAMMYANEAEVGWDPTIAYVLQDGEFVPGEDGAPQLEICVQDESGKADVWYRTHRVLADHGAHGGPGRGTRVWEAYELTGQHGEKRGNPVALKDSWIDHNRPKEGQTMEEIHASVKESNPEDYYLLQKSLLTVLRHGTVSVKIGRRWEVDHTRDLMRRELDPSSDYGSFKLRELREDGISSENIESTESNFPSNAGTGHHRSHAPQQRPSTPAPRLHHPRVHYRIVFEEVCVRICDLTSLEDIVHVLIDALGALIIIHGAGWVHRDISSGNVLAERLPDGTIRGKVTDFEYATRFGDTQREPHEMRTGTQDFMAIEVDVMEYSFFNWTEDAPDQDAPDEDASDDSDGSPWTEVMASAHQGRKQTPADAEPDQPAPEPPAFRYNPLHDVESLWWLSTYFVYNKVVVLVNGVKPSMDAELKGSYNMQLLYASQLFWTQRIRERAMIKQGAFRREVIHLHAVIRPAGYLLDTMRCRLNDRYRRVEENLASITHTVAHKVAVRIMKDFGHMLAYIKGLTIGEFKDDPRRLSPPMTKTEGKQAMMEEASNLNESDDDALDMKPIMPPLFEEQATRDASPSPRPSASQPWDLKVEMQPIMQPFFEAQATRDASPSPGPSSVSLPSDLKGRTTSKLKSMLKLKPKTKGK*
>EED78858
MSHAVRDPLARDPSRHGSRSSHHSRSPRHSQGGSPLFPFPTDDDTQMPDEQPVQPQVPLRQPRPRHPPRSPPQPTPVPNAGPRQPDPATAIFTQAFAQIAQTLQLMQQGQQHGSAGRKPMVNKPKDFDGDKELYEKWKMEMRLLIADHQISDNNRKTNVIVSYIRGPKVDTFVRILYNTNCTGGYWQISSTELWNILDDHYIDASLKEKAQQKIEYIRQGSRSADDYIVEFEDLASQAGYRMVHPYPRH*
>EED78859
MSARIVHDFLAVLDVVDCALSKIFAHPPPPARSIVEENTDSRLDYAPLPSNFPTQQDAVGSQLVGTLPNPWDIAAINLIKVFQQIWDLVFPDILYLVNDKGAVYALAQQKIYDWRSGFAKSAADHIAHFFQLNVPEELKRRRLENTGKGYVTYVAQQIAGNYLFLYGDVKVSWNKPFQSQLVLAILAYHMDATAGVISYIEATPRGALAMSTVAVECAIGMYTTGTYITPREKLAEQIWSRVLNLYKISIETLMPGTWDVIVDSANGLTALDRNLPNLDEAAGPVDGLFEARSMVQLTRN*
>EED78860
MQYVRKKNGTDDELELDKEGKPRLDIDVRDQNGTVVRYRTIRWLSDDGANDLRSRGTRVWEVCILDKNKEGVDRFALKDQWIDADRQREGMIIEQLRNANADKQTKDAIHQSLLTVEHHGDVYVEGMLDHTRSLMARDGVPPMHSGSKLQQIPEDEVEETTTTKLSKRTQAGVGNYQTPDELGTASAQKIETYHAKVHYRIVFKEVATSWVSFSMECCVASCQISNMPRCWVMVWATKSGLSFMSVEVYDLEYRFPPESQEAKPKPPPKVATLDRIRSIQLGKPEKIATPVSKNVRTVKVRTVPFRYNPLHDIESLWWVAIYFVLNTSVVKVGGEPPSMDASQRGHYSSQRMYTEFLARSSHARFMALRYETDLKKQMVYLHAAIRGIAIKLNEIREQLVSCYLKAEQDLGAIDHTVGHDLLYNVFITLFGKIISKLQEKDIQIDILRNDPRQRIEDPFEIPSADGQNSVTDGNGPADDDTEEDSDGGDQGSGLAALTREVSVGDMTEEATAKDGANDVVVEDPDAGRSVDKATESSVPQISTEPLVEGRSTRPQRKRAPPVRYGTESNVNALPMCPNSSWAAERQAYGTARSMPVVGSVVMDPRDLRRPKMVDMGSWHTETLSVSLSEWRGAKLETSGWRCEEAGQSSETGTLLVSLMVTVRIRSLSYSFTPLPMFQTLSSFLPSTIQQFGSDKPAPTPEVAPALPRQPPPSDMRPVEEQPVLYHWTLLADETAGSSGHPSYTSAMARKHCYYANFADQLRARSGSRKIFGKLFKRKEGDVGTPPPSPLPLNQSVMPSMPSMDSTRSKRTSLLSAHGHVLSASVSEPPLQPPVLGIQPSLRSPTVPPKGRPTKYVWIVRKWLKGEPESMFRDVKDRLQEARNGNAAAGLEGVVEVRFEWSRGRSTQKRGRDGEHVRRESTRRRNRASLVGSSATPSTASLHHPSTQSPVRQPQSQSQRTLSPGTPHGARLPGPADVEDLLNLSGALVSGLPRGEGLELDLAINAGGDAAQRREFCGSALLTHGFVGTELGELHLCHGSVVEIAKMGLECFKEGYFVGKGGRRSLDPNLDRGKLGEDGGGPRSCIIIEETEGNLNLQLDGREGRVDRPGWQQERTLSATRGTKDCMRTEATVVTVHRANFARNECSSGLAAVTTVKTIEEARRRGDVGQGLKSRR*
>EED78861
MFQTLSSFLPSTIQQFGSDKPAPTTEVAPALPRQPPPSDMRPVEEQPVKKKKERTNELVRAPISHERHGSEALLLRELCRPIAVCRCGRCPPDVLLASNTQARKVMCRSQTALIIMACLWASHPQDPIVGACKLVRAIPARPIIELIRIIVCGFGVDEHPIAPASRAAESHPLVHIDDTVPECYETYASFIVVRPPPSKTNHPLNLQVQLVPPNSKERPSSRQSTDSSAEIDAQSLSRTPSNRSDASLYSSYSSAGSISTVGSSSTTSSGRRMIIPLYNLQAHNVMQNVIVDAGTDAKVAKFLKRGLEVIGLAVFEPVEVWGSGAVGVDETGRRVSIDYGRGEPAHTPTSSAVSLNTYEFAHEPSTTPLARVVSPPATPTTSSARSGSRKIFGKLFKRKEGDVGTPPPSPLPLNQSVMPSMATRSKRTSLLSAHGHVLSASVSEPPLQPPVLGIQPSLRSPTVPPKGRPTKYVWIVRKWLKGEPESMFRDVKDRLQEARNGNAAAGLEGVVEEDRTSASKDARTQDGARALKQCISVLHVQDIAGVVILAAEAFRRRVAKGSIARRRPIVDRSGDASRSARTPPQCVDPARASVAAGP*
>EED78862
MEGEPSSGASKANPSANLSETPVRVKAVQSASYMEGNLDAARKAVMKDLGTTAPEVGADFFRTYLLPRLPTGVDINQVVNKLHEKHIKGNRWVAFLKDPKDADGSENVCFKSLETVAAAIGEVASTMTGKKQLLKFVQNPNDAPESSTRTSKSRPDGFFIRNSGPEGKFRWMDIALSAEYKKVENAKTKDDLWQDVRKVIWSMHHCMREDARRRFTYGLTIENRTMRMWFCSRTELLVSKPIDFMSEHHKVVHFFVAMMYAAEHEAGWDPTMQYVRKKNGKNDELELDKEDKPRLDIDVQDQDGTVVRYRTIRWLSDEGANGLRGRGTRVWEVCIFDNDEEGDERFALKDQYIDADRQREGMIIEELRNAKTKKSIRDIIDRSLLTVERHGDVYVEGMHDHTRNLMTRRGEPNPYLSFKLQQIPEDGETMTAKLSKQAPAGVGHYQTPEELRTAPAQKIYTYHPKVHYRIVFKEVCKPLREYTSLKEVFEILINAAFALVALHELGWVHRDISIGNSLGFVVNGVLYCKLSDLEYAKRMNDRSGHEIRTGTRSFMSVEVYDMEYCFLPSELDETEAQETTPPPSEADPDQEALDWLESFQRGNPVNINMPISKDGPIVPFRYNPLHDIESLWWVAIYFVFNKSVVKVGGEPPSMDASQRGHYFTQRGYTDLLTTSPQKRQSALGNERNLKNQIIYLHSAVRDIARKLNEIRKRLVSCYREAEKAPGAIHHKIGQDLLRGVFMRFFADIVDKNLREKDIQVDILPKDPRQYVEDPFEIPTQADGQDGVTDDNGPADDDGTEHNSDEGDQGSSLAALIREVSVGDVAEEATAKDGAQGVIVEDSDAERSVDKATSPSAPQISTGPIVEGRSTRPQRKRAPPVRYTGTEYNLKKGTTSKTASSSSAPTTATQAATGQSTSRTTSNTKATTKSSTKAKTKAKAKAKAKTKAKTKAK*
>EED78863
MHLLWYLSLFALLFRTGVLAAAFDLLHDYSGSSFFTGWEFYGKWDNLTLAGNVTYQTALLATEYQLVSVNEAGNAIIRVDNRTTVSVGERRNSVRLTSSEFYDFGSLWIIDLLHIPYGCSVWPAFWSTAPNWPDGGEIDIIEAINLATSNQMALHTTAGCTHYPQVNQTGYNIDTDCGTGSGCTVGIPANNSYGPGFASVGGGVYATWFDESGIFMWFWSRPDVPDSIANAGANSSMDVSTFGIPTASFPTNTSCNITQFYKPQQLIFDITLCGDWAGVPGIYDSQCYNAGPNHDCYLDCVVGDGSNYDDAYFEVRYVRTYSDRPVTPSTTGDPTTQTSQASTQPHNAARSTRAEWRWWLGLPLAAICADMLLRLL*
>EED78864
MSSTLSFLNQFNTPSTEGGKRISIYTPKHTHVGDSTLLMLLLSNPTDVFNKLKTHHPEATNATDRTALEVYLSAHHEYDEAVKASDEAINHHKRLLRQQDDHQRCGTLTSRLTGNPTLDPEGKLQAMRIVSTLEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVINNVFLEEIINEAKERKKKERQTKVVPVPPLRSANPEPLASPVARPSHPRPDTPVVFHKVDPDWTPDTTQWTWDSSWPRQEHLSGKEWKNVGRNARNKWFDEEEDDGVDWELYGDGEHLHNGVRAHFIPSIIPLCFFLY*
>EED78865
MRKDLGKTWADAYYEKLAGGVQVYSTWANFVAALEEAFPEHRTRIKAHQILMKLPERQRDRKTALSLSNYVTRFEQLASKAQLKDAEVNGTNRIENDYHTLHANFVKGLLKELPGTTKYETLTQPNRGLSLSQTPETMANQWISTPLPSHQPSPPYREEGNGN*
>EED78866
MPMPYRRRPGPRAVRPLLRRPVPYQSSRGRHARRVSLSAELLPTAHP*
>EED78867
MACLSASSHMVNISSSILRSPYPERSAGPLNNAENSAASFASSTWAAASSLRLPKRALSAVAKAASFANGVVTPWVPSYTDTSWAKNGVAQIQASPLRRLKATCTLSSMVVNDVLTSQYMRSWDRNWSHSITVFPSYHRGSRSSSVSLLGGDAGDDITGDEGDGGLPAGEGGCCDCPPGELREERVLEWPRGGDGGGGDGGTSFVGSDGPQSATRAWLLVTMEEQSFGSVTLWVIAGDVNLLLGVECMLELRAVEEFVSHSDGGKEGEFMWGPVLLLASMQQEPSPCIHEDKYRPLKCRY*
>EED78868
MERKPESPVHPRVAETKLSETPLARKATSTDAYLQGNTNARRKAVIEDIGETIPEVSVKYFLEALVPQLRRGLSVDKTIKKLKLKGDITPDGHWALFSVSPGKAEPVEDVYFQPLVDVAKSIVSQSGGNANSQLLTLVQRPREKPMAYMRSSETKPDGCFVLKSKTPDAARLRWQDMALCAEYKKQDRLKDRDDNVAKVLWGMHQCMREDARHRFVYGMTIENETMRLWFSSRADTFVSKEINWRTP*
>EED78869
MYLGRSVQGMTADDTGATITANLFANTAPDAVPVSHSLPATIPFQTESEEQEGIERSLQADHALLDTAIPRGNHIKANDQETSSVNPVVSSDSSDTDSNKDKPKKRRRKDCSARALELERKEIIEVAYIHYKLQIMTWEPWTRLDQQAVEAWGDASEELGVGYVFDPRTQECTLIKVRAAQIRGVGKYFKAAWHVPMVQMITTVQAAIEEWKTGMHQKVEFRTTLYESVFQSHLAILRKWDEFSATQSQAPQIMHQDLLRTLSELGKIYIWINLKWHGLGIFPDAVPSCCTSQTWPSGAICW*
>EED78870
MPGLIVLDAATREEEQTEREEGSIRCACAFAELRWRQGIGKLLGEFESALHGHVIATDAINGNNLCDDEGDKHKLDESSFERFWSKAWNERLTFRKRGWASKTMKTRSSGGDEVVQDNWDTFSKDVNDIEYLHNPSGRCMFERLEFQDLPLSEEPSIYRELAIYDQLAADFSWRGVDRSIAWVEAMRQEDAVALHAMQVPVDGDPPPATPDEEVVEAGWGDDPEPDFSMAPVVRPPVVADEIKVVVFDLYGVILHTIDGLTRLYLEAEAHLIRDRPDVLGEQLVHAALRDMLCTVGLCLADDIIREKEWGFETMKPRSSGGDEAVQDNWDTFSKDVNDVEHLHDPFGRCVFERLEFKDLPLTEEPSIYRELAIYDQLAADYSWRGVKRSIYWVEAMRNFANEGSFVKHPVHPVDHAELCSGEPPSFSADLKEDAVALRAMQIPVDGDPPPASAVEEVVEAGWGDEPEPDFSSAPEALPPVVASEVKVIVFDLYGAIMDRQEALRRALSTFIPLDPHQHTVDDLSRLYLDVEAHRIREMPDTSDDDLVRGTLSDILHIIGLHPAGDMVQRALDAIRPAPYVDVPDALRTLQQRGYKLLCLVSCDASHVSETASFLPPEVRTLCVSTAHLHTPSEGVCSQVVEECQSIVPEIKNTEILLVTTGLYRIVELASAAGIPTALVQRPSVDEARLERATGQDELSSPTMTIGGLGELCDKLALMRSESLCHREPPLFSAAVKEDAVALHAMQVPVDGDPPPATPDEEVVEAGWGDDPEPDFSMAPVVRPPVVADEIKVVVFDLYGVILHTIDGLMRLYLEAEAHLIRDTPDLFGEQLVHAALRDMLCTVGLCLADDIIRCALDAIRPAPYADVPDALRTLQQRGYKLLCLVSCDASHVSDTGTFLPPEVPDLHTQTEGVCSQVAEECRSIVPEIKNTEILLVTTGLYRIVELANAAGIPTALVQRPSVDEAQLERKTEQGELSSPTMTVGGLGELCDKLDLMRSGSIG*
>EED78871
MSENTSRSPATDEPTAGPSTTPTSSSPRPSAANKSGKSYTRSSRVAEASDNPTRSNATFPHHITGTFNVPNATESNDVFDEPIVPAPPARRGTKRRRTDGAGPSTSGAPSSKRKKKGKGCAAASSRNTLRDGVDENIAVGTPSSDEGVAALPDTDRRPILVVEESEPQVPYQCGVPGCTMKIGLGREAPSTHLEKHHAHLSRVRCPWPSCAEMSAEVSAGQLSRHILAAHGPLTIWRCPRCRMKFQWYYRKDTVGRHAEKCKGAKEGSESNVFGQPLPRPGSVATPAAAEPSPSACISSEQPPSTGSSTTLTVSSPLPSISSQSLVDAEQRTSDSLRVVATPAATEFAEAIVEASVTTSAMSTPSGSSPGGQSAGPQQRVSAQNSPRLPAVDMLGTASPGLGTVNIADDRTLLEADTQASTAVETMRRFLRKKTCSPHGWYRKRWVIRLVVGSTISFYLPKDITEWMITEGIASRYHRIYERHKRMPDVSGGSLEQGPSPAFDKELVVLCHLGALSSARTYEADTRRLINIDRLIVVIYHFYLKWWLDVCMELIVIMYTNVAIPPTEM*
>EED78872
MTNVTAGYHSASYSLMGLTHQRAWMQNGDLSTSAVRPSLYAPLPTGSSLQDFCAMGKKFFIGSLLLAIPLRIIALTVDTFTSIYTMSHNVQNNARQRFYSGQPLCPQAMEVPPGSPLLIQTPPQPFVAGDLFPWFPTDVPSMPLRSVANAPHFIPAITAPPVFECYQRNWRPRQHISGQYQHGYIRARQPENPAFSHQQSLLAETTQSVHNPQFYGGFHVENVPVSYVNPGQHYGQLPPPATDPSLLAISTPITAPSRAPSITFGPAKAAQDGTSSAMIQCGTKRGREVEGAPQVVVATATESAPPKKKRLRTSRRKYHVHVNSQAHMDMKSGGNQPPSAKPPRQGTVHPIYLYLEEESEEQCPYPCIMEGCTYLIPLGRAAPWKHMEACHPDVAQTWKCPWPSCKDEAPKVSGDAFGRHVQTAHAPVRIWQCPICWKKSKPRTRKEYWVRHCDSCNASGLSDSATSTSKQSSSNVIDKLEENGSTKASLKKSKKGTKTQVSDVASQPATLNENGDGGSNGTCDSLEATIMLAFRLLGVLGPETESIGPEKNGIESIIEESQSLRPADDPFWLKDGASPMPPSSGGCLPPAPNQHTATSRSRP*
>EED78873
MFRTAAKKIAHNSTIPVLGVNKDLRTLQELITAEKSVLNSLQRLSADLVKASEALKAWGIGEGDDLGDVLTASCTLFLHFAEALANYANHEIPVREHMKSIRTREERLDDLRRRRKSLHSDADSAEKKLGKMSPDNKNLQVQTDLLNKLRDEIQIMDADIMAEEASLGDYKRTSAKAWMGLKFGGLAECSEKGVIIGEFGKMLVAEIPLDTTEPGLPRSVYQGHSNTEALVADARRALTNVVFSHEPNPNRLPNLRYSPELPSIPFAHRHSQLSYGGDMSRRTSMSPSSISMPMPSTDETSRLSQILRSPTTQFPQPSLYPPPRQQSLSYEQLQSPTPPPGSEAAEFGVLPDPFSPTTGGPQGGRFATFPVKAVGPRPPPPRSSQLYGTHGAEADRPPSLDLDRPSDSFSSSVADALGQEFEFEKGGLKSSSSGAGPVDEKRGSMSSQRRSYSPPPPQYSAVFGPQEFESSDRKGNHNSQLAYMANPDEEEEERQSTGNRDDRRVTFEELREPEAEESRTESDAAASSRAEQDVSEEAVQRSDASHSNVSAEVTRAAPPQSTPPQPESVAARTSIVSEQPTYTIPEDSSRPREPSPPLDGEQALNAAAAREVSRELDALMFSVSPQPQPSAADAPPADRTPSPLQPPRVSFGARSVSPRPKIEISTSQPGSPRLGPQYVRARDRSLASPSSISSASNGDQGTIPPVTTSSRFSADRGPASPTAPSISIARGTPSPAPSGMSSGTPFRTPPELPGASFYSLSSAVTGSGTSFASGGGKISAAAFRRQQMRSPSMPTLDLPSSADTGPLVVKKRPLPQSPSAQASGWSAQNAGAIPRVPSAGRRISQSPTRHEGAGSEDEYDYVSAYANETENGSGRGDGGYAQGKFATNLEDGSGLR*
>EED78874
MSRRDATPSIRKDLVDISNRAQKNGGNPDNVNGWRREILNKVNSLDNMKIEEFSNQFAPSSKPYRNWTAATKNVFSPLRNEELLKNEMHMYKHLVTGLNQLCKGMSRDRQLLFVNTHKTDFPPPLSQDTPAHGDLKPDLAALKPGVKTNKAFQDDKEKWKHVSLIVEAKGSKRGDPMTSTSQRAQQDRLQLSKVAGMLMLEQGTLCCFVLGIYGSKARIYRYDHASAIASTAFDYRKDPGFMRRFLWNFVNPKSGLETLGQDDLSSKPTKRDMEEALRRGDTSGKRYIIKESWRQVIRPLEMVFYQRIKEYCDRTNTQMFGVAYLVSGTDLGAREHQLKHRNSERPEQGERSHIRLVLRTVGRPLGTFRYSRQLVLALRDAILGHQLAYKAGVLHRDVSAGNVMIVEGQPFSGFIDDFDYSSLIDSSADGGSPLTDEELESLGSREAELRERTGTFAFLSEALLNISAKSVIHAVHHDLESFYWLLIWVVLRHTQHTHNAGETAFFEVFPGDNEALAKNAKHSFRTREEPLAVKDNPLDMDDWPENDAAIPFVPLPSTPDSPKNTKRESPGDADAAGPSKRIKAEPKDTESEIDGDEFESL*
>EED78875
MSTYSAYGFTKKGGPLEPITFDWKDPQPGEIVVKVLACGVCASDEAAKHQGWPGVKYPIVPGHEIVGEVAAIPSTESKWKLGQVVGSGWHGGHCHLCAACRVGDYMMCEKQDVNGILRHGGYAQYATLRTEAACAVPDGMDPAEVAPLFCAGVTMFNGLRNMSAKPPDYVAIQGIGGLGHLGIQFAKAMGYRPIALSSSSAKEELSRKLGAEVYVDGSKVDQAEALQELGGTKLIMCTAPNADVIRTLLLGLAVGGELCIVALAGEAPINLGALVTKRWSIRGWPNGVAPDSEDCVKFAKVHGIRTMVQKFPLEKAEEAYNHRSTARFRAVIIP*
>EED78876
MSPSHYTQIVLASRPADSAFIEADNFRKEVVPFDLKPRKGQVLIRVQYLSIDPAMRLWLSERKSYRPPVPIGGVMQAIGIGAVVASGEDTSLVPGDLVSGIFGMTEYAIQDATAVQKLELPPNTQALDFIGPLGHIGLTAYFGLIDIAQIKAGETLVVSGAAGATGSIVCQLGKERGAKVIGISGSTKKCEWLEQELGIDKALNYKSPTFKDDFSKAVGTLDVFYDNVGGEALDLALASMNLHARIIICGGISQYNTAEQYPLRNYFQLLSKRATMRGFSLYDYTARLEEGRKYLTELVTKGAIKQRYHVVNGLDTAPGAIGILFRGENEGKLVVKVSD*
>EED78877
MSYQQNSQLFAYLDDSQLYGLPPAFLYPSPPTPPNLLLSQLSYPRPAYEQSCQEWDQFLPTTGVPYPMYMPMASPPSVTSPGQFYGQPWMSEEMAHPQPYIDVAAFRPSSDPSKLFLNDNQWSSASDVSTYTAPLTSPTPSMTYASTASSCASTSSRSSPSTSPPMIFSENPHTGEDIFAYLEGTPNPALGLAHAPSTSTRGTVSPVPLPPTEPSGPSTRRYVMWNERLVVPNRRAMAASTSPLGSGTDHVPSLIPSDLPVGGQKEIKTENEDIVPSAVITGMMNGVFNNDHGRYIEAVDTHTTGNDIHVATTARPVSKQPRSSSSSVVTRTKTRSKTMSKQSEHLPMRATNTVKQATQQALRKQKRKAPDDAGADRPCAVKKAKCSSESTTGPKTYPCEFGCGQKSLIRYDTKRHMAHSCPWRPTRGRKPFPCSMCDKGYSRKDPLIRHVRNKHKCIEAEILHADSGGHNDH*
>EED78878
MSLASNQVDESTDGGEMLYRAHAMPIHTGADFVTTVRHDTYPAIDPAKADLAGKVVLITGASKGIGKAIAIAFAQAGASGLVLFARSDMSAATAACEAAARPGQDLKVLAISVDTTDAAQVADALAKVKAAFGRLDILVNNAGYMEHYKLIHEQDPEEWWKPFEVNLRGTYLVTRAFLPLLIECGGERTIINMSSVAAHMIHPAFSAYLSFLWTSISLNGLKVGDTSMARCRIDHLGVLEADESVTLFSPNSLRVERPMSHTQQADTNSRRLINSVARAHSASPKWVFGWALLPAKLALSSRRGYRADGQCILTGCA*
>EED78879
MTRLRRDTQDSSASSSQRPFRVVACGTLFLTHTLSLPTHPEPSTVVRASSVTRSRGGPASTCLAILAQFPAVHAILVAPLGGNDDGKQIIRELETERVSTKYCKIWEEAGVPSAWVLHADDTDARTVINNNPLPDITHEDFISLLGPLIAPENYAYLPPTSQAAAGPTHPIGSIPHAVQPRKSLSNLHAAVERSTVNTNTPAPFEWIHFEGRSVKTTLSNIMGLEGLARERKWRNHCTFSVDIGRRARQGVEALIPYADVVFLNKHYARAHSPQYASSPRAFLLSLTALVPPHALLVAYWGEEGAAVLSVPTREYFQSSGWVGLDSTNPIPEVSALSMRTGTDPGDHDPEDRVEMVSVRTESDFWAGQHTDSSSIFTAGAFSQSFSASPSPTLPRPPENVHATSAWTRETHRHRRSDAVIDEVGAQDAFIAGMILALGRRMLPGEPYTPMSGGRNNADAGEGEKGRWRLEECLRFATELAGRKGRRKGWDGLADEMARAGWLEI*
>EED78880
MVITVPPIRTSLGWKPAESIPTTYPLPNRPRRPVQGYEDE*
>EED78881
MSLNIPWLKTVLSRGLPPPLVSKIAGEHRDPAWDKSAEAQALGALLCCTGFLPVREVHEERTGRLSSGSASSTRQDTSNMSGLQMDVQEQQRRALHCARELVYNMAYLTRRQHWGPFVPVDPAAAEAVRTEVSTNHAQGYLRRWVRTHFEMDSDSEDVDYVPPGGDGRSLSSASSASSISPPTPKHEPEAQEAVPARRRRRVLPGAHELLPDWAWLAAARIISEANLREQGVTEGLDDFIDWNSLRQGAWLTTVQLKFDSTEVSEESEKKIVEGSVQGWDWAGAEGVWRRCVSWLDYADLVX
>EED78882
MASKFPLGSMVLYRPTRHVFAVSSGSRSGAPSTKERPCVIVGFNDSKPVLAPLCGALPLAQGQAGRIPKTKMVRTDDLITPMFSIPEDGSSLTREPILFTHDPLSPKGPQFPALKPSYLWVGDGGEAVEESIVSGLTTLSPYLKLDEEELEDLKKAWDYWIKAILGLGSSSCKLDRWVNAIIAMYDLYGPFAPGEKGPMLAERAERMVARGAQPMPTRTLISMNAIPAIAEYVSLKKNASRPTYQSVNTQFAVIQSPGADAKRDSPSYAHTDQHHVTNNQRCHEDGKFANVRGLREIAKKFNAFCLIVKNDERLNYAIYVDDPVLVIKFKKSMVGFV*
>EED78883
MRLLRASRISSAPLSDIPLTQPINFAPPARRRTKRRWNDDPSTSDALSAKRTKKGKGRAAAPAWNTLRDRADENTADGTTPSSDEGAVASPNANSRPVLVVEESEPQVPYQCGVPGMKFQWYYRKDTVGRHAEKCKGAKEGSQSTIFGQPLPRPGSVAAPATPKPSSSAGVSSERPPSAGPLNVSTVSSPLPSQSVTDAEQGTSDSLRIIATSPRLTVAESAEAIIEASVTTSAMSTPSTPSPGRQSAGSQQCVSPQQSPRLLCVDMLGTASPGTANITDDRTFLLADAQASTAVEAGGAHDVKPNSSGTTVKTR*
>EED78884
MTRAPRSGTAARKTESSRLEGSVLDAQVRMVSAFGRNAAKYMTCSTTEPGCGVRILWHDNGVPAEHSATRLLDAAEPVPAPPRRTQRLQQVNANRPRERVIPDIIHIQPEEPVETVNAAGRVLTKDELEDDRVFRKYWIQAQRQKLHVNAEWRRVFKYNYRHRPLTPLFVGPEFYDPPRPAVRRPLGDLPVCPPGEVFTELSNDRES*
>EED78885
MSHPVIRFPPPPPTHNTLSPEQRAHLLRTNNKIEQVLGSTPHVLDFSYPPKPASLRIDIPARVSEEHPRRLFQNAHRRTKSLPRPDENSECRRSPRPSTSSSRSSSKSHASRISSNSEQAWRTPYNPTQRPPLLKLFPAPLDPIPGSPPHSEADDVPESPSSPSFAIPSEAAQRLKKMRRLTRKLGDGVPVELVFPSRVGAAADADSDSDEETPLLETPASSQRLPFSSRLPVIPEDGRQSSFARGSAKTPSLSGFSIVEGPDEHNGLDGLCMGLPASQDKGRKRTAKAGKEQTTVCLGVSASAGQSGKGASRRWVQGAVPFDQVIGSWGGRVC*
>EED78886
MVGAHSREAIPRLDLCSVRIHI*
>EED78887
MSDASITFSGWASSSSMSRLDATPKSRKSLVNITNGKQKKRYDPDNYNGWRHEILNKVNMVEDTPVLKFIDKYVPGGKPYRARKTAIDDPFAPLWTEHLLRNEFHMYPHLVTGLNQLCKGMPRGSHLLFVEAHSVMFGSPFPDWTPSHGKISPDIAALKPGVKNANAFNNDNNKWKHVSLIVEVKSCMHVDPVMSESEEAQKTLMQMSKVACRLMLEQASLCCFVLGIYGKKAHIYRYDHASAIASEAFDYREYPDYIRRFLWNFANPKSGLETLGQDDLSSKPTERDMLWARDVSGDDCTPADIDHNRWVTVPSSTRNGRDEDYLLLRVLSMNYRMFSRATIVREALKRGDISGKRYIIKESWRQVVRSDETVFYKRIKEYCDRTKTPLFGIGDLVCGVDLGAREVGAATDSSEYFHRTVSSEHRESAHPKLGERSHMRLVLGTVGRQLKNFLRTWQLTYAIHDAILGHQLAYKAGVLHRDVSPGNVMISEETKAFKGFILDFDYSSLIDSFADGGTPLTSDELAVLSSRDAELRERTGTFAFLAFELLNLAPGETVVHTVHHDLQSFYWLLIWIVLRHTAHTHFKGNDACHEVFPSGDEASAASSKISFMMSKKPIEVKGNRPLSHLLSRLSRLVAGSVESVHIPQPTHLTHMEMLRAFKEALDMDGWPEDDAAIPFKPSNTNVPKQSRRNTTTKRGRATVSGTHQLLKSGTLYKGAKYLIITRSKCCANENWVPRQFATHIRDQFHDNMMTARKRTELGPDAALVLAVRRNATDRQPLRDERVEVDRRIACEQNDEQLAAEASLQSVRLVDFGAVNVHLGDELLRELLLRGQRREGDEAVAHGIGKLDA*
>EED78888
MPAALLPKLFQPTKVGTFTLSHRVVLAPLARFRANKAHVHGDLAVEYYAQRGSVPGTLLVTEATVIAAKAGGYDNVPGIWNDEQVVAWKRVTDAVHAKGSRIFCQLWAFGRAATPSVLEQDGFDVCSASDIPIADGTAKPRPLTIPEIHEYVQLYTAAATNAIRAGFDGVELHGANGYLIDQFLQDVSNKRTDEYGGSVENRCRFALEIIDSVVKAIGVNKVAIRLSPWSTFQDMGMKDPKPTFAYLVSHIAEAHPDFAYIHVVEPRAEGGSDRIVLAAESNDFLREIWAPRPFIAAGGFTRDTAFEASEKTGELVAFGRFFISNPDLPLRLAKNLPIVKGNRDTYYLVESPKGYIDYPFVDETAARV*
>EED78889
MPLDIIQERCMKHTSKETLWELSTRYCTPCKEKALNLWRFLGQPPPSLTRSNDYHLLLNHCDGWCVAADFYQLCDRWESLEGNPDAQLDFSDTRKAQVAEVENHANLCRQWEKAKAASRAEEVKRLKRDRFQSALDRLRQLGWGDELDLLAPEYKPLTRHEPLRVAHELTDRVWQNIGGGVVAILEDIRDERYRMKHTEILQKRLNALCNVIFNKYSNPPRTVETEYKPHMRDIITMPEIREIVNSSNNAALGSRNEEKPDLFFEHPYNRYMIYEIAAWKVNVKGQPWNSQLLIGPGQRFHERIRTIIKLCGQDPDRTTRQELKGLDVYISYPGQNDKKHIVTLRTAVEEEWQRWTSKSHDESVEYKGRIATEAELADAQRGGKKVPRSRRDCLWCCSLCTSRGFDHWGDGRRIDLDRIQAHMQERHSIKNASEKEGDFYAYPECEMQPF*
>EED78890
MQTTANKVQDDKILDASADAAGGKEVRVRMQMYLQPVVVPYTATPSSKQPSMQPRKDVTHNNIREKREKLTVVVVFNDHRWKLTLATERVLWYRGTATHMDSGASWHQFAEAEIPPTGRDNHGDSPLLHEVPARSNEVEIGCGCRREMGTLVKIKLYLSVARMSSSSPARLDIHWEKKVQKDALHNKCPVIIVVGHVVQLVEHKNVKRLAFVQGPCGVVGSILQCARRVGSAELYRPPAGQTATWIFVPV*
>EED78891
MNNTTSITTDPVATAMSWLLPMEIWLMIIDELGAEREYDALEACARATEGSIQERAWRYVPDEMAFRTQEDVTSINLALRWEGPFKVRIEGGRRSGERLPIPHLATFASRLARKWTRVEILTIERAEWRVQDLDPHTVLLNLECFSISSLHLHDVTFPSILTFWRLVCALPHLKWLLLRHVDIVKTAVDARTVSALRLLSATSLKVIYMMRPDEYGVDRPGSLATHSAGLLQVILVQTLPSLKTPPWSNLWGLNLWAITLPTATAFGRLLCAFTALVSLRIDGSCTFSEHGFNPKDVHDLVDLLIQTGASECLELITAWLSPSLRELSSIDGALNRLVKHAGQSLKDLRLRALPQNSLPPHNETMMYRDTIGYFDISTNTHLERLACSLDIAHGSNSPIAPVTELLKQVAPAGITHINLSFRLTGRADPAKLYTDLLQLDAALSRNIFCKLEEVSITLHGIDRSSELRSLLPQLEARGVLRCCALNTYQDTRNVFLGCNLVVEWETDSSYIAIAFTACVREEYTPPIIQTNVSRPLAFAMAFTPASSFLLQKRQNSISCSRHLVGALVSSPQDARPHHPTTSCALHHSPTRMRPSNIICDVPVSLNYVPYVYLLIPPYTAYRRKLAYSLSRRGVSYRVDSSYPSSLLRWRARSLLPFLIGEDFWINLFRKGVGRGNNSVLPRTLHLATGPVHSTNQISLPSKPVIARVSALPRALDLLHSTKGLVETE*
>EED78892
MAVTLTFDRNTAMVRQAMLPRLPVEIWLLIIDELGAEGEYDALMACAGASDGLMNERARSYVPFQMTFGTPEEVASINLRQRWKGPYAVRILGGMRRGERLPIPHLATFASRLGQRWTVPELTIENAEWRARDLDPRSVLLDLACFNEIRHLHLTGVTFPTVLTFWRLICALPHLDNLYLYDVEIVKTAANVHTALCLLPASQIRCIHLPTPPPTKPVVGRPQSFARHSVPLLEQSMSPLKTPPWRNVHKLILWDITLPTAEAFARVLVALPALKTLVINGPCTFSEHGFNPSDVPLRPDMLTKLTTVELGKSFSLFSDPQSIHDLVDIFVQSGASGHLTEIMAWLYQSLHVATSIDVALNRLVKHAGQLLRKLNLRVLSQDNSWLFNEVSTYATPSTVEIIHDDDSTISAVVELLHQVTSAHISHVNLIFCVMDEADLAKWWTSLPQLDAALSREVFHKLDTVRIRFFRGNNCREIPVAAVRTWLPGLDARDILRCPSLVPDARAYFAPDSYYLCVATLFDVIPSLYNIQYICGAIARMPRSSTRASTHDTPSSDAPRARHARFVRTAQSFNAIGGPLLDLYHSTTSRTSSPSATAPSCPTMMARSVHKRMLDDKTALYSSQGLRMSSPARPPAAAIDTAEEDMYIRPLYRSKLRLNCDLESTRQSAHIEDFPVYKNAEGDETRRMSVTQNLRVSMLRGATCSRVYYIDPKLDLTASFNDYRGSRRPSKAFLKGTVYEQGPPNGPLAINQLSQSTRRRLKDVCIVSTTVLPVLPIEIWLLIVDELGAEREYDASEACIYASEGLIKERAERYLPSEVVFRTQEEVANINLVPRWKGPYTLRIEGGVRGGERLPIPHLTTFASRLARKWTRAANLRISRAEWRLQDLDQHSVLIDLSCFDNVKRLFLYDVTFPSVLTFWCLVCALPRLERVHLRNVRIVRTAINARILATLCLLPATRVVTIHLSRPEESGVERPGSTATRSAGLLQVIVAHTIPSLKTSPWSKITILVLWDVILPTAGAFSRLLHALPALQELTIKRPCMFLANGFDSKCLPEHPATLPQLRCGELGEDFSIHSDHQSVHDLFIQTGAGGCLQSITAWLTPPLRVTTSIDIALNRLVRNAGQSLHTLNVRTIWQESISLYNEAAMHSIPNTSQNCLKGSRKLGVLCGTTISRARTGDTITDDRFLQSSIRTGASRIVCDGIIPPNHVPQFGLPLSHPPLKRVSASGSNERARRFTEASGAGKDQIRSGLSRPRGGEANARGNYMRELDLISQNLIYLRPPNPQALAYRQSESRIHRRDVRNPINNFGSWANQAGLPTTRHLRIVIHDSESIDGIGYHVTKYSTSVRHGEIIDASRRSIRDFLVRTGFSETQTDFIRDLELETRLEDITKHWEDRDKLQEKIRTGIIMGSTAYRHTTIDTRVAIALLTTFCTAIDDPVTLALWGEDAALQFHRMLCQGTVQESSSMFTELRNLLSRMWEYFPRFAASAILSSTLAFLNMTLLQMEAKDVVLRPDSLPFVEYRRVQDGLPEVFAVFIWEKEKFPVVQTYIQAIPIIGDYLIPSTSKSEPMYPDVKLTYYSDILSFYREELVGETGNYIHDRAAVTGKSLADTLHEVVEETVNAAERVQLILATACGSFFRLGRPDLQGSAGSDLVGGVSGFCGVLRKLAVDEVFIMFNFLIFLLAVSMKYSRRWEVLHHDMTGAHMPVVVDSFWMPDELGVVTTNDKWSAITGTAQMVRTRLPDANFYPMSFSPLCPSEQLISRARRKAPNWNSLHFLSYPNGVAGAREMHDIPHMKIRVGSYASRRPGAQMESREDTRLDDTLTYDTGIPITRNGCMRPAITQHLAGMRLSTQCGHASDKERVTYNRGFTGLEAGEHQYTQPTRDRTVHRPHCVGVLSPTLDGGGPKSCARMSCFSLVRFTDHKASAFIPASEGHGLGRGHRLVTPSYKLQRGRGYARLLRICPTAFASLPRLALSAGTKERLTMITSSSRMPEGNSSNQLIRGRKRWATLKDSERSEDSEDSDGGTGGLHITTGMVPTGIPRPLPVEIWLQIVDDLGAEGEYDALEARAKAGEGLLKERAERYIPEEMTFRTLEGVASINLAPRWKGPRWVHIEGGMRSGERLPIPHLATFASRLARKWTGVLMLTIERATWRVQDLDRRHLLLDLAYFNVNSLFLHDVTFPTVLTFWRLVCAFPSLRYLDLYDVTFVDTAIDARTFSAFRLLSAPDLEEIDLLPLMSSDGDRLVSLQATHSAGLLQAILAQTVPSLKAPPWSKVSRLYLWEITLPRAATPGCLLFALPALSVLMILATGHAIETDIYCPERRFPPRL*
>EED78893
MSLLHLDKSRPPESPATHPLALLAQIVPSVKAPSWENLLQLEFWDLTLPTATAFERLLCALPALGALTIDGACTFSEHDFNPTNAPVYSDMLSRYKKLTFGKDFSLRSDPQSVHDLVDILVQPGLGDCLREVSAWLSPSLHVTTSIDVAFNRLVKHAGPELYGLRLKVLPLNSLPLLNEAYAYAAPTTDNAPIAPVVELLRQLWIDLSELDATLSREDYQNLTSVEIGLCCVGKITDIVAAALMSWLPKLDARGILRTRIGKTVYGSGFRGPSMVLGAEKERYYSITAPLRCRCTRLLRLAQFPHPQHAPAPNLVLLGCNTANICLYLLKRPASSSTAAQVAPCATGGSADLTLVA*
>EED78894
MTCFKKPSWERLSRLRFQDVKLPAAAVFGRLLGALPALEHLTIDGPCTFSQQGFDLAESTVHPETTSQICTLDLGKDFSLCSDAQSVHHLVDILIQSGAIRRLGFIKACLSPSLHVPTSIDVALNRLVTHAGESLRELTLQVLPLDSIPLFKEAYVYGAPSTACCFDLSANTHLLRLVFNIEITDEDSPSVVPLLGILHHVTSVHMLELFFHAVVNADVAKLQTDLSLLDAPLFGEDFTKLQVEIRLPYRNKPMKMLLRKIHQVNGASSRRPLLMLGGEEPIHRSAIPLYSAYTSGKPSRVHHSDFFSTLIPFNGIVWLYRSQHTIQPLHMPAADNPDATPSTRTGAHAPSFSNATQANINVYNCTNDTLHPSLSAHAHPTSSATTPSCQTMTQGVRQPDPPSLNPTLVKERLTLPKGDLMLACFSDLQIRSASILDVAIAIIAWYYRLAIAISVKVDSERAAPTIIHDPRHHADLMLHIRSLDTGEQHNARQAGTHRRVEDVDRKLQVEDSSCVWPAPGRDGASAWSASATLCSVGDHPHDIKRHLAKQDQVGCPEHDA*
>EED78895
MTVAKAKGWSLVLTGNNMNLHCPLCATRRYNCEACQASTHDMYAVARCLPSLGAGHRDPSPYDDAGPIKDSPNVTATDDAKCTVVFPWYDDDPYVEQQVLRLSTTAGFTSIGSLLGPRLSFLMKLSFNAFSAHVHSFMCASPGGLSREDPNEPRLGIHIDDTDAQHSSPEDLDFPPLYETMRTLVNSTESSIGAISTLMVTYQMRDGLRTTEGSQALTSKKSIHPGDMRKDDPPSALQSSLRPALVERGSYKPPVSDQTIIWKVAREIEGSNISRIGKTIEGSEDSEGAIQYQKRRLFGTYFATVEGLRWTPRLQWTTQQGALPLDHAEITTADIVSTTILLPVEIWLQIIDELCAEHEYDALHACAYASEGLLRRRAERSIPEEMTFRTQEEVARIKVRQHWEGPWHVRTEGGRRRGERLPIPHLATLASRLAQKWTNVQQLTIERAEWRVQDLDLPSLLLDLGCLSQICHLNLDDVTFPSALTFWRLVCTLPHLRWLYLCDVTFVKTAIDGRAFSAFRLLSATNLEHVWLWSDRRPGSRATDSAGLLQVISAQPVLSLRAPPWSKVWSLELWDVTLPTAAAFARLLYALPDLQLLVIHGPCTFSDHGFTPTDVPPHPGMPSGFRTIELGKNFSLCSDPQSVHDLVDLLIRSGASRRLEKITAWLSPSLHVPTSIDVALNRLVKHAGQSLKWLELMALPQENLPLFYEASTYAAPSIDYINNLSSHGIASSSHG*
>EED78896
MPPTRTAVVPIFVRDLLRDRPRRPLDGEAAMDNTNSITTGIVPTAMLRRLPLEVWLLVIDALGAEGEYDALEAFAEASEGLLKERAERYIPEKMTFRTKEGVASINLRQRWAGPKKVRILGEIRSGMIPHLATFASRLAGKWTNMEQLEIKRAQWPVHDFDLRSLLLDLACFDIEYLVLWDVTFPTVLTFWRLVCTFPRWIFLRDVKFVKTAIDARTLSAFRLPSASKLFLVVLPEQSDMDRRRSLATHPAGLLEMILAQTLPSPSPWSNIKALTLIDVILPTAATFGRLLCAFPALQRLKIVRHFMFSEHGFNLSDVPMLSKLTKLELDDDFSLWSDPQSVHDLVDLLIQSGASRRLEGIDTWLSPSSRVATSIDIALNRLVTHAGQSLKYLRLNKLVQDKLPLFNEASTYAAPSTACCFNISANTHLQHLVVQVEITHEGGSPLALLLELLHQVTLAHISNIDLLFIFTDKADLAKLWADLPHLDAALSKTSFKTLREVEMVFCPENEFTDIPEALVESCLPKLDARRILRQHIRLEYSCAYILANPRHRPFAPLRRVTASRRSFHLNLRTYSVFRRNSGEAARSPSTCMRVPRTVRDDTIVPDYGPGFALFVRSTAIVINILAQSNVAQAWASEKTETGVANEVAGREALSGRTALAYGTPAQERCSWPGRHEQIDNILIHENPGSPLACALQVPNVRRSWPEQPLLARALAGKIEMGSGVRCDAQSIASTNTEKLQERKAAQGVQRTWGCFAARVEDSRQQGADDRVSALTGQPWHDIDPLSFGEASVPHLKVQPGWIVPRKDGRSVQIGGPSVLDGAPYRKSPCWVRVKKGSSERNRSLSRRPWCSRAGQVADTARAPPRHATETPDTMLTSVPRGHLSQDGRPYEDSVPAGRHCMLLDAPTPSPPTLCIAAYHNASRPARTIPRLRTHIMAVRTSYAQRGCNVSLIYAIAGPAGGAGRGRRAVLPLFWSGVRNQKQGDGSAEAEARRHPGWRCERCVPLTGFFASRTRAQEMRDARANAYWTPWTPQDTKRLAEGGLVGGAVCSAVLGGAWVGSGLCCDVARIKAGEEECRRTGPWKRSLREERLTSEPCACCQVRDGILEGCSWKWTLREEVTSETTARAMASPLASSCFVRAIAGDARPGRACAHVVGEGMLSGLWEKCCTRTGADEQLRRGGGAVIVSHHWNRIAVQLGVISVMRGTVWSPAPDIQDRQREIAGWCLDIMIGWYGEDRRQRHQDTDRWVINCLL*
>EED78897
MVDRNVYDDPWGDM*
>EED78898
MGRTTLCASIFQ*
>EED78899
MTWISVKKASNAHSATLRSTRCRTYASGMRNVAEMVIVVKQKENCSRAYQSRHLGPSNTLDAQQQATIIPRLAVVEGACVQSGTVGNSSSFGVYEDYDLFLLFPLKLPTGKLFNLDYFHYMQISGILLYVFSLFMLSLTNVTQYHQSNLLQGIGMVLGVGMLLLPMISLQAHNWKPHRALVIALVQLIRYIIHILILHREPVPPSPAITNNRALTTHARFTPADCNPQCGLVFGRLLPGRLADSFGKINLICTVSIVSTALLFSLFGVKSEAVVIVFAILYGVFSGAESCPQARAILPPLGTLFGTPLSAPYTASSMLEAPPTFLPSSLLSPVPGVTPRGNREAGDFLMFAFGPVLSALSHPSPRNLRGITDSQTTLGRAAVLLLYIFSNATTGVGGRSHLNCRISLHVRSSDYPRNCAPAAGSRYFYYVKRHSANKITYSPVSSYVTRLSTHLRLLARLNNKAMHSRTTPEHPNDSLTKNTMQPSHS*
>EED78900
MKSQFVTLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVASTHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPX
>EED78901
MHGLSVIKQSFRKSWRRSRDISITAPPVRSAILPRLPVEIWLMIIDELGAEGEYDALIACARASQGLLWEVEKTAKRTPAEVARINLAPRWRGPFTVHIVGGIQRGRRLPIPHLATFASRLAAKWTSLSKLEIESAEWGLDLHSSLHNFSNFTMTCLHLCDVAFPTVVTFWHVACALPHLTWLQLCGVEIIETSIDAQTLSALCLLPASKLWRIDLLPPPTKPVAGRPQSLARHSAQLLAQSMPLLKTPPWRNVRNLILWDVTLSTPAAFARLLGALPALETLAINGSCSFPEHGFNPSDVPLRPDMLSKLTTVELGKSFSLFSDPQSVCDLVDLLIHSGASRHLEAIMVWLSQSLRVTTSVDAALNRLVMHTGQSLKKLILRVLPRESLWMYIGASTHAGLNTANTHLERLVYSVGITHSDVSTIAPVAELLHQVASAHISHISLIFCITDEADLAKLWSRLPQLDAALSQTIFHNLRLFWIGFRRVNEFTESPIPTRRRIQPHLAASRDVPVLACCFDPDIRSKPILGVGMPDYA*
>EED78902
MHDTIDTEMPATPIFDYTTTGMVPTAKPRPLPLEVLLLIIDELGADREYDALEAWAEASEGLLQERAYRYIPREIVFGTQEEAASINLAFRWKGPQAVRIEGGARTSERRLPIPHLATFASRLAGKWTNVRELTIEGAEWRMQDLDLHTILRDVGCFDRVLYLQLHRVTLPTVLTLWRLVHGLPSLHELSLRDVEFAKTAINDRMLSALCLLPSTLNTIYMLRPEERAVERPGLLGIGSAGLLQAIVVRSMTSLNVSPWCKVSRLELWDVTFPTAAAFGRLLCAVPTLKELAILGPCSFSERVLDPRDVPVIPCRLRELELGEDFSAHSDPRLIACAGQSLQSLELYAVLQDSLRLYNETRIYAEQSSVRCFDISANTHLERLTCVTNISHEDNSPIAAVTELLQQVASARISEIDMTFRVKDEAELATLWNGLPQLDATLSKKVFDRLERAWIRLYGVEASPELLQASVRSYLPKLETRGILRIAVKDRSVRGPVPRNIFHHS*
>EED78903
MSPRGRHSEIVDAWRRNIRDFLARTGFSEAQTDFVRDLELETRLEDITKHWEDRDKLQEKIRTGIIMGSTAYRHTTIDTRVAIALLTTFCTAIDDPVTLALWGEDGALQFHRMLCQGTVQESSSMFAELSNLLSRMWEYFPRFAASAILSSTLAFLNMTLLQMEAKDVVLHPDALPFVEYRRVQDGLPEVFAVFIWEKEKFPDVQTYIQAIPIIGDYLNHTKEELVGETGNYIHDRAAVTGKSLADTLHEVVEETVNAAERVRRMLGEEAKDAWDQFTKGYIVFHTSNPRYRLLELL*
>EED78904
MAPIVTEIIHIKINDAFHEKPELHKELREGAAYGGLIHQSYGLGLEDPTQLHWILHFDQGFLPKDFTWPEKYGNFRQKISGITASDTVSYFVPLDAFPSKITSAPVTEIAIMTLKDDVSIEQYKSTADKMIASAKQAPGVHDACYGITEADPHRAYVFVGWDSIDAQHKWANGEPDIIAALKQCLAGLEMIHVKFNDHN*
>EED78905
MAARYMVPIVLLLVEVIRTTMIRGRLSSIPEPEARM*
>EED78906
MSSKLPSVLSATDEEIQLLLAAQAHIGSKNCDKQMLPYVWKRRADGIHILNIGKTWEKLVFAARIIAAIENPNDVCVISARPYGHRAVLKYAANTGAQAIAGRFTPGSFTNYITRSFKEPRLIVVTDPRVDHQAIREASYVNIPVIAFCDTDAPLKFVDVAIPTNNKSRHSIGLMWWLLAREVLRLRGTIPRTTDGWNVMVDMFFYRDP
>EED78907
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFLPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWAPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFNEEEDDGIDWELYGDGEQ*
>EED78908
MYAAIDESNTLVGFAMWMPPGRVPLTTEEERQLGWNQFWKKLSPEGREYCENVLAKDFSKFLEESFGFSDVQESTYWCSFAMIREDYQNKGVATAIFDLVYAKAKETGAIMALGTSLEMTSKIYQSMGFEQKGFRVTPSPWKDWTNYVLMRRTAT*
>EED78909
MTFRKQEEVASIKMGLHWKGPERVRILGGLRRGGQLPIPHLATFASRLARKWTRAGTLTIEKAEWRVQDLDQHHLLLDLAYFNNVSTLFLYGVTFPTVLAFWRLVCALPQLRWLHLYDVKFVRTAIHARTFSAFRLLSAPRLEEMDMLPPMSSDGGRLVSHQETDSAGLLLALLAQTVSSLKEPPWRNVRLLYLWDVMLPTAADLECLHFALPSLELFMIFGPLSVPIDTHVQQELRQHPLTVDNRRPVLEGMKQHLVQINIKKEISAKVCESMFLSPPNPVSSRTMRGACMSVETESQAWFPSERSRSSSARRPTFARDCVSAEEDTVYVQGRPGSVAFV*
>EED78910
MKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVTGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHERDITDPEDREVWEGADKPPERQDRRERDVMEAKDAGLVKAAGYVEQEGTQIEILHTPLRSLDRQPAYIGPLSRQPRRKGRQRGFELMLATSSCVVNVIPSGTYLSALSSINPSPASTHAFNASYSRSAPSSSMISNQISTGRGRSMAVMYYACSDTVEDLYGRVTKRCL*
>EED78911
MYHHSRMSGATDADGGAAYYPVMQTDLCATDCDIRSTTTQNMNYSLAVHQPAPFFEMPGYPTPLYPTGGFVYARPSSGQGECAPHHSVHTPVDEAKYSTLASSVPYPIDTSRKGVSAGSHGSDSSWSYDSSPDSPPLATPPHQHPLSTHGDTYVSDDLNWQHDADWDTHAMGSCQRVAHRLHPNDHSNRNSSYPMSNLNVHGVQESPASAIRAPQPHMPVGRRTYQDDLDLMQPPHPSYILSIPVGSPPQAPLPVPPPVEDTPKKPLTLACFFCRKRKIACGSPPPGKIDRTCNQCARRNLKCVYPEASRRGMRPKVLYEKDMLQAAGVPVPVS*
>EED78912
MDSQEHLFPASLATSLSIAAGVSHDVTPFALSRPASRATSICVIHSRSISTSICSIALYLDFVEGPARNPSNISRLGTRPEGARTAYGEGAQECEDNAVVGERLDEGEGLFAHRERRVGTEPLDAFKGKAQACRAHHGTHAASRQRQDAGTYSHGRYLELQCKDRGGFDAAKALTYGLDETLLTWCSRIALIGRKIMEAAAKSGLPSATIFTHARDHMDGPTDWYDMDLASRIEPGGAITTLGHALNIQMTYCAVMLSLLSAFHWGFQFAGYGKYKRPQQVFPPLHWGHARRVRLSTLGLQSVGALTALSHHHAVAIFLEGMSYCRQCGCSVEQAHEHLPAEERGARVCGQPELVALQGIEQDRLTRGERALCAGAGEWHSARARESGILCGREGERSVEREGGRPGDARLSGKDLNDSSNNKLPERALSKAGAPKGADVQREGVSADEREEEVAGTVREVDGWQAIGRPGGGQRALDGTDVTRPNRAARKSARWARDVAAGLGTERGVAATGKHQRKVVPRPRMRGPPSVVSTTVSDVVADAETVSDVVADAETASDVVADTETVSDVVADTETVTDVVADAGLGQNKKKRNRSAAKRLVVATQRRAEAAHLAEAAAQVVDFDGAVDNVPTDPVSDREVGASARRRRAQQWVDDESGDEAAGVEGALLARSSLTSLARSSLTPLTRSSLTPLASSPPTSDPPVGSRRVLRSASVRTGVIRAERDSVTGSPVPVLGKRKLVPYVEIRSQRAGTRGARAVNRSGPKDGTSNAEALKRAVLAMAAVKKDALQAKQNDDLPPRQRRRWNAEAKAAAAVEKRLRPARVDFKAKKILHGNLRRRWHKEHFPQQNEVVIMGKRSPKLRCCQNICLKRKSRLIAAKELAHCLTIASFHVGQKTTSRQLWSHWGCLKQGQLHFHRRVDENDGVHVSGLGGFNRLADKQKEVITATIVAADQPGPPPPDPKSAAGIARKAKKAKARRESKQKRSDLAKLGECLATVQRRLGKKTFANILPS*
>EED78913
MFSPAAVPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLMSVQIGVQGATTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELHDKYLSGIPSHVYRKIELETFTTFSTSAGPVGPS*
>EED78914
MPQQLSSLPLVQAFLLFIATWLLYKLIGPLVIRSPLHNIPGPPAQSFVKGNLDKVFDRHGWAFQREISEKYGAVVRLTGLFGTPRLFVYDPTALHSVVVKEQDIYEETPMFLIGNGLVFGKGLLTTLGHQHRKQRKLLNPIFSINHMRHMLPIFNKVAENLKEGIAIKVHSGVREQDMLEWMSRTALELIGQGGLGHSFDPLVKEVDNTYGHALKALM*
>EED78915
MNTVAAQKEVSVPLIFDPTRDAFRPLLEAHRAEIESIKRRTFKFGPTERHQLDVYYPPAESQTTGGKCPVLFFFYGGGFVGGTRAFPPPYDLAYVNLGAFFAKRGILTVIPDYRLVPDVKFPEPVEDVRDAIAWCVANVDTIANEDTVAIDFKSLFAMSHSAGTVHLSTALLYPGLLPSDLRSRFRGIILKGGVYRFSPDTKLADPAALVDLFGSWDNVQANTPLTLLEHAPRDIIDNFPDTIMLASEREPDGLIASNEIFAEALRAKLGKDVPFFINKRHNHISPDWALFSGEGEEWAEEVTQWINMKYASK*
>EED78916
MPLARSHFRNRSRSPAPNTLPSSAFTTIPQRSPVFYPPSHYAAAAGLARPEPFMPETPNPNQPREQVAQRSPSFTPASPLSYPTRTPVVQPAEAEQRPPQSTSHLNRMSRFIARSPSRSSPKSPRRNSTKVPDRQKIMDDLNTSNGTLVDLPLVEMQLIPTLRDTIDKMTHPSPVQREESETESMDSGTYARLQASRIPGGGRRASFWTDDARSPVGLSFRTDAAYSPSPTPPDLPNPHGMSFKPAIPVRAAARPRPLEEASAKAESTPRTTSRNFASPKPALKSALRTPIPGPSAILEERMPDRKSLRTTRPVVLDPETPSLPQGSRTEDEHLEGYRNAPSRARSRTSGDQPVPSRAVVSHLPVPKFKMKSNPATPQQPSRPSSGKSQPPNLPLPIQTRIPPPTNSRSNLPKPGHSSVYTRDSGSESESRAKPTRLGGVIVDNVRDILSSSGSEERERSKGGPANRMRMFSAQERPPATSGIPVCGTRYGRRAAQHTQDIRDPSNRTRQPVGLGFRMTPAPDTSKPHHSAEEDVESVYDEDDAQDDSSVEEDLYRREAQLGGRVTPEMSMRARLLDAVQHDETDVTADGSQRRQATLVGLVDSLRAKYSMTLVDGSRTLPHSSENDAYLNQGIVVAESYADRDVVAVSQGDLPLVEEQRMQEELSLSPEESIYSEDDAESGYSSDAAGPAVEASQTPRWGWNRRQIDASRGGSATLPPKLHSPHLISNLAPETYTSADDQPPFRLGEQPPSSPRAGAEKRTSAWIRRSSRVSHSPSTNSSASESAESRLPPDQASKRTSRYGGKGGESSRSPRVSYAGEREGGLAGNVVERGRPVEREWEAFGIPRSLSYGAGDTSTGSHSGSDDPDSRAALLRSESDDSLDGNELHASLSQQQDDWNEGGVQGDLSQGASALFQTLATPTQRSVDYHDDDQWGERDRFVKQRVVPAHTPSALPHMQEISSQPPLPSSSATGERRSRERSRSPLPATPTGWRSTFPQATYNELLAHYGHVEMQRQEVIYELLITENAIVKRFRAIVQSFFLPLRNSNSRTWLPGVPQEVSRLFDWFEDIVNMHAEMERTLRTAARIWEEGSVVEQVAEPLLHVIPKLEVYQPYLVREEEVREMIADWVQDAQNEFGEYVRMNRELHGEEWSLEKLLGEPVIRLAAYSDMFERLLTVTPEEHIDYLATTSLLHTTKTVVHVMQEVRAREEEYDFVKAVTLQVLGLPEKTTLARRERRLLHYGPILWLRSTADEHGNTTPIAPAVQLNGKTLIRSVSRSERSNEDAAQQGEARVYQLVHILLVIPALLPYYFIFKYDAQQRSAAERC*
>EED78917
MSVGGHAGQLGQGGTTGCGHMLVDNVLTAMHLGDGLQEYADACWDGLLDRMTLLPCVLSTRAVNSLSPGGGLEHGDSLGAGVVVNKDVCGEHTHEGMVVWERARRQFVPVKCSSDGTHLKPQYGSHDSPPTAIPRMLACRMPVIKALARTGARYWNKTPRRQLPQTGHEHVGMRNVDVVLAADLPIWTCLTCFVLGR*
>EED78918
MFVCPCRLTPSRDSWDLIPMRMTAAMESGCPRIVLINARCFATVKPELCDNLLTVLVRRRESRVVMAQGRKLFFAEHWHSLSSPEQGIIRAREAASFSNWEPEFFYQRKSGDPFCFAVKEWYSRAPNVHVDTLLLISDNTVACLERECASLNTTLVFVSVAPPWAPTSPAMATVAAGYVGGAAYDDHPELEPDLQWKNDLRQKIQDQLRHLVDDARQQRDSQWIHHPADSHSAAANDIASQKYQVIMTDIRRLAQDQYEEALERERLERRWAAGYPVDQSWIEAAAREQEAMLTAVHNGRQQTHAGFTFKPHDEPVAGPSRLAGRSTSGDGSVSHHYSRFLEYELNARQSSTDWYGHSYGEGSGTIKQHHVDARAKARQVPEIWRPSAPADDRTPRQSVTSRPTPSSVKTADRPITQDRPSSRLKRKASEGDDADPGHSAEELIPAHNAAAPLAEAEAVIARQINFAVNLAQEEARKTPQLRPIVPLHLAPHFSDRSHSATPKPPLSFRVAPTPLRAVENMNYEQAAEHRTPIGIHFPPMTSAFRASAATPNPALPLRGMGVPPNPTVHFEQTVAEQREIVIPPPPISSTLRAAAATPHPGARAPSASASAGTAMQVVDPRSFTLDDDRSSIHAPFQPQSVLGRDRSDTLRGMQLPAPSSHMWIPPMGSSLDTSSSSRSSEPQRTPTLDEMVLVASDEGDSDWEASAFDTEDVDQMMKQGMEQFMVTGESSIERLLSAQDTIISELAPEEAVEVEVEAAPMKPVEVETETEKEDTPKKAKGGKAKRKEEAKRKEQDTKQKVQDTKQKAQDAKQKAQEAKYKEQEDAKRRAQEEAQARLQLELKRKEDEEARRREAESRQRMEDEHRRELLRKREEDEAAAAAAREVERLNEQRLLEFRRQQEETRRRLEGRQRTDSTTQAAGGQEGGASHREEGCGCGPQGGRDQAQGGRYQEERRGDQTQRGGPHAAGAGDG*
>EED78919
MSKEVCFGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFHIAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTSYHFWSPPRGDFRQEFAAFGFPKMSPVVTRSQVREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDFLTPDLFERSSSSPEPEDPIPATSNLVLPTPSSFRAHAQPPIASSSRLSVIPSSDLAPPPLLAPSNAASNSNPAPPAPTIPSTTTSSSLSPAPINTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLQRYFQDLEALFTRCQITDEAAKKQWAIRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGTDDTRKWSLADMDQLIGECARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQTLLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVAPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNHGCRVGTCEFAEIDIRDGKCKHNTEGKIVLPNGSFCPRTIPGLTIRDRIYKWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAATPAPSSFSPTQSTSHPITMSAPPAPPVHPFANARDTTYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYRDAITPKRVSTEPVASAHIIEIGADKLGPTGPANVEDLLDLSGALLSCLPCGEGLQLDLAVYAGGDAAQVPIPRAIRRTLEQRGKFRSELRQLHLCCRLIVEISEAGLEGGGKGRFVPEWGGSPLYSYLHRHKLGKVGGGPGAGITVEQAQRNLYFELDGVDAQLRQEPVAINDGFAIVSEWEEEL*
>EED78920
MKEHPMREQRKRIRFGSPSDRQSASQPEQPHPFHAKSLLSIPAEILGIILDELDARDLYTCMLVCKVLAAHIWNTTSLVYKMELAVAHVENGPPNNLCSADRLASLRELQRSWREPHFPRIWTVPCDSVFWSASCGVLALTSVWGSLQLYQVPSQLLGVPEKQWHIENSDTEMLVVMFEFDLTQDLVVFVQANDGMYQCLHPRSLSKPSEKHPLAATPHLVPFDFYFDDCPCCLYVQGDTIGWLVYDHEERVSSFQLWNWKTGRQILHIVTRENEAPCTTFMFLDHRRVLVTRLDQLEVYAFDADAADSHVEPSRICTFKLPEAARDAEYLRSSFLAQNHSNVQSSPDRRPAFRTPEKHELFMVYTLLCKRHAHVGTGFVFMVAAPVILCRIEHAYPGQCFAWDDWGSLDTRVIELPEGHVDTFVDVRGTKAVLAYMIDGDITQLQVKVLETNLDIIRQELRNDECGSAVYETEPSPYGAEGARPG*
>EED78921
MSFSLSLSSLLLIFLVEYISTSFVDRLQSYSSPVPSPSSTPSRCSSPIPPYSSALVTGQAPITSPAQLPSPVSSSSVEREDFAVSHTADAPLPLAPPESAAEVNDSLSTSSSTSSSSSSSSSDAHERTPLRAPSHAHAHPHPDGTYGSTRPAARNHAAYAHPFPRSTRRPRRASDPSQGPSGVGVAVAEIFAGGHHRHEARGAHASHHGGGKGAAWRWLFGLGAGEPSVRPVLEDGEVGVERHVRNEHSGHMEAWGSGEHVGGEELNETEMQVGRRRQIIGILMLEIGIMLHSLVIGLTLAITSGPEYTSLATAIMFHQLFEGLSLGIRIATLSAVSNAKEKITLIRGLMSALSAGMLIYAACVEMLAGDFVLDPHLWRTSVRRQALALGSLLLGVVAMGAVGTGLQESWESKQYKQPFSGSTIFSFWFSRIVEGGLMPAYLNYCMSDRMQTAVGKKAPVLFYVYGGGFIMGSRVSPPPYDLSFTNLGAFFAYAGIIAVIPDYRLVPEVKHPQGVEDVRDAIAWTVDHASEIFVQEGSSVQPDLDRVFVLGHSAGAIHAATLVLLPGLLHPGLRQRIRGVILQCGQYDLSPPRPGQEQAVMEKYYGTAEVMRVGSPRFLLEQAAASSSSTLTVFPKVLVTHGEWEPSDVIQQSEQFALALREKLGDGGEIELRDLSKTQSPPSAFFAFTFRGINIDMLNIVAAIVELH*
>EED78922
MSLHVALRNECVRTSSQLRLAKSRQTEYLPLILRQSGTDYDEFKLPKTMSLVIVLLASALLQVSFFIVVSSSDQYAQHLGGTATFSGLVIGIPTVFSGLALVPLMKLDQGGYKRPLHFACASAFLGNVLYSLAYRANFLYLILLGRIVSGFGFTFWMYSKRYCSDPRIVGVRRRTMLAGWLVLGQGGGMTIGPFFGGLFYKVGFSNGVFNGYTAPTWVLAGVWAVFWVFSALCFEDVPAVSRTAQDIELQPVQLQQDSFRDDDKKAVMTQEDAAPTEAGPSAIQNDSQTIEQRSSSPDLGEPQQIRMSGPQWGVTATMCWFAMTCFFILGAWEANIPVFTGSDSTLNPFHFSPTAAGNLIALGGISSLPFLVANVFVARRVQDRHTLAVGTSIGLAGLLIAIAIMSSRTVTYGSLFVCWFLIALGFNLSSTVTLSLLSKQLPGQWNSRISMAIQYSNYTGRVTGAVWGGAGVKIGMLSFVGMQVALVAVGAVMFMTLWRNLKAKTG*
>EED78923
MWGKAFDNYFPADPTARTGPTQNFGSVLYRSEWSVIVSQLGVDSRTTVRRELKRKFDDFIWIPYASDRIWATTPQRGKIWRQLPEGPRVCAPHLYVNPRFAHKHFTLRAASNEIGEDSDVDSTRLEHLGRVILKNTRPRGWAGDGGGEGSTGVQARHAMDTMGRPAHDACVWGARIAGVAQ*
>EED78924
MGGLFSRRRGEEVGPNPLIEQLQAELRAQEQRTRDMEESRQQAQEQLLRILEEQRSLREMHTNAAEEMRNAAEERMRTEAIQRQAEDAARAAEAARQRAEESNRLAEQQRLQAEAGRQAAEARMQQAEENARRVEQERIQADQARANAEDNARRADDDRRLADEQRRQAEEEMARADEARAIAEEQRRAAEEQRIRSEAERMRADEEARRARLQQEQAEQARAEADRMAAEAQAAFERAQQDLKEGIRPILTPTVEEFEETKRRVQYREGIFHFAVAGISGSGKSSIINAVRGMRNNDRGPLVARTGVTETTSDISRYPDPNTANPWVWYDIPGAGTLQIPDWVYFNAQGLYIFDCIIVLTDNRFTQTDEAIIRNCERFNIPTYIVRSKSRQHIQNVLNDLPLEDDEDEDDPQRLVRAREEYIRKTRESIAKNLDRAGLSSHRVYVVDKENLVQIAKGKRARDALEERELLDDLITEARNRRVRVVVR*
>EED78925
MARLEEMWLDDKHQDTSVDLTAIYAAKGVPPHQCRLELLDCGSNPSRANTPEDDPAHANTLEDVRFEDLVDFLAHDSRAIHAMVAFQEACEQQEAQLRAKTLPN*
>EED78926
MPRRSEQTRLPFLSSHNANGPLPLSQSTRYLHSNPV*
>EED78927
MACAITQDVFPMIQAVYAEVYAGSENDYRPAACWKKIRTLIVSKSEPYGSDVVEPIEMTPYFVTLHLIDFDITSHCSKQPRTRKEIQILLKCLGSPCMVQLPERTTDDKFKAFFRYPYNFFVASIMHRFIANICKWIPHTVDGIAYLLSLVLTHRNLLPEISSMVIP*
>EED78928
MIGNSLPEYIFIRASIACLRLVAPLSVAYTLTSLYAGEILYCRWLGLYAIAETIFYAFVYVPRSYWLQKAAVHPPPLSKTERETLFLRCVAHMAGTNMTTGWFFNSPETSIKRDNMKEWLLWALFSARPDGMKQEWVEEIEDYLTRVEEATGSKLPRGCSETVKSMRTTLDPVVTVHRPLFWYLPSPQSDLVYWYRPHRSKTKPPVLFLHGIGEILG*
>EED78929
MAVREAISIHQFVLHGSMSDASMIDEGGDSLDARPMGQRGALDTFDTHKAQLRTSFAPGARTLTAQHNTFTSTHPHSASAVLSVMGVVTSVVTAVTGLIGTVVGLIDGIRASSAAGRIGPNPVLRELQERARRQQEQAEQADRARRRAEEELAWAREQQRAAQARAAEQTQRAADERARAEEAMRRAQEEAERADELRRRIEEETWRTEEQCSQADEARQTAEAARTRAEQRARQLRKDKLRADESRKKAEENANRAEEEARKAEEQRRRADEERKCSDQAKGAAEEQCRRAEEARVRSEAERARADAEARKAMLERQRAQAAEAQARKAMEEAEAARKEAEKALREGIKPIIIPTSQEFEATQRRLQYREGMFHFAIAGVAGSGKSSLINAFRGLRNSDRSSLVARTGVVETTNKITRFADPNPANPFVWYDIPGAGTLNVPDWVYFNEQGLYAFDCIIVLTDNRFMQTDEAILRNCARFKIPAYIVRSKSRQHIQNVLNDMPYDEDEGEDDVVRIAKARRTYMRETRESIARNLGHANLPHQRVYMVDKEYLVQVSQGKHPKDVLDEWDLLKDLLSEASARRVRMVLSNQKRHITFTSPSARPQEHRRHRNADFMGAGIGRLLWCGLLYVTVGVILEQLKGARDEGRIGPNPTTERLEKRKDTTQGEAKATDDASNAAGNETRQMNDGRRELQERLRQKLEERRDGPTRVERQASSSSGIWDGRNIHSPTRDDVEQAKRRLQYQDGQFHFAVTGIAGSGKSSLINALRGLHNGAHGAEVAPTGVTETTHTIARYPDAARPFIWYDVPGAGTLSIPEWSYFDSQGLYIFDCIVVVVDSRFTATDVAILRNCARFDIPSYIVRSKSLQHIANILIDMPHDASMPRDEAGRRETAKRRYTSETRESVTRNLERAGLPQQRVYIVDKETLMQITNEKSPRVVLDELELLRDLLTEAQRRRNEKHRWARSSNLPVDTLRLSPDLSELIHLGRLPYGGEDEVLPSYANYPFASMSLIIALAAIPIIDGILGLIQGFQNDARSGRIASNPVMKELERRMEEERRRADEADEERQRAEERRKEAEEASARAAEDARRAEEDRRHAEEARARAEEEAKRAEANRRRAEEEHKRLMSSEERRKTKGAQQSKKGRGQKRLRGAAEGDARRADEEKRRAEEQRLRAEEDKRVADQAKAAAEEQRRRAEEDKQRSEAQRAKADEEAKQAKAGQEKPEAARAAADEAAAEAKARAEEAQKALREGIKPVIMPTREEYEATRKRLQYKDGIFHFAIAGISGSGKSSLINAFRGLRNGSRGSLVAKTGVTETTSKIARYADPNKANPFVWYDVPGAGTLSIPDWVYFNQQGLYIFDCIIVLTDNRFTQTDEAILRNCARFQIPSYIVRSKSLQHIQNVLDDMPYDEDADEVEGARKDKAIKQYVAETRDSVAQNLEKAGLPQQRVYVVDKELLVLIANGKVPESLLDELDLMSDLLEEARRRRVRGLESPDPVAPSSSTAWRTTNFCAALYTIMVVDGRCVAFFRTVPVHYGDELRIPQTSMGVRASVVNAVGVLVTAVTGLIHALRADAASGSVGRNPVMEELEGRMRQAQRRADEAERDRAAAEEERARAEENARRAEEARERAEEDARQAREQRQGAEEARRKAEDDAQRAYDDMRRAEEERRHAEEARRRADEATQVEDVERRKTEDEQTQVDGERRKSEEDAVEAKRVTQEAEQGILAADRRRAEAYAGLERAKKALKERINPVIMPTEVEYTATKERLQYTEGFFHFAVTGISGSGKSSLINAFRGLQNSSRDPRVARTGIVETTSEIVRYTDTNTANPFLWYDVPGAGTLDVPDWNYFNTQGLYVFDCIIVLIDTRFTQTDEAILRNCARFQIPTYIVRSKSKQHIQNVLDDLPEDDGDDEDGEDDARMEKAMEKYIAETQDSVAKNLARAKLPQQKVYMVDKDFMVQVVKGKQPKDFLDEWELLKDLLAEARIRRVKPSGSTQD*
>EED78930
MIIIVGGSLLAAAAAGATIVVGSLLAAATATITVAGSLLATAAGVTVVGSVLATAATGTIGGIIVVGSLLATAAAAAITVVGSLLASVAGITVISSLLATGAAAITIVGSLLATATATAIAVVYSLISSGAAARTFFVVVELMKSIQHAYSSAGRQKRNGHNLRKSRGGYRRLRKGLKQKRERPWRGQKRRAHKETQNAVTVLPD*
>EED78931
MTFPALERSKYPTGNTSTSKGCISSTASLF*
>EED78932
MTSSLGFRLSRGIENTNRPPIPQAHKWAEAYVPTPARPLLDMSQGVPGIPPPQEVLNALGAAASDPSKCGYVPNVGELTLRKAIVEEMKYRYGEDTDVTPDDIAVTAGCNLAFVTIAMTLGDAGDEMILPIPWYFNHEMTLTTLNMKTVILPTLPEDGFMPSPERCEALITPKTKAIVLVTPNNPTGAVYPPSLISAFAELACKHNIALVIDETYRDFITTGPPHSLFSPSPAWSWRTTFIHLYSFSKSYCIPGHRMGLICASPALIPHINAALDSIQICAPRPPQVALAPLLPSLRPFVRATADAVAHRHTLFAQCLPPRWHIGSHGGYYAFVRHPFVRVHANEVCGRLAAERGVVSLPSGFFGPTQEPQSGEPPERWIRFSVANVSDEKIKLVCERLRESEEVFGWEVGP*
>EED78933
MIFRQCSVGGRPYRGTSVDEESTTKVGLPDDGEEHAAPSLRSQSPALMEGKKTPVSSSSEDVPDPLAADSVGLSDGVLAHFHDAMLSSDIVSAVSADDDAESAKHARLINGFFTVLALCHTALASIDPITGAIAYKAQSPDEAALVQAAADVGFVFRGRDKEILTLQTPFAGAFERWELLNILEFNSSRKRMSVIVRKLDEEEEGERLFLLTKGADNVIFERLVPGEEELKRSTEVHLDEFAGQGLRTLTLAYKTINEVHSGVEDDYEVWARKFQEASVSLDDREAKLEAVYEEIEGGLSLLGATAIEDRLQDGVPEAIADLKLAGIKVWVATGDKVETAIAIGHSTNLIGREDNIIVIRGGVDGQRDVYTQMYTAVEEFFPESGILEEEGVSLDVVSETQRSYPLQRVNTAASDLVGHNNGDHDHVLVALPELYAYSRRGGYYDTKLFLVYMLDGIVQSTLIFFLIFYAYETTTSRTDGYAVYQYEFSTTMAVAAVTAANLFNGLNTAVWTGWVFFAVALGIVLVWGYTGVYSAITPGWFSTPIYGNDAYLWPSAYFWFCILLTVVLALLPRYLCKAYKFAFYPADVDRVRWLHKIDPNRDFSQYKETDLQGLRRSASRRSTAMAKRPFTHFAGSHTDMSTGMRSQHRGFDFATEENGVALQRMQTNLSERQSERQKHPFRRRRNGSLLPSFSLPRSLRRRRPPPVPESPPTPGSSYSRE*
>EED78934
MTRRVSRSTVQGGIIDLPYDVLLHLLAFLPVDAIVHLLTTSRALYSLIKDEQIWKEVSRRYGVHDARCFGNHSFFEVYTGLLHTYGCLIGTTWAGDHPFTGGVLQFRLDLEGHVRPRGIIGEIWAFRSLEPEEADAYPPPEPPQLTPAIFITFPDLLPADCRIASAPEPERHAQVFCICLCESAISDEARDIRPLYQKWHGAKMQVGSETTQGTLIHTRRGSSVHPDFPNDPRAAWYDPKRVFPRLKPQVFPIVDATSMLKNYPHLRVPELFATRTEYIKPRALTLRCLAGCAMRGSSLLTYHNHTPCAPRYYPLHTSTPHGIEPTASDWHPRSLVGLWLANYSYHGTECIFIAWDAQSRTLSGTKITGDEHVPRGIVSWMLDVSRSCVIEPEERSTCDRAFRGEASKYRLFRGTGHLSARGYITGEQDTPPIIMAVVGADDMRMLWPEDGDIFHYTRYRDSLADVWRAEQSV*
>EED78935
MHNGYVFPLAILARLAAEERAASTLDEPAYRRKRLFGRVYPEVVRLDFLCRAGQVVVPHRGRRRRFKGLEGLDIGRGGMRRWWRRRERAATPSWTEDLRRRGSIGGRGAKVTLVREGRVNEVDARRMDVCFFVDTEVEAEGDDSTDAGVAREDREDSGVSELISEGESARATVAIPRCVVALVLGSSEKQDWEMVIMVSQFGRRRSNTVQSIHKNPPLPATPLKVGDSKTFTTWVHEPKESTNVILNPDHWPGVTEGDVLRLSSGSEASSGFLFIVQRDEGLKRQLQVSVPQSAADQFQLKNMSEVTLTKIDQTQYSADYIELTFQDQYLGRNEMWRLGSDLVGQCVYIEQEISFIGVITAKVHAIYINGKKVPAAYVSPATKAIYRSLSAKVTIFIQVCRELWEFAGDGERYNEKIVHSFLPALFAKWSASNTNHIVTIVLISRVFYDESELKDAAGPLRRDEEGRSYKDFFKVITDLEVLSDWKPTLVDLKTSFWEFQRDILLAHHYHRPSSSSQVEPEHVRLVGQLSYAHDGPLLEALNLGLQPTETHYIDRSLSLTGSSTIVITPGTGYFRVSKSLLRLTTTRVLDQGFGVGLVSLTKPPLHQSSIFAFQGVEPDQASRRYSGGNRSG*
>EED78936
MPTEGFIEPLDYLLQDWHLELPPDANPRNSPGLAQVEHAITPLNDHSRGMNRDESTSTDQLGQLKYTGPHQPRIVDIPFDIIMVMSRFMSATEVMNLLSSCRKLHSFIDEESIWLHFCSRYGVRDRQPFVGRSFKEIYTCLLHTYGPLLGTWAGNHPPIGNILEFRLQLGPGMPAAGIVGEIWHFDRGLPNKSRLFDDLTRTAVVLITFPDPSLGITVVHPSRYAQVYCCGDMSRRIVRPPTEWHEASLHTLSRLATLTNTDIVSGRYKYPDFPGASSWYDVLRPFPRLHPLVQVTPNESTSTYVRDRDVTAKPCAITLGCPSGDSYISSYSHRAMSDLGDLPATYYFPLCTEVVRGIPPESVEWTPASLRGLWLGDYAEVGTECIHVEVDEGSSTLRGWKVTGDVFVRGTTTWDIHIGIPLDINEDGWRIPALLEHSEVHQVYCGAMRFGNGYTGTYVNTLAFLAVTGPDDMTVLSSEGRKFTYTRYREKHSSRKS*
>EED78937
MYAATPVNQHAAVEERQWDLRHQENALVKLAELYRDQKNARGVAEVITLSRTFVSASAKAKTAKLIRTVLDCFSAIPDSQKIQVEVLTENIEWAKREKRMFLKHSLETRLVGIQLDTSQYKPAIALIDELLGELKRLDDKMILTEVHLLESRPCQAALTSARTAANSIYCPPHLQARLDLQSGILHAEDKDYNTAYSYFFETFENLSTQDDPSALGALKYMLLCKVMLNLPEDVTYLLSIKLASKYAQLRDVESMRAIARAHQQRNLADFEKALRDYQQELSSDSTIRTHLSALYDTLLEQNLLRIVEPYSVIEVEYVAQQVGQGRQAVEQKLSQMILDKVFHGVLDQGRGCLIVFDEAEADNTYGAAIDTLEQVGKVVESLYAKVRHRHHWQIEDSDFKCGVSVADDHLNISVVYILL*
>EED78938
MGVAQSVGVVVYAVVAIAHGIFKLVTDIQRAHSAGEIAANPVMEEIEERMRKEREAQWAKLEEDRRQIQESKERAQEAEGRAKEAEGRAKEAEGRAKEAQKMAEEAARWAAEEAGRMEESRRRAEGATRAMEEAKRIAEEKARRIEKERIQAEEARHIAEENAGRVEKERALADEARLQAEADARRNEEARRQAEEDRKQAEQKRKQAEEEAAAAEESRRRAEEDGQRSERERAAAEEQVQQAKHEQDKAEAAEAVAKKLAQDAIAAAEEAKEALKEGIKPVILPSREEYETTKKRLEYKDGFFHFAVAGISGSGKSSLINAFRGMRNNSRDPLVAETGITETTSRITRYEDPNKARPFVWYDVPGAGTLKVPDWAYFNDQGLYVFDCIIVLTDNRFTATDMAILRNCALFQIPSYIVRSKSAQQIRNVLNDMPCDEDAGENKDARKDKAIQQYVAETRRSVAQNLEKAGLPQQRVYIVDKETLVKIANGGGLSTGIDEWELIGDLLSTARDRRIKPKAFSPVSTATTYLSSAASALTARMRRHEEDPSPSSP*
>EED78939
MGQTIAMLPLMSALTSPTIARDAKATAAPAQILYQRKRAEEDKQCREAQRAKADEEAKRAKAKQKKAKAARAAADEAAAEAKARAEKAQKALREGIKPVIMPTREEYEATKERLEYKQGFFRFAVAGTEGSSKLSLISVAKTGVTETTSIVTRYVDPNEANPFVWSDIPGAGTLSMPEREYFIEQGLYIFDCIIVLIDNGFTDTDVAILRNCAHSQIPSYIVRSKSRDMLRDDEEEGEKACMEKAIEKYVAKTGDSVAQNLEKAKLPQQQVNAVDKKSLVLVANGKVPRILLDDLELVKDLREEARTRRMFASTCPSHKAIFPGQINGAVDIWNGTCSIIMPTEVEYTAIKERLQYTEGFFHFAVTDISGSGKSLLISAFCGFEIVRYTDTNTANPFLWYDVPGAGTLDVPDWNYFNTQGLYVFDCIIVLIDTRFTQTDEAILRNCARFQIPTYIVRSKSKQHIQNVLDDLPEDDSGDEDGEDDARMEKAMEKYIAETQDSVAKNLARAKLPQQKVYMVDKDFMVQVVKGKQPKDFFDEWKLLKDMLAKARIRRYMVCRKARPLYWTIALRPKKETRLQLGARTSNWHINQTANCNRVENPS*
>EED78940
MGGAQSTPLPPPPQSTPFLGGLGTVLIAGAIPAILNQVQAARNGPGAENRGANPTLQEIEERLRRAQADAERAATEVETAAQRARLQQEELSIYVTAKRMLEEREREVERERQRAEDLVRDVGEEMEKLKTAQSTADRKAAELQAALERAERQLKEHIQPIISPTREQLEEAKRRLQHQEGLYHFAVTGVAGAGKSSLINAFRGLRNGSKSPFVAPTGVVETTRGITRYPDPNEDFFVWYDVPGSGTLEVPDWTYFNDQGLYVFDAIIVLIDTHFTATDIAILRNCARFKIPTYIVRSKSLQHINNLVDDILGDEDDEEWEGEGESHWESARDKYKAQTQKNVTENLEKANLLQQTVYLVDKNTLVQITTRRPIGKQVLDEVQLVRDLLEQARSRRIAATEVYCHEGCLISSYFPPHRSISLMLLVRMGPAVPGYSRARVFELVPERVLQQYMCETRESIARDLGHANLPHQRVYMVDKAYLVQVSQGKHPKDVLDEWDLLKDLLSEASARRGRMVLN*
>EED78941
MSVWPDHKHSWAEGSEFHTSYRRTYERRAEDVSDCVPEFCTTSVEDPTLSPALLHPLVTTHLQYPHSVRAMGNEFSTILTLVRGMQAARANKEITPNPIMEELEARAREADSRARKAEERVRAAEAREKESRQKEARRAAKENAKRDEQDRAFAESAKRKAESDEASRQKTRAETQRKAAAAEESRRRVAEDEQRRESARTVAEEQARQAKPKEKKALTAKEMAEKAAGEAIAAAEKAKKALQEGSKPVITPTLGEFEATKKRLGYRDGLFHFAVAGTSGSGKSSLINACRGLRNGSDDPSVAKTGATETTSNISRYMDPNEANPFVWYDIPGAGTLKVPDAEYFNEQGLYAFDCIIVMMGIRFTATDAAILRNCARFQIPSYIVRSKSLQHIENIRKDMLGDDDEEDTKAYMEEAIEKYIADTRTNVADNLAEAQLPDQRVYMVDIDNICKIVKGKKTKTGIDECDLMRGLLSTARDRRMKPKAWWQYMYRRYVSYW*
>EED78942
MFAAAFWRRLVPRSFAPIVSLSVLNMANDTVNDSAVTLRRHPKYWLDDGSLVVRTQNDLFKVHRTLLHRHSSVLSSLSSDQSQDGGESRSIDGCPVVHVPDDLDVSSADFEALLEHLYHDFPLDPEAPFARVASVLRASSSRQLDFPAIHQLARQRLESLFPTGPQPAFDIENPDDALTLAVDYHVYSIQKALFYTLATHANLDHDEPEEYALLGTSAQGAPTNANPLSRFNLSPTLTTRCQVLLDDLIAHFTPILFTVATAGHMACTDVFAEKWMPLVITPALANNGLCRPLETLQTIMDLDWAAEGLCEECVREKRAEWRDEQRTVWERMDGWLGLEGKV*
>EED78943
MLVPLTLTRPSSQLAIAT*
>EED78944
MGGGGSHDDWEARQREERRRAEEEQQRLQEQQRQAEEQARRAAEERARAEERTRQIVEEMRRAEEARRQAEEEQRRAEEARRRAEEERQRAEEARRRAEEEARRVAAEQQRREQEMRVAEEQRRAAEEQRRIAEENARRVEEERRRADEARRRAEEEARRAEEQRKIAEEQRRRAEEEQRRADEAKRLAEEQRRQAEEQRRRNEEERARAEEEARIARIAEEAAAQARIQAEKEAAHARMAQEEAERALQEGIKPIIVPTVEEVAATKTRLQYQEGSFHFAVAGISGSGKSSLINALRGLRNNSKDPRVAAAGVVETTSVVARYPDPMRNDVVWYDVPGAGTLDFPDWVYFNDQGLYIFDCILVLTDNRFTDTDLAILRNCARFKIPAMKTTKTTTHDS*
>EED78945
MRVFNRCPNTILQVLQVPYESSYWYQKALITSELEDIFANAPRSPTDIASARVLDAYARATGKAPSGTAEQQGSKKRVPGPEDDCPICYENMHGAAETTLTFCDTCGNGLHTECFQQWAVTARQKGQQVSCVFCRAKWVTSGPAAAAGASRSSEGYINLGSVAGLSHVRDTSSYYHGPRKGKRHLGYQDYDNYY*
>EED78946
MGVVESALALGTVAAAGTVAVGIVAVGIYLLVRQIRNAHRDGNVNPNPTMEEMEELLSEDERRRARAAAPGTHEGLRQRRPRAEQERDEAQEETRQARAGQQQAEAARAAAERAAANVRAAAREAERAHRQGIRPVIMPTRAEYEATKRRLEYREGYFHFAVAGVAGSGKSSLVNAFRGLHNGADDPHVARTGVTETTERITRYLDPNEANPFVWYDIPGAGTLSVPDWQYFNDQGLYIFDAIVVLTDSRFTATDIAILQNCERFQIPSYIVRSKSLQHIQNVLNDMPRVRYEEFETRWERARSKYMAETQKNVSANLLQAGLPPQAVYMVDKEVLLEIAEGNNPEETIDEFRLVEDMLLEARNRRVDASMIPDALAVTTYLNIQLLRIHEISPSRERDSAAVSPIWSAHSAQWRLALSSICGDNGHATAGARLLDVTPIGGRTGMRPVALQASDLQISDLAGVEWERRAGRTAGYGGRSESSVMQDAAAGLGARAWTPRCSSGGLAQRPASLPARWAIWKASRLFETKGALIGACGLTEALHAAGFGMRQAAEFSRRHTGPRTGTVCEIRGGGGVPDWAALEPAACRRDVHGSSARVAGRIDGGVTCEVNAAAGLGADTPVRPMSRQDALARIEELSRQRPASAQGQALAQPQASASTGASAAAAAASAAPGPGDAFGGDAFMLSGADGTGYTQGSLTHEGLQVFTVGHLMPKSTLDDENGNWAFDAAALSGVVMPTPQGMGGDPEQEDEGSPKAPYSISAAQAAQARAGAYAEAQAAARPGSAQKLRVRRSTYVPGWAVPPRVLLVDDDAVSRRLSSKFLQVFGCTIDVAVDGVGAVNKMNLEKYDLVLMDIVMPKLDGVSATSLIRQFDHMTPIISMTSNSKPNEIIKYYSSGMNDILPKPFTKDGLLDMLEKHLMHLKVIQTMSKVPRSLGIPPLSDSGFDQALTMQASTSVADAAGGFPLGPLGEDEGKINPLAGMGLTDEQYTLILQQIVSGESFMGIGPLDAGDAGGAGKRTLDDAGDPRSAKRSRFEVVE*
>EED78947
MSSKRCALKMHYGIVYIHSIQDISHIFKGWKAARSSYLYCQEFRVRRSMKIFDLDEDILSMVVSYLSISEARELSATARGFHALARQRALSELVLASPTDLTKAHAFMLLDIPNRLRWLRDLRVDIDERFILHHTNHLADLLENAHALQNIHVTSSETWVWSEPRIGDALLHLENLRRIGFSQVGQRVLKVVSSMRSCPVQVDLHGPSGWYRSPVMPTKDSQPADFASLMRSIMPHQRINSLDLSLSHLPLVVRLLDAALQWSTVVHLTISVSFKNAPFPDELVRCFPNLRTLRVHGPEIAISSPGQRQHFWPKLDYVHGSPIAIGYWNTSCPVYHLSLYTHFAVPAPCSRPHLAAIRDSRAHDAVEGTISVIQQVSPVVLTLNSSLACDFMGLDDHHWTTMLQSAPRLRLLDIDLRRLSGEQFLRWKERVPAVLACSGVVCLLLGIHPYSVDDSHAIPQQLAEAVPSLSYIAIACTSYPLVSDAESYGMFCEDSSWGWWRIIETESWREAQALSPEHGAQLATRFYSPSYHLEERQVDGQ*
>EED78948
MGKAMPAALPGPPRPDGGTSNAAIVTGQLSRLLPIVPSNDNITLPRSMGPPASPVLCLENHSETQERCIYLSLLSGCFGLDALELGFTSITAALPPKLPLIYASAVAAEGKTRTQGHMTGLTSVGCVTHARRKERRRRVPTDIVSSGAASVVADFLGDPSNEGDARRRRRGATCTATTVHSRQSRRHDVGDR*
>EED78949
MARGGGSPLPTPLPKVSFVKVQDGYSSQPAGVHDNIAKVYGYNDGSDFERPQHYLSQYIRCSSSEYLEPLESELAEQVEYDMDEQGQSNYRLLLPPQSHCVSGRADQEWLDAVNAERKKLQLDSVSYESSRLLWTAWRRSGLICALQTKNVPKPDMALPSEDSTCAICDDSEGENTNAIVFCDGCNLAVHQDCYGVPYIPEGQWLCRKCTVSPENPVSCILCPNEGGAFKQTAHGDWVHLLCAIWVPETRVANDVFMEPITGIDKISKQRWRLKCSICDVREGACVQCTKASCFLAFHATCARKEKLLMPMKATQGSEAPTLACFCEKHLPNQKEQADIRDAALVAERAAEGEGGDEKNPSPKSSKTARAYAKTYKPGPPLVPHIIVERILQYITRLKLREKREFVLLVCKYWSLKREARRGAAFLKRLHLEPWTASSTGRQQTEEEKTIKLEVTPAQYLKRLRQDLDSMRKIAALCQDRENQKLERVHTIQEVLNIFLFRHESTMRLAFERIRGADRQGVFQDPVSKADVPDYYDIIKRPMSWSVIDRKLDGHEYLDLREFKVDDVNLVISNAMTYNKPTTPFYKVAQKIQTTAEPILAELHKLSSRQAPNDQGVETQGVFLSEIGDLEPPLPIIDMLLSDESFKEDIDLILNKDPLASLYSYELPKMKPPPPPPPPPPPKIKIDRRAALARKRQERMDKGPGFRAPRTRRAAAVYAQFEEEVGEQTPAVGTAETSATVTITQPEAGPSSQHARAEGGTPSKSGRKPKRSIQPGTQAEAPQMVEGLDSRQSFAMFDKGWILSPDTRRGNRPRQPPPPAPTPKKKGKTIVVRGKSHLSAFSTTAAENETLLAAAPGPQMLPEQVDTSIAKPHEHVIAEAGTSNVSVEEPPDIHIEDVSAGPAPETFDEPMDIDQPSVEPPVSASDIKEARLPEEAESQQAETQPDMQTEVQPEALPDMQTKVQTEALPDVPHEALPEDVQPLDMQAETQSEEAQPEALPDVQAVADPAALPDVPPDAPMDVQVELQPEVKSEVQPDVQPEAPTEVQPQVEREVSPQARPEVPPPPQLEVQPEAQPEAQPQLAAQPQLDAPAQPEMQPDVTLQVESQAPVERPEPQPTVVDVPDTPAVRRERLARRRSDKLRMGLEPAPQPAPDVISPIVSTLPDGRTIRREGDIVVIEELDTPAIRRRKHLLRKVERARLEAEAAAAAAGIDPHAPAQPGAGEQSVAGVSQPSERAIVNQSAELEDVDMGSELSSLSDLSDEEREAQEPMTPPPPPPPPPPRPIQPIPRRQSTVVPLVGKGREDAILERGLIQLEPGTVLEGGTLVWAKMDAFPWWPAVIFESDDPYVPPEVFRFHKAPQGGDLTHLVRFFDKRNSWQWVPLDKLRMLGEDNEFDELMLTHSKLQKFKHKKVCQECRDAYRRAMAEKETDGEGDHAPESEDDTMAIVNEPPAITEPPAEVSTSVMIQDDGLGGDSPMTEVE*
>EED78950
MSSPVERLYDIRQNHPWHPEDRKAMVAVESAIGGAMTLGRVKVPQATYKGPDTSFISSNKPAVYHSTEGARGLGIPLLECLTLASSDRSDMQAPAFQELPSKTTKMSLRINWPGGYKDYVSKQETVKNTKGIISKAKLAETVAREVWNIIKDHKLVVKDGAVVDPLWKLGDDGIRLEDLYLSEIWHVSTASLQPQFEIVRRA*
>EED78951
MLGIFIHRRLTLIGDVQVVTTTLVFSAFVHSTCAVLLQPRDTGQLTPWPSPQPSLADTLDDDAVDALPADHSSALTYLVSFGIILLAIFLIVFFVFVMKLRKRRRASRAVCPRRPSQAEFPKERVGDCYGEKRLSRMSTATTLTPVRNSIRADVRRPTVPPLSTDLQTRHRPECHCRHCLITFDGIAFQRVSATQPGSRIPVAGSPPGYASPSSSRDANISHASTPPVADENFQDVSISVSVRSTPPPSPLSPRRYEWQLVHGPRESPTSLSVNASIAPVAATRIGPDLAPARISQLSPLSSLATSIFRALDKPAAPSESAASLALDVSAPAMADSLERDAAAMIEIHSSALSMLEKDLADTHTWLSDAEWTDNGSPAQLLYSGDEGSDRKAADSNEVEKLILPSSKSPAPADSLDDEALRSLT*
>EED78952
MYLPSLAQIPIISSHSSNQLATEATQAPATERAVRVRGSLWMRWSTPSDAHLDAMCLLAVHSLASSSPNVDAVLLQILALSNTIFSAQPDSKYASLALWRERLSHQDAQLIYLTLADAAEPIAFLFAHPRLHDPSLPVSSTTESLHVWLAGVLPEYRKHGCLALMMAKLAMHAARPLTVCTTPATYPDMYRWLVRNGWLEERGLADGKLMLAKVVPRPAQAA*
>EED78953
MAEPVFDEHPLEEYFRSSWRSGFNIPRFPCSDYGGTETGETSQILPGGAPEFIAEPDDSECASAVGWLDPEHLPYIVVEAIQSPESTFAERFKYDVISSSLLSTSIAAPLSATRRSFSPELPGRLDQESNASQSSSLEQNEPTVIPSSLTEFNWLIVSVSIAAVALFYEFYFVAIFLIGGATYSWHAEKVLSEKASIMSATLRVLNDVISSGNVWDSAVNEAMAVIEKEERSQCDNVRQLLSALTEPSQLSQLSEMYAPSSPMRETFPSLNQPRPLSVPLSRQRTMSGPADKRATWNGSYASLALAGSPTSHLQKRRSYRNSDMLSLFDAQSAKVSSLSAPPSPLVPISLQGVQEEDDDESPSASPAAHSEYFGAALLDFQRKRRSAGMETIGIPPPPSYSSHSPRSHRSSGSTSFSPVLRTIPSSRFTTVHTTRHPLSLSGLQLALHGALSAKRYACSHLLALRFEEDEDDSYWEDVRSVMALLTSTFEDASSRLMEALNEAERKRVQDERPSPERDVAAPVLSPAPRSRTMAEMISFAPMPSHLSRFAAHVDAISTALNEARLHLEQTVASLRDPSPAEGVTSAGGSATVAQEHPAFQAYDRLRKELGFALRECERGREQLLDIVSGPRIPSTNEDADDSPGLGQDSESEPSERPGPSSPAIRLPNLANESVTLALSPGDEQLDDATAHLLLTASSQHLPPPGIEQVYEADTGVVAPFTRERSKLTREERIKLAKSRRESAVSDLMDPSEQSRRSSVERWGPGGEVVQELKDVIWKVSEKRRKLVGQSESQSCPEQEQPSLVVHDTPRTAELQSPEVVAS*
>EED78954
MDVRAPSSSLRGNAIHPIPIKPETYYGEGTFDPPSSDEEADELLEKGGRESPEQAERAGMRSAMVDGGTLLVGIRKARRPASLCFLVGSLVTLVTLAAVIGIFAARSYTGTPFRIHGTKHITMDHVFNGTFSARREGILWVPEAGDGVFATQMDGHLTLVDLKSNLTKKLVDFSDLKDEHGRRLYHSSWKLSADMRYMLLKADTVKLWRHSSHGNYYIHDLQTKNTWPLVPPTYPPVTTYATWSPTGQRIAYVVNNDIYVVPSPSSSAVPIRVTTTGNTSMFNGVPDWVYEEEVFSTNYALWWSPDSQNIAFLSLDETAVDEYTYPIYNPKSDSYTVVPYPEQIKMKYPKPGYSNPLVSLHIFQVDKFMKSSTGRVRPADAVTAASLALDWDGRQSSDNSIISEVAWVGNATLLVREVNRAGNNGTVAVFDLTRAGTGRGRVARRLGKDGEQGDDGWIDADQKVYPISAALSPNGHSAYLDIVPTPEGYNHIALFSPADSSTPRFLTSGEWEVTGNILAVDAKRGLVYFQAANPSSLQRHVYSVPMPAISSSEAVAPTSLTDTSSPGVYSAEFSPEGGFYLLSYLGPQPPWQKVIEIGKHGLEFVLTENPQLNDTLAQFEMPVVSYSTIESDGYELNVMEMRPPRMDDSGRTKYPVLFRVYGGPGSQLVSMSFSHDWHDYVACSLQYIVVVVDGRGTGYKGRKLRNPVKDNLGYWETQDQINAAKIWATKDYVDPNRIGIWGWSYGGFMSSKVVEADAGIHTLAMAVAPVSSWRLYDSIYTERYLNTPSANPEGYVTASISNVTGFHHVDYLLAHGSGDDNVHFANSAHLLDMFTADKVRNFEFRMFTDSDHSINRRGANRELYEFMTDFLVERWGKGGKRRSW*
>EED78955
MSTAAVFPPVFLSFISVDRRRRWNDVKKEGHNVAVLWTPVLPRAAAGRDFTSASETAPYEQTINITKSLAPLQSSDSLSEAIRNIVGTDDLSVQIPVWDLIRRFVPGKKPTAEVKAKLAELKLSVNDFTAGEQKMYSELCAFVQSVTDICVEGDAPEWKLVARDTVTGADPGKSKRRIDGKKKPDVSIFRERDEARVTYQPATKANEGAENMEQAQTSLKAAESWHRASLVIECKSSNSDGHPFSFRPASTCKSSKTPGTCDKECDGAEPNPQAEKDVFLPQTDEAIESRGQLSDYAMHLMRSQPRQFCFMVVVAGCYAHILRWDRAGAIVSEAFEFVEDPSTMVTFLYNYMTMTQEERGFDMSVVEASDDDKEEMIAWKTSMGNKLSSYHTERFKEAMETKWPIYKVTIPREDLMTAAELKPKVDEVSAPKDSSQSGSDIPTEDLTLLIGKPLSMSNSPTGRSTIGYVAFDMRGKRLVFMRDSWPYDSPLRKTERIVYKDLWQNGVTNIARPISGGIVKNGDKIHRTITQKYGDTVYGKDTRARIHFRLITDEIYEPLDNCQCSYELILVLSDAITGKPNIMLKRTGSKVGQVVGILNDWDLCKYEDDMKKGSSNPAQSGTWHFESAMRLQYPMKLRQVSDDLESFVHVLHWMILMWYEHSLSGSSIELLRLVSKTYFEFETYAEYDTGGYHKFSNMRLGKLPFADLSSTPLERLTSKLAGICKQHYNAASTVEQIAKLKDIKEQKLKKFRSQSPAAPVMVEFSPRTYNLADYPGLQEDAESSDEEDARPLLDTNAKPKLQEHSWILRAFRSVIKYMEKNCYTQIKNDKLDRPQFTLATESSAQQSTRGLKRSAQSLNESNRSSKRPRTTKGVRTTSSSTDPALQTDSDESLDGCA*
>EED78956
MHLVAPFFLLALAIECVCAGHAHLDDTRAHRQSQHSRLARRANGRCGAQRQAKVRLRTAEPPVRLPDSAQTEQNLNDASIPSFVLNVTSNVINVQSACGPTGATPNVTPISGPNGNIDWLNCGVGDGGWRPPFVTANDIIAKDLDAALLEPGSPFTACSAYVATFKKYATKYGVPPILIAAIAMQESTCNANTVGGAGEQGLMQLTQDKCGSAPNGNCKDVDYNIKTGTKYFSTVLKNNNGNVLLTLGNYNGWPAGMTYYFRVRPLHRPKGPAVDVRTTSTTNAATGSANKKKERDPPTTQAGTVVARAREGAHGPAAVCGAEEGANLPRLLEQRENMDNAQRVDDLWYDDGSVVLIAQNRAFRVHRSILSQQSSVFRDMFAIPQSSDVMNMDGCPIVELADDACMLEHLLRAICNRSFFLPCATESTNEVVRIGTSIASLSHKYDIPPLMTEAIVRLEDIYSTKFEDHNRYHVDEDPMDDGTAIFVINVIRRIAPSPLDRILPVAFYYCRRTSQLPMSPLVYGIRRGPCSPPEKLSDEDLARVLHGKSELELNMTLAFTKVFLPLNANFGLRCSDSLSCRDGLNQLMRCVMTSIPTAQILADCPDNFYTGLCAVCREHVKRALSSSRRKLWGNLKALFAL*
>EED78957
MRPSTVLATAAIVAAPLAAATPIPAAPDGSEAFSLGTAWDVAKDGYNAYEAVKGAYDSFKNGKRELEHDFLNLTPVQPNSLWYHNKIHREYHEPEPAQPAGAHPYAHERVHGGANGRAHDHDHERVHSYGRKGHFPHTKGHGRKHRTGYKAHHAHEHERRPAGYRVKPEHEAERRPAEHVAQPQHETEHHPEQHNALPEPTAAHPAVEHQARGKVDDIDKGINIVSDAANAVTNIHDAYESWKQSHKRGTASKIEDGINIVNDAANAAQAVHGAYESWKQSHKRGVASDIEDGIHIVNDAANAAEAVHGAYESWKQSHRREPEPYVLPSSRWRHLAIARALLDELD*
>EED78958
MRSSTVLAAATVAAVPFAAANSAPAYASDGSEAFSLGTAWDVAKDGYNAYEAVKGAYDAYKNDHKREAPQPSGSEAISLGTVWDIGKDGYNAYEAVKGAYDSWKSSHKRDTDFVELLAREIQNQIDHEHTPVQPGLRPDAHQHERVHGQGRFRHTKGHGRKSRKSGKGRNGDLRHTSSRVHGHESGTHRPAEQMHEATHAPVEHPASVPTEHAQEATQASAQHKARNWVNTVEDGIHIVNDGANAVDAVHNAYESWKQSHRRSWAKDIDDGINIVSDAANAADSVHSAYESWKQSHRRNLVNDIDKGINIVNDGANAVSAVHDAYESWKQSHRRGWAGDIEEGINIVNDGANAVSAVHNAYESWKQSHRRGWANDIDEGINIVNDAANAAESVHTAYESWKQSHRRAPEAFGYQQPLRYKWHGAIARALLNELD*
>EED78959
MRSSTVLAIAAIAAAPIVAVLCFKECVLTGHDHSAYPSPAAPSGSEALSIGKAFNIAKDGYNAYESVKGAYESWKNNHKREIDQLVAREIEEVFVREIHDALVARDVVDELARRGRLAKVHDGINIVNDAANAAQSVHGAYESWKHNHKRGRVAKIHDGINIVNDAANAAQSVHGAYESWKQSHRREPEPFYKWALHPIAARFLLEELD*
>EED78960
MGPRIGDGNGGTAPAEARGYGRGSDILILIKPGKPRPDGTHAEADGLREGYRQDPQNVYLTCSFEDASDWDAEDVGCAGEQTAAAGVTAQRVEWVGVVGDLSQQQGHQQWQQASHLMPPAPPHPASAFPSPSAPTSGNPLSEQPYSTYYQQHSQPAAVSAQQTPTLVDTMSADRVVDRSSLSLNLSSLSVTSPSAMSPINPSPHPSTQASTHVSPITPISPSGLHAPSHHHAHHHHHVQQPFGFAAPDHGGMRYDDAHYDYTRRLTSSRSSSSSEKSVPRKRSFGTVPALSTSVEEPAYDQTMDASPYDEVEMSYPGLDAENSPIDGSTSGGEQDDHMKPMEAQIPSSNANTHTGMSMGLLNKPLGTNNFVTKLYQMINDPKSAQFISWTELGTSFVVQNVGEFSRTILGSHFKHNNFSSFVRQLNMYGFHKINRRTSADVQTWEFSHHKFLRGRPDLLEEIKRKALEPDPALKHRVELPGEVAAQLAQAREDNRRLAVAVHAERAKVERLAIVTKAMYDVMSRTWPGSIPMPFPNELLESAGDSPNIYITSPTHGGPHPPFAPPSLSISSSSLHSLHSLSPSSSPTAADFPSHSHTPSSLSRQPSFQHLPGYDGMHHAHAHAHALGHGVSSARYETALSTPLPPSPGLDFGDDGRLGAKRQRTGTATASASEAMVKKGSRARSDSAPLGYGLNTGWAQTRPRSGSGLGPRGGGGGGGGRRDEPPVPNIGSLSRTHTLPAPVLSIPSGPKHSSNVYYHALLLTLQEQNHPFHTCVAPMYSPGLYLDLVVCCLRGEAGRRMSLNAEPRATVSSDATGTMPAPPLFGPARIGLWTCCSEYELEDLSIRAENGQRRGLSINVNGRSYTTAPDGSDPRSSHGTKPRSLSLLDTFDGGRSGPRASSVSVLGQEARAKVRPHADIHPPNASGQCINGEVGANGSPVQRRPAIANPPRTRARTKAAQPHYGSYDTGPRPRRARHAVVLPEMGTRHTGLALTRHHRRLGRPVCGREAGESLTAPRRGAFFASSAGERMSELAMARLGQASAIVAIFAIQIYLGK*
>EED78961
MATYSSHQDTPVTAEAASDSGIVKPPHKQSEASKSWETATVDTPGAAEHFQAPRTPPRAAAAKDLPPTQPSEPTSTPRPAKPSSQQEKSVTSIDGNPGLPIYRERLDKEMNPGRYVQIPVRRFVQDLAPCDDLPDDVLAAFAETKFDDTKFKTDKETDMYVPFCEKIQTPLDLSWKNRQGAGFKEKCYRLVAKDTGQNPDNSINEDGGKKKPDVIIYPDRPDAQKCYAHADGSYRGVTSWAWGSLVVEFKKATTKYLPFGIPSEASHETEATVPFLPSTPFSKQARGQLIEYATNVLRFQPRQFCFTIVIVGSYARLIRWDRWGAIVSTSFDFINDPGHILFRFLYKYGLMTQAERGYDPTVVEATDQEIEDMNAWKDMATREARLSSYHLEFYETAMDSRWPIYKVIVPEKDLLFTESDERPDGPFDGIGEHGVSAVYTYLTGKPSHTTHSPTGRSTKGNVAYDIRRKRLVFMRETWRVDSPDIKPEHEVYVHLWKHKVRNIARPLSGGDVRTGDLKDPKNVQRTLTQIYAPVEEEAERPVGRIHYRLICDEVYVNLTHYKHSLSLATALLDALIAHKDAWERAEILHRDISDNNVMLQVDAAGNLVVGILIDWDLSKPKADIPKGPTQVARSGTWQFMSALLLKYPQKRHELSDDIESFVHLLNLLVLRFHEHGLSDDPKGLMDHIINTYDKFHDNNKGEDVGGEGKLLQMQSGSVPFKPVAHRQLQELLNKVAIMCQNHYNSPSVNEEIEKLSGVVLGSVPQNDQERADERPRDYSADICTMPAETAASRPLATSPELASHQAIIRIFYEAVITNKSQWVDDSSTKKDQFIFGSYGTEQSLNSTTRSMSKGKRKSEGSISGASKAKRSKTSGAVASGSTKLESIPE*
>EED78962
MSGRFVEVPVVKFKQLVPGQTLTNEVFEKLAALEFNEKVFRGRETDMYDHFASITLSCDICAIAQNVLDTCYDTRIKLASPSGQHKLVAKVTGNQTDPIIADGGTGKKPDVILYPDTPKACEQYNLKNRGLPKSAEGDYKLEGSDQRQTLVQADDAATVQSDFRANTSWAWASLIIEFKAGKKTDGHPFGFDLNGEDEQGTTSSRSFESDADGAAEARGQIIEYATEVMRNQHRLFCFMIVVAGCHARFLRWDQWGAIVSESFNFVRNQEIMFEFLYNYGAMTQVERGYDPTVIPATDSEIREMMAWKATIMKDERKRLSSYQEKCFDEAMSGKWPICKVFVPKEDIIPSANLEPKEADRVSAAALKVLDDADAADNLLAGLELIVGRPLHAGRSLIGQSTQAHVAYDMKNNRLVFMKTSWRAESKKVPTERDTYIHLWSHGVQHIARPVSAGDVSSDGILQRTLTQTYAKGQQASGCIHYRLVISEIYEPLETYLHPYEMVVVLSGALIAHEDAWTKAHVLHCDISVNNVMIKRTGPEQGQVVGILIDWDLCKHKKDIEQGVTQASRSGTWQFMSALLLVYPKKVHRLSDDLESFVHLLHWLILKWQPHNLSWSKLDVQKVASRYYDDFMPSADGPDRGGKDKYVGILHGKLPFGECANPNLQRLAKEASDICRDHYQAIEDERLELHSRYTVAPPSEGIATVPGDHRKSISDTRPEKQLRNVRRFWPQPQPEICSVKTLSQHDYLFNAFAQALQTDIEHWPAADRYAKSQFKTSANGTLRSNVSTIQSQSGFKRRSRDSDVDNLRMRSAKRTRNEGSHEETAASGTVSTLESITEEYNEDPLCSAGGTSPVKTVRPQYIDSKELTHACYLFGSFLFRAVSGFVSVTGDEDSLTSNASPYREVDFWGRRSEYECEEAVKAELINRTCLTSVFTINSLRNCIKVSVPYQQSRPRNPSYTSRNPILWLSFYSSTTVYRPDRVLAKGSTKLSVSHCSAEKYGLNIFMRKFVPGADPSDKERKRFAIFSHDKLDSTIPLAVSEFCRAARSNHNTNVDGDLIAMATTEERPWVRSPSKALQCRKRSPDAMLISKDAPPARYHTSSPEDGYISSGKNRTKTVAAADEWAWMCVPVIFLPARATALFDFNDAHDDPDRWTGLQQEMRGRIAHCAAEVVRHQHRVFCFLIVICQRKARLLRYDRAGIVFTRPFDLVDGPMPLYTFLYRLNNMSRRERGYDPTVVLATEEEVDAMRACTDALPKDDYRLRRWEKAIVPERPVHKVMVPQERVVSVKAWQASGEAKVAASASSGPSAPDDSPASLHSHSKSASDARCSPLLCDFMKYRCFLISGDDFSSDSPIGRGTREYIAYDMETGRFVYLKDAWRAAASNSEIKIYQQLHENLVCFIATPICGGDVVEGDGTLQQTRTQEVLPTMSGHIHCRLVVEEFGIPISDYPTGRNLVAVMLDTLLAHEAAWERANIMHRDISVANLLMVPDPESTDKEITMNGLLIDWDLAKFRDELEKGSKDTRSGTWQFKSALLLRRPGLKQHEVSDDLESFMHVLTWLCLRFHKHDNSQGLQGYVTSLYDSASRNPKTKLMEGGQMKMKFISEGVSFVDLSDRSLLKTLVCTLALLCKQHYAAIDLTGYLDKGADAVDVRHLRKERRRVGYRKDVKAVDIFRLRPEDTASKSAQLYLSTHEHFLNAFSDAVDMEGNFPEKVEDQFKAFEKSSAGDHVVRSSLPLESTKRAKRESAAKPERPSAKKRRAPRRENDKISVTSNN*
>EED78963
MAGAIWDIRAAIDGTTQVSIEFAKPPLQIMPQFSLTLAATGTGVQAGYARLPHELCVHIIGFLSHDIAALRCCSLVCHAWTPIVYRRLFYTVEVPTSLNPIVGFLCFNPVPASFVRHLVLWSGRAVDNLTMTPNVNCVSIYGLSGTLPLEIWPDDFERIFVLFPNVTRLELKYVTGPDDLYQDSCRDEAGRGSQVLKDLRVRATDNHITFWLARRMVVRRVTNLELEISTDSQAIWLFHLMRIDRGPRHLFLSFPDIIDNDCDFCENLLKGEVPANARLSSLRLHCDYDEDWSAMPRKSDPILIMLRKATWARLGKRLGGLSPEEPATVSVELVIQNTAGWPSWKNAAAALVESSLGIAPTTGVHVNVQCI*
>EED78964
MLPLSTEPSIREDRLEAVVSDVGHSHERDRHFQEVIQPAALRASEVILGYRWDTPADILHLGCILFEPNKESGWGVEEDHLVRMTEALDTRFNVEFLSKCMHRDQFFTADGSFAHFDAHKEPTWTIRRLLETFSLEQDEVEIVETGRFILICLRLIPEERVTARDLANDTWLEWREWDENIMFARYTHNQAWRRSSETTNGTSDVAYATTIIMCQ*
>EED78965
MSSTLPFLGQFNAPSTEGGKRISIYTPKHTHVGDSALLMLLLSNPTDVFNKLKTHNPEATNATDRAALEAYLSARHEYDEAVKAADEAIDHHNIRARHNKFIPRAIPNMYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGRCGKEAPGHLERECRTRPMKRHVSAPPEEPARRMGVVVDNVFLEEIINEVKERKERERQTKAVPIPPPCSANPEPPASPVTGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPLMLVRAQHADAAPGAVDSDVSISGM*
>EED78966
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRVEEAAKRAKAAEDRCLEDERRQKDEEDRLRQAAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRTRVDEEVAELSDDPSVKTPRTLERPFAMAEVDMAAVALEKCQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSSGSKKRRVDEPPRRLLRLPLDGAGRLGLEQDDLDALDLDDESRGIIHVIREERAFIARRRALLHDMDLDLQKMEKAALTKGGIGFVRRAVDDD*
>EED78967
MDILHKNYPDEDHIFVLDNATTHCKHADDALSAQKMPKFPSPSKPEQLAFDVDKTKLGEDGKPIYGPDGKQLKERVNMSDGRLPNGSPQSLYFPVNYANHELVGKFKGMANILEEHGFKNAKASRAECIGFKCKPDLPHGFIAFLMHTTRD*
>EED78968
MLLFAVWEK*
>EED78969
MPVAPVDDKETQLYYEDSGAPPGSEPYITLVLVHGLIFHGGIFKPMFQYAPEHNMRLVAINLRDYPGSTPFSPSELDALRSDKADQATFISDRGMELARFLEWFILEHDLPPKALSHKSEAAGGLSILGWSLGNCPIMSMLASAHALPEATRKLLDMHLRSFIVYDPPLYTFGISDVYDDLYIPTRDKSIPPEGAAEAFSFWVSGYYRHTPTVLSSFNSLTREEILAGINNSPIDDSPKYQPTLKTMSPVEIAAVTDWAGAQRSHVAMLDVDSTVYQENAQRALLDKTVWPGLRVVLVWCTMSVGATVYGSWDLARRVRHAWPPGAREVDVKRLDGVNHFLRVFNIHTISTLQYLKSPNHIPDDRTARVLEKCEVPLQEQRRRSDKPSSYRVLEKTKIGVLLPQYS*
>EED78970
MPIPWFLLQGRPQVGNQAFANYVDQQPTSVTHINHEGDPISICPGMFLGFVHPSGEVHIEDSGEWAACPGQDNPSTQCIGCRDGNGVLDPAQVTVAIIVFLLLQDIPADLILIPVRGLSVTPARYHE*
>EED78971
MRASIGLVGLLASVQHVLAGTLPSKIYGVNIGGWLVLEPWMLPAEWEAMGGQICSDCSQCIGSEWSYTKAYPNIANAEFAKHWSTWFTQDDVNQLVADGINTARIPLGFWLVEPLVNRATEFYPEGGIVYLQQGVKMLSDAGIQVILDHHALPGVQTGDASFTGNCTEYVEFYTDYNYQRALVWTAVMTSLSHLDPNFASVFAIEAVNEPIMDADQTPGYGTFQKEFVDTVRAVELTLGIPVPGLLASGLPSRNTLVTTCAIPSFRRCLGLTVLYLVRFMDINWQYNNPSNPADAAIGPQGYDNHLYYSFGGVAAANPTAYMESICNLNRVQADAALGDTPLWFGEWGLPTQFNATDEFLYMWADAQKLAYSQGAGWIFWNFKVEQSELAGNLSRQWSYIEGVKLGFLTQDPSQYHNANVCEPYLTTS*
>EED78972
MASIIVPQPQAIVPARPAFQHWPYQVQKKVKAIGKPFTNQFFTWKIQGYNAQQEQCSDTGGEPDGLSQQSICAVLVPFPLPPPLPLREQRTIPVTWEPSGAPIHDASSSSDYDMRDASSDSDSERGVVPPSDQPAALHCTRLSPLRDLCLTTLPAELATQRCSQPASPDPALQELRRTVRTTLTTRRSRTLRHDADGKNRLDGRSQRRLTRPTLPSFGHVVRTTAIDCTQQRPQHRTRPYSCTSRYDHCCSGCCPDTLCPFKVFGHAQPCRRLELSG*
>EED78973
MAHFPLSATLVALVCAAGALGHLGPITELPITNGWVSPDGFERMAVLPNNQFPGPIIAGNKGDNFQINVHDQLTNGTMNKTTTIHWHGIFQHTTNWADGPAFVTQCPIAPGNSFLYDFTVPDQAGTFWYHSHESLQYCDGLRGPFIVYDPDDPHKSLYDVDDDSTVITLADWYHVPGIQVSIPAVSDSVLINGLGRVAGDNSSPLSVITVQQGLRYRFRLISVSCDPFFNFTIDSHSMTVIEADGVNTQALPDLDSIQIFSSQRYSFVLEANQPIDNYWIRAAPEPLAGFGQSDPPTGLAILRYEGAPAIEPNASIDDTPTSTNPLAEVNLHPLTNPEAPGGVGPADVYLNLNFSFTNPKFYVNNYSFTPPTVPVLLQILSGAFTAQELMPEGSVYTLPPNKVIQISMPGGVVGVRHPLHLHGHAFSVLRSAGDGTSELNYVNPVQRDTVNIGLLGDNVTIRFETNNPGPWFLHCHIDFHLNAGFAVVMAEDTYDTPRVDAPPPAWDELCPTFDKLPVSDY*
>EED78974
MTTTPHNSQRALVLLALCASATAQNGGYYYNDDNTAGRAIAGIVVAVVVVMLICLVIAMFYRRRRRSPQSATPLPTTVYPMSPARPYSSFAFHSRANEAYLGENRPVAPGGPFAGPPPPPAYMPPPPPYHRKETFEGDSAEVPVVQPQGGDVQLPDYAPPPGAPPTVHMTTESFLRVLLVQRLTLAGLANDLPSDGDDDPVIVTRRRRRRRKRRSQECTRKPDREGQFEVDIPEEEPHEENPLKSASLETGRGRGWASREQLEDGFEACVIRARERQKVLKKNHDTRCVWRRRPDDDIYSRVLIIVREREETRAQDGAEGNVLDEFPAASVQDKVGAREQVDDLGAEYAYHGCTVEHIEEACELRGGDVKNGRHGRGRYKSLRHAGAAAPPVESVDGDLQRLAQDLKPDVNSDLGKEDVDVHCSNLTPSSREGPRRKFKELKLGGIKSVDDANKMDFNIAVLFDRFKSTTDVACRLVCSWIGPRRVAFRSIFDMYDLIARDAGEAIGRVQGTRQIHLLLACFGLWTKLSSFDSWTSSVRPLLMAQLRPSDIWSRNLDMYAGCNTYFSKHTVKFDAVVLELEDIGALRDRCHTLVHTVAILMARIMERDSRISRLEKDLSAASLKQTILEGEKTLLHRERLVAIIYVQRQKDVLATTRQHVRNLLVGTLILWRYSCTLSGLLSKALLDLQSSTRTLVTLNDERERAVLRGNTQAAAISALETHMQKLEMSCDTRTADAKQWFSICRFVLSTHFVNMDEAILAQTRSKQIMAGLLTLMRLLWQYLLILRQQAAKAEVAQSLRKENADLKELLIKRNANMLVLVRYRDSCQRRMGCLFVCLLVLWRYTRVLGRQLAQAQVRLLACPVLCKPNTLELEYYYAAFSPGGLLQVTAGTDGAPSSPYLSRGPVHDNDDIGLSDRHLQELSGQQRLAIRFAQMHTAYSSIAAQRDEVWAALQKAEATVEQLREDQRNTTLALAALHKSTVKRTDVRAVKMALRPAEPQYASSRRLTAEELFAIEAKISEVLSQYAQRDGTAGPVHDAHRLTAADTSMGQPLTLSANLQHDAFSWSPHPEPAATSQSPVQREKEIAKTRAPWPHTWNADTLLYTPTPAADADEDRSCAPDDLETGVQELADQLQLLDFYDEEEELADEGVTSREVTMRSPPAETRVHSLVRPLFSVPAFKPEFLAQPVPFTPHASWYQSQSFFGNIGGQAAPLRSGPSNAHERKTSRLPLEAFAEIKAEIPRTANHGTMSSIGPARTANICMRTEAGRSTGSSCHNQLTYSSTIARLGASTADNDREHPQPQISIGVIRARAPSTLVQADPIRTGVAVHPGISFLWRTGNSGPQGAGADAETCYHKCEPVNENVSQGEAVRAFKAITLRGRLTVRDPHGTPRKRQGRPWQWRRYQDELPADRREGAAVRACDRALAFNSRRVFIAKQKIAQMIFWALGGISSVDDDEGDGCLDTGRWRRCAVVTEIRSERLRGREGSGSTYGRMRRKGPAASTPSLTVRVPSVSRGRPHARTLVILGDLRPAEAALRCFQPGVTTRFTHGRSGTCETGCHKLNVLIEYRNYAAQCDCAEIAHAAALRSLNKGAFMSTREDRPASSTGAAHKRAVLFRAKKLGMETNMLHIHLQTALPCADGLAKTRSIARSDIGYLRSDTTSKRDEMCQLPRMEFFLRNIYRRKLGMKASIELACRRSGTRRADILVNKLWAPMGETWRWTTTSKGSSSGDVQNGPFSGEQAVQILRKFAENALLVWTSGDGHEGEGEPGWGRDVGDEAQIEARLSTGNAWLPSDVIRQEEPGTRLNGDCGKRGLGSAEKRVRVRAEGRGKRGGAPQTAFVVVVACMRRRASVARGTSRTSPSSARIPASWSPRLAPLPSRCPAPPHAPAAQPTPITPHTTMGRRKIEIQPITHERNRSVTFLKRKNGLFKKAYELGVLCSVDVAVIIFGALAFFALFLRAAHPFTFSWHTRAPPEERPGHHVKLYQYCSTDANSMVQRHLRIRANRGCIQFDGERDTRGPHDFSGQKHEEAADDDDDAEEDDGKGPSKERRDSSSSSKLQPKVKIESSNGSIPIRPVAQSSNDVSMTIDLNHRNSRSTPTGSISSTIPTSGERHSAIPAPVVRGISIAAKRPRIEETHAPSLPQLPQGVSISSLGSNPPSYPYRLEVDMSQYPMQSHITPLSVPPSHSASHPSLAPFYNANVGGMPGLPGSQSAPVGFLPQLPQAPFDMGHAQPPPTLRTVTFPSQTPSPYTPQQQPAQLHSVYGQGRAPPGSHSGATGMALELLGVASEQGGGMQTQPFDWPVHQQQSNPDNGGLALPHGFSGGGDGSWFDFLTGGASQPNNIQASSLFPTHSRPASNSISSVRSMASYVLPRSHPSSGAVSPDRGLKRAREDDIAAMSEFSGDGDSHTGPTEAAIRRSLSVDKPPMLRPTVVVRAGQVHYKTSPVTMKLSGQRDIGVSLSAILSFVILARAFQNKVSEVVNAPRVASPEASVDHYCSAVLKMGANDLKAAALLQSKPDFSPSTDMWYSDGSVVLVAEKTAFRVHGTILAAHCEIFKDMFAIPQPFVADPDAETYESCQVLRLQDSPVDLKHFLKSIYDFSYFRPGAKTKFPIVAAVLRLSTKYQAPALRQRAIDLLATAYPSTATAWQRRSSDRLVPPFDDEHLACIELAVETDVRVILPAIYYAATREQLSGVLAKLSRLAVAPSIQWDVCTDFLVGRERLLQAELTHILAFLDASFSRPNCQTGNDNNVLATIARNAFHKVVGSEPYHQWCSAKPGEVGPALPVCATCCKTIQQSIEAGREKVWEQLPGFFGLPDWETLQARDGLDDPKSA*
>EED78975
MDSDSKNVDTNNTTPQTHLDFTRSRDVWFSDGSVVLVADKTAFRVHATILAMHSEVFGDMFAMPQPSEADLDAETYDTCPVLRLQDSPVDLKHFLKSIYDFSYFPAGVKTQFPLVAAVLRLGTKCHAPVFRKRAIDMLATAYPSSAVAWQRRSFHRLIPPFPDELLAYIELAIEMDAPVILPALYYAATRKPLADAHAELSKHAVALSVQRDVYANFYLGRERLLQAELTHVLAFFDTGFFFPDCQQTRHDSEVLDRAARSSLRKLAGPDPYHQWCSAHPSKATSATGFCVNCTNTIRSSIEAGRETVWEQLPGFSTSLIGIFCEEWRTD*
>EED78976
MLAALTILLCHGISKQDIRVCVWLLGNCSQRSTFESHSTIGVVKTICQSSQVIMDSDTKEPGATGTISQAKLDFIPNRDLWYSDGSVVLVAEKTAFRVHSTILAAHSEVFRDMFAIPQPILADPDVEIYESCQVLHLQDSPADLGHFLKSIYDLSYFIPGKKTKFPIVSAVLRLSTKYHTPVLRQRAIDLLVTVYPSSAEAWQLRSLHRLVPPFEDEHLAYIELAVETDVRVILPAIYYAATREPLSGVVSTLARLRVAPSEQWNVTSNFLLGRDRLAQAEMKYILAFLDKRFPRPNCQGGNDSTTLVNLAHTTFRKVFESEPYHHWCSARPAEVGPSLPVCKRCSAAIRNSIELGRQKIWENLPGFFGLPDWETLRARDGLDDLVFPSSPQSPDLVDE*
>EED78977
MWLIFTRTVTAGSSWITKSTTSPTSSRHTQEEPGCCSTSPAAMRRPPHDHFTPLHVSPPPYPRTNTSASSX
>EED78978
MPEGSVYTLPPNKVIQISMPGGVVGVRHPLHLHGHAFSVLRSAGDGTSELNYVNPVQRDTVNIGLLGDNVTIRFETNNPGPWFLHCHIDFHLNAGFAVVMAEDTYDTPRVDYPPREFSPCCGTLFTISPYLQRHGTNCALPSISSLCPITR*
>EED78979
MGAVRLGMYGPLTREVHPWQPARLLCKRFGVKDPEVDMSGEVGGAGSEFMPTAAAMGAGQQGAGGANAATPSATTPVAGTITDGTVSGDAPRRDGPRDLAKVGLGDDEDQGRDTLTYERPAMNIFKAIFASDDEDSDDEDADGNVEDEPTLDIPARAVSSDGGVVKTESVPSHLALSSDPVPSYEPQSNGPVPFSGQAEKVDLASFKPTFVPRAGRETRKDKGKGTGKKDKEKKKKASKATLSFDVEEDGFGPNLGAPISHKEKEKTRDKDGEHKKKKRKESKVEEEDDSMWVEAPPPEVAKSLPVNPPPVAEPLPVVDTAPSSLNGAAEKQAEPVHVLDVSMAHDLLVLLILVFVVLATLFLLRDPHTILISTTLIDIVYIAFALAIHRVFRDLAVGHGKAGCSTTFPFDDDGRAAMS*
>EED78980
MVVLAPRFALLAALSSLAAVSAMPTPGDGSSSSSSGSDGSDDQAQRHPKPTIPLPPIKSTSKIPKGSVKNGNAHSQDAQSGKDKRSSTWDPLDPLLQLGPICIGDKPCPERTQSRRHDHHHDKVIVKGDHDHVNVHRSILASRFARRSPHAGIVVSAPNVHVGVDDADDDADVGLRTLERRSPHDHHDKVIIEGDHDHVRVHRSPSHDRHDHDKIIVKGSHEHVNVHRSPEPHHHHDHDQEKVIVKGDHDHVNVHRSPEPEPHHHHDHHDKVVVEGNHDHVNVHRSPAPEPHHHHDHHDKVVVEGNHDHVNVHRRSGGAGSNYVAGEHGSSFIVAHTRRSDLQVSGVPGTVDIVTGVRGTGTAQQKIASLVLSAPDNSTANSDNNTAASFVLNASGTDQTQIFLVAAPSNDTNSTSWSNSEALPNSTFLDSDFVKVMLQILVFDAERAALEPYCATFDPNPPAPSPLTVEQCLNGSLTDAHKSQVFAYVPDTGVVRPMWSNNQDDQMDDQDSVGSPSTTSNSTVAATVSLTANATTTSQDPSTPTSDRPASMASLDEDFGDATHPPLRASFAKTFDAPSVFAQAHNVTLVFTPATPEVVAGKTVDSDPDDSGSAVGSANSTASATGTFSSNISASSAMASSTIVSSSASTSDTASATASSTASTSVTASATGNSISLEASVAIPSLANFVSLSQTASDSGSASTSATASSSSASPSTTAFASLAVEVYEPTASSSASGSATPSTSASVIGAEDLADSTSTAMISSSAASSMATSTSTALYEWEFREGTVRGAMDGY*
>EED78981
MSSSLRLMSAASFLLLAVTVEARYYSQNGYYGRRIAGGGIAGIVIGAIVFCLLLCLCCAFCIRGRRRRAAGAPATTSAVFGSPWRRSSGGTAGAAEAGYGNPQPGWNNNGGAAYGGQNMAGGGYQPPLGAPPPNANVGGAGTPLPQYEPKQSGFAPTSWLTANVTAPWIPTSGAYETLSALMLQLSPPLFVDGSRYPVMFLFSLTLADAVEPSIQYNV*
>EED78982
MLFIRSVLVLAAAAAGSFVSAITLSTPAASYPGTVIYESWTYGVEDPTSFTLVLVNSDERNEYSLVENVSTSEGHVHFTMPDVLPSSTYVLEAVNDTYAYSLNCQDIR*
>EED78983
MSKVIDSDLTPRPVKRRQPPLEYLPTADKLPCYTSVQFDPEIPLEGKYTLQEALSTMKGEFPRSWSDKAMSSTKELNKTEKNLSEEQQLRKLVYLPDLVDRILPLAVDFLKNYEMHLQSGKDFHNFLRVHVAVKRKELAKLIWSEKEIEKYADNLLLDRALAALRAILAQMTQKDWYHYDEKDLDANFGNSRPSLPGASYSDIFQRIIGDPDFPYLSSSVGKVLPDRVLISGHTSQDGLLKPTIALTIEVKAPAAMKDDKRHKHIFSEIQDLPSVRVPRGTATEFIYPEDPDTTDGQTRILVQVWTQMINKDSRLGILSSVEDTFFLARDKDTLYLSQSYELDKAPQLRVFAWFAVAVDLIEFSALHLPDARTDWWKADPQHAVDVAGVNRRHRTAHQADYYRIEPSSAATKGMTNLRIYIAVAAAVEVATETACMQLIEEREYIVDAHICMLDEAFLEQLNANMLALLTPRAWEMTKYQDA*
>EED78984
MSTQIQILRFQDLFDRDKYYVFNRVAVENDVTNDTLTTIHPSQAKSHYIFQHDRDSTESPESLPSLPYPGRLNWPGLLKYAYPGILTSHLSSHGHQQSLDVICKVVSMSYNNNRIAHELFFYKKLEDLQGRKIPECFGMFEGQINGERVECLVLEYCGEPVEDFRTTSWEFREELVDIVHELHAQNIHHGGLYKENILYRQRLEPDGATASIEAPLSGKHIEPVLINFGNASLGFQCDAMKTNQRIQLRVEEPQAKDLIGCQQLLRFARSISAWYPSTFKLQKCSVPIELRDSPIEKLIDAVKERRYYSDEEIRALDVNVIEKERRQALERYDKWIRTWKACEKYSDGSQASTL*
>EED78985
MTSAQAVYFEQLSKLKYGYPLWDPEPQNGSVELQIGDVGIVFHGVFSRIFNAMRPEGDPLNVDGVPEGYLPLDLGRNPPKMERLNDLPPGPLCSHTITHIHASAEVSAGVAGHGASLDFRFRCSDKQGAILITKEAIDRNFLLPNNRIVQYIRRHYKSWYQFAIQDRGYAIGIDDIMFVSGWAKTADWAVAAFTQGGNSAELSFDGNFGKPASISASFSVSHEESPILQQNSGPRARREDVVAQDSNARNARYSGRHSAVSGHHRRDQCVFFHYYMVKPRVLRTPKVIRAAAGFHEPGRSPNNDSDNGSGSESTISSQSVDVKNIPWDQQPHNPVKLLLDYILEALTAFNLVQHSDAELAVASDRDVHTLMEGRASVGLEVILAEIRPHVYVDGSGLGTIHDYATSEGDHDKIQGILQEKLSQSTSPVAGSSENDETREEDLFSAEFLEWFPQSLHGENEKRNLTIE*
>EED78986
MQPEILHLETYRPMGLLNWLGYIPGRHKMSKTLNNRPESSDLFGNHNGWQSNTEFTFRVPQTFISDSQPQAQQTPPNPYNNYDSSTSRPSGRQDAARFTGLYGRPKLRTLIAKDPWPPQVMISPETRTVSVFMDPRANATENLHRRPKHHPKKPPKKQPPSAWMMYRSAEGKQERYRGQQQVNVVKSLAGSWRALQDDERKEWEKQAREARERFRQERLQNHAHSERRTPTQISTPSSTRNAETVSEVVQEPEVPWASVIQDLFETNPGQDSNRNPFTDWFG*
>EED78987
MQVFTAATQTAKIADLQRDIIDPSTKPTKGLTNDHGVFVSDTDNWLKAQDHGTVGPSLLEDHMAREKIHRFDHERIPERVVHARGVGAHGYFKVYNDSAAKYTYAPVLTDSSRTTPVFARFSTVQGSRGSADTVRDVRGFAVKFYTQEGNWDIVGNDIPVFFIQDAIKFPDFVHAVKPEPHNEVPQGQSAHNNFWDYVGLQPESAHMIMWVMSDRGIPRSLRMMQGFGVNTYMLMNAKGERYFVKFHFLPELGVHSLVWDEALKLAGQDPDFHRKDLEEAITTGAYPKWKFAIQTIPEAREHDFEFDILDATKVWPEELIPLEIIGEMVLNRTVDEYFPETEQVAFCTSHVVPGIGFSNDPLLQGRNFSYLDTQLTRLGVNWQELPINRPVCPVLNFQRDGQLRHKITKGSINYWPNRQGIGRPVPTSEGGYADFPQAVQGIKLRERGHKFQEYFDQAQLFYNSLAPHEKAHVVAAISFELSHCDDPVVYETYSKILNNIDFDLAKQVATNVGGIVPDRPARQNHGKSTPTLSQLYYAPKEPTIVSRRIAILLADGFSMAEVEGLRAAFKAGQATTWIIGPRRGWIHPKGEGSGSSVGVWADHHFEGQRSTMYDAFIIPSGPEHAQNLAQNGRTVHWIREAFGHCKAIGALGEGVTFLREAVQLPGVQFAHDLRSNSVTNSYGVVTAGTYDAGSIVADTLRIEQDSKGFISTFALAVSKHRCYERELEGLTAKVAY*
>EED78988
MARAKSARYYKQCQIRWHALATISSSNTDALDATPQPLLRYRNSAFFAGPLPLAVGIDAASSYDTKETLEMSGLLLCYMFHPYRDMVQVKYANISQRETAMMSSALLVYALFTSTVFYLIALLRAISDQKLRVIPTVGGSSLPILYHYSIVRNISRIASISREGYNKYRGRLFKYAEPAGWRIIVTNPQHIEEIARAPDDVLSFAESANDVVQSILMHNALIRGQLTRNINRFFPEMHDELVTAVSEEICVEDSCKNADYCDLNERFTMDVVKGALVLKLFPAILRPFIARFCTNVPTNIDEGIVHFGPAILERLGKHGPPRCFVIDEIASPGRIFAEVEMKAILAHVVVTYDVKMKEDGALPSSRWIFTALIPDQKAKVLFRRRQS*
>EED78989
MFNVMSSSIYMMTLAAQRPPQYANSIITLDASRLDSRDVITLYGPVSQVWDRKMLARRTEPTVGGSWWNSRGHTPDRKAGNGALTQLLTGELDCASGTDLSLRSGHLPYAIRLMDIATRINYRMLANVLLRDQLVSQDLL*
>EED78990
MRRALAAHGHKLVQQASERRVATNGVGHPCLAMPSSGRWGLSMGGTAEVDERVRQSLHEQSHALQGLISPGRLPQALAEEGPPWMWIVDDCIRKREEGSRSEPAHHARVQNVQGERKTGIERLRRRRVMLFVDAAAFYIWGSSDRATPLQKLRFARLL*
>EED78991
MLKLNQDILRLVVSYLSMEDARSLSATSRYLHPEARARALAKVRIKTPKTFDAACKFYLAEHSDRIHHLRTLDVQLDDSYITRHASRKLADLLDHACNLRTFMLDCAEQWILAQPRIEAVLQRLQAIHAMGLLLAGWRALRTIRGMQGKLRNIELMSLVFPLTTEENEPHASSAAMLEALAPHASARSIALVVQDQATSPPDVQLQQVLELRLGNASAAAPSLDVLAHVFPALRILFLDGPSMTIDKPADNIECWECLDYVSGTFPALRAWAPKCRVHHLEIRDAEWEGRILAKNERVSDVSRVINGTSPVALTIYRFMAAMYFGLTYADWVGFLYNMPRLRVLAVDLSTGPSWSKTWIACTTGALIGFKVICIMLSVDERVKMPPAILASIPSLKFVALNFGGGPLPTFSAHSGGFNPYPALSWWRVVCDHGERQLQAMSADLGSRIAAYLYSPNYNHEAPIDESMFLPKMLEEYKPGRVTVAQAL*
>EED78992
MSSPAAPDKETLKLLLPLHYDGKSVVECNRFISQLLIYWTINTALSTIELKVQVALSLLDGDARAWATPIFAQLVAVQVQIQGATTPFANEMAFLTAFKSRFGNLDDAAVAQVELTKLCADKSLREKCTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYHKIELEVFAMWQDTDKHTNEVEDAEGHVVVHPDHKELRPASMRPSEKATSQAVAMAAGSKGTDVSSAPIGQEVKTEVPRAAEAGLYTWGDKGRLCTLVCAQLVRAQHADAAPGADNDTSISGI*
>EED78993
MSGQHTLPNLPYAYDALEPFISRQIMELHHKKHHQTYVTALNAAEQAYAKTSSPKERIALQAALKFNGGGHINHSLFWLNLAPPASEKKGNGGALKDGPLKSAITETFGSFDQFKKEFNTTTAAIQGSGWGWLGVNPQTKRLEITTTANQTPSSRTSPSSASTSGSTPSTSKKRYLEAVGGSKL*
>EED78994
MSFDCPGKGDDADIQTRMIMQVWTQAVTAKVELASLTAYESTMFCVRTQKFPNTLYISPTAWVDQCPLLMWYCWLAVADSVYDHKEFQLPDPKTDHWPGTMEGYAVGGINRALEYSTFGQHQEATMEQQRQPESREAAVLMYGPVMLIGSPSRMSTWSSARAQAASRSRQSKRRKKCRHAVGDDHMIYASVVGPALQDEAADPLGWHHEWQE*
>EED78995
MTTPPSTQCDSPRTPRQPRATVSWPESQLGSPTPRASSKTEAASDSSQRPPPIPFSLPDVTHQPAKLTRRDFDYERPFTGRTIMDYLCMIGPASPKVYVEGALESTKKLNITEKALAEQQQLKYIVFVNELVTQLDTCSMKMLQDMPQDKTSDENTFNFSRINPYVKCQELSKEIWSEKDSANWGIRRFLEPALACVRCILAKKAGVAWATFDQEFPYPYLSSAPDGPVIPDRILIEDKFIVTETKPAKRTRVSEGKSTVTSRKNKPRVVLTIEVKTVAALHEIVDGDDDGHVLSQLQDMNTNIKPPRGLATRFIWPADKTEVDTQTGLLCQIWTQMLEYESCKLAVLATEDYVIFFARGEDANTMYMSPTYYAKDFPSFRAFSWMSAALGMDQFNNIELPAPLTEWADGRLSNYDGKSHGIYEPTQHSDPILRGGAQRTIKSFIPVII*
>EED78996
MAEHEWTGPVTSTHAGNDVRAGEAHDKVSRSVSEVGMRERQYQRGSWKPGGCGHQSRISACKARCLKRGVSASMSRGRVTKHTTGIAKDSNAESGRDDRRPAFLSRVVELPTGPGAFDALSDALQASIDDEAALRKLFATDKTSPRLNNPHVGLVDVFDAPAAIRTTRARVVKNESDVSAKFILPLSEDRRRKEGTPAMVATLDEFKQKLALFTEGSLSQLTDWKNVVAAGGAVQACLSPVPASAKVSKRALRKHFHNTRIRRRTLTAAEAEKKTQAIYEAVRDSVPWDVTCVRSRHTVSIHSQYPYRAVQIVLRLYRSPRYRSPAEILAGFDVDAPCCAYDGRRVWASPRAIVAMMRQCNTVDMTRRSPSYEIRLAKYSSRHFEVYVPTLRRDDVNPTIFERAISRTQGLGRLLVLENIRNGVARDSYVDARRALRGRPNAQRDWKRHGRKNKGDLKTNLDFTGLEMNDYDTGALHIPYGPGWNARRIDKLVYQTDLGVNSSFNPNNEGHRLHRHPAFFGTISECLEDCCEVNDEPEDDDEKQLQAKEDATYVRGRIQFIEEDPGRQSISGSFNPIHVGEWAEQAYIGATERLFKAIASGNRPAVVALVQEDRAHLDRRDRVGRTPLQVAVLLGLADVVRRMLERSAINGEKAEELKSAAPQMVGGETRMVSSGRGDEHKENGEDDDIHDGSDEGSVVEGHSHDVKVVKVATDVDEAQTSDNESEADVNATDWDFVFTPLQYAILAGSTAVVDLLIVHGADVSLVTVANGWNVHQFDHLTITALTRHERIRGEIARKLIAAGATSSQADENLLTVFHRIVRSGKADLVLTLLRCDPSAKAVVNTPYVDAQARTVYPVVSAIDKGHYSVLAVLLAYKAKLVLGEDDYDHFKTIRKPHTYYANSRDHIDNARTPLEAAAQRRDDVASLLLDAGVDVNAPLSITKGYQSEYTPHHTLLDWIQSATHKARAAQGKPSESDTADDVLEAASTLLELRPTRMTEALHAKINFSGFNHVLAVANWGVNPELFDLLVNKGVSVTDTDHRGWNIFHHFAVHSSEYHAKLIKRALATFPEDVVKKMLMQQSKGLKYTPLALAVKRHHIDMVRILVEAKALPYLLRDVHGMTPLHIAIQEGRPKLTQLLIDAGPVEALYMEDSVGSTPLEVAEVWALRIITHEGFPCRMEIPKACEHNASEQLERCSFDLPTQEREVGRPRAVVSELVKEGYLKAGTKLAMALHAFSERMDAKVSKVRAEQDVLEEAEKTRQAAEGTKGPDERTPEVEEETDVLATLEVVRRAVVARGGAQPRLLAHILDVHKSVEKSLTASASPTCIQVTRKRNNELAPEVEEDVKARLTEGNALSFGGEEMFARVTPFAYEDDMPQE*
>EED78997
MSGRSSPESDFPEVSQIISSSPVSPLERPITTYATPRQDKAEEEHTNSRDDVSRSLAEPSSEISTVTQSGTMNVIATKDAPPKVEEPPYHHDRAPTPKSDEDSDGAAEEVLVADGETSEAALDSHDIDDLYTDHQESLPVKPKRKKKRKRGEDEVVTKLSRSGSHRKPRAVVFIPPTSSSRAREGVSFIKRPSVFNVKKEKMPSASQPPPESQRKHRSAPAAAPTTSRPSASQPPPLAYDAPQSSQPYPSPRPAKRARIPNSDSLCTPIAVYSSPPNSMLTTARRRSLSKAARHETYWHLDGSVVLLVERTLFKLHRSRLALQSAYFAELFQKAKGKRKSRTVYSDDEAQANGFILAEGDGLLEGELIDSCPVYRVSRVKVKDFEVLLHALESGIAFASKRSTFTEFASLLRASHALQFGDIRDYASQELRNMWPADLSLLAPGPSDVHKQHATEALALARHCGLRDMCKRAYYELLRSEVFGQNLKFVDKPDDDDFNDTLGQLDLLRLVRSREQLQHAWIPTASLDEKQGPVLQGCRDARAASLTHWIAEVLQSSLFEDGMRDPLCAMQALCEKDWTGMGYCLGCASARRELWGEEMERLWRSLDEWLGLEGKNEASGTA*
>EED78998
MPGSMLDLQDTIGFALIGAILASTMHFSILFKFMVTPSILLKYRGRSGHVFPVFSIKPTLTAIQAWVVLSSVTTIARVGLLALKRSVTLSHVDAQQPQYTTCKKISRSADKLLNIELYRRYNTDTIGAALAGVINRIIAFETYHLRCVAWPYTMRSRNLDYKMCRIHTIRSVREEIIERALRMLSYEVVDASSGIRPSKAGIGHSDVSRCVDPLGLVTYCRKTNDLLRRHSLRDQINALTVTVTSTVASRRMSVIINIDDSPSVHDSGDTLILRPAGGHIDSPLPASFGHTLLRRPNRLSKFPWSACDLCVRMVHSAHRGSRRTICILLLLVRFSLATLTNRTIDDSNGDSVTGLQPVFAPASGAWHTGQTCPSCLVQPDENQVFDHTWHGVTASPPDPSPRNVTLTFSGTALWVFCVIPNYVEWATTFANLSFELDGQPVGTYSHIPSQSEALQYNVSVYSNTALENAEHEFVMTARRDVNASFLAFDWAMYTYDSDIVKTSQSSSATTSTTSTASATTSPSPTAITSAASNPPVGAIVGGVVGGVGALLIILVAFLFWRCRRHRIRSAHVHQALDDGQEHKSESGSTESNSGRLTPTQYMLRAAPAELPSSISTAASPSDTAYPGATDTGTLGSSAMLSSPALGAAAVATGPGGRRRTKAAQRREELSRQMRDIEAHVADLRRRQTQQTAHPHAAVARRHEGSGNVAPVPYDDSDLRRQIEALQLEVERLRLESASAHEAPPPAYEEEPAWDEHGEP*
>EED78999
MARLSVVSEQLISTASILQKIAEYLPLQDARSMSATSRRFYIAARRRVHRQLHIMNSAMLEKACMLYTPLGVASDLLLLVRELDLTFSGAVGRRVVFAPQLAVLLISAKNVRHLSLDFAESWIQGSSEVAAALVNIDNLAHVEFHDAGYHTLGVIHHMHSRPLSIGICNQPRTRDVDRPSRGQPISLLQTVKSLAPHEAVHSVRIALSKLAPAGDADAPLPAWRCINVRYLEVDLWRCTRPHAILLQRAFPELRHIHVLTSPWRSVDEPEVDLLETPLPGWPRLDTVSGWPRPLLESGLSCTTHHLQLLHSDDAPCLAEDAVNLLMRTAPAVLTFKYDNPLGHQASFRESNSWSILKDVAGRVRVIVFGMTDIVVNPFAWMQHMLKGCAETDVICIMIHGLYPVDVSNFEERYAALIAIHMPSLRCFALSFDRDIEKRPIFPTGGFPCEPSWRWWRMKESKTSGRMVPERVNDFVGERVATYLFSPEYNPDINLDGFGGQVVYFVVQPFVPARIVLPPVNPNLTWDSDNHVRGRSRR*
>EED79000
MTSTGGLSRRRVPNASSSVQADDPDEDAWGLGASPSTPTNGNGTSNGGTAHHSHAGSAFEGGSKIAYDPRDLERADEDERQGGRAPKLTIMEEVLLLGLKDKQGYLSFWNDNISYALRGCILVELALRRRIAVVRDPNRRQVPPSERMVEVIDDRQTGETILDETLKMMKAQEGVERMAINTWIDLLSGETWNVMKIGFQLKQVRERLAKGLVDKGVLRTEKRNFLLFDMATHPVADVRTKETLVARVVSLLTATTSAVPAAAVQKEGTQARIARAVCLVCAAYAASVLDNAFGRLTYEEREAAFQRCDEILAEFACWPFGSAGAAKSGGGTGTGAAGRRREGARIGMGSTGGAVKKEMRGDEDLCFEAVSGVLEVLSKLDSLLL*
>EED79001
MVLVLVIGDLHIPHRVHDLPTKFKKLLVPGKIQQILCTGNVCDRETYEYLRTVSPDVNVARGDYDETSFPLSITVTHAPIKIGVIHGHQCIPTGDLDSLSAIARQLDVDVLVSGHTHTFQAVEYDGRFFVNPGSASGAWVGTVSGDPTPSFALMDIQGPVVVTYVYQLIEGEVRVEKIEYRK
>EED79002
MRRRSCASPPPSSNSPSGRRSPGSKLATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTLERPFAMTEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARLVVGAGLEEDVVVVEGIVGAGGAGLEFEAAFEGVNGGGGARSDEGMIESREEDAMGG*
>EED79003
MPPPNISNIFELSNEAEPPVIPSGTKTPLLDSAFELAEEEADATANVKEEDDRLPMPLSEALPALPQVQHDRSHSFSFGQTVFRSLNSDDSSTYSVKANRGRAVSDTVFHALPPPPLADKGPPEADINDTSGAMIVYASPKEKDPFAANATTYYTPGTMLPPTPPQMPKEKHGRTPSREEDLIWSLRTQLALQSELCVQFEVDLGARDELVRVLNSRLEESERECERRKGVVKNWRKRVSELERAVRGLQEEVDRSREESMDRSMMDEASGQALHQLHRRIESLERERADGDRREQEMREELEARVYELQEVRDELARRDESEQELKAGIRAAKEEMEQMGELTGNHEAIARQIHLNEEAERQRAAAEVAWDEERQKLLSDNESLRSEHISLQAQVTELREEVVRKDAEIGMLKAEVEAQWKHTETGSEKLQHLVQERDELKAEVDALNERISGMEEDWSQGENKKVELENEIQEVWAAKEDLERERTELEDQIRAEHEHSEHLTQALQEGEDRVATLEQERQYALDRAARFEAQIKQRDADAAELSQRAIACEQDAEEAQEEISRLKREHARIVNEQSRTLQDVVAREVEARAGLEAVVREKAEADVHISTMKERLTALQEETERLRRQVHALQQESADKEVKLVNLVKQRQQDKDDINGLNIALDSKQQELELWVPEETARWAHLQPCPAAAQHLEPQHPLGYRRLPRLLVPLVRQAEERQKNEQEALAALAKLGYNVTAADLGKLNPPDIFEEELQVMAEVRAYFHVSYKRVIDYIPLAIDHQFLFGFTTALQQCLIEKLGLGAAKAAERCAAYLSEEPNIVAIRRELLAKKERLESVRTELDNFGLIQITEGGVVYTWNVMCDCQTARPITLLLPAMRRQADYIPLRSSPNVGEDASNAETDHSGSRLVIWACIGAICSSVLSFVIFAYGQVLQVEPTVQVQYPYGKALRRPNPYVNLDKVLQNSNATFPPITNFPPIVLQIDAADTLRRTREDHRQWRSHLGTVYPDDRRIIVSAETSTIVQFRNIDYAMEHCVLTASIPRHTEPHDPAVTLLDPTDVDIWMLDMTEEMSPHIPGTWEHAARRRSLLATMAFSREGQTNSTSFHCASNEYTTLELSCASSENPCLLDFWQDQRAKPRGGFYMTQYQTPVKPERSRRVPV*
>EED79004
MDAKHIPPELWMEIFEQLPLPSDLYNIMRTSKWFCDLAVRATHRHVIWKTPHTVAHNLPLWKVHKGMEGFVHTLELGVTTLPPGISGPVVDIAGRRAQAAVLFPNIASKKLLKMSLDDLHELSKSPQLKPLSFSRNAVCIDLSGSELTDLSFVDLPGIIQNASPEIVQLVEDLVLSHIKGNCLILVTLPMSDDIDNQKAARLARDVDPAGTRTIGVLTKPDTLPPGSVKLRELWLEVLEGRSVSNHLVHGYFCTRQPDDAERAGDLSVEQVTT*
>EED79005
MARSPRGRIRQLAPTSDDPLTQAIAPPPDESELARAERLRQEAEAKRISDDIDEQLKRERVVWKKNKSLFKLLLLGQSESGKSTTLKNFQLAFAPNAWQQERASWRSVIQLNLVRSVNIILDVLADEMAAAPSRTTSPGPGSVVTSRPTSPNIHAIPASPSAGVDPDADADADTAPPLEFSHQHALLKMRLTPLRQGSTSEHGERGRMDSATEVLAGCAGDVRELWANGVVRTMLARRKMHLEESSGFFLDDVERIATRTYEPTDDDVVRARFRTLGVQEYSLTFENTDVTERGIAKEWMIYDVGGARTSRAAWLPYFEDAHAILFLAPISCFDEVLTEDRRVNRLEDSFILWKAIVSSKLLAKCIIILFLNKYDLLARKLKSGVKIKKYLSSFGERENSAAVLAKYLHQKFRDQHKDLSPEPRSFYGYVTSVVDTKATASTLLSVRDGLLQQYLAKADLV*
>EED79006
MLADAHYAYPAVDAQYAPLYAPTSTYDYAYAHADIDIDGGMPLPCGLAAPEPRAAPPQTDPYYPHAYAYDASVPMVLCESAQAPYADVYLDAHEHAGMSAGAGVGIVPAATYAAY*
>EED79007
MFVEEIINEAKERKERERQTKAVPIPPLRSANPKPQDSPIAGSSHPCPNTPVVFRKVDPNWTPDTTQWTWDSSWPRQEHLSGEEWKNVGRNTCNEWFDEQEDNGIDWELYGDGEHLHNGVHAHFIPGNVPLHFFFS*
>EED79008
MPTPKVPSAFLDLVGANRDLWTWEPWIDFTGLSDAPWSGKPGSKPKGWTDDDVVSVRAMVNAYWTVAPKDRMVFFKDRQSGAKGKSKASSPLSAADLGVLHTDARNKWSAWFNELGREHLAKLVDDMLMEEGHHPTQLMKANATQKMPTMAAAMVTSIYVDLAEQLFGRDALLTDTVVKSEVITFFNALLYATWRRWTMVVSRQKAQLASKLDAVHTRWFELSANEENVTVFRLTQFFQTVTRVLELTEALSDKTAEAEMNVLKSDLQSMLNLISSGPDSSGETKPLPKSIRTALLKLASQPQVESVRAQIMAIINEEQPEVVALDFESLPEGTWKEGTEEYATLTLDKAWEHLGLGSIKRIPGFAEKLDLNDTYDPWSIEGLEVLRSEEAVPLELKWHQVIGVIKLVDNLLAGKPVLLMDEVGIGKTMQAVAFCLYYDYMRQYFDEHGHFPGKWRDVKYKGGESNLPDLPMVICMPAALHPQWQTEIRRYVQQGWVDLLPYTGTHKSRSKWWDDVYAKSHHSPSRRIILATHTAMSSDSEVVFRLADKKMRDARKHGD
>EED79009
MAVSGL*
>EED79010
MCLITPSRRVRRPPTLLGTLLRRTLGRSTIPSLCVPSLYLYLSTSGTAAGGICLWDVASGNRLRELYGHISAVTLVKCIDCTTLLSSAADGRIITWDLREEVARWSTTETVNDFEPLAFSSNNTKLIAGLADGTIRILETSTGKAHGPDLIDHFNPASAAAFSCDGESSQLVSAAPLDSIHVWDTRESSDYVWKSPRHHRPPHRVSFFAGGSRVISWRDYDPTETKVWSTGSGKELIMNPYDDEARPDTAGSSLLSSFGLPIDLLPGDDDESLSSLDHTSQLETVPQESLFNLQFNPYKQIFEDLSLGLEFDISTGVLSRGGQMVWKLPKELEAHESRLIILVSETIRRCDGPQVYHDQRSRDISRNSSRRCFFIATERRRKITQLYLDVPRNARGYDEGRTTYCTKHHTTHVYEHKPTEPASFQEHDSPALPHALHLRCRRTGGDVLRHDCTARHVLNGLEVLAVLDLLDAHLALNQVVYMTISAKEEMGSLRENVCHIAGVHEKRPSYSTAWKLFRWRERRGAIRGDFSTRGTTSSEGTHAPDVWGTDGDGPHLVVVTSGDVDVGGDGAEVLRICWILPGTRGFLNFVGRSWTLWGMCHARFSSLRLRIVHLSAALPLVRAAKAAGRPLTAETCFHYLALAADDIPAGHPEFKCCPPVRGAENRAALWAALEDGTIDCVDRKGRLAVGYDADVVVWDPEAESTIDDSIKRGRMDRSMLDMQQNYPIPLLVETYTSTHYKPPTISSSSDVTAAMPDVSQRGTSEVVSNGVRSLYRRGSKEEFVSFSEMETSTEARVEKPKCAAARADQERKERDNVTQILTRCRGVMRVPTCGVNLYMGYTDTLTEIIA*
>EED79011
MSGPPPSVPSVLLPELTGFVPQFLLDDIINIANDATRQAVDAMEAFLERRDAARNEVMDDWKTTEELEKGLNAFQTLLESHVDIAFDFLEAWSLRNIFAIPADLPVVAPHQKGLDLDQPQEKETELLKEIKDLRRKIQAQRKLRRLFSRAVRSSNAQLAHSKARLEQLSFIRSPQLQELGSLADEFLAMYNAVSALPPIDPASTAIEQAPLPEPGKRPWETSKMGYFNWAVGQLMLRAKEHAKGEGEFGAGSSAVGAAAAAAYDIANVQDVKGALEAIAGQVVVAQLGSQYAIKS*
>EED79012
MSMCDDQAFHVDILRNQRASYCGGLVCLEAARTPKIERSGLSVNITQAILNQNVALLIQRYNLSISVLPQTRLQDLEPCGEIPPMSNPNVDLQAILWSVTVAETQVLPGCTYLETILQETSLCATSTEGVRWAYHGQAKSRTTGSSVFHLDIDVMLSLVNARERADLVQTEMISHLAIVELRQDNRVLSQSRVMIGVKRRVNPKGNLPREDRGAHTVGSGGRHDKYQVWDDFRNVRQADRGQCRTIAPGIPGWWITSVRRTPASSQQPLTDIKEKCKDTFRCDFVCRIHLDAQQDFDYR*
>EED79013
MVSVETTGMGSIKGSYETNDNEDTNTDEGGVEYVYSDDVHGDDLLMVMEEHVDDDEWKQTLTRWMEENIDETEPDDVLESLLGGESAEQGDTHPLDDLYDKALHSSLDRYWLKDYPKAYNAVLGVILAAKEPISVNVIDQLLEGPKGPRRASKVLSPLRNLLRYSNDHEPVHLLHLSFRDFISSPDRRESRWFIDVIEHNRRLANCCMDVLRASFQPSASISQTSTSPETDANTYACTQWILHICDMTDCPTEFARFLQDWLEAYLINWLETMSTLGKARIISSLLEQLLYLLLGDYRNRPLSGSAFRTPICSHRKQTLQTVPSPKCPDNHGRLLATVVTRIASIRSGYEGRFFGLLSRRKANCLRGDHAWSYFDVSDVLRVWDVEAGTEAIPTQPLDERSFATFSPDGTQAWAASAKGTIYKVDTKTGHLLSEYHMQESDGAPTAQSFADLWVPFDAKETPASKSTQDLFFGPSHQRSMSDPNTHSDNQPIAKYHDELTQLQSPTYSDAQFTRAAFSHDGLWVVLGSEDGSLWLVDLQTRTMPYAPLQSAGLQDRDAAKRMFHSIDFAPDDSWFASGSGDGMVYLWDRKTGNLHSKLAAHTSEVTVVKFISSNTLLSSAEDGSIVMWDLDKGLPSRTCTVPGISPDDGFEPLAFSSDGTKLAACLADGTLRILDVATGEPLGSDLIGHFNPATAAAFSCDKENTRLVSAAFRDPIHFWDLQKRSTYDWIISRHHRPPHKVSFFSSGLRVLSWRNEVPQQTKVWDTGSGREMKMNPYDVGARPDSTGSTLLSSSGEPVQLLADKDGEVVPSYLDHTSRLTTAADNSSVSLQIDKKSKDENNEDDSSEDGDSKIFEDLSFTLQFDIETGILSSREGKEVWMLPKEFKARSCAIWRNYIALGLWNCRFTKGAAVEQWHCLQLVPQTYPEDLVT*
>EED79014
MTQHMTEHAGKLETLVTAPLGRCNMNKCSVVLKVIDASGISIKSIVKRKPRSCVRIRINKDRVYETTATDTDVPVWNKEFKIVGVRYDTILSCELCDNGLVPPVTRQSLGSADRTVEELLRLQPEIGVIQLSLTGGTSKALITISIKEESLAEGIDSSLQQTQRELEEAVHPPLSGSGLTVSQNLKALVDSLENSKHILDAIAQLHPYLGVAIKLATSVYNIVKDQLDRDRAVLSLVDSMSTLYSFVSSLQTLRNDFDLLTRLELVMKSILLQTVECLIYIERYRAHAFAESAEQGDTHPLDDLYDKALHSSLDRYWLKDYPKAYNAVLGVILAAKEPISVNVIDQLLEGPKGPRRASKVLSPLRNLLRYSNDHEPVHLLHLSFRDFISSPDRRESRWFIDVIEHNRRLANCCMDVLRASFQPSASISQTSTSPETDANTYACTQWILHICDMTDCPTEFARFLQDWLEAYLINWLETMSTLGKARIISSLLEQLLVWVEASPVRTTLPTNGDLRSLVYDGVRFSTFFSETIATGPSLVRPSALPFAPTESRLFKLYHHPNAPTIMGGYLPQWSPALRLFDPDMKVDSLAFSPDGRQIVSSGDHAWSYFDVSDVLRVWDVEAGTEAIPTQPLDERSFATFSPDGTQAWAASAKGTIYKVDTKTGHLLSEYHMQESDGAPTAQSFADLWVPFDAKETPASKSTQDLFFGPSHQRSMSDPNTHSDNQPIAKYHDELTQLQSPTYSDAQFTRAAFSHDGLWVVLGSEDGSLWLVDLQTRTMPYAPLQSAGLQDRDAAKRMFHSIDFAPDDSFKELVDHNDERKEGGVHVL*
>EED79015
MSAHSATPASMPSLVNRRLASLLAVLEAPPTADAALDMVEEWAQDLSPLVISRFLAYRKALGAIRDEETELRVAAAVKQLAERASELWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRIEEAAKRAKAAEDRRLEDERRRKDEEERRLEDERRAQEAANEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTVERPFAMTEANMAAAAIEKCQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKEGIGFVRGAVDDE*
>EED79016
MRFATPDRMLPASRSSSCVRRNRDGFAGVWTEDRNGLVLVGKVLDDALREHIEGPAEENVVCCVSNDVSLKIQYDLTDVEGNISNESQRSVHLAVRRLHVQLDSRIKEEVEAETDLFRHGDDLRSWVDDAFDLLLVVDQRDNRPNRIRIACDAPGSNVAPEHESWLTAVTLSAPISMMWADATGSVETRLGVMASRYLVRTSGAIDSNSSGVSVTNCDFIERWKISSASFGWITGTMVLYAGSLPLSFEGFGGVAKFRTFGGAVCQSYHRAVVHGLVENNPYGEPRISTSTRSLTVIGTEPRSILLERLTKELRSWPWS*
>EED79017
MGTLSMRIFCELQSSLSTPIVLHRISLTRKAPYIQIDPMWPCSLT*
>EED79018
MISAIRSKIAPESPVAKLVESWPDNMLPPVFKGPNQDLTIDKWLTAVAATCAKRKVPKEQWPEVARHFMSGKARRRIKDLEAVMESAYGQPWSWKWSSFMVALRNLGWHIDAKKTQDVEVQEKAPGRWSFIKRGGERGNRDRVEEQSLDLGFLARATQMATFRSTAASNVVQVATNKVAKDTKPTMTKAAKDTKATTAKSVKDTKTIVKASGITKALKTTSAATQKDPSAASQAFTRMVQGLHLAPAPADPPPVIAQVPIWLLNASEALFAVINEPLTVTSVLAAVLITVGSIPAIPAVSAGPTVQVAGYLAVAVGTRMRNQPKITEAGKKDKKAK*
>EED79019
MSNIPLGPGPEIVPLSIWTENHITAIYKATNLADFDKAFDAFISKDAQITLNGRKLTREEYKLQLVGETFAEKSANVSFLGAVDVPEKVIGLTQTGTVGVFFQATVFGRLLFRGAPVTSTVNASLNATVAAGKSGTTVTVLNEVVVDHVNH*
>EED79020
MPKAKPFIVTAKHEPTGLLERIAIHNMHKFDNPLIRHPFNPERAEKAKHDIEELALHAHLFKKQQLLDRISDPAPPLIHRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATANRLEPVFARMEKEEGSLELEVVARVRRMGDGFNELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED79021
MAPYIPFVLTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRARDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQVLVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEKWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLMLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSIFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPNTQGDGARKAQGGSGNPAVKKAKKMQVIQVELTDSEDDTPPSTKAVSANTARIEEIVNVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED79022
MKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVALAHIVEIGADEVTAVNQFSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIHSIIVLVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTILSGVANRIF*
>EED79023
MLSAFKIKPFDLEPTFASWPDAPRFIGNAKKDPLVSDWLAQIKAGCIERKIPRDYWHKVGQHYLGPKAKARLDNVKLVMKNMHGGKYKWNWKTFKTAMRNMGWDIDSSKTEAIKVQSKPSGLWWIVGRGGDSAPQEEASASSKPIRPPLKSKSASEVITTKSLPAPRRSATMSSIDTMSSIATSMFSRTPSNQSSAISTLPITTPSEAPGDTLTTIAHAPLWLINACQSLDFLTNEHPKAMTALSAVLITVGSLPALPAISAGAGPAFLATSTAHALGSIAVGLGTWLKSQSDGQVQVTGPPTPPKS*
>EED79024
MAALERLSAPSMSRMPALSGSSTTGGSSTGPSSISSALCTPDDDPYLESLNDFFAKTPKHCHDGITTKALYEDDCLQPTHLMDPPTSKTISLSRVVARAKRLGKTFGIRKTPRKFGTRASGISVHITQNVHCDTADDTLHSPNVSVDRLAREARSSSYISERETQFELAQQADPFDVDVDDDDFIPQEFLSYLRGPWTRRRLIHSSLRLILFLPWCVAVGGAIVLSPDRLETIAFTPGYMDSERGTRRFRYWAHCAGPHICIFLAFLAVTFWWNAFAGAITAGAVAAKAVYVWGDFQFDSSIPLGHDDKQSVYLAVFKAYTEEGFILHVDDVSITTGEQAD*
>EED79025
MPKNTPSEMLDYTFGLVCLIFLILGFVTTRRRSRYSLPRSAWVAHRWECVSDPQNAFMDNVLASSRAVCCIKLGRRRFLSSYSGLLVIPQISARIFAGEYMFKRHPPSILGDENIPPIGVQYKKIAKKVQERLHQAYDVPHSQIKEQMEFGTASPSAIVSWIEEHPNATPEEINMAKLAIGVFYTALESLFMILAMYPDVQRKAQAEIDTLIGSDRLPNFNDRHRGGLPQIAALDQLKIPGLAIPHSVMKDDVYMGYYIPAGATVIANSWAVLHDPYLYPDPFEVKPERDFQSGEGINPDPRAFAFGYGRRVCPGQPLAEDSLYIVAATVLATMNVGPDGLVLEPVVEYTGDLIRLALALIHPDTQD*
>EED79026
MSFGTPPSLPTAAQQYNSYVVDPKWQRTFSTVWATAAGVAILVSLPSVILALRSGRLFRGFFGVSERIGGARYKPVASPETSPVQGRRRISAIVEMLASLSWWSLPAIELTLGQMLLIAGYLVLLLICIIRDVSLITYPNRGGFMALAQFPVVFLFATKNSIMSLLLGPGNGYERLNYIHRWAGRGMLIGALIHGSLWIRNHLVYNIPIIGQQKETSGVAALGVLCGLFLTSLRPVRRYLYQAFFVTHILGYVAFFVTICYHTPYAPPWIFPPLAFYGLDILLRMFRYRVKDATLVPVDSNMTLIHVHDCHEGWQTGQHVRLRVFFSGRIFESHPLTIVNAPSSTSSVSSGSLILAARVKGDWTRALNDYALRERASLKGNEKGAPDGVPVHVMLDGPYGGCSVDLGRYESAMLLAGGSGASFTLSLLDDIVTRCVKLGRPGGEKTTRIEFVWCVRSYGCIEWYAPILMDLAHTVANTSLDLHISIFVTCLCNPEAVPLIPNSDVCILRPSVATLLQELVTPPSDNGADDNDGNSSLKAKLNWSGLGGGVAVCAAGPESLTTETRNAAAKVALTRGLEIGGVGLHTETFAM*
>EED79027
MKFTTPMFLLAVCIALVPLVAASSNGMDMSMDSSMNLAQGEMLPYLHFTPGDTLWFLGWVPSSSGAMVGACIGLFLLAMIERWIAAGRAVMELHWRRSARVAMQEKNAAGLPVAYSSSDSKSPSTAVSIASLPDRTTLRTFPPFMFAHDLPRGVVYMAQSLLNFSFMLAVMTFQLGFIFALIVGLGVGEMLFGRYTSHSALHLV*
>EED79028
MSGQPPILTAETGTPASEWAKSTTTAAFWTPRLCQQSAVSTPGGDIPGAYPCDENIGSTAANTQPFGQAAKSAVQSVTDAAAQYIPAAKSMHSHSPSSTAGAGTTTDGAVRASEHDNSHSTSLPSNETEGAQPREHVGGAGALPGWYSEAGVTKLPDERNSNGQSSVIPNSTNQSAMTQSSTYPASPYPATASPDTSTALTTPTIAVTARTSLPSQEPVGQQPFTHQDGAGSLPGLNREAGVAALPDEFGGPIGTTGATSGATGTHPRDYAAQPALARPGDEAGNESLGLGERRAGKDAVGGVGSLVGGKGEEGVAVLPDEKVAGTADTGVSAHGKGEKLAEMDESEREERYKRMNAQPDAKHEAHGAESKVSYRSASEGDSSASCGVREENGEGKETRMDLLHLNIQPRTYTLGTPNATWHGIGVGANSGYQDGREVDIADALFDVEEGDGYDTDYHPAQLHPIPKDAPAAGTGAAPQEQDVATKGDATKEGNEEGGAGVPAEHHGDKVKKAGFLDKMKGEAKVLMGKMEGKKGVAKVEEGRRVKAGEA*
>EED79029
MSHSHDVHNMQAAGTTTDYPKMNYGAGNKWIKHLTQGRLGTFNGGHYADANLASVLYTHRIDDSSYVKLQVWSAPGLEKPMFEEAIKQKFRSAKKGDSFGPSWISLDAITASKKKNSRSMFVFDAVVDWHEKHEFLKFELPLNIRNDNATYETQFGFVQRPTHKNTTWDMAKFEVCGHKYADLSEFGYGVALLSESKYGFSCRGNVLSISLLRAATAPDASQDQGTHEFSWAVMPHKGHFLESDVPQAAYVFNSPLHGQYHDTKYFDTTSEQAL*
>EED79030
MSPPQNDDDEKGQNGRSVSRSETITKSETDADETSFQEDEHGLRRDLTARQISMIALGGAIGTGLIIGSGTALVRGGPLGIFLGYSFVGTVCYLVMVALGEMSAYLPHKKGFAGYATRFVDPAFGFALGWNYVFKYLVVTPNNINAAGVVIQYWTTKVNLAVWMVFAINLLGVRVFGELEFWFSSIKVVTLIGLILMGIIIDLGGNPEHDRLGFRYWDHPYGPMGTYLSTRVHNQHLAIFLGSRWGHSW*
>EED79031
MSSTYVHYSTPSSLPSDYALLSRYAAAHRAQDVQNTDDRNDSEHVFSDHDSVPDAHPHGLAIPNASSPVSRRRSFPTSYITPFKPTMGPLPDSSGYRSGPPDPNASETTPLLTPYVPRIEEEVDRIDGNDVARPVSMLREELAILLKYTLPVFGTHVLEYSLVIASVVSLGHLSTMALAASTLGSMTASVTGFSIIQGFTSTLDTMLPGAWTSSQPQLVGLWSQRMVWGPEPFRLGFIGAPIATAISFNLISIASIAYGIFYVPRTAWHPLSRRCFTSLGVLVHLGLAGVGQTASEWWSWELVGPCLWFHHRLGPIALATQSVLLVSASTTYQAPFALSVATSVRYLSLGLHLSIGNLLGEEKAKRAGVAAKASIFMSLGISLVWSAMFLIFRRSWAYLFNDDPEVVTLVASILPVVALFQVFDGLGAVTGGILRAAGKQFTGALLNLSAYYVIGIPFGLWLTFWQGMQLHGLWLGLTVSLVYCAAAGVWICLRTDWYREVEKVKKRVADDQKNGLADVEAHH*
>EED79032
MCRKACGPNSIHANIPLRQ*
>EED79033
MLSAYPVALHRDGPLQMKTPGRALKRDARQENMYRVPMTVAAKSKSALTPHRPLTANARATESQKTLKVKPFITISRPLGDKTPFPNRVANDAQSQTPSPLNEKLAKLSLEEPGPAIVPGALLRPSSARTSLRIPRTSGGKYEFKTPITQGNHWDVSDGDIDVEPEIQPDEQEAVQEEDYDEIEYMPPTAIIPPYEPPFEMPDYTVLGKTMWEMMHSVKFDDSADLYYAADIEIEIDRKIASSPDRALCHKMRLIPLKRPHPDPSLALQQQHNRSKRYGQK*
>EED79034
MADRHHHRQTAIVKETYTLRGGRHLVHALLPTHILCQDLQSHTEAQERLLTRCRTTVIIGRREIGTGTGGPIHSLTPDTGRWSRLGNDRIGRENATETEARGVGSPGPAHLSVQSGIDGRGERLRGDRLLIANEHGVLGIPGRHRVMLGLVNETDAVSVRGLQLLDGETCLGVATRRIADTAQLTMMLHLESRQMDNDPVADQIPVVAEGTWMLQKSPVQAEPDEILPDSGITTIKEGGLTSGYATRRPDIASPTIVQDSKFGRLTPDARGSHATDKGKMREDVTAAIRDDDVQMHDASPRLAKVSREASPLSKVPSDASHSPVEQIVPADIRVLLVRAHLRIPSPGKPRASKTSSEKKKLSCHLVLATPLLKKRVTLCPAFTMLTLTVILWQIKQHRAHSSLLMGEYVNAEKAKLRAMHELQMAQFDLEAAMFRRQIADAQLDMARQGKLGIDYERDKTITLERTLNIITVWEESKFEVPEEKTPVVKPQGEQAEGEKTKAKAVSAKTPDGTPGEQGDAEPTDMAVGAPLVTADPKSDNENELAIKLENEHEEQREELPDLRLVGKPVSKLEQTEKMTMDQSRAGYAVSLETKETKEEIQTEFQP*
>EED79035
MAGACVADVGVDGDGAAVVAGDVVGELSGGGGETAGVGGGGEKAVAEGEHLGERVVRGAGKWREGAAAECEKAVAGEGGAPPPLTPVTHLSHLGYAVQQQFAPQQPYWHPAQDSYLFNSPVASSDMFSAFSRWSLAPQEHLLPYHYAGAIIPQEYGSSEVGCRPVSPAPSDASLQSWDSDASPASAPAPPPHPTHVVCNAPLVAPVPLPYHSPTFLQYDDLPDDDEDLSHPPYTRRPHKRKRRLDEDEADSAASGIQTMPAKRRSVSGPDNHPFAYRVPPDANAQALPLPMRALPVSIQTRHVRNARSAVDHGVSVMGNASRFRGAWAVLVDRLTVRTPSWGCGHPLRSHALKTPSAHNPRARCAKTLFRPGSLAGLRIARARFEWHHHWLWQGSPGAAGWPEEDRDYPGTFTLKVWGCVRIRI*
>EED79036
MQDNEPLMRALAKLVAVNTPDKIIFVGEALVGNEAVDQLTKFDRALRDFSASSGGKERGIDGMLVTKWDTVDDKVGAALSMTYVTGQPIIFVGCGQTYTDLRQLRVANVVQAILGD*
>EED79037
MKVIPVPVRDDNYAYLLVDDASNKAAAVDPYDVPKVQAAADKAGVEIVAGITTHHHHDHSGGNEATAYPGVPIYGGSDKVPALTKLVKDKDEFTVGSSVNVKCLATPCHTQDSICYYVTSSSSSHPGGVFTGDTLFIAGCGRFFEGTADEMHAALSYLGTLPAQTVVYNGHEYTAGNVAFAKSVDAENPALKRLDGIVSANKIVTGLTTIADEKEWNPFMRLNTAPIQSATGASSDSAVMDQLRTMKNNFRG*
>EED79038
MSRTASGGLLRGTVIRKGGASSSSSTRISTPQVQSTSTTIFGGGVKDPSGRTSEYFVSLIHAIHCMQIRPILESEVASLGKRMLPQDTFEDLGVEKEVAVRRRIAKEFNKRKEDFPDLRSYNDYLEEVEDITFNLINDIDIPETEARIAAYRRENAALIELNIQREEQYSRYLKEHEDAERQEREQRALELRRAEEEEREEREKERREIIDQLQTSDKDAVKLIAKSRAGALRRATARSSNGAQSSSKALRPRFAQSAVIPDEPHVPLQDDWYAYEDMFVLRDSYDDPASEAVRRDREGIMRAGGYRVEEAWERALRYAVAGLEIMPLQGFHLGANTSPHGSGESQAVSASTS*
>EED79039
MVHILGVLLPDTQLAKFALTHFYGIGHKTAHRLCARVQIHETCKVRDLTSNQITALTAFLSSPSTQPSLPRYTLATSNYVPPRVGEPVPENAATITSADGAPVKESLGDRLRNIKIESELRREIRENIAHQRMIGSYVGRRHAMGLPVRGQNTQTNAKTAKKLNRVERY*
>EED79040
MARREGENERNSSVAGDCGGCCYQSVSEGLDHSSLPPLLRLALASSKICQLKSQREHPQIPLHSRTVLPAALFRSSLCTRRRSRSTAHDHPLYPPYRAANSFDPDVQTPPSSTIDPHHFTQFHPNDPHPTFNPMAPSHSDPSLPSTSAAHVHSAMTAMFQTELEHTHAVVPMQMSLPPMQHHGTNMSSMDVVPATSVDSAATQGLLSDYAAQNGSFGVDFTVNGLEGLDGSHQLVSSPSATTASTNASHASSPAVNGMASSYSVGSSSLASALDGMGAARSRSDSSASPPTLLSSSSDLGFSSSSSGPPTSAHENSFPYGISPDSQQQTKELSPEQSGSAHLLVLGSMLQNIARQANSGSQACNMGSTTDAQQIVDVLKKNVLLVADLVAALQIADGLGPSSSSQSSPLSHSGIGPDTNAMTNVSTASAMDVGPQHISSLSSYSSSSASLDPNAMLDSSDMSRKRCASSVAGDRALKTLKLEPQDDPPPTMMQTSSGLSLSSSLPSSSGFPFTLQMPTMQPSVQSMGDISSIPPLMASGSNSRPPSSAGMPPPHQLGLVSEAQQASLSLQSSMHYPAMDHTQQPVHPAPDFASSAPTAVPPTSLPSTSFNPTLPWADVPVTRHHHQHSLSTGSVLTGIPESGGPSSIHYTAHPTFNSPTRATHLQQGSVPGAVASTSVHPSSLHSVRSSRSSSFAHPSGEIHPLANAYDLMRPSTSGLPSRVSSPDYDEMDIGRESDDESGEPSPGHYRLSPAGNAGDSSETRANGASNQSGQRRMSRGSPSAEGGSASGHGNEVPQEYRAEVERIFFEFLNNTCSNLDATDTKGEPIHQTLMAKKMQRLDESPDYRPFKFRIQAFTNAFLEETLTLSKLLTIDV*
>EED79041
MPQLLLATALRRLSLAPSRVCHPALLEQRRRFHFASLAPPLLRNATMPPKRAASGSSRVKRKAPLSESESPEGATDSEPQSRASSPEPEEKPRTKKAKVDKPAKAKAEESGAGAAPAGDGALAPNGQPTNKVIPVHVSIPPRVPGTTRIATWNICGLAAAQKKVRVARAVHVHAGLLTAGVCAQGFKYYVEAEDADVLVLTETKPVPVSVLVDLGEEDLLELPGHPDPGSVKGRILTLEFSNYYLIGTYVVNAGQGLKTLEAKKEWNVHFTKYMRELDMRKPVIWTGDLNVAPTELDLANPKTNWNKTPGYTEVETSAFARILNPSSAAASDAASQDDAAPGKFVDVWRKLHPDDRHYTYFSYRFNCRMKGIGWRLDMFVVSERIEERVKMCEIRSEIYGASDHCPVALEIEGEL*
>EED79042
MLRFLGLLLRFLLRGEVQVDQLLQPTLKPRALDPVNIQEEVSAGGRAGVWGLGDVALDLAGCVCGVSALGEGGGRRVVFVSFREGWDDGSDNVGEGIGALSYGPIVGDDLPEETRLGRFVCEGISSGRESDDAVEGVRACASAGRRGDDGSDERVWAGRKGTCRNVDALRATCSDVCAGVAGGVLEELASGCLEDPATGVEGRTTGNPDTEDAVMGDTDIAPLLFNTGDVVDIMDVFGIEEDGEDAMGVLDGNDGDAGDTGILPDEVFVGTYGAYS*
>EED79043
MYGSAYLVQVSIGGQEFQVLLDTGSADLWVVSSDCTTSDCQGIATFDISESNSLNLTSTDFHLDYLIGSVTGTVGTDTVTFGPYEISSQVFALASNTTGLDLSGTGYSGILGLAFPAEASIPSTTGRTLVENIFASLNDTDRFFAFKLGSNATGSSFTIGQLDPAYANTTSALTYTPVSSDGSGYNYWKLPLQSLTINSTTFDLSKSRVKGASSPIAVLDTGTTLVLGPTSDVDRFWQSVGGARKTDAGWQVRCNRAVIVGFVIGDDSSRKEYVLDPADVSWDENTAQGDWCMGGIQGNDGVFSGDWLLGDTFLRTSLKHHIQNVYVAQRVFASNQSASIGLLGTTNATSALTQFRQTRGDDSMPPARVRAHAPNHNLTGADACGIAVSCGFVFGVLLTLVWYSCIEWRAKKRRMY*
>EED79044
MDNPVTDPEISHSERLRPEFELNLRGNHPVVAHFAGAESFELDTRHKDNVHALTPDGVDFRDNTGKAKHQDTVPTVHEASAHLFEGTRNAQADSTEAQLSRYRWNSRLHFAALCYSYLLEGWNDGSLGPLLPRIQRQYKGFIGGAMSNVYLNQRLGFGALFQLGGYAFISPGGPFPTICAGVGLAGFGIAMQMAQANGFVGSLKENTRVKFGILHASYDVLAEAGQTAGEANTSTENVYHQILRLKEVHLLSIFCLIYVGIEVTLGGWIVTFIVDKRGGGATAGYISSGFFGGLMLGRLILMWLNQKIGERRAIMLYGVIAIGSSSACRDVTTAGTFILEPVAFDATLADVLPVLPLGIPAVAVVADGFFRAAPTALLDVEGATPLLLGPAVVA*
>EED79045
MAVNLLQIIIRQAPNKRHGFPPEARSFFVNQGNQELAGGLQAWHGYFQSVRPVLNRLLINVDVANAVMYSPGPLEGLALKFLGRRDIRDLDSGRLRPGTQEWMKLRTFLKGVQIRPRVGTDRPTTKGRPIRDIVERAGDHQFEKDGTPATVTAYFREKYGYALRYPGMFGVRIGKDAVFPAEVCEVIPGQLYRKKLSPENTTQFLRFSVQKPHERLMTITNGVNGQNQVFDYNNSDVMKTSGMVVDSHPIQIRGRVLNTPQIRYKSGPLDIRPKSGAWNVLGRTLQEPGTINVWAVAMFDPNTREDVLGNFVTQLQDNLRKLARANPYQVENELLTIAQTAVQVAEYNRPPSLILAFLPVNAPDIRRRIKHWGDVDKGVPTQCLRAGKWERARDQYINNVALNSRPQITRINAKLGGVNSAINAKLLPQCTMVIGADVGHPGPGIMNRPSVTSLVASVDPDATKYTTYASVQAPRVEIIQDLEQMVTRAISDFRKFWDNKAWPEMIVFYRDGVSEGEYAQVAQQEVQAINNALLNYRALAPDGQERNRWTKESKPKLVFIVVGKRHHIRFFPESRSGNCPPGFVVDDQITNAVYPDFYLQSHSGIQGTSRPSHYIVIENEPGATIDQIQELSFRLCHVYQSATRSVSIPAPVYWSLTLCADADRVCARAEFHFTEEMRYGDSDAATTVSDKDAPFPLEQWQQGFRQSLLGKAMYFL*
>EED79046
MDPITGRDNVFSRGPSPPPHQPFQLPPSQLSDQHASPAPSYHEPPPSSSNTHLDNLFHTLNAPSAQHASPQLSNAGSNFYSGPQEASNSGHVTPASVNAGSISSHMSGPSNPTVERQNALLSLLGAVSAPSSNSQLPVGGPAPPQQVPTPPGSVPRNASSSSESQGKQLLEQLMAGPRVPRTGDRSNNPKYTYPEPMYPPVQPPSGPQQSYVQSAPEAAPRHDEYIAGEPGYAPPDTAREVTMADVPRAPSPAQRSMFEFVSPFDALAASSSGGPKRKPPPPQPSDAQGNTEQSSWQTPPIDAKRKSVENLMEQLTRGHAPLSAPLHPVSGPYDPYTPTEELSSQPELLQTRASRPLPPQPVHAPSPPRASPPKPQVQARQTRRNGDSPVAHPAYVAARDKEVSPMPGQNFRGSGSENRGRGGGKNKNNSPSLQPQSLVFDVSQPLEEIQATRDAVKTTAIALVKVDSTFLPGTTIGATHWVAYAMTKGRVRVISRSSGDRTLLQLPAIFPANVAVSDMSVHGNRLAGVTSDGGIVVWELPEIITDDVPGKIMLCVEPSSDIDPLHAVKWHPQQPDIVAVASDNNVYLINIQDAAHVFGGEPIPQTELHRIAQIFSVSSPIVAIDFDIPRSALATISEDSTLTMWNINDKLPFWSHKIKGDDLPSSLTFVDGGVVVGRKNGTILQFLPIMGRYVMSTVKFLNGVQEDPDMFGHVSYDSRIQTLWVANNRRDSMIALRINFDQSPSFGGEDSTRSIQVDQVLEFGGPRPTIHFVILTADADPTGEEAHAACVAAKVPPGDLALVAFSVHSTGVDQVLIRKEWYDSALEAAPARYPSYASIPVSMQSTEPKLSRQQLPPVLTSGTMTQLPQPPPPAVNVPPPRLKTPPSEDVEGEHSRDESGRAQEPRGKNVKGKNVGWKDKDREDTGKEKETKGRSGDAAVISDSPLGTALSKEIRKVEESLHTRIGRLIGKELDKQHQRLEDARANEQAADFVRQEKILKLISTELTKNTTRVVEMAVKSEVQNSVLPSLENITKTEVKSALNNQISKGLSDSMKQNLPNEIERMLLRPDISLHIARTVSGAVTPVIEKQVKDAITKTLIPAYTQQSSAMHQELSREIHTEILNLKKDIITWQTEALRGQETIIRELEQSVRMLSEQVKFLALNPPTIIQQAPSRYSPSASSSQHQQGVPQLLRQQGLVPTTQSHSYNPPMQGPFQQPQQQQPPMHGNWFNANIAAPQASHPTAPPPLPTKQTMRSSPAQPEEWDDTYLAVLGNQDIRQLRELLARSNPDVTMPLNGPSPLSQAVILTLVHRLTSVVGETSPLDESFKVSMWWLQRAASVLNASDPLIAQYTARVLPNVQQMLNTTKQRLNILPSPPIEATRAISDIQDILNRKPM*
>EED79047
MNMVKKGRAGANAYPRSLPFLQSLEVYCKAHQTCLSVGTHPPTAHGDQSNGGNPNNLPFYASYLFAVRTNASGLNGAIPARKNRFDAAKVPHSAQSYVYGNARVPSRKAK*
>EED79048
MERPAVPVFRSTSLPSTTPRAKSAPLNLVLPDRDSPFVAGRGPITPPISPGNSEEGDPVVIIDSEPRYSPYLGIDRDESTTEEPMDVDARPAESSPKPPLRHLEDEECHLERGTLNLTDFEVKGTLGRTGTFSRVLLVRLRGSSSPNAQNCFALKVLRKTEIVRLRQVEHVNAERYILSRVRHPFIVDLYATFQDSLNIYMLLSYVPGGELFTHLRRARRFTPDVTRFYLATIVLALKFLHSYNIIYRDLKPENLLLDSRGYLRLTDFGFAKIVDDRTWTLCGTPEYLAPEIIQSDGHGKAADWWACGILCYEMVVGYPPFFDETAYGIYEKILKGKIHWPREMDRLTKDIIKAFLHPDRSKRLGNLTRGPQDVLDQPWFRGVDWDALERREIRAPIIPHVNSLDDTRHFSHLPLPPPEEIPGLIKEEQPPALQQRFDPIAYQFMEF*
>EED79049
MDLLRPHGSPRRIRLQLHVEKACRLFLKAADIGENPLTDSREPGHPVGLKVCQIHLIALVSVTIKYRCGSKTYRFVAVYIAESPIFQLQVTFAAMQESTQSAFNPVKLVESLKLRTSEQQDAQEFSKLFMAHLDTEFAKQSDPGLKTLISDQGKQSYCTICEKCQHRSERDSDFLEIEVNIKEAKRYTELKELPPVVHFSLLRFVYDLASMERKKSKHTISFPTFIDMDRFLGPPEERKQRGKQNSGKSKNLYELRGVLLHKGASAYHGHYEAQVFDLQNKAWYQFNDETVTKIDSLEAKPNTSKDKPKAEADSKGKKANGHSQQQPKKRRRVDSDSDIEIIEFVSSPPAPKGTSAPETTAYISSKEAYMLVYSRIDDNRKAEMKDCVAGQSCGGGLKMRAATPDALTPPHRAQQAVSTLNDAHQEACKAFVQRERETIARFDEIRNRMREVYKSWNITLRDQARSESPNGVQHADKADDTKTSEASVPAEQQTNVSDLVSDITPREQASSISEIVCSHGKLDPDKGSDMKLITKIAYERIATEDHYGWNPVLSPADVCELCVKNMFTVGEDDPGFWVSKQWLKDWRLTKPKMHSASKGDLPPDAAEFVQHVRCEHGGLSANVTARRKISPEIPNRVLSAKLSSTFQKKTGVNIEDRRKRRSYSAGPLIAVENTGGRMDHDIAICQDCRLKRKSDFDMTEITVRILGAKDPIPTSGSCSEESVQVSQQHLGPRPITTYGSRKAGGLRQSNRIRQGRQVKQRRVTITKAMTVKDLKVSLQEELNVPVISQRLFYRGAELEDSSATVLSLGILANDFLDLREQTEDIDLLSDTDNERDKRKRRKEGPGFGGTLLSILNTYRVKATKAIGTSYICNNSELAVMRTSTSLDNGPTMSTACATADCVATETSFTVTCDATVDGEEGIPDDTAATVRTTCCAAAVSAFDCDPEPSWPSPAILELKAWAVTPELATPALHHWAMPPPGTGLLELRAVRQWSKPAPPENLAQPLELLELSWIARASIYPKDTKTDTEIRIEQ*
>EED79050
MSYMFTCSLLFVADPKTGRIEEVDEGVDYGVRRRTTSSSQAMSRGSGDGFSEEEKFLKGVRICRDCRPVLLRHQHKREVHSAPIFIKLYDAFINLEREIEDALPQFQELMISLNKQERPTPEASAARKRLLEAFGQYDILAKRIRRLPCPGGPGSSQDRMQAAILTRANMFLQKHMFPLQSLPKPKGSINSSQDAKQEDQPMGVDPDSEAARVLQPLLEQEALLETFVEEAKAHRKFEDVQTLKANLKEVRTEINRIVANAHAGMGSESHAKGRTIKVITK*
>EED79051
MERLRTGTRRYHALMELLATEVGYLMDLRALVTVYLEQLSTLTASHPPPSTSALSLPALARSLPSSRSSFLLHPLSAPSPSASASLHLETGSDTGHGPPKDEPDREQVKGAKREKSRERSRVRGTSKVREVTKEKEKSRATDKKKGKEKELEPEGHADMLKEGDHREKENLTLTGQNHDPHHLRKSSRDEQGNPLPTLQSSNKGKHVRRPLLAEKDVRAVSRNADELLRFHDRFVRELRETVGDYGLGRAFVTDLSDEGKSSPNVDDAEPVGLDRIDEAVSIVAEKFINQAASFSLYEAFCPGHNEATNLIRNVQETYPSEWDAYEQRCSALISYALDFGEGTSEAHGDDHLPGNDSAHPLSATAVMPPSPPVAEPGRKKRRHSTSSLAMLSSNAHADFMPQVAMTKSDPTERKREHSSSSSGHGHRTAQAPRLKFLDYLIKPVQRICRYPLLIDQLRTKRQRTLSTGNLPSRTDSTTPNAEMGLDVAERASEAMRVVVSLVDRASEMQAQIIRSALIASRMIFTHPPVSPASNHGHSAAGSSNHRTSALGRPQGLTPEFVASLGPCHLTGALDVVHHPSPLYAASNGALRAKYLGTFLYKGGYLIMVKIPKSGKVYDPRFWFPLSGYELFDSEDDESACQSEKVMWMTALQESLTFPANWTNEPASSLQVDDKAHGSFAAEDGPAEWSITPLPTIQSLSELEGQEEQSADGHVRPRALIENRPRPSSRLDSMALKQEHMQVSSFAALSRRSSTASVKAFFSPMSLDTITRIARPSPQVRQHVDHGLHDVFSEKCLAARSQSLLREEDLYQARRRPGTNVSRSNSGLSITGAMGLAARRRYDSVLVSRRKGSLDGGTEHPTDLEVGGKNLTTLSGKAKSMASKRRKRPLPSVVPAVASNLAKVESEAELDAPKTQSPTGVDSPFPASHCSSTASSNAGSALPSPVDLIVPLPTYAVHDGTLRQSDMLGVEESKGKRARSMADNVRYFFTSRPASPSSSSGHHSPTPPPTVPLEPEVDPQTSFVQWLRKGSLRRRVQSSPEMPQDEGQPASPGRASEENRGSFLVQVSSNKATISLRTDFAFTRLPVAGPELAAQCESAFEIVFALLELPPSAPASSANAAPLPFLNCPLLADYQHAYDLSKTLADTLKRADDARAELLEATLRGLDGSDGEGPGALKLLLRSSGIAPGIDYRGRGPSRATTGGDAKGKGKGKDKDKAAPLEEDPALDAAVAQVLDILPDQPPEYLRFLLGHSDYPYKGDAERLIGALLEGTAPNPDEVEAAMRRAEVVAGVEPSAVREAVVYDEDEFTYTRDRRNAFDDEAMDIRNVRIGKKTCAYTLTPTNSFLRMLQGRCVNSPAGP*
>EED79052
MSVWTYICCACPVILLQLLFVPVASAPLPAITECQQDLTTVWHLILFFATNYAAHAATNPSYFDSQVYVHIWRLKAWLSWPVICALFLPYGGAYRSSLLMMAMVRMSYIGLDEVSVALVSGAMLVEVPVQLPEEFFNITESEAEQISAKFVLDDSIAGVPTAQVEPDKCDVFGGAQLPDGYELRCLSPDLKWISAASNAKVLPPPIAFAKALLRSNVEDTAHIKLCRPRRWMKALLSVVQLVSASITLYNTRGDQLSRFGYAAYGLSVIPYALMSFINLLCNISMGEWPCRYVLRTAILEEAMRRTGSRVDGAVGTVREVAPESTGADMSAPERPHLKDGYTLASLSVELPETEKPSVDDRPKLVVSVGDFRRKFRFDPDAAGGDAGIRLFNFKIADLNDRIFPSALSPEKGRGWGIIGNIPSERTWIWWGYVFADVLCILLPTAAIFLPYVIINFLTGYKAQSSTVAERAWMTSWLVVSQISAAIFTEKPPKDWAGLIAVVAFVVPAIGGFCEVAKMYLEDMGFHAC*
>EED79053
MARLDLVSVHKTAHYQYCAIFTHNGCDFNMRCLITMGLPYIIICAALVIPVLSAPILTSSRCQKDLTIIWDLILFFITNYVAHAATTPGLIGTLDPAVYIGYKTWISIPSIFALFLPYGGLVRSICIMVGISSLSPFGLDEVTMALLSGTIVLVARDPRRWQPSQTEELIWVKLPAMFHDITDEEASRSLAQFKVEGPNFPVVKIDSNKYNMFGETVLPPGYLFCSVRTDVSMFIQPLCEAYIKEYMLLVRGPQASTIRPDMMRLGFNNKEVSADHVGAIRNLLRSNVEDTGGIDLC*
>EED79054
MRLPKRGVSGPRGAWRLSAVPRALAETNSIGTDSDPVDTADPADEFVFAFLSIEESEGPDVDHKVLAVRSGGTIKRFKLHSDDTPVEGAHTFHVSSINNYPLRTPRAPVAGAAETSPVRHGPSDTDIRSPRRFEENDPYPSKPKWAMTMLGSIMTLLYLPYIVLSLLTGFQANNSTLFERESMIFWLVFDCERLGQAHYFGALLVSVSHLQ*
>EED79055
MPKAKPFIVTAKHEPTGLLERITIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIKELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYKYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGNLEPEVVAKVRRMGNGFDELYHGLEKKAHRLTNRHWRVIKRDLKRIGHVSFEDLSSHLPEICNELASLNITFKYEV*
>EED79056
MAPYIPFVPTEAFAITTEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYNGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFMKYAERFRTLGRLTEYDDSLLIDKLQEVIPHDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKTSGSDNGGAVPMDINSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPNTQGDGARKAQGGSGNPAAKKAKKTRVIQVELTDSEDNTPPSTKAMSANTARIEEIANVEESTLAGKDEPQLSAKTEPTA*
>EED79057
MPAYQLLDYGTRIAQTVERMRHDRDVVEELRLWGGRLLTLIDRTAPRIKQFDLTEGLLRQRCLVVTGLAMTGQLDEAVEALRQVEEMPKLPRTISHVIRTFTAVALSVHQYRGSQDVLRFIIDEWDYVGTYLERTSVILSADKVTALSGSRFRRHVYNIVSEIEKPVTLLQDMEKRWSNHTRELAGSLLIESLCYAEMGHDALDVLDYMKLQSLRVRPEQRLAVVLALVQSDAFQLANRLYQDIISFMTTGSLYDRYLSTGLHLYAHQGDVARAEEFYSKLAHRGQAGIRQKTLFMHAHAVRGDSARVVELFRHIFPQDESSQPRPGVIQYTTVIYAHAQAGDMAGMTQWLDAMADAGIKHDNQLYDIILKSFATRGEVVFMSELLDRMRAAGILPGKESYTTIIALLARRNDPIAAEEVFKRALQEGVTPDRRMITTLMNAYVESGQWHGVVRTFDYITASAHRGIRLSLEVFNTLLKAYVLIGAPFRIVAGVFQRLEQARVRPDAYTFALLIQSACDSGLLEIAQDLLAEMDRLAARWQSNLHINLQMTFTGALYIHCLSLHMRIIPSTLPAEGINVGSPPVKWKKGGCNGIVAQLTNSRTMETTFDEAPFIDTVLVEGPGRSQYASFTFEDLNAIAPWLEAKQIIGPSLDSVSLLPDLQRDLRHRTLVPWHLFHSTTVAIPHANVPLIEVGSLQAALALSIISDVVAILGFGFDSGPVA*
>EED79058
MDKTLTLSSVTSLRDAEWEARERAFHEKAIDEMNALVRKYNGLAPYAVRRAYYSRSAEVDKLYRDAAQDILHGLAARVNQQGMSNRVVDGEDEETAGSAPANVLATYGFREMIRDLWASLRGR*
>EED79059
MSTASTEKYDKNEGVTVMTRAESRNNTNYFGEPLSPEPRPAAPPAPVAPVHQIGNPTPLGMLAYGTVFLCSSLLTLGAGGVTTPNLVLLFATFYGGISQTLVGMWELYLGALLLKTYIRRGRILREWGGHGGVHTRHRNLLGDLVPHHWSVLLRHDGSIGALRTTAPIIWTLGMTVISLGCLSANCFHPNPHVNTAGGAFGLAATAGAYYGALSGFYSRESTFEVIRLPPVVVAYSDPRSA*
>EED79060
MATAVLLSQHSSNPLEVPSSDARNRAYQRQFLRVQKANGHSASSDDPPVGYRTPELESYHSHLAQLYPNSGLSFMKTRAEATPKPQPAPMEDEDNLMSSDDELYVTPEDAMLEVVDDEDDDDDDDALPTHDLNVHPQTDEEMTICLKSREEQDEIETEIAELEAVVPQLTPDYKIIDRLGTGTFSSVYKAIDLGYHSKWDNTPWHGHHPPSSSAYYQSVPHPRDSKFFVAVKRIYVTSGPERIRNEISIMEDCRGCRHISQLITAFRHCDQVVAIMPYHRNQDFRDYYRTLPMEGIKAYFRCMFRALRDIHARGIIHRDVKPANFLFDSRTGIGTLCDFGLACRMERGPTLGACLHTAPSREFPHGRIRDREEFDLEHIKQLQKDSRMRSLLPPEKVGYPEKDNRPTCLESKSCRQVVSCYPERNDIREPVALTLCFITIAIDVWSAGMILLFFLTGKFPLFHSSDDVEALMEIASVVGRRRMEKAATLHSRMFQTNVPTLTTEGITWREFVERLNPHLREPPDPDPRFYPYADAARPHAGPPSSSSSSRYSPARSPSPLLAAPDPEAYTTDIENAVDFLEQVMHPEAPRRITPRRALAHPFLREPDTPDDDEFFPHPFGDGVCGHLHFVDDVTDDLCVRVRVPGEEEMQVIRLASGEGIAIGSQPCRGSNSPCIFNNVPTNGTRISAASVPLSSYLKAIIYRRGLCYLISRSAPQMHLSTIETPELLALSWLGYELYRWHLSNMTVASIKPSHCLCPGMRKSGF*
>EED79061
MTTHPFEFVDSDLVLRATAPCVVDFHVHRCILSVASPFFAHMFTLPQAPSSQPSTPLIEVSEDRTTLEMLLRIIYPVSKPKIETLDELTPVLDAAFKYEMSAAIDFLRSQLVAPRFVESAPVRVYAIASRFDLEEEAKVASRHTLSVQVLDCPLHEDLKHITAFSYHQLLNLHHRRAQAAQELMKISDNVKCMRCNAPPYGTYTAPKWWEDFEARATKELATRPTTDVIFSMAFLAQSAQAGCERSFCSLDRARWLSSLPWNAD*
>EED79062
MSSKGHLTELPLASAVGKRPSPRRLLLLALCALVAIVTVLTCNSLLYATTTGAGPRIQRVPLNAEHVLNQCASLRKVPGPPEDFLARAVSDRFEPGTQPTLIKNAKLWTGARNGSEIVYGDLLLDGGLVKAIGYIPRALYAEDDTVFIDAKGAWVTPGLVDLHSHVGLFSAPGMAGTYDVNSRHGPVLPWLRSIDAFDTHDDAFQLAIAGGVTSVQVLPGSGNAIGGQAFMFKLRKTSDRSPTSMIIEPPHTLNGSEPDPSQPLRWRHMKQACGENLRRYGNRMDAIWSFRSAYNEARQIKHAQDAFCAKAEAGLWNALEGQSYPENLQWEALVDVLRGRVKVIANHCYEEVDLDDIVRLTNEFQFPIASFHHASEAWLVPDVLKRTYGGTPAVAIFASNHRYKRESYRGSEFAPRVLVDNGIPVVMKSDHPVLNSRYLMFEAQQAHYYGLPPHLALLSVTAVPANAAGMSHRIGILYEGADADVVLWDSHPLQLGATPKKVWIDGILQVGADEDGIVIGKGKDKEFQEVPIVPNWDAERRAAVEWEGLPPLAPTRQDGRVAFHNVRELWIRRDDEIYEVYGGDNMELADVVVDGGHVTCVGRHCLGGINAKAVLDLHGGSISPAFMTYGSPLGVEEIAGEASTGDGKRFDPFNGEVPSILGDQGAVVRAGDTLQFGTRNALIAHRAGVAYATSSLEKVNLFSGSSSIIGGLSVTFRTGSQHGLEHGAIVKEIAALHITMSRAAPYSSEGWASVSTQVATLRRLLLNGEPTDTETGKWFKQAAKGAIALVVDVGSADIMATLLRLKVDVEQARGSFMKMVFAGAAEAHLIAEEIARAKVGVILDPVRPFPGSWDNRRILAGPPLTNDTALVTLMDAGVTVAVGVRDAWQASNTRFDVAWAALEANGRIDRRQAYALGSTNLETLLGVQDWIGDEADLVAFDGGSAFDLSSKVVAIVSPGRGLVELV*
>EED79063
MSSIPTTHLSPWLSSLRSVVDDSDSDLDSPPASDLGSDIFDDHSGLLSLQTSTALELAELNITVNAYCPGVIVSPMTTLEMDMRYGGRPGATIKALLGIPLDSPEVGPDVIASVVSYLVKPEAYFITGQSIDVNGGTYMG*
>EED79064
MSESQRVSIVTGAAQGIGRVIALRLADDGIDVALNDVPGNVDNLARVAEEIQAKGRRAITIPGDVSVKVDVKTLVDRTAAALGSVDIGAPGCCLIYMRENPPGTGNGPLVPSWNDVMVQTESGFYLEKVRHQSPA*
>EED79065
MSEYQRVAIVTGAAQGIGRAIALRLADDGIDVALNDVPGNVDNLARVAEEIQAKGRRAITIPGDVSVEVDVKTLVDRTAAALGSVDIMVANAGVAPTSSLVEMNVETWDNTIAVNLRGTMLCYKYAALQMIKQKRGGRILGAQQLSAYCATKFGIRGITQSLGEKKQWTALELAEHNITVNAYCPGVITSAMTTLATDTSYGGRPGATVKAILGIPLDPEVGPDVIASVVSYLVKPEAHFITGQSIDVNGGAYMG*
>EED79066
MLSFFAINYIAHAATAPPVIGTLDPAIYVPKLKYWLSIPQLFAIIYPYRGLLRSLCIITGMSSLSPLKLDDTTIALLSGVVLLVARDPSDWEPSSQPELIRVRLPEELELSRITDSDAMNSHVYIEVEDAPFPTTRVDPKKHNIVGEIELPQGYRLRAVQADVSWFYFACQNTAIQSVLDLLMRLPIGDLPRSLVSNIQRRYRKITEDLPASQHRDDGPSRDHTPSPALYLKPLPVLERVRDFLRSSVDDTSKINLARARSVLPMVISIAQLISATIALYETRGDQITRFGYAAYGLSVIPYAIMTVVNLVCNACVGQWPCRFVLNTGVCAEAARRPDAKIDGVVGVTKKLEDSGFQSPDDAGTPDEKGGYTLAFLSKECIHIDRGDSSKRELLVVQVGDTTRRFQLLKTLPEETSQNASSVGKNAHYTFSISSINNHPYQHPAESSIEDGLGRLFKKIVGFCVPMSFAIILIPLPYLITYALTGFKAHGSTAAQRGWMMTWLVVGQCLAFVPPYQNSPALSKLRQADANLGWFRIPSETMVGLVILPELLLTHSCVSGTLEIRNHVMSSRHLSGHRTHSTLQGYFSLMPFLTTVLFTLSAGCLILLASPAVSIPLPLPISKECKRDLNIIWGLVQFYVTNYVAYAASTPTTMTGRHIPVGFGRFKAYIQPIWITVLLVPFAGLVRSVLLLVGSITIDDVSLALRVRALVVVTRVKGWKPAENREELVPVQLPSGFADVDRR*
>EED79067
MIGDHKVSPEVSWLDSVPQDEYRSQVVDVLANTMNNCLAELGYHPDFTQQLQTIHEWNIRLRNENAKLFQDNKKLAQLIGMQNERISKTVPNIPLNQGVADLQIRLQAVELNRQELLNQHHAALEEIGFLRQEVARLNRMLRVRLTSTSGSSQVPVQTQVPPQTHIPVHTHISQTGFLPMYDGNQILDAPCRRYSVFESLCVNSGLLFHTALETYKTWFEVIAKEHWVSFPSHVMLYHGTHPFLIRRCWKITGKNHWLLALLTALATSTFAANIYLVRRLNQLLC*
>EED79068
MCASGKHTPTSKAHEAHPHEQGSGSTTSRARLMQVESSGQCRMCASGTHTLTSKAHSRMCASGKHTPMSKAQITQMLRHSCKKSLVLAQAILRLRALAMSKAGGHGERVARQRRDTSERATKRGMNDERDEREEREEAVEMTEGGGGIAFTSKSAERGRRGPHSRGSSRRLEEAARDLCPNDIPKLGALEAEPNVYALVWVRRKLDGLRNDIFVSLEEGIAIWCDSKILRQSKSSVGFVDGTLGKLLCGPWGVSVNVKDERQRAGHNPLSPPPDLTSRILTLQRIRHVERALSSAIRL*
>EED79069
MASRFATLILLTLPILALPSSQLASRALCATPSVVPGYSNNRLPDPFLFEDGTPVLTLEDWACRRSQIAAIVQGYEAGYLPPRPPFVSSTFSVVNGTGNFTVQVVLDPNHTISFAQPIMYPSGAAPGAGWPLIIAYDALSIPVPDGQNGPSSRGIGLFYDLYGANATASAMTAWVWGVSRIIDALEITPEANINTAKIAVTGCSRDGKGSLMAGAFEPLQQATEIVTENVWFSVNFDNYVYNISELPYDHHELAAMVAPRPMISYENTELEWLSPLSGFGCMTAAHTVYEALGIAEHHGFVQVGNHSHCYFPDTLNASLFAFFEKFLLDEEDVSTDYFYTNYMFNGTVWDPSHWINWTIPQLH*
>EED79070
MLRIAFCILLAPFASAQLSGSVGPTTPLSDKSTLCNILDYGGNIGSSDIGPAIQKAFDVGTLVRVPNLIRILAGDYDMQTWVTLTGGSSWAFRLDGLITRTATTGGNMIAVENAYDFEFYSKNSAGGIQGAGYQCRNDGPRLIRMVTSERWSLHDLILVDSPEFHLVIQQGSGGEVYNLAIRGADIGGSDGVDVWGENYWIHDVEVTNRDECVTVKSPASNILVERIWCNQSGGSAMGSLGANTSIANILYQNVYTVGGNQAYMIKSNGGSGTVKDVVFQDFISRDTAYGLNVNQYWASESTQPGDGIQLYNITFKNWDGNVADGVQRSPIQILCADGAPCYDINLDDVYMWSLTDEATWKCESAYGTGACLKSGSSHKSYAVETTTYTQPSGYTTPTTMSGDLTAGFGSTTLIPTPTIPTMFYPGLPQISPLMKNK*
>EED79071
MPNYSRHVGQALKFLPANVNPPIPWQRVISSSGIISSRGPGTSGADVQRHALEAEGVEVTVTRGGEIRVDLRQYGWFPA
>EED79072
MRFWRIRRCTPVQAPAASPSSTLLVEDVLVPASSPGPILVDDVLLYIFRYVLPPEVPDELEVVYRPATIVLSHVCHYWRHLVNTSPTLWSVLSHPIIMRPTVLLEFLDRSLNADLCVHLSGKAVDMASEDTVDRLSQSFQLISMHTHRLRTFIALELSPNLTAIVAAGLASRAPFLKLLHITSQGTVGSQLFGDQMPRLQDASIARMPILLLQLRGLTSLSVAQSMLMEQDIMLVARNCPDLQRLVCTIDEDVLSTVSDAMASSTVALNSLRVLVLWTKSPHGLRFLSRLSFPASTHIMLHYNSYSHQNAFNLDCSASMHTIISGITCMSVSGARKHAIQVVSPGPSDIQFRVAVERYDWCALQRSPHYTPFDALEFPALEQLHIRYAGAPLVLLDGDWAKILSKLPNLTRLSIVDADFSAYNVALALGHKPIWGGSHTSRNVPVICPLLQSIVINIERGMESGLLPGLARSLA*
>EED79073
MGDEMTAQEAMNGRERAQTCDHRRHHGNGRAAGSEACCTLEVIRTRSLDDRASGDARAAYARKRRRNKTGQEIIGNGEKHTPALCDRARGDRGSFSVVLSRSSAATTPTTRSRIPPAGVLEGRRLGGAWMAGVVVSGFLPPFSSRAQGDAARAGAEDVLGPRAHHKTRRPLDGAGGEDVWRVGLAGGAHVKDRERAGGGGSDGLWDGDAALCRALGRWRDGPGGRERACASTEHALTGPRCLWGGRDRVGQDIVTANWGRSIAAEVVDVFVDQWDGGLRGGRGVRHGRSDASGATRLTWTSPVAEGGFLFGTLSRSTAHGLLATRVWSVLSVHIQRRRRRRQVQLSSLPRTPTFVSLPGADERPQCRTSLGTSARSVKAVIGRGVGARIRARTSLERLNACHAASSSMPASVALATDDVYLPDSDDDRGALRKWPVSRTRTPAVTSATSQPATHTSRRHTTRAQNTMRARAADRSAHTPANAALPDKASHGCLDDGVLSVSPVDSQEPTWGVPGNADPACTLPAQVRRPVDVTQQKRPRVTPLEKKFGRTAGKQASAGTLPHSTPGGRTHSVSVFARAPRPAANALATATSLVKEPEVSALQVPADACGRTAYRLYAERARAERSGLVSCTAAPSVSFAINVGSVLLDACDGPTCADRLMRHAPPPAMPVRPRPRPTSRLQAAQRGQHHAQRPAATTHLRTVAAQSKAHEKAAQRGKNVDDTRVCVRFFQAPVSPASP*
>EED79074
MASAAALFSSADADDPLTRALQPPPDESPDDRDARLQQQRAAQAVSHEIDQTLQEDKKAYDRRKKAIKILLLGQAESGKSTTLKNFQLAFSPSHFRSERAAWRTIIQLNLIRSIKRLLEVLQEEWDQSVAQPASRPDKGKGVAGRAPPAVRFSTSPLTDAHRKMRMRLSPLLPIEEQLSKRLFPEAHERLHDVCVPAGSGWKSMLASLSNGGVAAPAEKERRPGTADRDDPTAVLAACKDDIVALWEDPVVRAVLKKHNVRLQDMPGFFLNDAARIATLNYEPSDDDIVRARLRTLGVEEHRFTMESGALPGSEWYIYDVGGSRNNRPMWIPYFDDVQAIIFLAPLAFNLMLEEDPKVNRLEDSIMLWKEICGNALLSKTTLILFLNKMDILQATLAAGIRVSKYVPSYGDQPNDVQHVTKYFRDKFRGYHKRLSPQARAFYWHETSVIDTRSTAAILVGVREGILRSHLQSVNVI*
>EED79075
MDNRPMTRLYTKILAGQIPEPVPATQHLGQSSDSEDGTKPEKRKRGSSEEDPHYDPEMELTPPAGTTPNRRVDHKAAIVEDARRRAAHKSPSEGACLVTGFRDKSIQCCHVVQRATYHSELKHLERSWALKEVFSLDSHLNLLFLRADIHILWDRGYIAFIPEPQVVEKCYKGSIVPIGVDLSCNDPLEVSKSPVYKYCIVVSSDLPQSEENTAFPRKLETSGWFKSHAPPQFFIYNIGSKLSKGDGGAAFLLSLDTFYKRHKIGYQAKLVFSHIEKAFSRWSTARRRQDNGLCS*
>EED79076
MFVTKVGIDRLRGVALLAILTSNIGWRTYIIFAVFNIVQALIVYCFYPETAGQTLESIDELFRNHDTSVKGESTGWASAMQWSMVPKANGVVRRLRADKRAQAEGAAREEGASDAPEKSETVSIEKEKAGEMHVENAAS*
>EED79077
MRAFGYVLMLCSFSITNILAAPALNAHETPTLYRGSNANSPKLDNVRPKDAVMDGDKVSTTAKGISTFDRILPAWKADRNQLKNIWVLPDEAELTVLELTAVNDNRGTGHWNIRLTVEMTLEEFKEKLSNLNEKCKKRPLTAEEEASFKPKPKDNKNKKGKWTIEDLLAALERRGFGDLLTHSARDNYVVSGSHIARYDSYPYVARRSNMFAELPRSELDARGFDGLE*
>EED79078
MLGKRKGAEKQAGGQKAKVQAKKKKVAKAETKILTDFTDTTFIDSDEEPDTCIGGVRLTPILLKVTRLCRDSQNQDKKWESGAWEIAILGNFNQVTFLMGMEAQNQVLGQCRIQLGQLLLEVRLVFTVFDSVITVGNIVNIIAHLHHEVVVLTAVKSNASKAEGSKASADVNIGSDSNANNNNDPIKAAHEKSASTMMNRIFMGEGRKNLKLRGDRALAVLIACAGISPNIVDSQQFKDFVTIIGRGVYGSPSSTTLRNHLIPKEATYIHIQTIELLKTQQDLTLSFDRGKTRKPKGVYTIHISTPERRVFLMDLNDTSRVSHTANYIVEILQEIIAGVGAERFSGIMSDNTGNTRKAWQLICTMFTHIFNMQDSCYEMNLALGQISELPEFKDVCTSLPYYVIILVISDMRAILAFMNKLTYMMEHFNDAHKYLNITIGLAAIGDTQFTTLTWTTISVWECLPAFRKIVAQRELNIEIVSRNHLFEPNTHETLQFKLSLKMFIAVTSPYAKAIKCLESSLSTASDVFVFWPGIITQLHNLNEYGNLIDAKPDEIHTGMQLRNPRLANLTPGAALNALKSELKAYAKKCNPFSHALREGMSVCSWECSDYIKIRQWYHWDPQSTKKALTVSWHNMDETICAPSWHAKQAQDSECGPDIPSSPISADLLEDVPNYKDERRDKFVVSDLVNLNALFLKEILANKCPEVPRPRDKVRVKHVSKASSSDGSLDWDKW*
>EED79079
MRTFKLSAVLAALLAAPALVGAVLPIPHTSAPTRTNRTMAPNPATGDLSTLALAQRVPMTNAQRLARGLAPNRPRFNHAARRGLAPRASAAPDPNPCPTMTGTIRVAGAGTSASTFVSRVPNVFGEYGVTTDAANALLVQYANCAGAASADLVTLNGIADFTHLGGITGFSSPSGDLGPGSSMYAYLGGTSQTAPGATPQAVPNSFTFTTGIQEDVESAIWTLDRTTGVLSAHWSNTDRSTPATSIVYYAPENFLLLTGNPAAFRTTYGAGSVVTLTFVEA*
>EED79080
MSDTMIHMREAIATNGYPQIWQSSYCNGYEHLSSNLALTVPMVTLSNSIRQSGPPSTDCTTRSFSPLLTNSDIFNVLVVIIYSLHLQEQPSGTRATPAADISPFGRPCLSGLSAGWGTWVLGRPPYVRQREHSPWVVVVRGGGIGDCRMLRGGAEGLSDVLVITLHVPCCTESKHRIQKKVWSMPQTRSTAAVGHGQARDIKPRVLVASVATPVSFSAFSAVHRAELKETWEIHPRIPSLASRKAWAEARGIDPGQVHAWFSRRKYRATKKGERVLDGEYDLPISLKDQKTSSCTGTKRKQAAVKRERSPTPTLDYPRRKRQRATPAADAGSSFISKSTQKNAGSQPSDPAMEASAHIPHTRAHDKKAHPLCLICSGVQLPSVSRLPSSGPVLSPPSSFYSVPELSFDFPSSDFDFDGPSTPRGLEHDNDSAIVLPIDENIDDDAYTHSSAAKNQNLDYLKQMPTAHTLSEDISSPKAVTSYLPPRQRRKRITFDDKTGPKGGYGSSGSSAPLNPSVTNFGHDVPTAPEEYSHVHAKNQTKPTADRYGARHDAACSSTTDDHGTLRNSVLGSNPTSIPAVLNAETNNTRLRESSTAQGYQSGAPNTATIKTQKISWRKLVIATATMEMTQKDNRRKTKGTQPTRAAQAGAPPTKTKAAKTKGKDNPPINTAHLQEGPSSSVHPSTSQVRAIAGEAGQGDEAVTGLCERFESLRVGPKRRRKKTSGGTAKAAVKTEPQPMPLPVATGQPVTAKKPKKGKRQQGVTQKSQAVGQGLQKAKMQVDKMRAGHKEIRKYSRLPKTAGEGQWRTKAIEPIDVSIIPAQVDRRPTTPSPTANASSNIMFRNSPFRPPRPYTYSQEALAAFNEIVWIEDGFNAMELSALVKLAAGSAQDEASSKLVTRSCGAQEGPFAPPDLSHLQGLAWRNNPAVYLYTDVDMPQEVRSLFGIEEKVAIEWIEASDRVNREVGLVV*
>EED79081
MSSNSISTSEEDALIEQSIDVTYLYASLLVNFVWRRSLTSATALYIALHTVMVCYVTVQLVNPFVPGGCKMTYAMAMWLYCLEITLYMIIGSVVLILYCPLIVTIMYETVKTTYTSNSAGCFVYQNMSTMVTGSRICIVTADLLVLGTWFATYQVKRMANLAKMKVSIVTLLLRDGKYDYITHKESSYLKSYPQEPYIGAKYHSAALVLNVTHIALLLTGAFDNGGAFVDVLFLNLRQVYSSDATASETQVQDSSVRFVSRVIGPLGAPLDFGSFETQSEVHRDSEEVEGIHIPEIEENPRFVPEPFADGIEKSQQLVYVSQLLEYRNNISCVYQLGWLRLLQSTDVCIHLAMDAKDKRLTSPDRLPMMSRARQGKRRKLHALFDGATKSLLDVTDNYVARFQQGRNN*
>EED79082
MVNAYWTVAPKDRMVFFKDRQSGAKGKSKASSPLSAADLGVLHTDARNKWSAWFNELGREHLAKLVDDMLMEEGHHPTQLMKANATQKMPTMAAAMVTSIYVDLAEQLFGRDALLTDRVVKSEVITFFNALLYATWRRWTMVVSRQKAQLASKLDAVRTRWFELSANEENVTVFRLTQFFQTVTRVLELTEALSDKTAEAEMNVLKSDLQSMLNLISSGPDSSGETKPLPKSIRTALLKLASQPQVESVRAQIMAIINEEQPEVVALDFESLPEGTWKEGTEEYATLTLDKAWEHLGLGSIKRIPGFAEKLDLNDTYDPWIPSGLRRMTAN*
>EED79083
MGKNKKSFVPTESCLSLSSHPELEEIHDKPRIIDTHTHLVSTFNAYKSKYAQGKYNTVHEFVRGLYAGHNVEAIVDVWCEAPVQSVWRSIANSALTEEDRREKWGGIEYWFVMGVHPHEASLYNDDVETDILEAMAHPRCVGWGEIGLDYHYDNSPRDIQRAVFVRQLQHAVRLGKPLTIHTREAEEDAEHILKEHVPKDHRIHIHCYTDSPEWAARMLDHFPNLFIGVTGVITYSTNLNTSAVIRWLVQHPTPSQRSPLRIVLETDAPFMVPSNIYATPALKEVKGRLPLSHTAMIPWTAAFVAVVAREAAGDSGDEWTITQVLCEARENARTMYGV*
>EED79084
MSVRDCASEVLRKLRIQLFGSFAWFLFDLEYNLVGFSMIPAWHISRVVWPLPSKLEDVIVYHLGRGSTWRGHVLLAMRVEDYKLQVVCQTVARRVTQPASTRETWIRISLRVSIVGPAFIMNLMLVEDSIETTLIIQRYEMFTIRMVFVRISGGGPARSGKLKTRCGGMRRALRGQLRGGFLTALGDPGRSPSNTASTCSWRFEGRASGGMHSIREGGKRGPLGARIVPPVLLASGLCRGVAGIERGAEDRQSTSQRIVSTWDLSC*
>EED79085
MDGLLCVDAGVVARTDRMISYTDAHVKPSIETRTERGNHLLNRAGRAQASSVSLPTRHIDDAGNLPSPLASQSALRLAPISISALSRRVRPLTAADSRDCLPPPLSPRGVHKRTSAQGASPKWQVPPLESPSISRAVLASPLARASRRHSRRVQDTTRRAARAPRKAVLPNEASLARVSVLHPSSPCQSNSHRFRSTQIVWCAIPRRQALPPCVGQQASARPVTRLPLTHRSHSIPSVLHVASGDTVSFDCLDASNGQLTPTSDLAALAALDFARLDQVNGPVHVADARPGDTLRVDVLDVRAADWGWTALIPGFGLLADEFPAPALKLWTLPGAEGGAGRAYAWFDEARGIRVPLRPFAGEMGVAPAQRGDDPAVQDWREHRHEARHGRRDALPARGGRGRAVLHRGWACRAGRWRGTAIETPMKVTVRLTVVQDTPYVQTPHFATPSAGSPADSGGCYCTTGVDPDIREATRAAVRGMIAYLGATHGLSRTDAYMLCSVAGDLRMHEVSALGGVERTGRGIERTGRGGAHWEGHRAHWEGWSAPKGTQGQYETGKVVSFQSRQYIAIQSALNMVNGKQIPHCNIVLTGMLAKLVQSQMLAARSGQAACARRHSLGPTGANPSSRADVPGQFRFCGWSSSSCAIHELARILIPHTRTLASTLNLPGVRPNTRDLSPLQLDCCRTLASGLHDVPSGEDEVARITWDTTLESEKQHEGSVATVHFATARAQEVISMPKYRYVSDALPEYPIRDAFAGNAVIRCATSAPRRGMQCEAVGRQATEAKTK*
>EED79086
MGLMKRKHRLNTRTDEAHTKRVRMSGTLSETSKVKDDIPMRDRTNVTAVKSDVVYVHNDRDSPLQSILRPPKRKWCPDTEIDEVCTKKMRTSGSSSDAFEVKDGAAFGPHPRCMITGCVSAEVEACYILPSDMPQRLHAVRRDLRELWETNRLLMIPHPDHLENLESCPVCILWLSSSIAMLTTEQVYRYHVIAEDEHPSDSCTSTGSSMTPSVMIAPCSYRSLGWHESSANIHLMIFRAGQKLNKRPFHYQHILRDLLPQKEVNHAYSIIQRHTSWTFPLIEGSLHDRRLWATGEPADFPDDYFDFPPKKRYCSPLPDDDSVRFSCGAPVTESGGIARTAKERAKWKASIRQWHRGCELARHEWTTGPFAEPEEAKLVEYRQEEACDVLSVIEELWMNEEYYSRNGPRDCS*
>EED79087
MFDGVSRTVLGVDQLWFDEGAAMLAVALPQERVALLLRSRILNFTFQGQSGDWEQCYQGTLTEGRFVRKRINPQTLFGVAFYRIGMAQPPTAFRHCGIALSNADHIGGILTVLRNALGIPPAHQCSPAPPLPTIPTIEIYGPRGLRRFVRLQMRLTHSHTATRYAVHELLAPGETQSVLAGAGTRTEDGDGDDIPLENEALGQDVLCGPDGFWRGIASDAVAGGLKSGGRVVVDAGPIQHRDPCIGYIFREVPHLPFHLRAPQPRTLVILGDTYDPSAIVPLIESPDVLSSQPTDMVIDTNVPAAAPPTVSLLVHEATDSYIPSSIDPQGRTGRNRSEASVFKKTLERGHSTPEMAGAFARRIGALRVVLNHIGARFPAPDHSGSYADKFRRATMREIERQATEAWQPPQDVYAQAAFDFMRVVLPPHFRKIAHVSESHSSYADVAIAEVETTWQQRTESGGEVKKAQGGMSMEEVEDRVVLGIGATHDRGESMIMEGDL*
>EED79088
MHIDFISRDLTAVCFVCDALTNVSRTRLSVPNFGDDDYTYLRSLAFCLDSEELTLDDLSWKAGVEVTRERRLASAAVYAFTEAEWVRVADDEDEQSDVMNDNVLLLLSLNLDDRENPLKPT*
>EED79089
MCSFNFYAVFAALLVAPALVGARVPVTRTSMRETAANPAAVGLAPLALAHRTPLTNAQRLARGLTPNRPRFNRAGTIQVTGAGTGTPAFISRVPNAFGEYAVTTDTADALIVQYTDCADASVIDLATLNGIADVPYLGAITGFATALQDLRRGSSAYAYIGGTDQTAPGATPQAVSNSFMAATGDQEEAESALWTLNRTTGALSAQWVNIDGSKPETIIVYSPENFLVITGDPTIFGASFGTSPVVTLTFVAA*
>EED79090
MHICTLLLVCAAISNAAVWRLRYERDAALRFPSFSASRRTTQPCICPTAKYKGGMPTQAASASSSRTPRKFEELRRAATNEPPRADIQVPYTLGPNIASNLYHVPILRDEIVCAFGDAVGMSDEWKAVPAMEVVMDVVARTSNRLSVSLTFSGTGTNARDRAGRNADYFKLKAPIARRLLTKVPQRIARVMKHLQPMIEERGKDWQDKPNDLLQWLTDTAQGEERTMRALVLRVLTVNIVAIHTSSLEGAGALQEEKGLIFHVVFDSGHSGIDV*
>EED79091
MFLEGIINEVKERKEKERQTKAVSIPPPRSANPEPPASPVAGSSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHFSGEEWKNVGRNARKEWFDEEEEDGVDWELYGDGEHLYNGVRAHFVPGIVPLRFFLY*
>EED79092
MAPSAETGGYLVFLLFIPLLSTLWIWMIVSKPAIIFHASQAFFNFLAMCCFASVAAFQAHWGVGPSGLTGFALFVAVTGICFPLFLLFVPVIYDKYNKGARLARALNEVRVGFIMVGAGTAISLLIAFIVTISAWTEPGCKNANNDPHASKGQTYRNDLSGWCSTKKAGGVFFWLTFVFWLASLILNILDWRNGKSSRPRDQPFTHPTDFSEIETHAEDDDESTTGYYGKRPVSDAESGQSPFEDSNTPTGYAPPTASYAAPAMPRPSIDAYGAFSDPAPSGFGAGPAATPPPAESPRVSRTMQYADPYAAVRASISTGPQHPAPAPEYTSYGGGYS*
>EED79093
MRGIVHHIVIDPRHRELEQPSSLIAIVVLAIVSILAVGFFLLYVSWVSLHAFLERRGIIRGGTWERSFFRTQLGAYTVSLLMSNFIMSIGFIINVRWVELKRVDKGSAYMGALLGSLCTAQGALTELANTATAYFTAAIAVHVFNSLVCHHKLPAWFCGFAVVSGWVISLIISVIPLMIKNAPMGPIYAFGGISCGISKYYPAVRVLFRLIPVFFAIVISIVFYFMIYLILRGTLDIQQGLHINLREDMRMSIMSNCERAPGYRKFIVAVVKSMIWYPGVYGFLSLPSMVVDLMQVADDPVPFGLHVFGDAFLALLGSANSLILLNTLRLLSPYLLTSCNQDNGSIMSDDSNSFYAGQASPLDGMRTFATPDLSEKDRIGSKRGSDVESLTEMDMPVLVISPVPPVYFAAPRDKHFGSTVSLPNMSPTGLLDGVPSSDKTDAATIHLPSYRPITPVSVLNALVSPPTTPNEPESRRSGPTSNRERPLPSLPAPPRRTRSPVARQPSVVDDGIPASNSIHISPASANPPIASSMPQDATADSILPARRDTLGAEIPPRPFPRPSMEAVNATRRQGVLLSPPTMSSLLSPPSSRATSVASSFGPPGLPSNPRAYKALYEQQPSQGHPASFLWSDSYAGAQRGDAVAATGRVQGSNRPMSSGAGAVNASREAILGLDPNLPRSGVDPGALRANISLPDIRLAARLGAKPVGYI*
>EED79094
MATAVQIVQSPAPATGILAHPDAPRRSPRLHVHANSSPKPCANPSVDRCGQGRSRSPRRRVSASQHGSSMDPCADDSVTAAKMTESSPVPLKTPAEPVLTSRSPPSQVSRKRRRGSDPNDRATATISSSPTPATSPKSVPLEDTTPEPSSPRALRALKRRRLSSSAHSPPVAIDEPRALIGAGPAQGTQTGLGNAERSGPNLNLNLSPSSDKVDRDLPLTPIPADAAGTLENPIPIWQEETRGHSDIGHHTVSAVAQDTHTAVPVPVPNRDAPALDATLPAARELETPAVLNDTPITVDTISDTNVNVLPDLTNRELLAPPPVVRPVNPIPVPAPPPAPVSIPFRWRIAPSPLSQPPITASDMDGVGDADVSDDAMLSDDMPAPGFKSLIIHRRPRRTETVDMNVWKLACQERVACLVRRYTREIVQAVVAAQAEHYFYRPTEFQHYTPDSYSAEAGPDAMDTEESKTSGDEYDDDSDDDDMAVDTDEEAPQGCDVMHVDLTEQPAPPSPMASGEPAVAADQAIPPVATPYAFAMAYWLPPLSSIRVPWLEPCTFLTPEGKAYMGRGTPVDRQRRAGWAAAPPASPSAPPPDYNCGEGWNALGAGGESGIWGWRGKYVVPWYWTAPENALSIGLGGRMLGVDSSEVGRTGTLGMIGSGCLKGHSAPIGQFGHARQGVGAILDVTQALDVGPTVTMLGRCLATAVGATSISLRKPPGKAGVLEGDLR*
>EED79095
MSSLKTQMSKAAKYCSKECQKADWKNHKQNCQNNASLADALKDHAASPLGMIERLMLPDGISLYDLDQRLEKWVRFHNPTLMGATIHALRLPESLARARTHVLHIELLPRWDHGGAVGKYFRVVRTKVVEVAQAQTWAEPWPASLSALREMQDQSESMRRGYVAAAMVESPPLAVQTVPFGSIKKLDYPVVQRWEEVLIKDVEAGRKFGKPA*
>EED79096
MSL*
>EED79097
MPSPNSDPPPVGPRFRPYASPNHHVTKGRYITSNDPRGYIPVYEYPLNGQWIMLDMDDGYVLWTGIWKALGNNKADIVKIIDSQPDLASQLRRVRGGYLKIQGTWMPYETAMRLARRVAWPIRHDLVPLFG*
>EED79098
MRHQIGVDRRDANRRRKKLLIGLKRLDSESGKGSRILEAEENLDVGTVGDGIDEAVYWADAKLESLASGSSRSSEMREALERAAAADAAENAGTSGREPSGWKSQVKTEKRDGSRSQTETSAVRDQSHYS*
>EED79099
MQSEFAQLAKPYPNRAFAAGLAISKKSCGDGWKPDWDGVFPLKVFRGAEYRAVRVPAHWDDESLLRELRKTYDGLRKVWRKWFSLRNVGSMTIVLFLSIMQEDHSFIYPQRIGPARVSPAKNMRLRWFLHHPEYMKGRHEFMQVLTADPDLGIEFIERWQLSRIAIAVLIPVFASLAIGVVYSGVMDDPSTGFTIAGYVTSAYSVCLVLVGLLNLVDF*
>EED79100
MQPDSDPKVVQDVIFQSDPEKQIKASSFADTWSAHIEQMGQRAFRPAFLRWVEEESRRQWTYRSFLLLCLVEVAKDCGDRFAECLPSEFVQCVVKFTSNDADGYFGYELVELLNYTNSALAKYCDKICDPFPYFMERLRECIEVETNNDWAFLAINALTHHLLLIGLPWNGVAPDKACKHMVSLGYVWVLYGFLGHDNPNIKQKALTALIYMICESDDLRQQIFCETSLIEDVAKAIADEAVDLTWFTDFKTTIPVLTIGSGQVDWWYMLPKASSSPPRHIESKYCTPQSLGVPGSVRAPQTIALAELYEAFLSPDFPGWLSEWLQIYTRDQSQGLVATLIDVFDKHYDNLRDQFVDMMKSITTHDEIDEPMRLYIEELLHKIDTDAMATFPSVASSSNIIEIDDLERVETEVSEHPMLTLSGAAVPIPASQAPEDAVRAYHSGQMNHEEESEGFSEEPQSTGSRRNADETRQMCIVAKCAIASARPAFGNVGKARADIHQSPAWRRVWQRLMTITDKGGATGEWHFFTLELPPPR*
>EED79101
MMQVLSSLSEPRGHVFSYDASVVASATALATPRASAPDDFASLLLAIEIIKEQESEPEKEDSRKRNREDDESDYGNDHVSKRHRVGDAQLVLWPPYEPYGSPEAESCSEEETDATMSDEEGEDGFEWSGRNEETEDEDICYDVSVGQRAIVTYRDGEECFDEAPLDGEETEDEGHEEAASHMPRNGSLEWPKQDVPSDVEVLKEDIANIPRDGCTNDVKYFEDASSDEEDTEDEGRDHMSREDSTNDDEYSEEVVSFEENPRAEEDDSWLEVFSDSDDSSNDEETYVPKKKEKKREPWLWKVACQDRIETILNEYCSIDEVGDENFARALDIDMDRYLGFPVPQYGENSWLPRLADMPAFALVDPDEHPMEWRGTPARSASGN*
>EED79102
MATSSTGSPPRWSVSGPPSKAAGPGLSTGNSVSASTRSFVKVSGRKLSLSEGPSVTSSSPVKQATSSRSTSASALEQTRLGSFPATGAVNIPSFARPTKTSASRTQHSPRPVKTPPRSDLRPLASSPPRSGSTARQASSPKASVTSPKHQVSPRTLDKANANAAPLTRTATTTAAAPPVKTQGSPNFLTAPKWQYGSSIPLPAVAKNVETRRITKARRYADEHAVQPGRLDSAMKTVGSLPSQVFTSMLEGLQENEEESEDTDEPVPLIKETIQPALKQEAPFVHDGDEDEDLEVLRRIRDKFSKVIVDDTDQDIYSLSSMVFRRIKSPDLNPDAYPAVYGELMRSLGGLASLVEEVNQAFGLDDDKTKAASAPIAPLMTERIDTVVDSGNVDGGVVSVVPLAKDEVLRRVDAVHVLNPASTFTFSSFESVHCIVKQACFPTESLDMDPTATKEEDGSKRLRHVHFVAGFAFVPDESLFVAALRPPVFALASALVHPRKTTVGSLKAAVLSQRALWRRKPIREGLEGEKRVSAIATDEALHQGVVKQADAARIFTKGHVRPSTLDIHPGSTAEYGCIGDVTEQDISESALQSERALTPVETDKHISTMGEQRAKKAAPTPRLVEGIISLPSEDIATAKYFLSTSELVLGDHQGSSRCMIQLHHSQSNHTSGPTLNDPRPASIEDDSPPRSTSSGSGLSQPTSQPGFWTRLFSRSSPGSSSLTARSLPPDALEAYCGDKTRCQDSLVPAFSAASAAAALSSASRISEDRDEPDASDSSFASTQYTASSMPSPTVPTSRFSSASSIRLPLPDSESSRFSPIKSFFRRLF*
>EED79103
MPANPWAQSHDVHPQQSFQGIDRKDFDEHYRQSFPAREGSVTTDPGDSSLQAHPSTHGSTFPSLSSNAGAPGGYPNTNIAFHHHPEDLNDDFGSDGGSHSIPNSANSSNAHLPLPDVHHQHHRQQNYSLPGFPHLDTLQNADSSVNNGQNGHKQQYTSSAFGHDGQGEGGFSSAFGLMSLDDPNVLAGLSADSAPFFSNLGGSGSHANGSGTNLSLQTPTQDLIAALKTGKSDMDTKEMRDFWKMYVRTPLSGPGGNGFALATPTNSGQMLGAGRPSPTRRHSRVASLPSMKTPPLHAEAAANGFAPLGRSSMNDPRQQAHPDHDKERGEDGQVNPNAFSSMRTTFHGAEDLKSYEQAVLARKAPMTLNLAPRRRGTIHNGVVGDLLQAQAQPQLQREQAHHNAHTSKSASPLTSHLPPPPASNKITDLLNRPSSTSSNNSSLAHAFGHTESRLQGHDSRPSSQSGCQGMRPPSVASSTAVGSDTGAESDSSYRPSFKRLASQTLGPENTKRALLGPAGWDDD
>EED79104
MRNQTSCACCSQRVRRHYGLIQGRRHSQAARSTSPTSIPLPLRVVRQVKKWGYHYVTLQFIQCAFCVPSSPTGRSS*
>EED79105
MSRLTQYLNRTSKLVRDNTSRVLLMQGADEPSRTPKTLFTFNSNEDIQQFATGCDADVGGTSTVHFGLDDSSVLPTKNGETTEQIRRSAGKFWGEMRLGVRGGLEGKIRGGYAGFRSKARNLYIDYLQWLQPRTTLFGEMTDDVSMHRFLALRLRAAGHPRTRNSYYVNIQTDGPITTDLWQHRLYFRRDDGGWEDIFV
>EED79106
MYEEGVLKVSCLGLKCVGFNHNLYRALQEEFGTRNKRATIIHVPQEGATDSNTKKRAHTAGGHRDQHRCWKMGSINTTLPPEMGDRILDHLWTDRKALVACSLTCREWLPTTRLHMFNTITIDANTRQRFEETLEESPHLALYVRHLIAHVSPGSGLSEQIGVLSRLGNTADLTLVNWHVSSIDSETVTVVQNIRRLYLRRSSLGISDIIIPLLCAFPILSELHIQQPTYSRAGFRFDLAVDWAAQSDTKFATLTLPESLRTGSLHLANPPIMLIQWLAEEQLQLRPTALHLSWALGNDPLCFAPHFVMFLSRLFRAAGSKLQHLTLSPVWVLRGFRVPWGLQTNDHLRSLHLDVTPFFERAHWVHQALQEITSHVLARIEIGFCFDCIGIDVTAVHAVASCLVWEDVDRCLARLAEDNPGLEIAFLLPISEHDAVTAVFNHLPLLRERQCQLGVYFDAQNLEKLRGDPQTATLTRLGRRVSCPE*
>EED79107
MSHMSACWSVFSLCDSRVGSVEIAFGLSNAVHNCIDYDGNQQKSAFSALVAAAFANLVMLYLPKLSWSGNVSRGATRGNQGQKGVLGPPDFEGRCVLQLRVQPGVLAVRLNRQASEWYRMLDDEVNRALKLTKTYWRKARRRQDYDARADQDHMELHEWLQQLKDKVMSHLELLMQKGEIQGPPYVMPDQVELAFLRKFVERQRAGIPHNPRLRRAYLHTSAGGAEQQHIQAQASVGTSTPLSTNAWDGAEDAADEHDHPNGAAAGVMEIDDVHALMRDIESSRTPSLLSTEISINEQAKRSSTKAFVASRMMQIYRNEYGEGSVHLVVIGRRAESPGQTNHDISQRRPQSRTSSNDSQDDVMSPIGWRDMHWPQVNTMGEAAGQNTELTMLERVGLFTLLVTTENITALRQTMGVPSREHEMQLNHLSAERKHARGWDHLPQTQGREGFEVGRTVYTLQPSSSYHPQDVSLLPPPKRHQVSPAMLGSTSTSLPPSLRQSALPSMTAADQQRMDQNVAILLNAQSGSHLGNVPL*
>EED79108
MESLPQVEARISSELQRAAYVWSPPSPFRDLQLPFYEDAAIDVRRDWPGPTRPSAEGWSKTRVRERSSLPQGSREHQTGGLESDNKRARDNRGRLKVEWLEVFWEPARAASALPLRVAQRDNKAADTKQLRDGGRSARAGDDAGDATQNRVKVRGRHLRAPEAATQSTVGVVVQQTPTLAETETRRAERPETARGSSAAAMRLFPRDVRGSGAELWGNAGPAGRGSSVSTSVIRDGRLRADEGLGRSRHGVTWTAGRIGRDGERCEMRARLSSGFCLFLQSPRPDAPLSLPDSLDAPTSTPTRGLPRPAPPTQCTRLAPPAMRPRSSFAAMANRNGSSSSLSSSSEPALENGEPAQLHKARLARGEAACPAAGASPSGDATKGWAARNGSPSKQEARRPYKSVLGFRARRGGGSPGSIRAVLERTGPQGTHGVGQCEGVTGILVALRWEIRARRGADLPVPACFAGRSTASRCGGASGAILPGPGGSFNGPLAACRAARTPERHILAGDVGDMGIRRGRVPAARHGAEDARTWGAHGSRPQQGGRRTIGSRAVDVLPFGQRTPMSYPVLW*
>EED79109
MATSSTGSPPRWSVSGPPSKAAGPGLSTGNSVSASSRSFVKVSGRKLSLSEGPSVTSSSPVKQVLSPLECVFPLLLRSTSASALEQSFPATGAVNIPSFARPTKTSASRTQHAPAISKTPPRPGMRPLASSPPRYGSTARQASSPKAPVTSPKHKDSPRALANANANAAPLTPTATTTVAAAPAKVQGSSNFLTAPKWQYGSSIPLPAVAENVETRRITKARRYADERAVQPGRLDSAMKTVGSRPSQVFTSMLEGLQEDEEESEDTDEPVPLIKETIQPALKQEAPFVHDEDEDEDLEVLRRIRDKFSKVIADDMDQDIQSLSSMVFRRIKSPDLNPEAYPAVYGELMRSLGGLASLVEEVNGAFGLDDDKHKTSAATVGPLVTGCVDTVVDSGNIDGGVISVVPLANDEVLRRVDAVHVLAPTSMFPSPSYESAHCIVKQACFPTEALDMNPTAIEDEDDGLKRIRHVYRFAVLFRMFADFPLENDFNYDFDDDDQDEYSSSKTSSICFSECSSTSEEDYSRLFESGGPLTACIMEAQGLEGEKRFSALATDEGLHQGVVKQADAARIFTKGHVRPSTLDIHPGSTAEYGCIGDVTEQDISESAFQSERAFTSVETDKHISITGEQRVTASKDKAALTPRLVEGIISLPSEDIATAKCFLPRALSSYYG*
>EED79110
MRSKRRVKISRAPLCSSGSSFCSCKPNSTRFARKPRRHNMSRRPLLPRPLP*
>EED79111
MQRPVVQIPTGPRPDWELEESDEESGPLKSVADSSLRKLAETRSQMSYLRLILFKPMAVHYLPEASNPAPPYSQAPPPQAYGPYTTPYQSSTNYGSYSQTSSTHLAVSALTGQPHALQNTQLSPAGNVMVTPEMATQVHMASESNPALKELLHVAATGRATPEQMKSLGFLIQSLGSSQQPAGISDASAPHIPAASQPPQHRVRDFDVVIEFQEKPHDRWIVPRGPVVCERADAGDNIVRTPHIRLSMPVPFPSTASSIASRETSEPLEEPSPPQVATFRISRVSHALWTVLVAWAGGEQHMAESRAALKEIISKAPERTYLQYRLPEGPLLEQVQSAVAPPYGTKSIVPGTDGARTKRKASTRKVSIAPALTPAPSKPPVKRKQSIQLTPTTPSRIACRACGQTDVPLLMGGMVEGSHWSAQSRILSNVHPEWQSG*
>EED79112
MGGGDLNMKKSWHPLLLKNQERVWLEEKKALEEKKKLDQLRKEKEEERQLQDLQRLQEEQTGKKRMEKLEWMYATPATGSNQNANELEDYLLGKKRVDKILTADENEKIGAAHKNFIATQYANTARDTAAKIREDPLLAIKQQEQAAYQALMSNPLRLREMQERTGIKPKKEKKDKKEKKEKKQKDKHRDRSASPRDERRRSDSRDGHYSRRLPSPSRQRSRSPAPRHSRDYSRGRDRDDDYAHRRRGRSRTPDSYHRRDRSASPDRRRELRRDNNQVRTWPKSDESDDNGLERRKAREHSVDRKRRRSRSRSPRRYDSFPPKRSRLSPPPRRAPQGDRDARPSQSAEDRAARLAAMTVDASSMTVERKERLAQLLEQEKLEAEAEARVRAKSKGMGGFLSQEQKKVFGGEGGIEERIRRGRGQMRVDAD*
>EED79113
MFNQSTHDTLKQEHEALPDVENASPTGVAPQCVAQAGAVTEKPYSIFTTSEKWFIVALTALGSLFSPFTSNIYFPAIPTLAAAFHRSIEDINLTVTVYMVVQALGLHYTFSPFHWAAWLTKSSSAPMFWGTFADRWGRRPMFLACILVLSLSCVGLALTPTDAYWLLMVLRCAQAAGSASTVALGRTLHWTSPWRGSDTGSWLEVIIHEWSIFWFLVIASGVTFIVMLLFMPETFRAIVGDGSIPPLRFSRPLLPVIGRGRQQSTQRDTTTLSPPRRFTNPFGLFLSPGITLLLLFNGIVNATFYGVITSISTLFQTTYPHLNETEIGLCFMAIGGGMLIGGVITGRILDGEYRRLKQRAVAAAEKELDPEKRSREEDVATSEKFPIEHARLRLTPIYLIVLVATCVGQGWAIDKGANLAAPLILQFFFGWATIAMMNIAQTLTVDLVPGQSASVSACVGILGFKDGVTR*
>EED79114
MSDDPTLTFNLLTVEVAIHTILYVRQIYPADLFVRRKKYDTPVYQSRHPALNEYISGAVKAIEEELLLGHVDKVVVVIKNKEDIALERFIFAVSTMIEVELFNKDTRLPPFGYFVEGAMTSRSLAQYFRSFLVKLNMIEAQLGQLEMGDELSFAIVLELQDTKAPAASHDEDPPPWIPAPSQHATASVSESAELHMIRAVDTGIINLSLAVQESEEKLKTHREDDTQRKGKGLHCQNLPEVVPMTSLYYLPITSNEIRS*
>EED79115
MASVQEAGQFYPSNEPVIGATYPGTVYPQNAKLPKLFQPLTIRGTTFKNRIFVSPMCQYSSDNGHATDWHLVHIGGFATRGAGAICMEATSPVPEGRISPQDAGLWADSQIAPLQRIVKFAHAHRTLIGAGRPPRFLLGSWVAPKEDGGWPDEVYGPSDIPFAPDYPTPKAMDEAHMQYVEDAWLKAVERCKTIGFDFIEFHGAHGYLLHSFLSPLSNTRADAYGGQPLANRMRWPLRLIARARAAWDKPLFVRISATDWAEGPEQAPDGTWTQWGIEQSKVFAGELQKLGVDLIDCSTGGLWAQQQIPLGPGYQVPFAEQLKKAYPNLPIGAVGLITEPEQAESYLNEGKADVVFLARELMRDPHWPILAAQKLGVAVKPANQYERAWPTVITPNTA*
>EED79116
MDFINTMSNEESGTPRRRGEAGEYYEGRRQEYGGSEYSAEGRPPYEGGGGEYGAPPPHHHHHHAEGQEYEGRGGGAPPYPQAGGRPPYEGGRQEYDAPSYGGARPPHEGARAEYGEPPHSGGRPPYEGGRAEYDAPQYEGEGRRPPYEGGRAQYDAPQYSGEGRRPQNEGGEYGAPHRIPEGEPRYGGGDQYGAPQRRPEAVPSNTSAGDQYGAPHSRPEGAPQYGGAEQYGAPHRRPEGDSAYASGDQYGAPQRRPEGEPPYASSGDQYGAPPHRGAAGDYYAGDRPQASPPHSGGGVPHPGGGNQYGGPSQHPGGAPAYPSGGNQYSQTGGQQYNSPSHVGAGGQPQGQGHPQLNHEQVANTASQQSGGDPSLFSSALQHVQQHPSEHSQPIDEQHVQDAHKAAYQEGNASNLSASSMGGAAALQAMKMFTSGGGGGGSGSSSDLISIAMSEASKLFDSSGGAASGGKQEAVNSAAMMVMKMLVQSKFSGGTTGGSNSGGLSSLMGLASKFL*
>EED79117
MRGEELASESSGGPSYGSSKMLRKANSQSSLLKRYSNASVTSSVTSGSGCQDEPVTGKATSSPLAKIPRKQRSFHHSRLPIPPLPTLRHANSYTTATPEPTSPFPTTPTYDQPQQSRRGSITSPGGTSSARKRLFSGSSARRSTSSQAPLSPSLSGEDDVRSVYSIEDTRSTTQRIAMSFGSLGNPLSLLTSNACVAPSSYITDTKEDAGDESYINEKRVSQSEYVPQHIMPPADMLKLEQQLAQEAESGGYRGHQFRHLELEISDLGDALVGGRKNTDTRSIRSKAASRLSTMSAGTLAFGPTIGDSSDRMSLAPSTRSAARHTASPAKAKGRVIENLQTSTRSSSVLGQNSLKPPLTVRPSTAQPSLPSPSYSTFSSAHSPPQSTISLPPPPRSRASQPHTRRAHHSTDKRSSVVPLHPLSPPPRKNRSQPGGYDSTGQASHPPSAFEQKVAQRRSIMKKPSFLDIDDEADQTMESLSEAEEHVSPSSPPMESSFLDMEGKDSFDTVRSSDSLLYLGS*
>EED79118
MAALSITTVALSLVNGCPTLPFYILELIVNGAMILEVSIRFVAFGRQFWTSWFNIMDLVLTIFCVVTLLVIFFAGCGNTSKEEELLDTLLLVARNILQFGHEEIEDELGRPLIRDAVVFDASEEAAQSRTTLSDMPRAVQAVHDRDMEDVWAELG*
>EED79119
MSSTLPFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRDYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIWARHNKFIPRAIPNTYLPLPAPLPTSAFRRPPIPSPFLQAMPWSTTIPADWQPNPGWTPKGSCRRCRSSRHWVRDCPDVQCAGCGKEAPGHLEQECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPNWTPDSTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEEDDSVDWELYGDGEQICPYTTAIQTPLWTKVTVGAVSASHTTWRTNLTQNGNPWALTLMGCHEETLIHQANLARIQRTSTTHVAQRPDPPPLTPEQEPALALIDTGLRTPLRSFMMPASRDDEHYFETPSHHHAPSPSPAFDWGLSANLGTTDLPPSTTEQHLSELSSALLDCLDMLSLDSDEDLCEHSEDNESLLHDDACFDIPHGLKRACPRDPAATAQHWFPWSDHVTCTLDILIYLPHSVFFQRQLDLFLWLLKVNSVDDVPSNLVANVLVLLQEQRMHAQVASDRDLTTATPFSRWWGADTPAVVSSSSCSMPVTIDVVRETDFSSGARIGDVGGVERDSGREGGVIGPSKSDDELELEETDRMELFDDILDSEYGDRNDSVREFSAVDATPSETAKRTAGSTCAGFGILRFFLPSRYLFLCDKDIVAEKGAHTSKASEDARQAADSIGRTSAGKGARGGILVKQEAELRAHGEG*
>EED79120
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDQADRCFVTTTRRTPIYPRGGSWVHAEGSNPQIIAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQITENLQSPVNEQSFELPDVHGKAEDLRRFLQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTRADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKVHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTITDTRDYGEPMDIDAAAVAATFAPTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED79121
MLAKAAQNALAKQAKSLFRFKGCLNIKRNAPHIISRPATATAALPLPASRDDVDIVALFDQPQAAQRSASTSYTGLFGHPILTTPAAFNALADSTLRRAQLLTERVLRARESREELFRVVKNLDRLSDLLCGVIDLAELIRNAHPDNAWVQSADEVYEKLCEFMNILNTHVGLYEVLHAVLSDPEVVRSLSPEAHQTALIFWRDFEKSGIDLPPEQRNRFVSLSTEISLLGRQFLNETASARPPAVIKPAELQGLKDLGMGARLRLQAQVTNRDLLVYPGSLQAQMIMRSAPAEEPRRKVYIAANASTPEQIQTLERLLRARGELARLVGKPSFAHMTLSDKMAKSPENVQHFLDALMDHTHPYARRALRTLSMRKQGHLNTESFPTIQAWDRDYYCPPEPPAPPVSLPPLTLGTVFMGLSRLFQNLYGISLRLAGVAPGEVWHTNVRKLEVIDEQTGVLGWIYADLFARRGKPSGAAHYTVRCSRRVDDDDVEGDFRYADERDRTIVQMSQNFEDAHRRQFPGQEGTFQLPVVVLLCEFARPSISRGPTVLEWHEVMTLFHEMGHAMHSMIGRTEYQNVSGTRCATDFVELPSILMEHFLSSPAVLSLFDLDDSFSIRQAGNHHEDPCRSIDTHTQILLAALDQIYHSSAALEDDFDSTTTLAGLYEVRGLIPYVPGTSWQTQFGHLFGYGGTYYSYLFDRAIASRVWQKLFSHNPLTRTTGEKFKRDVLSHGGGKDPWMMVSKLLGAPELGSGNAEAMAEVGRWKIEDEVSVPGRH*
>EED79122
MSRYSVNGSVNTRFFISSHSWDRGPLNLLSRLLSAAHSPSSLLSPLDTFTDRHVGPDEREVSHMLSQLGYESMDAFVAATVPSKIRVASAAVSNESIPSLSESELHRRARELGKLNTPVKSYIGMGYHNAVVPPVILRNIMESPAWYTPYTPYQPEIAQGRLESLVNYQTMIMSLTAMDIANASLLDEATAAAEGMVMAFIAANQKKRTFLVDSGVSPQTLAVLRTRAKGFGIHLVIGDVFSALKDEAISSDLCGVLVQYPDVNGDIKDFGSIADTVHGAGALLVCASDLLALTMLKPPGEWGADIALGNSARFGVPAGYGGPHGAFFACTDKLKRKMPGRLIGRSKDAQGNPAYRLALQTREQHIRREKATSNICTSQALLANMAAMYAVYHGPVGLKRIAEKVSALTQILKSLVEKHGYKATNANFFDTLTFDVTGAVKDADAVHASALASGINLRKVDEKHVGVTLDESVSAEDVVSLANVFASAASGSPVSASDLALPASLALPAALQRTSGYLPHPVFNAHHSETEMLRYIYHLQGKDLGLVHAMIPLGSCTMKLNSTSSMIPLTWPEFGGVHPFAPTDQVKGYAQVIKELEEDLCKITGFHACSVQPNSGAAGEYAGLSVIRAYHESRGEGHRDICLIPVSAHGTNPASAVMAGLKVVPIKTHADGNLDLDDLKAKAEKHKDKLAAFMITYPSTFGVFEDGVADACKIIHDNGGQVYLDGANLNAQIGVTNPATCGGDVCHMNLHKTFAIPHGGGGPGVGPICVAEHLAPFLPGHPVVPTGGSQAIDAVAAAPYGSASILLISWAYIKMLGGDGLSTASKVALLNANYMAHRLSEHYTLRYKNGNGRVAHELLIDLAEFDKAAGLKVTDFAKRLQDYGFHPPTCSWPISTCMLIEPTESESLEEIDRFCDAMIQIRREAEDVITGKQPKDNNVLKNAPHPISVIVLPEDQWNRPYSRQTAAFPVPWLLERKFWPTVSRVDDDLPEPAIHL*
>EED79123
MDRLQYYWYQALRGDEQSQTQQESRLYDEYFERYPCSQFEVLRATRGAKSMLTGLQIALIPGRSTRSNDPIHSSSRLEILSVVTGIVTERTVELHQVTLVNATELLKCAIKKLQEEMAGMSLTSSYESSQLRENEARLASCCADIRVYLNEECPVNRCPLKILGSIFVLSLTSSPGRIGLEEPKSYWPPVTDKVAASIGLMQVYGTPYEIEAVDSKPSFYSVVGTCPSMSLWRDTHLVP*
>EED79124
MRRKLVVNSVVNPLTTRLNCPSEIFKHTAGTLIAERLCTEASFTFRTQWEQEGTEQFPRELEAAALLAQCKRIVRQLNGYLLRLARRYGVRAPMTATRYSDADVRYVHRRPAEQGCSPEADVVMINDFPDCLRPFSLAKRTDRRPPDPSISDARDHPMGPSIRAGSSCELHVYPRLWHSPEDA*
>EED79125
MCGILFAIQDAASSDGACNGTDSTFQELFRRLQDANTSRGPDAQETMRIAVENHTLTFFASELRLRGDAYIAQPHRDDDGNILCWNGEVASEENDGSKLFSLLRTQDTQDGIRDCLGSIEGPPQNPYLLLTSVSVGSHPGYELDELPTECVFSIDIGKLSEACRVISAPQYCSRELPAWLLAFRSARLSAVNRTMPVDPPLPKGLDDIPEHRMAAVDELITQLDRSVMLRELHLLVKPEWRCFLAEGLTLLCWLSWRTGNHADPSEPIDLLNVAFENPRKISVQVTGNIGGLPKREKKQKLREPLDYSTVDVAYDVPDRLTGLQEVEELRRLCPGRVWNFVEVNVPFEESQGARPIVEALMFPSRTVMDMIARLLLAIALYFAARGVGQVQSGPGAVPYQYTSRARVLLNGLGSDELLGGYGRFRTAFKNGGWQAIIDELQLELDRIPTRNLGRDDRVISSHGKETRHPFLSLSVVSFLAGLPVHQKLDPRLESGLGEKMLLRLAAVKLGLVEAGFRKKRAMQFGSHSARMASGDGDRKGDLLIK*
>EED79126
MSSSLLQWPLKAQFLRTVLTAVIVIVDRVWAQHSVTVHNLCEYGEGEDIEDASALVHFLPLSRPVCDILSEYRIDAAFWTRSHRVMGFHSLHKFNIPALTYVPSLLCLRVWTDNLKAGLLITWCPNGVDTSPIESLVPSSQTPTPTPVVSTSKLVVGPIVGGVVGSVVGIAIIILIGMIWWRLKLRSKKTTKSTELPGKKNDETANILSVPPAPPVYTPSVGLLYAHTSTPVEGVPKTDSSKNSYKTAPESPPKFVPGAPHSTGRGVTFVSSVPPSPRGMRAVSRRDAIDQTNDIVTRDHSRHRIVHARTHNPRDTHALPTGPRDPPLPLDNPRPEPVAAESHATPELGFPLAFSTPPFDGRDRLPMQCGPADIPTSAPFPPSAMPMPPLASHNGGRIPVFPLTHFPMPLHTDHQGAQVGLGVGQHPLASNGGTARRGRVLQRRNGRSPPGNRTFSPKTNHYAPTSVEGTHAHGCLKRGITLRVVDDAHDAFCTNDSRVDCSHELLTPYFHIGDITDIDDFELYSAIRRVSYWPLSWWFKMTRLSEQWLLPVIMRSPVIAFSILAAAAVSASTTAPSSSPDLSARSLDPSQIIDKAVKSVNSAGSKRRGVLAGLGFVDAGERDARNNAPHVRRATDAHRHKGRSSNAYDSHALHRGAERTSPPPRPDHRTEGADGCDGDNYSEGRISSTDGGVSCSSGAQGAAANQVGQHVGGESVASPDAGAASGTDITGNDLDDLMGIDGTSGGTGASPDAVGADGDDETIAPSNDYGNAYPAYPGTHTHRPLSRAFPSTSPEDARKELYEVVNNTMVGGNNPSLVRRAATPVNIPALEEDRSPNGVINPGSDGAPGESIQGASIPGLGTVNNPGGSAQPGAVGSSAGGRVIENGHTKDSKAHVPGVGDLSRSGTAMGGQGFRP*
>EED79127
MSATTLKLKSLLESHISPLSFQHSAYDPLLKAIGNAQVVLIGDGSHGTYEFYAHRANLTKRLIEEQGFTAVAVEADWPDALRINRYIHGGAIEGGSIKSARDALRDFERFPKWMWKNEVMPPFIQFLREHNNRVMKTTGDAYNKVSLYGMDLYSLHRSAQAVIQYLERIDPEGAKKARKKYNCFERFGEDTTRYALETQFGLAKDCHAEVISNLRTLLLNHRKYIEEQSDGHYGHPAEEQFFAEMNALVVKDAEEYYRTMMLEDTRSWNLSVVRSRDDHFARTLVNIANYLGSTDVDGSSTPAKIIVWAHNSHNGDARATDMGRRRGEINVGQRCREIFGDDNVFNVGFLTNRGTVTAAYGWDEPSAIHRMNPPHNTSIEHIFDEWAKGDSIIITHKIENTPEGKAKKREVPRELTEFLNEPRYQRFIGVIYRRDTELPSHYSKCSVADQYDAVVHIKESQGIQPLEPEDTWKGFEGGEADLTFPFGQ*
>EED79128
MSLRVQLARRHFQSAQRVNSLRFFSTTRCTMSQNEKFRVERDTFGELQVPASRYWGAQTQRSLQNFDIGGPTERQPPPLIKAFGVLKKASAVVNETYGLDPKVGEAIQNAADEVISGKLIDEFPLVVFQTGSGTQTNMNVNEVIANRAIEMLGGELGSKKPVHPNDHVNMSQSSNDTFPTAMHIAAVTEIHHSLIPALTELRDALDAKARAFADIIKIGRTHLQDATPLTLGQEFSGYVQQISNGIDRVQAVLPRLSLLAQGGTAVGTGLNTKKGFDVKVAAEISRITGLEFKTAPNKFEALATHDALVEAHGALNVVACSLMKIANDVRFLGSGPRCGFGELSLPENEPGSSIMPGKVNPTQCEALTMVAAQVIGNQTTVSIAGASGQFELNVFKPVIIKNVLQSIRLLADGSRSFTKNCVVGIQANEKRINQLLNESLMLATILNSHLGYDNVAKCAKKAHKEGTTLKEATVSLGFLTPEEFDEKVRPELMLGPDEP*
>EED79129
MSRKNPKPLPKFEVGEHYVILHGLGEGAYGTVAAALHKPSGREVAIKKVLPFEHTLFCLRTLRELKLLKFFSESCVNENIISILDIIKPPSIEEFKEIYFIQELMQTDLHRVIRTQQLTDDHCQYFVYQTLRALKTMHSADIVHRDLKPANLLLNANCDLKVCDFGLARSTRSTNPGGKEVGLMTEYVATRWYRAPEIMLSFKMYTKAIDIWAVGCILAELLNGRPLFPGRDYGHQLDLILDVIGTPTLEEFYGITSRRSRDYIRALPIKKRRSFTALFPKASPEALDFLAKTLTFDPKKRLTVDQALEHPYLSAYHDPEDEPAVSSLDSEYFEFDYLDLNKDELKELLYAEVKSFVPCI*
>EED79130
MSAAQLAEYKELMHTFHVLQSSDISTAAAMSWVAYDIVLTFGEEIEFIWKAKWSLPKCLYIAARYYGLITLICATSSQPIALECILQMWSMAMVHWTSSYHYLFCTLLATSVGIVVIKQTVQFSKPAYIPITGCYNKPPAHVVLYAWIPSLVIAWIFYGLTVYRLFKELKIQSWFTLTAASGGDHAASMIHIFFRDGSIWFGFTHYDVAVVLITVVFQAVGVSNYYTGFKCFNFSHRDPDSSSIFGQHPNRMQIWIPQVFLMPHSKQETISKWQGSQGMTPLKIWISQDMMIF*
>EED79131
MSTFPDYYKLLDIPHSATADQIRQAYRMQSLKTHPDRLTKATVEEKKAATERFQAVADAYYVLSDATRRKEYDALYASRGPQEKTNEPNASANFFSAFASMFGGGTPSAGNAGSSTGAAGERPDADHVFADVFEELLTPEVQRHAPWWAWFGAVSGAGLGFIVANLPGAMVGAYAGNRLGAIRDAKGKSVAAVFSQLGGSQKAEILRALAAKVLGAA
>EED79132
MAPAPQPRLRIKLKLPAQGSSGGPQTAASTPAEEEEEEDEDEDESEEPRRRRIVPRDDDVESEDSDDADDGAQSTRSTSVATTGTGARALTARQAVLANVVDSSHVSLDELPNPRKKKPLTEIEMALKREETARKRRNLTEKKLEDEKTETINRLLKKQSRAKGRRNALSTAEDRPTQQANADDMDDGADAEAPEPVAPTMFRWVSSSRVGTGQGDGKDDRAMALSFSVPVSVLCRIAEKGAIVTALRRARLHVDEEVQVVVNSMVVPGAVVVLTMVSSDVFTTVTDPSAVVVAVTVTTEPDALTNPVVVYREYDDAESVNEEDTEDTLLKGKVDAGYVEEYVSEYVSEYVSEPMSGVWSHARPTRDKSVPAVFMKRLRPICVNELRKGYQAKNDDIDERVAGSYGKRIKRKLPQAATTAEYRHTSQYLREISTGNMAADDATLSNAGASSSKTSLYEGSTQYRHWRFSPEQLAQTRGSLNAASPGSASSVSFLNAEEENLLAKLYIGKISQLCGHFRFPEEVEATAMTYLKRFYLKNTVMDWHPKNVILAATWLRSKYPDTPEPPALTVVETISTLIVANGSPPDVEAVREVDRRLKLCKNPEKVVGSKAYAKKQDEAERKAEEKRRRKAAETRKAMEDGDPFGSESLHVLKGTVIVAGLSSDSIDLSLTASTHPPSLPFDQWSDAHGTMETDDERDEQDIQEASHPEDMPLGADKVATGNKTIEVGTPSSTTGGPQMNGHTSDGDAASVHSMPVVQVSSFPQHLPSTFTKYAAWTQPESYSIGVRIGRAERRAARRRARETRPEQSGDADGEGAVADDDIPVNVTVLTSSSPPPVGEDGPSNSSASSSLAPGQSVDATQPQGDASSLTGNSTEELLAVTAAQSSPYTTFQQLSFAPNFPLAAIADEYIIPPTYASFLEYRSSYEPEVNGDTNVDLSQVQFSPPGLPVPVPAPPSMWYYRDPKGNVHGPWKAAIMQAWYRDSLLPPDLPVKREEDSEYMVLKDLRAQCVDPTHPFRSAPPLPTPTPPIPAPDDYKPLLSPISLLSQPRHFGPPALFYSSRGGHSTTIVDARGRSVLKGRITWSADNEDSLLTTGRLGDVKRLEAFDVDDRAVLVAMRQGGLEVVDFGDALLRPADHSRTVYPHFQPPYSSVSRRGAFVWKIGTPLTSHSSMSSLHSVVDIPTSKSVSHRKKLSTGPAKSPRSDFTLSSDGDSDRMQDEVLFLGRKDNEIYICERRVGSFRILKLSPRDTST*
>EED79133
MAHANPAVMQQQLLLQQQQQHQKRRQFLHGLASVHMRSNPLPPELVGTPWPAGYDPAQSPWKGLDISRTELGHIRIAGKDVDLYRFWALVSQVGGIAKMDQQWPSLLRHFDLPDQHPDPQPSGQQSVAVTLNRLFLHILAPFDEAYKRNVREQQARAGMRMQPGVQQGMQGGAQGAGGVPDVMSGPSQLNQAQSIAGDTLNMNMPGMHQSMPNNPSQAFDSAAAGLGGQTSQPHTPQMPQHSPTNSIGGALGMAASSLLSQQMHGTPSAGSTSAQEPNGADADAEGRKRKMRQSEEFDPKRVRQRTGGSDSSDMRASVPPSGMNGQSAPAAAPRTIRQPSRRKIEYIPFSREVDTDGGRDLAALQKEYARAAHRPMKDMNEWGQVDVDAVTMSLRSRISTELSYGLTTTLRGSRGFPIAQAPDLLEEVLDILEDVGLEGDEDDTVLGAPVTPVKTYRELVNAVLEDGSRPFAGLERKHGLKDYNIGPRPRHGETILAVTNIIRNLSNFADNHEYLAKHDRVLTIMLRLCMLSASAADDLPSPASPALSLQDLLILRKDTLYLLVNIAGAVDLSVSGSTSKTPLLNARRAFELLSSFLSDPVESVSPLGCLLLSGVPATINPQSTPSPPSIADTALEVYTRLFQPDDNRHALSKAAPQEWLWTFLESLVHRLPVSNTDFQVVMRDVWLGYVEKILMAIFTIAFLAPPQLKKRIKMDRGLAFPKVLLRLVKRFTVLTPQEARVYFTICVRRAVEALKLIDDAEDSFDTSQSSSPTLMFGMGYGEHGESRIETGTGLLSGYQDEITWNLMLQREIFTDPIVFAELESLVRVDRLASVP*
>EED79134
MRSPVIAFSILAAAVSPTLVSAGFNGNAVTHPRVTEVETKGSSNPFQVLKRQLSAGKLNELQRGPNSFDDHGNPVGILFSSLNKNLPGTPVGDTNQKNADASSDDATTKIADDPLQDSDMSTSKLSKDVGNTAATTGGGAPAEPVAPATPYADGRTTQNDAERSADGEGLQHHSYSKADLTKGV*
>EED79135
MGAPSTIDETFASSYSLQGPELEFFKAQTGIQDEEELKKHVVHVQQEAWKAIIPCRMFLSFEAHLDACMAYMSESRLDADLLVLSNRFKISRLPAYKQLLKLGKEREGAIFLDVGCCFGNEIRKAVSDGFPGENTIGTDLHADFFELGNKLFKSDFPGHFIPGDALDSNFLKTVPPFYSAPETPRPDLRSLKTLTPLLGHVSAINASSFFHLFDEPQQLEVGHALAGLLSPEPGSLIFGEHAGRPVKGYRTDGLPRDDGSYEFCHSPETWKEMWDGGVFEKGTVKADALLVEVKRPDRVTDDNRDAARFWVMAWSVVRL*
>EED79136
MPNTARSFAVKHSDGEPLTRADLQYDLLHHIFSNTQAVFSDPYRTLNGAPAGTKVTFRELYINSLLHSPRCSKASRDKIIESPAFGIEFSKMSLLSNVGRINTTMAFFPEMRTALRTYHPVPSLQKTNGNLQDAPRIKNILKSCYLDNEINGIILSPADILSRARAGQVPPTTIVNILFTFSTHAPYSSETRAHAFLWLCYHYHEAPSFNPFSDDHANAHPGHIPALRELLPEEAALENVDPPDERDWGERMTQQRRDFMESKSREEELDPSHEERPKPRGNAARNRARTRATMREMASVAGPEPAAGVAELDREASPAETQDVVSPLQDVHREAPEARATRVGVFKTEESGQPPVWSLPPPSPVTPWDIPSPPTPPARWGHHRLAPHAARHYASPPRRPPPSPPPRLPSIPDLLSSPRECAEPYPRRRHARPSAEYSSTHGRPTRTRDIPVPTPYLGSPYQYPPYIHPSRLHPAFIPAPPPPPPPPPIPRRSMLEREW*
>EED79137
MLVGVLVGLFQGVGRAGDVPGVYARINQLAEARARRMGNNSDVLACCALGTDLGRGTGGAVRRLVIGVRVHGIVVRQRGPPTAAGGRARGAVRPGHSCESAVSLRRPRRRAAARLEGKRRLTRDEGRRLDDERRRGERLRLALLLLHLLDELAEELAAVRAHAAAPLDLVRGVHVLLLHLVAGEVAHARDLARVRARVVVVERVRLVGALEVVEHDPEERARARLRGEVDGEEEVEELERRVKVAGRELLWAGHALGGGEVIMRARGIDSGGAAMRGDRQTRTRHGGVDSADVGEERNFRKLDCEGGGLDHLIVNECHGAIGEDVIEKIILEVFPSERLCVAALDGEGLAAEVLGAGGGKGQRVSAGRGGEGETTDGVVTCLGSQGGTEGGAGPAERWSVGLDDAMKRRKGGEHEASTDPLGTRRREIRGFECGGKSHVWSGGSMPSPIHISFNSIDGSGSEGEKNAADRGGRGKADRTGRRYLDEDPGRAGACRESASALIVTVPMDPPRAHLSLAVVADPSSQTPTDL*
>EED79138
MSRKAAYVTLLTKASYLAGALVMHHCLVAVGSKYPLVIMATPGLPQAVRDVLDRRGIQIYDVPSLQPKEGAHALAAHDERFGDTWTKLRVFEMHEYERIVLLDSDMIVMRNMDELMDLELPHDWIAAAHACACNPRKLPHYPRDWIPENCAHTLMVHPTALTSPPVITESSPRPYTLLNSGTVVLNPSRKLFDAITDFLYTSPLVPTFSFPDQDLLSAFFRGKWKPLPWCYNALKTLRVIHKPMWRDEEIRCLHYILSDKPWLIPPGAGGDYEVVNQWWWDRFEKLREGMEPTDSEGWKLVTSNVVQL*
>EED79139
MALHLDRKTLENMKRVDLQKLCKDHGIKANLKSEALVDLLLDTMQPRTRPVPDTQARRSASIRVVSRSTIDSRPRGMSGSSVIIHDTDDEEETAAEGVGRPTLAGGSGARAITRSLSVPKTPRGKVSRSIKPSEAPIQEEEEPTEAEGLYFDLDTASRVLILDPHKAAVAGPSGTQHDLGPPQGSLFGGEGASSSRIPEESNHYTDAIMQPLKQQMQSLQAELQQLTLQVADIQTLKTQLSGLTSEVERLRSETSRIAQLEAEIETLKGAAFAGAISSSPRQSAKSAGKARAIDQHSSPPTIISPMEVDDQPSEPTVQGGKTANPSTAGLSQSLLGKRLRDSDDSPGTGAADAGKTGEKELEQGVIRPTKKRAKLSPKVPGGLRSSSHESPPLEDTAPAPAPSRPAFTVFSGPEEQELLETYSDPPPNLSDYLDLPPAGFAPPVGNGNGHGPVTATANANENVQQATTTPFTFTFSHPMFPPVTSTPVGPYGETMPSFIYPEPPISPTPGATTSPIGGYIDRGGRRERNDLFHPLGPPRRPRSAATQASRPQSSESPGAEGTVNPAALMRTPPLATVPELGAEPTPISSTEVGHGLGLTALPLPPDTPAAPMKRTMYGTELEADTRFGDFGVEGVATGFWTGRAPRF*
>EED79140
MINEEPGYGKANHEPSTQRRIVVLISGSGTNLQALIDAQNTPALPDTRISLVLSNRKAAYGLTRASQADPPIPTAYLALQPFLKANPGKTRDDYDVEVARIIIREKPDLVVLAGWMHIMGDGFLDVVNGDRVLEGEEKVEKPIPVINLHPALPGAFDGANAIERAYEAFQKGEISHSGVMVHRVVKEVDRGEPLLVREIEIKKDDSVESFADRLHKTEWEIIVQGAAKVLDEVAPFPLS*
>EED79141
MRPPETLSSGLHIVTPDSTIDSAQAIFLRWGPTITWCWTTWHDPRIMDAEVIDYVVSKCLRLIPGDFLTLRCAKTASWLHQIPDDRNADEFVIEAADPRRWDETLIFTIARIPLAAAIAITRLIHHIMSALTAATSATPCVGLQDITLKACWAATQLLHAFENNSEEHDVVTSLSDSLCRLFIIMRQDEVDFIVRDLVIEGISFIRADILRQVMKDVLSDTRLKFAEIWEESKGSGGLTYTLLLTICGRSVGYQSTVVDWLNHEASDSLGSRTLGDSLLVTLATYEISDLSSDDTVWDDSAIWQIATARSSMNLATAAAFATYLSVSIRRQTCDDLMHAEAYNYLRDVLLLVLKHQFLAAEESIAVLKITRGLCSELQEDDLALEENISYQIIYDGLAFWPRLVLTAGPRFLTWDSVGGVVTSLLGLAVSAEAQQLLSGTRERSNPKTDGLGQPSTSANAAAGILPNPNGSELAKVYGSILQPKETLSSYHCALCSTSFPPDATIYPDPANSSSEGGANPRFLCRSCFTENGGSKGPCASCGRPVLILKTEGGDIGDKPMVDLLGRPSCAECFETCLTRSTKESPHRPGDLERSNLGGTRRTDREREGSPALEELEQRLGIVRSREGTPAKDDERTGRSNIGTKHSNLTPTTNRSPATRYTPRAADVSPIAERLAARARTDSFTPLTISPITHVTGDGTSTIRPLRRFQSPESDSTDDDADSLLASRHNLRYRSPEPATSVSDGSPVPRRTYNRFKSPEPDLHGTSPASRIGGSPRYGSPVGSKQPTEEAIEEMKQRFLRQASPAPASSSRKLILLVQRFEQPDYTLRRDRTGDAEVESLLGGQAPMPLEDLIDLSTDGLDNGELPRSESTGPMTEIPLQSHSLSTRSGREGLNIRTSISASSFNANFERSVPSTPDLAGDLSDTTSNSQSSAPSTPPSISPPSRRTSNDIFHTGGILSHTTGGSEGVRRKMELPSVTPTPKSKTHTRTLGMSSPTPLSPNARCTKCKLPLFTTKHGGKFVTVPEEPSSSGVPPKTYHTSCFRCKVCDGLFEEREGGHAVFARGQEGACHVECAPPEKVTVYTKPPVASKLPVSSTKPAMAPRASNNTPYATTPSSSRYERPPPTAPPTSAGFTFPRFGGSTSCPGCNKAVAVMERGVVQGPQGTKWHGTCLLCGGKDAKGRRKEAGKPGCGKKLDSAAKTDMDGRVWCRECLVDIHSSTTYRIPHLTLNPQLLLPAEMRNSPSPVRGPLVASHTGGRGIAPQHTGTTTIARQFTGIGSGSDASLMRQLTGGGLSPTRQLSSSPTKLHDGPRPGRTYPRPKSVIGMRSTKSDGEGRDDTEDEGFDVIAARSTPRHYMRAIEKEGHDNIIWPARWVSYKTEFGLQMGKRVRGFEAVRGFDEWAAGNGEVTGRSKKRGGVERRSRRAHQKKPIMGKIPASRDGGAAGGDGGQDEIKRARPCSDYTRSPSYKHLPGADEPPEKWDVDQWRRGKRARRDTRLTHCKQARSSLEEQYANPFGATFGSLFVEERTPSLPSMPALPSTSAAFDLFPERTRRKPRRTSHASRLNAPFSHDDDLMTAGELEQMRSHAFGELQRSVAENGEGLVDRMREWENSRHRNDREMRRRQRRRSVQYGSLAAGRATRASEAAAAAAENHDDDDVEIVSGDTGSAPFHIRPLSHKKRALSLDVDMPAIHPALTSDRSSSPLSASAAPSTCASDDEGHTATLFTPALTHAYSNSTNSSLISLPLPIHLQPHDMSPSSSPPFVFPNGAQLVSTAAHVPSPASRSEKAVAALTLAMANGACGLNDYSALHFAEGATTTTVTSPPIDECQVGELWH*
>EED79142
MRQVLYQAITTS*
>EED79143
MPFEPPPVYSPEPPDDESMSEGGGGPVEPQILILPTGNDVSFQKGYLGADGERAAIEGELQLKCADNFNWEKVTMSLRTVETASGSEIELAASDVVLYSSSSEVRSLRSSFPFAIPLPPDTPQCIHTARSSLTHTLTANVYASGNATPVLSKSVTAHTRRYTTHSFGSPISPESRSLDDPTRVEVQIPRTTFRLGDHLPIYVTVPAPRRELVVEQGLRLRNIRAELVRTVRIKGKDEDDSTFGSRLDDDLLDDGDVGRQKADALPSSSSQAASSAGLSGEHKVISLSGASCRLHPTRPIRMRLVLHPPVESPPALPASDLPAGDFYAADTVECATITQTTLLHSVSFKLRVKVAFMHMSTRTERISTVSIPIVILPPPAPLPEIEESMNTAYHKKHDRPPSRTHRADDADVPHYDEAGPSFLSGAPPPFEEREAPPPFFSTVPEASSSTRLPTFLESEREIYVASNDDGLDSHTLPGQSLVIEGEGTLFGFAATEQFDGHADMDRSFTPPPTVEMATRDTDVTGLANLSPNVAIQALGVALGLDDPEDVEHEPPPPPPPMDDPSDPPPSIDSDFRGPQGTHETPSPRPPHPPGLHIDRHPT*
>EED79144
MATSEIDDIFASKGKAATKPVASSSSLPPADKVKKKKKKGTKRDSNTTLGAEDNAHRVQTVKRPVPETVLDPSARLPTAAPKKSKTTKSADAVSGSTKKRKADEDEEWFRDSRGTGPRRKTEEGWAVYKEDELGITDQGGGV*
>EED79145
MLTDLREIDHAPGRNSNRPLDHYNSGGALKVSMKGASVKLKKEECTPCPPTCNEEGYVVGSPTAALWVQCSSSQKRHYWRWQAGTGNNHRSLQCSRTHTGFRNVYLYADAMSAPTKSRLRVAPVSNQEISGLLWGRDASSSMLFASTMTRYEQDFLGYHRAYDATTRQIVCEYKIDEAGEQLAVEESGRWYMSTLAAQTALMFLLWSRYKTHHLDKAVQTRTQPMVVRCAYSRHAAHC*
>EED79146
MLNVEMKRNMRDTSDRCPLLKACREVRYLIGKDRLRRRCPEIYTRGIGTTIPSFEQVLRTVKDVEERQRASKAKLKCWVTESKCRAGCNCQKEVYRGPEGLGAYQSLSARRTGQNPRTRAPRLLLHLHPPQAQALAQGLEGDLLILDLDQRQFGEGGSWGRQGENAARWIEMRGCFEDQADVALVGSLCSWGNLVRPIRVSGWGTAAARKCR*
>EED79147
MSLQIWPRR*
>EED79148
MSSAWSTFAKTSYTSRRAPVLGTFGVDKLEQIAREKLKESPDAFLYVFGSAGTGSTKEENRKEFERWKIVPRVLRNVAGRNSETTLFGVKYPSPLLFAPVGAQGIVHADAEEASAAAAGKLGVPFIMSSASTRSIEAVAKANGSGPRWFQLYWCVDPHALPIRAC*
>EED79149
MSSRSATPASMPSLVNHRLASLLMVLEAPPTTNAALDVVEEWAQDLSPLMLAYRKALGAIRDEETELRVAAAARGDWPELVTAIDAEVERRAEEQKRLAKEEARHVKEAVKHEEDRRRQAAEDERRAQEATDEELAKIAAAEGLLDKGKGRARVDEEVAELSDDPSIKTPRTVELRDAYSKLGTVVPMPLPLGRTQVVSAAIVKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRLTSPGPSVADSSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDNLDALDLDDESQGIIRVIREECAFITCHRALLHDMDLNL*
>EED79150
MPKAKPFIVTAKHEPTGLLEHIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARWLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYKV*
>EED79151
MRSELCNHPAFATRAETLSYEERVRLVYQRLDALLEIYNETEYALDDVETLSPKFWEPYKDPALVIDVGCANVLACHLNLFLGTILPMKSERPDLLPIMQQILAGEVIGNFLLTELGHGLDITRLETTATKVTDGYILHTPHGGAAKFMPPTTPHPTRAKISVVFARLLVDGEDRGVHPFLVPTSDEHGMCLGISATILPPRSGTSPLDYSLTSFDHVRLPPAAFLGSALDAPADPHALLLRYIWRTTVGQASLSLIAITGTKIAACLGVEYSLRRMVQGKQHVPTPVMGFRTQQLPMVYCTAVAHVLDAWAPRVIEQFVRTDIDVEVRGGLAAVLKTTVCRFATHYYREVGERLGVQGTFGHNIVSQIEMDMRGFIIAEGDIRVLSIRLFSQMLQGRVALPMPSHGDSVLAQHSAGLLVRCQRLLAGLELGHRDPRFNDLILPQCEPGILALGCAYAYGAAVDAGVPQPLLDLFELAAMKWDPSWYSENTSLSDEVRLLREDLAVLAALPHIRAYVDALGVRDSISAPILSDEAWQNWTRQLRSYVGGATRDKISLTGIPTDDSKARL*
>EED79152
MSASIDEEKHSSQDLMVAADSGSAGEPIEALKSGPPDNSDAQQQVMTIPDGGLQACLVVVGGACVQFCTFGYSSSFGVYEDYYVLHGGLTSSNASWIGSLQFFLLFSLGLPTGKLFDLGYFRHMQIAGILLYVFSLFMLSLADVTQYYQLILSQGIGMGLGGGLLLVPTISLQAHYWKKHRALVMGIILAASSCGGIVYPIMLNQLIHSSVGFPWAVRATAFLTLGMLCIAACLTKPRLPSAKHRPPTPMPGIKGIFQDPAYLMTLFGIFMVFWGLMFPYFYLQLWANLHGISSAFSFYTIAILNAGSIFGRLLPNRLADSFGQFNLICTVSVVSSALLFALFGVKSEAAVIVFAILYGFFSGAGYFVASFALLTGSPIDGALLGPEHHWPKAIVFSGVVMFVGSAAFIAARHLFARTRKLDLLGKL*
>EED79153
MHSTPSPTIPGNFDRDEEDKIDQELQDNFDEELIPSTAEERTSSPELLGLTTSDYATSTPDLFDQSGSSPEPEDPFPSTSNLPPIASFSQLSVIPTSDLAPPPPLAPSNAASNSNPAPPAPTIPVTTTASSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVHSLRCYFQDLKALFTRCQITNEAAKKQWAVWYPSIDIADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERACIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSCAFLRGFQPALLTWLETRLHLKHPDHYADNPYTMAEIHAAATFILHGTSSTPTTAANQAIALTSNTSTTVPPGMIKTEDILMIIESLSRTIATLIQPTTHATHNHTPAPRQQAAVHVHKNSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKQNTEGKIVLPNGSFCPHTIPGLTIRDQIYEWHRRNPAAPAALTMLFEIDDCLTLRKRREVFDGVEILQRKKPMTPAVPKSVEASGSGTSKGVAAPPSTSTSTAPPPTIPAASPSPPTQSTSQPIATSAPPAPPVHPFTNARDATYAPPNVRNFATLPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDRVLTEPIASAHIVEIGADEVTAVSQLSCSCATLEPGATIVPDPYETYLKHIPHGEHPAEFTIARDSNAIHLIIALVDNKEQIECIVDPGLQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIQNVPFCIGEIILYLQAHVIRNTAYDILLSRPFDMLTQSVVKNFADENQTITILCPNTGETVTIPTYA*
>EED79154
MHSTPSPTIPGNFDRDEEDKIDQELQDNFDEELIPSTAEERTSSPELLGLTTSDYATSTPDLFDQSGSSPEPEDPFPSTSNLPPIASFSQLSVIPTSDLAPPPPLAPSNAASNSNPAPPAPTIPVTTTASSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVHSLRCYFQDLKALFTRCQITNEAAKKQWAVWYPSIDIADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERACIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDNKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGLDNGGAVPMDIDSAEKSKSKVGQKCGQAAEDPRGWR*
>EED79155
MIPTRRVISPPTPVLEQNRKAAVPGRSLAELNTDVLFAIVSTLPQKDALALSYTARGLYHIAMRRVLASVTLESPRDVLRACPYMLADVPNRLGCVRTLEIKKGTIGKHIFGRYGRPKGRSYEVSRVLTLVTNILQGARTTLRTLKLEALSDYIELPGCVAIAAMDGLHTLEFQDFQYMGWSRLGFFRGSKMAGRLRTLVLHNIDWCQVEDVLEDIVAMDNLQTLEMKGVLDRFSEPWPEKSSCTQSLPSHPSLKTLRLSNCKGAHVSTLVRVFPNLREFTTSICSFVPDATDCWPALDHLCALHLSELADWPNNCQVHSLTVRETVSQKSSYKYLELLRRTSPVRLSMYMDTNKDCLSLGELERCVPRLRVLELSVIAEPHDLKVWLFDRLVPMLSLIGAPYLEIRLDIESDTELSQRTAEEVECLRELPLQIARNIPSNWFFLISVFISGGRNLGRASVGLLKPLASRSCHVALHAVTTSLAREPICTYRESDIDTISAETLFRAAPYQILQADVHSRLHHVQKLEIEEEVFVLNSSRRSNATSSCDIHCVCALLADVLEGCRNLRSLYLPRAEILLHFRPDVAESFASLRLDILSIHLTASNDRALAVFQNSTSKSKRLYLSSSIEMAEPEAFLSGISGNENLQALTLVQLTCSRTRLALPQTQTLTSLETVHELHFIRCSELHIPTLVHIFPNVRKLVVTGNSQYQLQSDLRTCWTALNHLEGNLQALTAWPIAGHRVSPVRLSFTIGTRVGLSFWTNVVDSVPRLRILEVDLLETFYTPDLGVMHLEPWMGRFPLLLRTTYHLLEFSPSVFAIEQSLHKHKRTTSIPAAAIGGASRRAEDSERLFQSPLMPGSISAYASALLPMIGPYHLMSSVPRYPAGNQ*
>EED79156
MYTSMPVEFHIVCDEAAQAYLENRVRLITRPAHDILVRFYLLSWQSMADRIDREGTIMTDHSAGVPGLMKLFIHEILPPSVPRAIFIDTDALFISDPALLWDRFAQLAPAAAIAIPTHPEMSAAEWHHANRICSCIMLLDLARLRALRLMDSRVYRAHGGVRALGPQAFRAMYGAPGPSGRFQDVKLGDQGYWYALVSHRPDLTEHLGFEWEVSSCLLDMYLTGLGDDARGVEDEQRGQVHTVSTPQEGEAVLPKMLHFNCLDGTPHYFEWEGWADPENSLTKRWWPAVQYHIGYKWIWLNAHRAKGTLRIEVERDVKFADELLEQELMEPRADEQS*
>EED79157
MYLCGDYKQSCNGIHVTWITAYIIECDVKIQGLTGGPHGVRKIGSDPAGSERETSNNGIGPNLDLGRTCRTGLGGAVRWHATYFEWEGWADPENSLTKRWWPAMQYHIGYKWIWLNAHRAKGALRIEVERDVKFADELLEQELMEPRADDQS*
>EED79158
MRKLQDVFGLSGFRTNQLEAITAALDGKDVFLLMPTGGGKSLCYQLPAVCSSGTTKGVTVVISPLRSLMTDQVESLQAKNVDVVYFSSDQSRDESDEVQHRLRSNGQKPSLLYLTPEKIKHSDALKRDLTDLYESKMLARFVVDEAHCISSWGRQFRDSYGALAYLRKTFPDVPIMALTATATGEAKNDIIAHLGIRGCTELTQSFNRPNLNYEVRLKKKKVTDEIVNFIVTKHKNESGVIYCSSKVKCEEVAKNLRDKYGLKARHYHAGLDDRDRTVTMQEWKRGDFKIIVATIALGMGIDKGNVRFVIHYAMPSSLEGYYQETGRAGRDGKPADCILYYSGSDAHPVWRRINEESIPETEKEKQRDTFRRVIQFCVNNVDCRRRQVLGFFGEVFDSASCRKGCDNCRDTTDIEKKDMRKAAVDIINLAKSMILKHKERITRIQFLDVIRGAKS
>EED79159
MAPQSTSHAGVFLESEWIGSGKKFTKDLPAYVKTALEAELSLPPAILSLVLPGSIKGFEPEERDDDETLEWLDEPATVIRGESGFVAANEVNLNDPDLLEMLATSPPSSRAGNSQRLCTDVQEDLELVNVNEPAEDVRVALNLCTCPPQTLTYRKKHRPSGVGLTVAAFSLEEAGLLGSGQIQGPCSISLTLGIHISPFECPPWYFRRRDAGFQAFDRRTLLGVKLPYKAKQLRPYSPG*
>EED79160
MYAGGADTTVSSLETFYLIMSLYPKIQKKAQAELDRVVEPGCLPDFNDRANLPYLSAVLHKRDLSVESYGTDRAVLHDPSLYPDPFRVNPERYLKHEGRWNPDPRRFAFSYGRRVCPGKVIAEDSIFIFVACALATLDISKAVGPDGLPIESDVQYTADAVRYGEGDGPQPDIDCLMQEPLREIDYYVAVAFTCPGLLSAT*
>EED79161
MGSVFWQRLCAEHGINKEGILEEWATEGGDRKDVFFYQADDEHYIPRAILVDLEPRVINGILTSPYANLYNPENIFVSKDGGGAGNNWAQGYSAGERIYEEVMEMVDREAEGSDS
>EED79162
MLRCAVSRAGDDIRAQG*
>EED79163
MSRSFLQSSIPDLLKKLTTDEKISLLGAPNWWNTNAVERLEIPAIRMSDGPNGVRGSSHFVSTPAQCLPCATSMASTFDPDLIHQVGVFLAEETKIKSSVILLAPTCNIQRNPLGGRAFESFSEDPHLSGTLAAAYVNGLQSEGVASTIKHFVANDQEHERTAADSVVSDRALREVYLYPFMLAQRDAHPWSFMTSYGRIGGVHCSENPTLIQDILRKEWGFDGIVMSDWYGTYSVDLAINAGMDLEMPGPPRWRTPLLVNHMLSSQKVLTSTLDKRVGAMLEFIQRQARRHPEIVYGDGEERTRDTPQGRQFCRRLAAEGMVVLRNEQNVLPIQAVQGKTTKVALIGPNMKERVISGGGSAALKASYVVSPHEGLLANAPAGLEFEYEVGCYAHKYTPTLERYLKTTSGEPGWTCTFYNSDSSGKALGAPVAEYRLTDTRIRLNDFLPAGLSPEWTIKLRGLLTMDKTTTYEFGLTVAGRARMFANGELVIDNWTKQRPGEFFYGQGTVEEMGTLDLVAGQSIEIMVEYTNTKAPEGPEADRSQPALMRGVRFGGREKIDPDQAIDAAVKLAASSDVVVVVAGLSPDWESEGFDRQTLDMPLRTNELIARVAKANPNAIVCVQAGSAVSMPWVKDVNGIIQAWYSGNEVGNALADVVYANINPSGRLSLTLPVREEDIPAWLGTRSEHGKIHYREDLFVGYKFYQAKGIAPLFPFGFGLSYTSFAYSDLSITGPSSHDADVSLEISVKVSNVGERVGSEVVQVYVSLPDCGITSPHFQLRGFTKARDVAPEGSQTVQVRLNKYALSFWDPRKHAWRAEAGKYGVYIGKNSMEMVLEGSFELKRSFTWQGL*
>EED79164
MWGIWDRDLGRERGCMCMLVLWRKPLSAVCSKTAEVNNLQVRRVLVLQGYPYAAVVGTIAREKDKRAEERDGRDDEGDLAKAERPAAQDFSSRAPGRGRRTGGERRGFQELSVKRHPGAQTSKMGCWRRSGSHRGGSNEQPKCAGGQEENAPPDCDVGPRLGYERRTPPSSWRPLAITEGGAAAVSRSTLFSHTPGVAYQLRSPAIILVLLHTSQLCHATRLVAVYTYCSVCDT*
>EED79165
MPGSRGHEKPAPAPSTTASSVKTGMLRLNTHKLKARCSSVCTAVRRFSAPFLAKRPLARLLSTFLCCLAIVVRPVARLGGRYAFLVLPFQALIFGVQESLAQQLELSVLNTMGALAGIGFSTLGKYVAARAPYGSARSRATCAMFLIVISFFAGVIKSRLPRLTLSMRISLFVSIWLLSINIGVRDHVLIDSGNFLYAAVTPMLLSLIALLFVMCFVRWSSSSFESEMAATFAVLQRCLTLSMDTMAHRGKLSDEDAAEYNSLRAQLFQRSIMLNETYSQAAFELRIGRLSLVWLPLLAIAVAIASTPIVLLVLSLKSIRPLIGIVEHLRRELAWGMSALQPKPQSPPPTPRMLSRASSPRASVRHPGSPHSRPAPPLSLLLPAHSRFTIAIQPPALALGHAIVAALQAVELLILVTFDQDRGQRGEARAAPRKPSAVKGALVVRTMQKLLDAMTGLRKIRENIPRRETVSSVFNERREFMSCVCITLYACQHAFRAREPLPQFLPSPRHALENLEAHVQDSIHTAREEETHAMGLSLVYAFAEQEVMKNMVDTLEELLELSGRLFGTTAWLTHEQRMSMTSLHEDTGDRGWYSTFKWEEA*
>EED79166
MSSTLPFLNQFNAPSTECGKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARHKYDEAVKAADEAIDHHKRLLRQQDDHVLTELIQLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNAYLPLPTPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGRCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEREKQTKAVPIPPLRSVNPEPPTSPIAGPSRPHPDTPVIFRKVDPDWTPDTTQWTWDSSWPRQKHLSGEEWKNLGRNARNEWFDEEEDDGVDWELYGDSEHLHNGVRAHFVLSIVPLRFFLH*
>EED79167
MGVNPVPLGLPALAGSPLLQYDRADRCFVTSTKRAPIYPRGGTWCRATGPNPQVVAHQELVSYYQRHPPAHPKDVFTILRIDVEPIQTAESAQSPTSEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQEDINQCIAVALAAYQSQQSMANQPLHLDIPAPEPFSRKAEDLRHFIQCILSYFVATNSTQLSDEAKITFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWANFVAALEEVFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYVTHFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNADAAKQGALVVTDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSTNEKRELYEELTMEDF*
>EED79168
MIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIWDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKGVVAPSSTSTSMAPPPTIPAAAPTPSSSPPTQSTSRPTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGANEVTAVNQLSCSGFHGAGSVPSNPGCERDVELLWKSFDEVGVESVVVVLVSKGERRLLVLLSFGQFHQDLVLPHQRYSEGDLCFITKSGVVGGDEVGEDALDEASEVLRLSAKRFGSGDVKAKGSGLQPGGEYVFWVSGRVSLVIADKLLMGDNLRVGSFCANPGAASRIDGSPSCGGDEATVYSVVLEKGRSCEGW*
>EED79169
MPIEHDIRWTWTCVPIELQLSATTAPFGKANSGYFFTGGQLQQKARAQIADHVATILNHQHRVFCFMIVITGVEARLLRWDRAGAVVTNAFDLTDSEQVKPMYRFLYRLSKMTAEQRGYDPTVVPASNDEIMSMNVARNALPESDYRRKRIAAAMTCGWQIQKIRMREQDVVSVEAWRRAGQDSTSAPTDSSSLSSSVQAGVAPSSLSSTSSDAHAPASSDSRNETGSADSGGRYFLVGKPEHHTDSPIGRGTRGYIAYDMKTGKFVFLRDSWRYETSKSEIKVYEQLHRNRVRHIATPICGGDVIGQDNSAQQTCAQEFDKDKKMPKYIHNRLVVEEIGISLLEFPTGRDLVVVMTHALIVTHLTSEAHEDAWKFAEVMHRDISVANILMLPDANPEAAGVSYKEELGAATQRSRSGTWQFMSALLLKGPGIKTHEVADDLESFMHVLNWLCLRFFETLHADLRSYVSSSYDLVTKVGKHRTGGHEKYQAIKDGRAMANLEEEESAPLKVLVDTLARMCQDHYQMVDSSPSSEPEDDRPRRHPIITIGGASPDIYKMSDIYYPRTRKDEPKVKKDDPLVNHRLFIAAFLVACSAPASEFPAKEKDKFAFFKDIHTEHSRPSSLYQSSAGSKRRSRELVSSSSSSSSDEEQEEPPEKRLKLRITKANRLRGLPEETLSSINGDEVTEDEYETEDPGASG*
>EED79170
MSTLTKLPENGTTDDIRPTFSLSRHRYLKGSQVSCLRASCAKLTCAQEFDKDKKMPKYIHNRLVVEEIGISLLEFPTGRDLVVVMTHALIVTHLTSEAHEDAWKFAEVMHRDISVANILMLPDANPEAAGVSYKEELGAATQRSRSGTWQFMSALLLKGPGIKTHEVADDLESFMHVLNWLCLRFFETLHADLRSYVSSSYDLVTKVGKHRTGGHEKYQAIKDGRAMANLEEEESAPLKVLVDTLARMCQDHYQMVDSSPSSEPEDDRPRRHPIITIGGASPDIYKMSDIYYPRTRKDEPKVKKDDPLVNHRLFIAAFLVACSAPASEFPAKEKDKFAFFKDIHTEHIKRGIKTAIKRTC*
>EED79171
MNPTGSTTFGQDFTDAISENWGGRPFEDMQKGWKHVLKTYPEIDPDRAVAAGASWGGYAINWIQGHPEFGFGFKALVCHDGVFSAMYSGYATDELFFEWGGRPWESKAKAVLEKYDPTNFVHKWSTPMLVIHGSKDYRLPETDGIGAFHALQERGIPSRLVIFPDENHWVMNHQNRMCDLKFKSVRCIPETRLLSPLDPTQDTFNIPTQYIFPVEPSRPGQKALILHGDHKGEIAIVREEEENGWFVSVGNMHFEVSADKLVQVMDV*
>EED79172
MSSVSHATEHNIGSEAPLYFASPISTLLESREDENIQHITLHDLAEAYSLFVNRIERIAVALSDVNCTSSALRCVKENALVIAQCLRRDIGRAFIDPFPENSQLDPMQLSQEASLKYMKLARDLTLVSQHALQVVACLFRFPALMSAFQRMLDDVLAVITTPLPTPNSSKTRAIAIWTLSVLNLPIASLHARRRQLCDTIQKTIASSDVDICVADGMQPQLSTLIVSALESNCQQTVGRVLASLVILSDRQCFTHTRTIRLIVRSLAHSKRHQQRSVRVLHAWVWRCLVWAIGRIRSTDPVPNFLKGSIADVRISACKIVQQEHRYGVGVALAAYLLSLPPGDALFGDQLLSSTVLAVAKRMVEDEDEIVHAEGKSVLLRLEDVKTCWGDICASLILADSPEILHKLATSNEAANEAELTRALVVALSEGLYAWIRDEGEILTEDEYNRVIAPLYQSTLHRLRGLSAPADVLHVLAPFLCSAFGHIPSPALGPAAFKDFWDHIRPTLRPDQSIPAEIKKILVPCYEFLEGTVPPDVLIYSESQSQSQYQTQESVVPETPPMEFGNDTTRLVEDDVVMADAHSPVSHSERPPIQQTVDNPDDVVSTIPTSEHVSNDVGQPLKEGGSPDAPLFPSPREVTQPGSQEQEPTLAEDPVDVGSSSSPAGQLNQRSVSDTFRPSASRRDWSGGRNLELHQRNRAQSDPIPESSHSGVPQSLPTMRKRALRTSIGSADHNEDAGRSDPDRPPKRARLGSSPPDSARTEPHSPTRPNSSPTASPSPQASSASSSFHPAAPAAPGDISPTFTEIMQAYEAAIPPSRTPPAHAHRTRTRTLLF*
>EED79173
MATERIQ*
>EED79174
MSSPAAAPDKETLKLLLPLRYDSKTVIKCNRFLSQLRIYWLVNMSLTTIELKVQVAMSLLDGDACAWATPYFAQLVSVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDAAAAQVELVKLCADKSVREKRTAAEFSALFKGPADHSGYGDLELRDKYLSSIPSRVYCKIELETFTTWRAAEK*
>EED79175
MATFTQADIDQRITVALAAYQSQRSTANRPLRLDIPTPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALVRKDLGKTWADAYYEKSAGGVQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYISLTTRVARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAGRKPVLLLPHQGPQRQGLPQESGRTTRGWEAEPGRIWERRLPR*
>EED79176
MTNAHHPRELLGDQKVPVPRVALRTSSANASAARVVQIRLADRTPQLRPPDKQENRPEPTEGGSWELLLRGSMLSHFTARPMQDCKFLQEGLSLLHWGLQQIALGQVSGNTKSMAESHSVHLAYETLVLVSKALSRLEVGDVAIAKFGESVDVLHGFDSGPFTDQAGMRNMSAFQFDQKATQIIISDGMCQDHEKLRTVLRKAEEERVMVVFIILDSLHARSSSDSGNANQNSILSMIQVAYKNIDGRLDLHVERYLDSFPFEYYVVLRDVEALPEVLSGTLKQFFERVTEQ*
>EED79177
MTVGNVAIHQYMSLRDAETDATHAPAGTGRQPALRWEQHRISQTSWRVGWGWTVKGRKNIRELEGERKKDEQQGTRVRSKSRAKEKRTQAGAVCAEDGWRACPSAEAVQADLGRSRVPPREKVSDRCARARNERPWRRTPVGARTSGELGRGAVQHALEGEGCYSGMFVRVASGICDTRHDDDKQLEAGMSARPLALACSFLQGTNFDLTEQEVQRMSGCGAAVSARRWERHRRASSRADCGLVNGFRRDAAWSDGYYESRPRGCNRAVDHEIREVALAREEVCGSARVKKNGEDPRAATRGVSVGEGAVLERIGRREQRRSPVIDRNQRVDGETSALSGGRLSGERGRHGVGVHSVPGVGHPERATSGIAKNLLEAVGDVVAGHSAIGASLAGLVQAVPPATGHPWWTCVLRVVPVRPNSVRRAYSAETSVVRPVQHCGLLWGLIGVTRMLLVRVPRSKGTDVIFSTGSMIYHDEKCGAGRVSARGDGERHRGASSRAGCWVGGMRPDQRRASSGAGPPGDRASRSTTGATCPSMQCISYCAARHPATKRMGRASIFSGLSSGRRRAMCRQGRQVRYGVCSWVSWQKHLRVRNRVDRTVVILPEVADLCSGVPSCSERGLWEGDADAAGSSVTAGFSRTDGGKWLTWGFFKFASGHIPTLHDNRSGVGALLPLDSESPASMIVSLAASALRPLWVNVLEPATAYTADALCALAERAVVRVLSGITAGRLTIHTPSRSYSFPSADADAPASGPCATLTVAHPLFWLRLALMGDLGFSEAYMYSEVHCDDLMLLFDLLLANRACLANLDSLLARLGRIPAQRACARLANSLLGSPRNISAHYDISNDMFEAFLSRDMTYSCAIWLDLEDRALRRTPSAVSASTSSSSSSSADSDAVKYTQTLAGAGAADAQTADEEQQEEELHEAQMRKLLRIIELADIRPGHRVLEIGSGWGSLALLVTSNVPGSTVDTLTLSAEQAVLAAARVDEAGLGRQTCAACAGAKADLKANGAGAHGARTRGGAKGSNANGDVKSSVTIGDAKSSNANGSAKGACGCREARVRVHYMDYRRMPAEWAGTFDRVVSVEMVEAVGQEWLESNAGRVLIRASGRRQTYWKQIEWALKGGSGAGVVQGITIPEAIFPGGFLPTLTLLVDALARGSCGRLVVERVENIGPHYARTLRVWRASFERAFEAEIVPALQTRYPDTMGEGVEGAEEAIEMFRRKWVYYFSYCEAGFASRSLGDHIVTFTREGNVDYQYGAYVRQLGGRYSEAEE*
>EED79178
MGVTVEVIRPGDGTNFPRKGDKVTIHYVGTLLDGRKFDSSRDRGQPFETEIGVGKVIKGWDEGVPQLSLGEKAVLTATPDFAYGARGFPPVIPPNSTLKFEVELLKIN*
>EED79179
MIAQLAKFAALCAVALSVQATPISFVPSDEGNIFWSPDITSPGLLSVWTIGANETVTWDPSKVPQSNINDTGLLLLGYQENGSENLDISTPLATGFPISAGQVSLTVPNVTERHDYIVVLFGDSGNASPIFTIKQ*
>EED79180
MPSRGALKHRSEGKNAARSDGYCKALCHTRLGMGVIWYKPDENADHGSPEKCSMHHRLECQPPKTHRAHGNNAFRSDICKEKFGEFAHDRPPVALRSIQGLVPHIRGANIGKKKAHCELACTPERRQVERRDKENRSARFSTGSRVAWAEHDQYHTIPSGPQTPPAGSSIKASANKAPPAKSILKPSPLCDLPFGHEDKKETTPEPSDPLADLHYLETPVSRIVATDASLRDLIEAYSILTARIRACVPGETDADASWPLFQPLRQHRDALVDAWVRDLGRVFVEQVRITPDTEIPQPPTPPDEERVLLPSPLKSPRKKVGMSGEQVKNARDLCIVCHAVIKLLNVVFTLPAVYQVFTAIPLANELPTPNSRKTCALAIWLLQTQRLSTEVLEPAKDRIAYALRRGIEGELGKEGKKGSVHDGLKRFRFRGSEHPVLRYPYSHLGLGRRSPHDFSRSYSHRWSL*
>EED79181
MIDVKALELGAVEHQPPPAYTAIDEAQDDVPLQQIQNVVHAPPTQAPTPQASSGPSRPPAQPASTRGASGLQQQQPQATRGMSEAELGRQYQQQLYARCARGDHEVETKHGAMGIICAVFLFPIGLLCLFMDVKRKCTRCGIELE*
>EED79182
MALMLL*
>EED79183
MPYLNYWHDFGPIGERVGPMHSWPDYRAIPDTPGVKAVWLDSTPQLVVGDVKQWAERGNVQPIRIPGYWYDKDGHDTPIGAPPEPGEKVVLYLHGGGLITESAHPSNFMSVVPLSIMRHCSAKRALSVEYRLVELSPEANPFPAALFDCLAGYHYLVDVLGFSPDSIIVAGDSAGGLLTLTLARYLIDNLSELSTRLRVPPSPPASALVLLSPWCDLGTSHETPGSSLVTFSYDFMPDQRKGLVFAARQAYLRSLPPDSGETNPYVSPASRHPECHASFKGFPRTFVTTGGAERLLDQDRTLVEYLRRDLGPDSVVYYEATDALHDYIHFPQFPQEAAETQKMLKALEAFLE*
>EED79184
MSPKVWFITGSSTGLGRSMTELVLKNGDIAVATLRKPDALSDLVAKYPAERLLVLKLDVAKPDEITAAFSDAVKQFGRIDIVFNNAAYATLGEVEGTPDDVAQHLFNVNFWGATQVSKEAIKVFREVNKPVGGRLLQVSSMVGIMAPAGLGFYSASKHALEGLSDALATEIDPEWNIKITLVEPGAFRTNGVTNMGKFPPPPAYTNPKLPTNVTRDVMQVPYGAEPDKAAEKMYRLAELPEPPLHFPLGKDAISAVRQKLAAISADFDKYESWSDDM*
>EED79185
MREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLERLTEYDDSLLIDKLREVIPRDMWLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQEGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED79186
MPPVLPPDDTLWWCDPSTEYAFVGFSYEVTACQSLETLHREFADIRYHFNSRYVRLYGACDRDGFYDDIIDAAWANTLGVHALIWFGFTGGDIWETRRDALLATLHANPRAKFVTRGVQFGSEPLYDNVLPHQVLAEQVLLAKANLSSLGIPVTVSELAYGYQERGGAQDVLDAVDFINIHMLPFFDQDASTANASWPIVVDKNLDWFIEHGDGKKMYFDENGWPSVTSPNVQPNSPYAVANIPNEHAYFELLDSKCEFLKAVEGGGVGWFAHIYSDNMEPGYGIYNTSGHLKFPFRPRTEC*
>EED79187
MSQYAEQGYTAFADHYGSSEIIFGQFRRSHQNTQRIVGATKWCVFRPTNPTRVVVEAAVQERLDRMQTGCIDLLQFHWQDYNDKGYLTALRHLQDLQREGRITTLGLCNFDAIRTDEICCQLGPGSIVSNQVQFSLIDTRPLHGMADVCQRHNVRLLTYGTLCGGFLADQWLGQPEPDAYSGRLTPSQRKYLDMIVKAWGDWTLFQSLLAVLRQIGDRHGGVSIANVATRWVLDYPCVGAVIIGARLGVSEHRGDNSRVFTFQLTHEDNAAIDAVLEQSNGRHLITAIGDCGAEYR*
>EED79188
MLPKVSQKRAHPVSTSLAGAWQASRPPRRPHPT*
>EED79189
MIEVIFKLHVYSCYLIDDDFDVFRALAYQQPRLEVSVAIGESGFEPVQRVDEPTVGNAAAKKKKRKHMSLSDSLSRSNSPTMTNGTSLRTGQGSASPRQGAMNAPDTSVTSSEPTKKKRKRSKVEAQIYGEQSSVQPAPSLEKNHLMTAGRTNLTGRAAKSTKGTQSERSNIETAISSTEADSLTLPKKAKRAKERKDKPSFAPNMNEAPVASTSRLLAPVIHTVSKTTEEAVSDTQESTKAVKRKRKHKPEGESNVIPATSTEPTAVGTEPPFVTSPAKPKRKKAKRLVDIDDVPVPLNDVSEDRLGASSSREQLVSSPQSVPNGQLQETIYAPPAKPKRKKVKVSTDAEVLRPPSNVDPNMQAPPTTDMLESSKTEKTKRKKGKQPSVDPEAAPIPVMEVDAAVALADSDAVENRKEKRKKKPVEEAVATEDASAPLALAYADGVDDSAAPAEKIDERAKKEKKRRKGAESQAEASHQDTTHNPDVQPLSEPIEELVQSGSRSNVKGKATQKKAESSSTKPIDDRQPRTSIALSDPDLWASLKAAADVIMAKRDTDAAAKRVHKSSKDSSRSRVETQATADHAEVTNSREKSKKSKRGKSGLSAVGAGDTEPPNLVEPEPSTSPSPADPGEHITILSSSSEQEQPEDESVLVKSPVIPTGSEIAEVPIEGRDEGSSNESESDDEGSEDESGDERDDEKAPPTLNLSLDVSATDIEALLRGPVTRKSLLAELPSDSSEDEDDDKVADDVPDDEEEKLDKQFRRLSQRLQRDELSSDEETGANDPADEDIVPLTIMDTDPKDAVALDVPVYTRASQASLISEVSLSTARIITCNLISVQSLDGQDLIYLPTEVSRGSKAIGDATESVSPENDEVQPASTTDNADDDVPLAASAVNREVVNTPPVRGPSPEQSQIETDKPARSGDIPQRDTAPNTSLDGTEDALGEVEQMITSPAARDATSPPAAEGANVDEMIEDFPTSDVRADGMREILAQPSEQDQPDIVMEDRLEPADDLLEGEAHNSPEDDPIEDGPTPPPSERQLSVPPSLATPRLGTARSMKDRHGQIAGSQDELPVLASVMFESVPQSTPAPHTSTQPETCPDTVARRTRNATRRSGSTTAMLPPPVPATPALAPPPAPALAPKPRGRQRLTEQEKAKRAAEKKRVMEEKKAEKAALKAAKDAEKAAAKAAKDAEKARKQGEKKKAGGPTKAEAATAAPPNEATSTSVSVAGPDVEASTPSTHGWTVLPVTATPSLMDHGSSVMVDELQPSSPPQSPLAPFSSLVDKTLVPTQDDSLSASPGPSQAGSVPHPAEGLSAAKSSASHSDEVIPDSDPPLFFPSDSQHPTPYIGTQPRRDALSQQSIDHSGSDNEADMTASMPKPHLRSRRYAAAEPYRRLSDIDHQTLFSSQSINNSMSFSLTPAPKPKPKPSGLATRADESESDSDDDEESDDSNAANRSHIPRDRMAGAGVKKKKSGLLASFTK*
>EED79190
MIEDFPTSDVRADGMREILAQPSEQDQPDIVMEDRLEPADDLLEGEAHNSPEDDPIEDGPTPPPSERQLSVPPSLATPRLGTARSMKDRHGQIAGSQDELPVLASVMFESVPQSTPAPHTSTQPETCPDTVARRTRNATRRSGSTTAMLPPPVPATPALAPPPAPALAPKPRGRQRLTEQEKAKRAAEKKRVMEEKKAEKAALKAAKDAEKAAAKAAKDAEKARKQGEKKKAGGPTKAEAATAAPPNEATSTSVSVAGPDVEASTPSTHGWTVLPVTATPSLMDHGSSVMVDELQPSSPPQSPLAPFSSLVDKTLVPTQDDSLSASPGPSQAGSVPHPAEGLSAAKSSASHSDEVIPDSDPPLFFPSDSQHPTPYIGTQPRRDALSQQSIDHSGSDNEADMTASMPKPHLRSRRYAAAEPYRRLSDIDHQTLFSSQSINNSMSFSLTPAPKPKPKPSGLATRADESESDSDDDEESDDSNAANRSHIPRDRMAGAGVKKKKSGLLASFTK*
>EED79191
MFNDRILRVVYIESALSERKIYDTFAACGKIQAIHASDSYFLEFAYEFSMRQALSMNVPGTTVAAVASSPALITRFNDILPPARSTLPLFFPSPSPGPSPVPSTSSSAQPAPTTREPGRSAQPREPLVLPERPSYDQKIGKRVTAKRQASRLTQVHTGPSSSISSAYSQSEAQSTQSSGVLRPSRAAAEHGNAERRIPVSQMNATPVAASTAVFPFPAGQLSTAHSHLHSISPSASPLVPASSSVPSTGYNTTGSFAPQTYGPTRRQNADAMDIDGDKENLTISEIPNPSLRTGKDIMAISYPSPSSTLSAPLSRGNNTPSLGNQSTNCSTTLSTTTVPQPAVPPTPSPYITLIFRGSQVNVDLSTLEDDPTGIITVLHTTAASALERDKWMIVAATYRGSGRLQAALAACATPLPASQSEKEGRHSLANTTNLPPHAPIPVSIPIPHTGADKAGSVQEVDGLVELRSAKRRLEEELRTERVVRQRLEGELSDCEAARVRAEAEKTKAEKGKELAEAARAEADGRAREAQEGERRARGEVAVNGDGDAGRSLWRGTTNTYVHGGVDAEFHLRCGKASAALLCLRTACVVSICFSPTSYPSLWSFRDHKCTIWGITVEVMTVLLFLISAVFAAIRVYAVSGKGYIPTGITLVFGIIPIIPEFRLFSPAFVS*
>EED79192
MSPNPPVVVVTGCSKGGIGFALCQEFAANGCKVYATARRIEAMEGFTHEAIEKLRLDVTDDGNIEEAIKLISDREGRIDVLVNNAGAPCFGPLAEKPIERIKSTFD
>EED79193
MWKAEDPGVRGEYERLADVKKAEHAEQYPKEEKARRREEKRLEKERAREEAKRAKAQMSAYAPQYVPMVHMPYYHDAELPGFPSPPMSAASTPCSTPGSSLSELAPEHARPPSSAPTPAPAAQVSYAMPPPPEPVASGSTAGPAVQPPAAQFLQVPGQNSWSIPQATDASSSQPGPSNWTLDGQPQAEIDALDWLTLDIPSFGDDAPPFAGDANMHTADANANAGGDALALLAEGASIWRMDAFDAGAFLADPPGDFDVQFGQLTAFDAGMAGMGDVRLGMEMGMGMGQDAHAPGGDVQRQAEQFSELLSHFDFSQLPGGGSLFPSSAASGGGGAGLAWSASGPGGMQLSSQCMSTIGEFRHKLRLKVTQDPPPWLPLALDKPLHISQCGVGVVEDRVQQSITQGGCGARGEEEAFHDLTNVWVVALERPRPPGVRARSAVGLRRNVGGVVPAGHNDVVDDFKLFEEFHDRDWGLEDDVDQFVKSWKSLKGKPDAQLELINTRRSQAEEIQKYVLSPFICITLSALNPQFGTISAEALSQYSKTFEMSDAARDRVQAPHLRYDRDAGGSENEEKLRALSPNLVERWQAEAREELRRRALRWVECLKLLPYPEILGHKCLRVSAPTYRGHPYNQYCVYAIAAWEVGVNSRPWNGQDGENCVITLRTA
>EED79194
MAGASRPSPDRAASRANPMHPPRPPNAWILYRSDKLKQMMQSQLQHGHPKKPQSSVSKEVAAMWKAEDPGYVPMVHMPYYHDPELPGFPSPPMSAASTPCSTPGSSLSELAPEHARPPSSAPTPAPAAQVSYAMPPPPEPVASGSTAGPAVQPPAAQFLQVPGQNSWSIPQATDASSSQPGRSNWTLDGQPQAEIDPLDWLTLDIPSFDDDAAPFAGDANMHTADANAGGDALALLAEGASIWRMDAFDASAFLADPPGDFDVQFGQLTAFDAGMAGMRDVPLGMEMGMGMGQDAHAPGGDVQRQAEQFSELLSHFDFSQLPGGESLFPSSAASGGGGAGSAARVGYQVCVGAIKNTAGAIKNTGSVRRD*
>EED79195
MAGASRRSPDRAASRANPMNPPRPPNAWILYRSDKLKQMMQSQLQHGHPKKPQSSVSKEVAAMWKAEDPGVRGEYERLADVKKAEHAEQYPGYKFQPMKKEEKARRREEKRLEKERAREEAKRAKAQMSAYAPQYVPMVHMPYYHDPELPGFPSPPMSAASTPCSTPGSSLSELAPEHARPPSSAPTPAPAAQVSYAMPPPPEPVASGSTAGPAVQPPAAQFLQVPGQNSWSIPQATDASSSQPGPSNWTLDGQPQAEIDPLDWLTLDIPSFDDDAAPFAGDANMHTADANANAGGDALALLAEGASIWRMDAFDAGAFLADPPGDFDVQFGQLTAFDAGMAGMGDVRLGMEMGMGMGQDAHAPGGDVQRQAEQFSELLSHFDFSQLPGGRSLFPSSAASGGGGAGSAARVGYQETVQTSRDRGNRGHYRGAHCGSVRGD*
>EED79196
MSAQNTSETSTSKHNWFLRYTFKPDLYRFHGLTIDDLPYVCKRYIDQHPAILAQPETCTRQCAELILTLWDDLEDRDTAILAIRREFRAVILQVREVDKLHGKHALRFFKARQREIDDVRDHVMQLLISRRKILKHFVQLFDALLWAELNDRDNLTGDDCQRLRCTMLQPIIKRADSELQNLENAFNVIWDEVKQLVPSVQDAIDIVLPNRHAQTRKDETQPHEETTTDTESGREDREVSLLVDAHHGMHVRFASQSDVIT*
>EED79197
MIFCSTYLSPLQGLMTAFLLTPFAVAVKAPGTSSSEAAECLAGILAAVDAVPPYVDLTIATAAWQVIDELTMRLPGWEDRGWFGVSDGQLYRAVVYRLRRRSAPTTLEWIPPARRHPQAREARLIALEAALSDMPATSDLEVPPQFRLTGVRLASLTQALAYRMIRAEPPAPARRSTRVHLDIARHAVHGLVGRLYDDANIWASIRRPEFSKRFTDFLWKALHGAHRVGSFWLNVPHLAVRGDCRHCGGEESLEHILIECTAPGRREVWCLAERLWLWKHHHWPPPSLGGVLGCGLASFSSATGKPRPGASRLYRILVAESTYLIWKLRCERVITHGEDPAFYHTDASIRRKWAHALNGRLGLDRTLTHRRYGRRALSKPLVLATWSAVLKDEAALPEDWILSDSLLQKKKKK*
>EED79198
MHLPFDQIGTPAEHPRAQSNQTISVSTAFHPDVHIDSIYPDIILVSSDQVFFHVHLHRLLSASDNGFNVLLPPPPDGSGLVPIVALPEPAEILNIALHAIYNIPASQYAPSFETLSGTLDMLVRYGVPPARTVLPPTPLYALLLSHAPLRPIDTYALAAANSLEDLAVAVSAHLLSFSLPTLTDELAARIGAHYLKRLFLLHHNRLTALKQLLLQPPAAHVDTPACGGSEQRRLTRAWALAAAHLVWDARPNLSMNMLQAALRPLERDLTCDLCAFALHDRLAVLITDWSAVKRTI*
>EED79199
MDRLSSAARRRTLDSPARPEAGLAEWTSKIKAMQKQVDDDEEAETRRLEQEIQASRLARMRRSAGYGSRAGSVDLYHLQLTANSDIVRALKNDEPDALVSESPASTQDKAQNQEDALRKLMGERRISSPARTTAPPKPRTSNSSEPMSLAAFIGGRATGPRLTKHAPQQDAHDSTQFEQRTHVSTPHPIFGRGGVAMPGMAGRSPSSASSVAALEDRETPAAVSSHPTGRDRKFSTPSSVKSFVQKVESEALVVQKTGASQTSRQRTISTPTGTPVDRTISSTPSREDYARPTSAASPRPAPLSSTTAYTPPLPSPSPVARQFTSSRSPPPLSPSASSSSKSSITTPSLARPIQPSPRLSLGPQLPSTSNPSPAFLKATPPKEPTPSISRLQGRGFVRSMVQATSQLTAASPSSPSLPERKDLGGKKVVPVLDRWQNNSTSSPAPSPPIISPKPIAMRKSRTTDSSADSPEFTPPRLVKPDYTGRSLKSVSSFPSIHYTGASSAPSASASDVGRDGRRTPGLGSSSTMLSYIKPIKTGDRPPTSAPPTPSRSRAASPDVDELGRRRRSKGRSKSRSKSRDTSRMREEIPTTGKSLSHLTKNRAKKPRKKSVQAPSGSQDELHVHFASRAASLPELVSTTQVTEVVVSLPTSDDPSTSGKSAVAEKLSSSLEKKLDDDFSPVPPKSVPEKPPKLAELEMATTAVLAGGPKSPVILPKSPVTPKEKRPTTPVRHARIPSTGNRATVMDVAQVFQESVIHQPALTPVSAEPPSVPQGDVKGPTAEQGQEDGGKLDVTSLVANWGTKNSAGRPDASPEKRKSSFERYSAIVMPPLVEEKTPVPSPAGTLARNATAFQQVQSPEAPPSETAITEVSPATVLGGEDVESSGDKEKYIRIDLTEEPLPDVDVAALLKADRPVNALDADAQTISVDVMSVVGTTATEITSGSHIFYDMDVLAVIHRAKSRATGLASTRVWAWCGKRSTVGEREERKLQELARRYGTVLVMVHQYCEPEDLIAVLGGQLITRQGARAHWSAENTTMHLVRSIGTSTFIDEVDLSIKNLCSGFSYCISLLDTFYVWHGCGSVQSERRAAQDYAHSLALPSSNVLELIEGETDSDEMFWMILGDGDYANADYWKWRSGTTDVYPRIWSVNAAKGSAAVTAVPSFANYPIIDSLIHVVDCVWEFFVVNRGDVPDHMNLIPAVEAEEQIRKTTWKRDVTQDPTMLPLGVHTSDLA*
>EED79200
MAGPVQTLPKDISSLGQEVKLFGKWDTQDVEVKDISLTDYIQIRHAVYLPHTAGRYAKKQFKKAQMPVVERLVDSLMMKGRNNGKKLLAVRIVAHAFEIIHLLTDQNPIQVLVDAIVNTGPREDSTRIGSQGTVRRQAVDVSPLRRVNQAVSLLTTGTRESAFRNVKSVAECLADELINAAKGSSNSYAIKKKDELERVAKSNR*
>EED79201
MNFLDGYEITSPPTPPAGQQPEPSLNEEVSQVVGQLSRFWGGFRKQSQTVLEAARKDLGEVVSQAQKELSKLTEPSEASTSTIAPSGSTETDSSAETDLEKEKDSDADSTPSGEASSSTSTIFPPDATDQEQQSSTLFSRLQASLPPHIVSAVQENIPDSIRHARGLSLTAPDLAALRSTLTSELQRVQGSSGELLQRGEGLLQRMQGSGGDLLQRVQGGGEELLREAGEFLKEAVRVVPPEEGGSNASTAVVWDGTDVWMIPTYAEEPASTRAKGKERERSQSTGSGTGSGRQSMDSVRAATTRAEAMLAQLRHDPKVLRADPAAEGEAFGEWVAELGAEGIESETWLARREKELDERPELTALLEALVTEEVNESTFWTRYFFRVHQVEREEERRKALLQRTTENEEDFSWEDDDDEATSPTAAKPDATTPSAPVTPATAKPAPTPTVAKLATPTERSRVSTPTSASRRPSSEESYDVVSSQVSRGGSTSGVESGDKEEADEEEDDEEDNEEEVAAAKPRQDTKAEEEEEESDWE*
>EED79202
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCSSLRIARTTRPHPRKP*
>EED79203
MNFFKSKPRTPPDLVRGLRDALPKLDVGPPGTESRRKANEDAAKNLQQIKAILYGDGDPLPELVAQLAQEVYATDLLYQLLLNIRRLEFEARKDVVQIFNNLLRRQIGARFPTVEYLNTHREALFAAFDGYRDEDVA*
>EED79204
MPSRRLPVQSGHMLLFISAFGMTPLYRWTWSYHFWSPLRGDFRQEFAAFGFPKTSPVVTRSQACEAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDNFDEEPIPSTAEECTSSPELLGLTTSDYDISTPDLFERSGSSPEPEDPIPSTLNLVLPTPSSFRAHAQPPIASSSRLPVIPTSDLAPPPPVAPSNAASNSNAAPPAPTIPSTTTSSSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVCSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIGSFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPRMIKTEDISMIIESLLRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTDGKIVLPNGSFCPCTIPGLTIRDRIYEWHRRNTAAPAAPTMLFEINDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAASPALPSPPAQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRTKYRDTVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIIAMSEEVSEHAIGERRGGPIAGTHSKCSLPHR*
>EED79205
MGLSTLVLGLVAAYYVWLYLDKPKKALPPGPKPLPIVGNITNLTAQELWLRASQWAKSYGDVVYLHVFGQGLVFLNDYDAAVDLLEKRGAIYSDKPGLIMAGDLEVLRWGVPVPLSLPHRLMEDDVYNGMHIPKGSLVFGNVWNMVRNPALFPDPDAFVPERYLAPADEATARRRDPRNYVFGFGRRRCPGMHLIEQSLWIVMASMVATLDISKARDAAGRAVEPEVVFDNAVFRTPRPFRCDIRPRSEQALRVVRQAADAAA*
>EED79206
MGYVRSRKFCCCLPVRFGVFCSALLGVAYSTAFSVLAWIEVHRHATHQLDLGKDEVVGLVLFALAYTFMLLFSIMGRVNMLRRLIGSIGGVRGFVKGYGISLTINTAITIGIGIFWCWRLFHTDRNSCSIQEENDADKVAHWVCQKGFDIIRIVLVIVMVLVWIFQIAGCAIVFDYVGQLNEELEMDQQAYGAQDAPEVNPYPAPAGTMMRTTYDAQATPIMKSAYEQGNWQDGAKPQYPFTQPENAYGREGV*
>EED79207
MSLMNPKGNIGASMILDTIHLAFVTHAVYSYAILDFGDYLSISKPLWCITSHVTISSVLPSDLEASPLYVNSDTHRGLYISAVLRILDMGHPNYFLADAEQLSLLCTVVLAVAFGAVLLNSLLATLNARRKLMEKTSRFSVSLDRLAIPSRNIATRHSRVSMNSPVLEIKIETSTESNREDVRSIASKPDWKLVEPLSSSSRTLRHLTLEAWFETYIAKRPSDLLCLDYFPHMIARATELRCIDREDTHRDEEFQWNLRNLWKVRSLRLDVDPLDYGYNIHFLNVPPVLCVLEIHDHPSPSPKVMQEIARTFPALRTLKLSQNAIWCGLCNTCNVASFKEAPVSPVIYKGGMGLPPLKHLHTVKFTIGYDTDGQSCLDHENEDWWCGECDQCIALMYPDEDFREEWIERKKDAQPRPPSLQVVEWRFVHLDLQVPSVEAILQELYEDNDSTTYQCPYPRGLSSSIVCVDSFASSRLYGIGTVQSFTYFRRFSKTDSGVLTLLILFLCVDLTFIVHAVYTYAITDFGNMLAELKPLCNGYSVWLPEKVHHELERYHRQKLVELAIANALWYSLLGMGLLCDSLPIVVRGIAQLRQVLIRRRDVHATEIAPELPAGKPECEETRDR*
>EED79208
MPNAEMKSNIWPLRTGSRRRRHAASCIPPGTSTQSPNTSILPSTLFDTFDGARRLLEARHGLPDASCQLAERAPESWSKWARGDCPELATAIDAEVERRFEEQKRLAEEEARRIEEAAKRAKAAEERRLEDERRRKEEEERRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMTEVDMAAAAIEKRQSGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDIDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED79209
MSAMTAEALHTISAIRERTLERHREDTAIDITSDPIFPESSSIPVQDRLLSFAKTRRIACKLDTRWDIITSDALRPICKNIEFLLGIITDDSSLAAMNDVAYKAIVSMIKQVPHIPEELRSRSPDTGIEESCVEYISTVVVLMGSCHKIVDQKFNNFNVVTESTLVKDGSVQRLLAELRLTVDDQRWDISPLEAQVKSAWSKIEDAAKQAKVDLHDVTSVNTIDDLVLRLNGYWRQAKIEAKDQRRLLATLRTMLEPHSMLLYDTAKRINVFLEPDPWGKLLALILLLVKAAKSEGAIYGVIIDVFSRLSTILQRVPSQPSLVECASSSKVCLRILLEMTAITVLSVGVVTKGQNLRRIKSWAGIEKSVLLTHEPLRLAVDNIDGLVGKLVASTSDSKMVSVTEQLWRDGCNMYMKATGKDIRSTAILQGIDSVDGLRSVLDTQEYRFKGEKQRYDAIHKVLDPVSKCVSQLVDVASDAVESHLSHSKVIFGAIGLLFKAAKEVGAAYDSVLKLFTMLSDFLGRLALYTKEECSATMRMILTQILCQLLVVLGVATKVIKQKRIMAFGKAMVGLHGDEVHDALAKLDQLIDSEGLLVGASILDVARSTQKAIQGVDSKVTDVLQGVEQVQKGVKQVQVVVVEVVESVAQIQGDVTVMRSILERTNDEKSDSVDIAPAPLPSKRDVCVGRQDVVEAFWGLLLQRSHVLISGTGGIGKTTIALELLHHPEVVSVFPSRYFVSCDGVPDVAAFRLKLADSLGVPSQMRGQQLHAGILQKLSAGPSVLCVDNFETLWEPPHSRKDVEENVAQLAGVVVLIVTMRGVERPGGINHCPLTTG*
>EED79210
MVGEPVASEPTQPILVVWYHALDRYNQDTGIDLHNALPGQQDLNTKAMISSFLNLRPSQKPLVPALEPILDDIDWLLSMANNGEVKRIDTTTLEHASIIDLFERVPRAEGSLREKNPAFASREIFFDILASIVIMMGLGRKSLVASRCRKPPSWPVIAQDASVSILIPKLRWQLGEVSWELGGLTARLSLSWQHALRYYVALIGRSPSGAQSLRIETPDEFMTKFNEHWNSVQTYSIERTHLSNTLNRIASMFIGLPEDVIFTDANKASLLGALLLLAMETKGDRGVNRFLVDVFRHVQLVLSLLSVYLNDSKTQPDPIRIRMPLQELIDELWYLLDRYAAILLPLKDLRRDNEDVANCLWRGDTRRLLRASLLVPQLSREWNRNIIVSRGRLPSISVFGEQHAEPEHITREDSERLRFFHECPGETQSTTSAKLHRARATYKTHVVDNMPNEIQKTAMKVLDSLCNRTGLLPQSSHIPHHSIKRLGEWPVAHGGFADIWLGQLHGQDVALKMIRLFANDDVEKIFTREFLHWKRLRHPNILPLIGMSDIGRISQANILIDDQGHALLADFGLAALMRDFTSTQTTSTTQHHHGKGTTRWMAPELLNPELFGTLSRHTEKSDIYAFGMVMLEVDLRVVVDEEISRILWSPPSISLSPRAPSPDGPLHGVFHELYRDHLTRVESEDTLDDKPCPDTFHDLPDEARKSKHLHLLELALGSFVTDGRQATIVSLPESARMWSRKARRS*
>EED79211
MSGQQCLPYLGPEDVMAPRVVMVAPRLHLAQQTIAQFDLLPLTLGCWTPQATQLHADRPPNVYVRCLSGRIQLVFAFPYATCHCLRTCILLAAFRREKIRKLHIDRFTSNGDQESAGDTEENELLQRLVLLFGEHTPDDNVESVVGEAHDWLSTREDNLSAHRALRSAVAALQRHKELQQESREAKEAIDSLLQKHRRQMRAKEQELHTTRTIEDNLVKRMSEMEHELQAKLQEAEAELAQLRGHQEVQYDTSSSLKQPDSASPGSSRYQDAPNPLPQPPQPITPERLASFSRSYAPASAEPSSSAASTTTHNSGRVSFDQVRASATSLTESSSEHREDLRRDKTLKAPLLIPGAPPTQKVIPPRPPKEQDRSREERGLPRSISSGSVNTVPPPSLSGSHAGTSGSSIIQTTYGYQYGFIPGQGYVWYQVPWPPATGPSTSAVAYAGSGNANAQPSASNDSLSRHAPNTTSATQNPLAREGARTDSRSASTRSGHAQNGASPNGVQSAGPSQPPPGNSNTSTLSNASLSHMTLLSAPQSARSGSHDQRSASQRDILSILNMPMEPQQSESSPAPTWGTVHSSQIPSRTSANSSLGDLGLFGLPPMDISAEDDEPPEEEGSSSSEDEVLDEDNLMTPRRRPLTHGRSVVSEEPRAIQPTPGLMLHAYAAPPRQVISGPQELQIVSQSLMQYASQQQREPHAHNHSARTTPGPNTEYVGTSDTIFGSRTGIPLYSSRPQSRRGEEDRPQRGPVLPSRTHSDSYGLYDRGSISDSEHVYPRHSGHRRHSSMSAQAGPFAAPPQTSALRQHSAASRAISDRAAQTVRFQSPVEAPSRERRHRDAHRHVTPASAIDENGFVPALGQSSLLLSFSSGPASTSSAVNGRAPRPGSRSDGLFGLLGSRGRR*
>EED79212
MAPKKTKAPKEEAVSLGPQVAEGELVFGVAHIYASFNDTFVHVTDLSGKETISRVTGGMKVKADRDESSPYAAMLAAQDVATRCREVGITALHVKLRATGGTGTKTPGPGAQSALRALARSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL*
>EED79213
MLVQQWRQRTCPGGASGGQIGTGPLRGQRGDWLLTAGNGVREAAGAILVCADVAERAGTKGDHEEGPVYVDTPGGQKTRGTLEKAVFLWRDVAAPPRPVSPSLRGPSVRRLSVAGAGGRRQWHVKFPGRAGVSAGHNTIGRACPWTCARTWAKAQRGEGHRARVSPQQRKQFPVFGQYVTYDGPNLIPPRQRTATRRCAGHMLPPKRHRETTMTYPDTEDASAAPRHSPAQTSHRVSDIQHLLLRFAGCPSRVSLCGRRLLAQRWTLNVNKGTEPASSALPAPRPSPPGLLASPCAPPTSQPTQTHVSMLIPASSGFRSAACTHGTPAPNRREATRNRSVPTLLVSSPVVPHLFPGRPSISTLPSDSKTNPRGHSDAGIRSEDHPRMTHAEAEEDPFNHRHHRHRARHVRPSTQPPGVGVGVGVGVLVGLGARLGRAADADKSAAAGERAPICGRAREECARGGADWQHLWRAGPSAGSLIPSRARGSGSVCRAARHAQGPRRSASAKRSGARSAVRVRHRLPALLRAASRLCLCARVCWGGGRPRRVRVCALAAAIAAGARAGRPHCLGGLGACDDVWYMVCIGAGTVPSAPACSRRRMERADVWRAGVAGAWRRGGKEGGGKGCAGDGRRDLLSMFQCARAQPVSGRGADAARLAFAVRIAGCGLRTRRAWAGASCRARRWARRVRGRPALSSRMRQ*
>EED79214
MMSSKTSCERLSNTSGMDVQRTSQMIPPSSLKT*
>EED79215
MVVYAYTQLAQSALNTTEGARVCYGLAATHGLVWLAWQIPRLGPFMMRHFTHHPLSGLSYTLLTSVHSSFLHMLFNTMALMSFGPTASLYLTREQQNDSTYLRESTSQWHFLALYVSAGLSASVASHLYATRIMYPRLAAKLAAAPASAAAPIANAATSVVSATTAETATSAAEAITSVIKPSLGASGAIYATVTMTAMAFPDQHITLLFPPTPPIPIQYGVFGLMAVDVLGLLRGWRLFDHTAHLGGALFGLWYYRYGVEYWQTFRERTLGSLPRSL
>EED79216
MAETLRCFSVLFLHYFIDAEVLNVRLSLDTVVGLLANSIALPCLRDIEFVGSTRAIGLLFTHRSLSRHMHMAVNIAIHWPRLAKEAERRVISCMADWLQLCAKPGRTVYTRYDSSFVGYVTSSVQDGAEHMFHLVLAAGCERLRRISLTMLCEVLQLSEVRTLCISGRLLRNPSHETMTRHPFEMMQNVKTLCLHSVPSQRVTELLGATRTEPYGPRANVIHKLRHIELCEIDLADCGAFERGDASEVLHELCDALAQCYEAIIGSVQISVRDCMRKCAWSIRTNGKNLTFGYMTPACTPWPGDRYCDD*
>EED79217
MIALKHRPVARLLPFGLGAGVFLWVLLYFAWPAFEHGPPLRQPGGPHGLRPPFRGSKKWNARADVVRQAYLHAYAGYKEFAGNSDELLPLSDGSVNNFNGWGVTLIDGLDTMWIMGLHEEFYEAVPVVANMTFAQDTKSYAPFFETVIRYLGGLLSAYALSGEPILLTRADDLGRMLLPALNTTSGLPMYAVNTVTGKTKEGWTHGTVLWAEALSCQLEYKYLAHLTGRREYFEDVERIMDIMRDAQVTNGMFPTRWNLAKGTPMNSHFSVGAFADSAHEYLLKQWLLTSKSEPKARDLYLRAATSIIESLLYITPNRNLLYVTDTTGGSPSHTFEHLSCFLPGLLALGAHTLGLPPHEREVHAWAARGLAYTCWITYADQASGLGPDEVRMEAIRKSEENLHGGLWVDHLDRWIVAGRPGGVPPGLAEVPTQPRGRRDYSTRKAGYYLRPEAVESFYIMWRTTGDEVWRERGWAVFQAIEREAKTDSGYASLLNVDESPASLKNEMPSFFMAETLKYLYLLFIDEEIIPLDQWVFNTEAHPLPIFHWSNWEKAAYGIP*
>EED79218
MPVRDNRVRGLRPPATRAPELASSFLTARACFAVWVTALVELIYNHLNAPSSPMTSDFQLLNVTFYDYEPIFQYIPQRASSTGGSNSYWYLSSVINGAEAGSPHYTSFAFNNAVLFEWIGTAVWLYGIAEPSAYTLSNENNVNLTVPAQPLGANGVRLLYGETGMDYGPHKIQLSVLGKSTVTIVSATVTVGVGPENAVLQNRTIQTVTNTTQSGTQSINPMFSQIPSNSWSVAIPTNAEVSVPYIITTLPEGALTFQVQSAAAFQLYGILVPEYSSMIVSVTPAPPQSGFTGTSIGGGNLTYPDIRPNTLIWMQTGLDQSQTYTVMVNSSSPLSIQSMVLLDAIPAATSSTLPAFHTASSSASPSGTRGAVKSYTLSAGAIAGVTVAVVVLCAILVSYVVFRRKHRTPLDIIQQRHSAVSIEPKPESNLELLHRPPAYSDCTDRPGPDSSADPASSSGRYTQTYSAASLLAPGEGGPALYTKGQADASRALPSLPGTASGQAYSSYSADLPWTTAGSSQVGGAPSAVDGSQLDDELVYEEDAGPLPMTQPPRYDPAWGASPPHLPAADNGER*
>EED79219
MAIVESAVIYTASVLATFISTYSGTNACYGLSDMTLEIAGISFDLIIIRISGDASSQAFREGSYPIQVRVSTTTVKGDTEPSGCRGILGDMGTSLPELRSSVSPELSLEFDKAMDFVGGSIRQV*
>EED79220
MPQDNQQSDGQAGRPKKPSKNEYVRRLPGVVQWGERPFGHPPDIRHWTRASEGAQSPVATTDSYNQQSYSFVQEYPRPHRQYPSTPSLAVEHQTGPSGYDRRTLRDVPSEAYTHQGEPLRARETGCAGVQNTAYQPYPTSVDRRTRREYQHGDQQSIGTAGPSDECAEHTARRSVCSHQIAAIPWHVCDDWCQLAFASFLFSTGSICFALPATIGPGLSIFICRRVATSIATADYLTSRHTDHGIVSSRSASANPAVFYAPASSSVSMEELQAAQNLYDAVEDDHLLEKYF*
>EED79221
MSQHQRPPRGLQQRDGVKVKRKDNKTQYVRKLPGTIVHGERLFGRLTAEAPSAPGVMGVLDFSNPPCSIAAKHGQTLSNASSVHPADELVVSRTEASNHQASRSNPSMDTSNPRQSLNTVRRPTVQHGSLAAARTHPQGHHPYHIVPRHEPDDVPADPLAEAQMRQSSALYHQLPQQSLSTWEDPREMLTCDSFYGARPIPLPAIEQQLPTIVIDNASSVEPPSPRSMPGLLRQMDMHSVASNALPGNCHPMPASTEFPHQPSAALNNSISMFQPSMPEILAPIGIRQAPSYLTSILPFTLTHSTFFQAPLSLPQQISVEYGRLPAHQSQYGVDLVPLSSALPIWFLAPPVSHDLIPRPPNPHAAQLLHVVSSPTPTISGECEQTRIDDPLYGSYPVPLPNVESFVDDRANLGGFSENWAEGLQSGHVKGITVSGASITQYRRLPDVTSMAAGLPHKKTLIVSGTEDLRLLDPNRGGHMQDAAQQCDLAINPFAE*
>EED79222
MSAEPTNSTPPTSTIEDAIEHAKRAFALRKYEQAIDHYATALELLTAEHGENAPEMADTYFAYGKALLENAISQSTVLGKEQTEEAVQAVAGELTKPEPSGSKFLSFSGDGDDTDEIVPGSKEDVAVDLFGEAQKTEEEEEEEEEDDEEEGEPEDDFNAAWEVLDLARALYERQQDENDEVKLKLADTFLALGDVSLETEKFEQAISDYDAALALKTELLPQSSRQIAEAHYKLSIVLDLTSGRLSDAISHAEQALASVEARLAELRDALSGQGLSDAKGKGKATGPRLLGDDAVSKMTKSQMGAEEKELQELREDLALKVDELKTLPDEQAESAPAMAQKALDQELNTKPATQARGEEEKEKP*
>EED79223
MGFSQAGTDLYLEQFNYQCYIYIAATADEISLVWRAKLYTALLNTAFMILGLHSWPSWQSERYSPLFYTFIFNEPILVTFPRLTCGLHTQGRLIQGARGSSLLFDLVVLIITWTKLRVAAMDIRSQARVSVVLIRDTTFYFSLQLIVNILGISIGSVTVFLVPISTWIAVLTSSLLSRLLFDLRKVAAEGLGVSLTHSMTLAFADPDLFEDDVTSDRHLDAEAI*
>EED79224
MSLSAITNLLGSSAAPVTGKVELPVSEDEPLESEGLAAEKCELRIEGMTCGACVESIEGMLRTQPGIYSVKVALLAERGVVEYDSNVWNSDKIVNEISDIGFDATVIPPSRSDVVTLRIYGMTCSSCTSTVETQLSAMPGINSVAVSLATETCKVEFDRTLTGPREMVERIEEMGFDAMLSDQEDATQLRSLTRTKEIQEWRDRFRWSLGFAVPVFFISMIAPRIPGICMLVAWRIVPGLYFGDILLLCLTTPAQFWIGQKFYRNAYKALRHGSPTMDVLVMLGTSAAYFYSLGAMIYAVFKRDPDYHPFVFFDTSTMLIMFVSLGRYLENRAKGRTSAALTDLMALAPSMATIYTDAPACTQEKKIPTELVQVNDIVKLVPGDKVPADGTVVKGTSTVDESAVTGEPVPVQKQIGDSVIGGTVNGLGTFDMRVTRAGKDTALAQIVKLVEEAQTSKAPIQAFADKVAGYFVPAVISLAVVTFFGWMVISHAISDTALPEMFRMPGTSKLAVCLQLCISVVVVACPCALGLSTPTAIMVGTGVGAKNGILIKGGRALEASRSIKRIVLDKTGTVTEGKLTVVDAAWVPATDYEEQVGAGEVFLRAKCAEGLTRAEIIAMVAATEARSEHPLAKAVAVYGKDLLGKAIMAIPEVVIDAFEGVPGAGVKATITITDKKAQYVVYVGTARFIMQSDDAQLPEALSVFNREEETQGLTTIFVSVSSPAMHPSPVMSIALSDAPRPSSIHAIKAMQDLGIEVNMMTGDGMGTALAVARKVGIKPEGVWANMSPKGKASVIVELIEKDKGGVAMVGDGINDSPSLVAASVGIALSSGTSVAIEAADIVLMRSDLLDVVAALYLSRAIFSTIRRNLVWACVYNLLGIPLAMGFFLPFGLRLHPMMAGAAMAFSSVSVVTSSLMLKWWTRPASSVMPGEVIPRETVWDSLRSTLDDAGNGLRGLVGGRRRSGYSQLPVEMSETV*
>EED79225
MRKRVSQSSGDQWLQLPQDEREQIKAKLPELVVSEQSNLVRHSTARVIAAIAYIELPMNSWNQLLPFLEQTCQSPTAIHREVGVYILYTVLETIVEGFENHLPSFFKLFAVLLNDPESAEVRITTVRALGVIAQYIDSDDKQAIKQFQDLLPGMITTIGQCVEAGNETGARQLFDVLETLLIL
>EED79226
MPFEQSAKYTITNHCFNVVAPDVDPAFLTRNDLLTPIAVPSRSAEAPPSFILEKQDNGAFHVLIPGGPNIKTRKAIAFNNLLYAEHIPTDEDQKTPSVPGNSNIEWEILSTVREGTSPDYTKINGIYMIAVRGQPRMVWTLPGGKEGTQVEVVDLFTANLKDPIVERAYSVSPEPKWRPRQWLWRITPVDPDSGF*
>EED79227
MYMLAAHIVATYSGMPFTAFLKKRIFEPLGMYSTTCSGTEAKESGKLSHAWISNGRRVPYWFRGQGEETIAPAGGVISSVTDMAKWIAMLLNHGLNPDTSDIVVPRDVLEEVTIAHCITDATGPDEHTSIRGFGMGWVRYSYLGHEVVSHSGGIPGFSSHVAFLPSEGLGLVALSNTIRGQQTAARAIVSRAIEELLSLERASYALLEVPTTPGLGAQGWFSPIWRMAADVVVPHSFKASLEGCIPLVPLIPVSGGVWD*
>EED79228
MSMPILFSARNAKGKAALGKQEQVERVYRVPVDVDQQVFITVREQTSFDLDKKVWDSGIGLSSWIVDLANEQSASAPPIVDAMRRALFSSEARQILELGAGTGIVSLVLGALRSAKARTESGCILTTDLASAMPLLEHNIASNDSSFTCSSTRPKAVVLDWDEERFPEEVSGIQHGFDVIIMADVTYNTSSFPSLIRTLDNIIHAGSSTAGSDPRPYHPLILLGYKERDPSERSLWDVAQNIGIRFEQVGERRGAGGEPIEIWIGTVQ*
>EED79229
MAAAFLPQMLWGWAGASSHMTEDPGDQIEAADTAAPRRHPWCLHFASVDSSENSPGPSALQLSPASRAARFCRVPVLFSQPFNRGRSRSQTDSASAIRIRTRIRRVDQRERRPYAVLLHTVGPDTIIARRHGFTITQGVRTSRCARRAPARAGAGVRDLAAGMETVADPRLLEWYGRRTCGPAAPRQLLRSARTPTVCAGLRRAAFNSRRDTQKSHRALCTGPYTSGRTSRLSSTNKHAHTGLGLTVHSCGREDRPDASWLSRACCDRPSALGAGVSASSCVYHALAATPGLRTGADLHVVVACWWERLNVSIQATDAVDTSKMFDKENGAPSLRRALDGSTCKRSAAIVASDVRLLPSVTVRPDCRQRLWAAPALGVFKFVVSYYGRRQDLCCLLGVAGLEDMWVGQKDRGQDSLPQMRARRFARDVAVGLPVAPVKQAVWQEEIPPQITTANTLEPQQMDSDTHFWMGGFCLQLGLLFFSAHSWTNKPSFHQVLVQMRMACWSPGYFILGPLPPGDIILHECNTPPRPLILSLMCGTTPADAISSGPPCCTNLGQSAASAAPSADDLPANLLYVFVNSRHYRGSAFPPSCICTPPDWRSCSVGLSVPPAPITSVAAV*
>EED79230
MEREESAYAGAAWRRMRQHRAPRRARVQLGVEKDSEGNNSGWQTRTDIVHLCEGAEGDSDKQWLKESETPGPGMGDAYDEISTKLRQPRDARSSSSPRSMKFASGERNDSIVLRRLRFDGVELVPDPDPDPDHHDAQSRVDDGGGGRAIVIPRAEDSGRAGGHREGWTRPSSIASAAERLRWRVLTDTTERKDGLARRGTTAGADAGVSGRGRSAKVLAAVSGGLDVDAVGDTGEKRKYDWPAPGERIGEECRGGDMREGSEREGRCIGDVGETMDIAVVRQADRPHLAILVLTSNRNQPSSANTDGGVRRRPICAQRRVRRRRSLARILSAPRLRPYGGPVLAFSSQLPVGEQQLIHLVLLLPNATGTAK*
>EED79231
MSREAASQTPLRRSKRGQPLVSEPTLLQVPNLADAASAQPVYTRTTQPNDLYEEEREAEDLALKNLETRFYAKFVRREPTANRRASRGDGKARGGAASTDDSDRLRVEEFKIGDPVVVKTLSKEPSIAIIAAIWEIVQEGEPDDKGLRVMVHWFSRPSELPAIRARREHLENEIYYTLGSTATLPPSSIILHCLVSSTVPSSPVLGKRKRTSRSRQSDEITPTFYCSLAVNPRRGLFYALNWEEFHEGALSAVVYEADAQVEDVWNVLVAEDEYIGSETPRKKARATPGRDPARPDAADARLPSIPESQSAIEAAESSLEAAEVRAMVAESDDGSLDADDFRPAPDDEEDDELDEGEGDAEEEVVAAIATPQTPRTPRTPRTPRRSAATPRKSRTQRVDTSALAQPTPHSKAALRKRRRTALAVRPPPQTESGANLHLQLDAQLGPKASKNPWLRAMHVLHVAARPEALPCREEEYGRILRAVEELLEEGSGGCIYISGVPGTGKTATVHAVHFSAGERAGPGGHACVVLMDELDQLMTTKQDVVYNFFNWPTLVGSKLVVLAVANTMDLPERVMTGRVRSRLGMVRINFQPYTTPQLEKIVHARLQSAREGLLANTPVVIAPDGIKFAAMKVSSISGDARRVLDICRRTVELVQPLSRTAKTEDVRDVIKDMQNSPTAGYLRELSFHERVMLAALVKCVKKQGVEEIKWADLEHQHLVYVNLLHDESNPPYKPTTAELCVVLDSLLASRAMIYEDGVSAERKAESARRVALTLEHGEVERVLGDLGGTRWKNALN*
>EED79232
MSTSV*
>EED79233
MRTRRIQELIALMISNGLNIVFIPSAYRHVQRAFELYGVIGSEHGAYSVALDPPAPNTGIGVVTEYNASYPVTTTGSVLYIATGLNRLQTYNVTITNLDAAKLSLESVVIYDSSVTSTSSSIASAQFTAHASQNSTSSSSVEHSNHKTLAIVASTVGGLLLIGAVACYCYYRIRRSGTQSRAHVDLGTPPPTATTVYDGAFPPLADPYMKAYEPLGGSQPPASSPSIGSSVSRTRPLAAEGSSSSVQDPSDRSYPPLMQRGRRTRHDSIQEEEAADSIPITPPPGYTFSACKPLEVQSILEHEIANLNEDIWFHIIYLVTPADALSLSAVCKEARPFARRRSLSEIHLHSRNFDKICNFLLADISDRLHWVRDLTLAVDIFEPEASLSLYQFDLEAGGNVKFEWVPVFLEILQLSDNLRRLSISGTELLLRMEPAIGDALVALMGLTSLELNYAGSHTIRTLARLQSTVRHLIVHHVKAGNTVRNYHEYMTHGVTFSGVETIEFGDLVSESYPHTKNVYLDRQWPNVRHLTLSQGCLISLSTCARLLPGIRSLHLKSAPGYDPHGLPLWPNLDFVWIQCRDLHYITEINCPVHFLKLRDDTGLRYEFPPRILKIIKTPSPVVLSIMVHIPLNTMLFWIALLQSAPRLRCLDFIVIYDDTGKDRLCKWIEDISDVFASSRLLCIRIRIHDRFCKLSDIHFLLPSLEHFARGVRSLQYLAFGLGNIDTLSKARPGRFCEKTWWWWVTTCEEGRSIEAISRESGKHILAAIRSADFGDGKNLDGLVSVRRGKEVEAVSDNYYAHEHDMSGVVHTRPIV*
>EED79234
MMWRCEEKTCDDSVPQMVLQRNAASVRSPETLGCALQQDDVCTLVDWIQRVSGQGEMLEEGFIEAVGLQVAEMEAERPYHYELASGLRISPTSSLRSRYFISSLVNDAYLDAHAHMNFDKICDFLLADTSDRLHWVRDLTLAGDIFGSETSLYQYDLEDGENIKFEWVPVFLEILQVANNLRRLSLSGTEVLLKMEPAIGDAFVALPELTSLELNHAGSHTIRMLARLQSTVRHLIVHHDTASDTGRTFYEYLTHGVTFPGVETIEFGDLDSEPYPLTTKLYLDRQWPNVRHLTLSQMSLTPMSTCARLFPGIRSLYLKSAIGYDPRTPPLWPSLDFVRVHYLKLREESSEYEHPPRILKAIRKPSPVVLSIRARVPLNNMNFWIALLECAPRLRCLDIIVIYDDTEKDRLCKWIEDISNIFTSSRLLCMRIRIHDWFCELLDINFLLPSLEHFARGVCSLQYLAFGLGNLDYLSEARPGRFRGKTWWWRVTTCEEGRSIEAISRESGKLILAAIRSADFGDGKNLDGLVSARRGKEVEAISDNYYAHEHDMSEVVHTRPIV*
>EED79235
MPRHQRPPRGLQQRDGAKAKMKEDNKTQYVRKLPGTIVHGERLFGRLTAEAPSAPGVMGVLDFSNPPPSIAAKHGQTSSNASRLHAGHEPVVSRTDASNHQGSRSNPSMDTSNPRQSLSTVRRPTVQHGSLAGARTHSQGRHPYHIASPHGQDDVLTNYQSEMQMMMQSSALDHQLPQQTPHMLLSTREDHREVLTYDPFYGAHPIPLPAAEQRLPNIVIDNTSFTQQPFPGLALGLPGGTDLHSAANDPPSEGCDRVPTSTEPPQQRSAASNNFVSMSQPFVTELPVPTVPHAPSYHTIMPTCAPIHSASSQAPFSPASHFSMDHESQYGASPAPPAQAVWNLAPPLSCDLISRPSNPLVKQLHPTWSPRAAVARDYSEMHVNDPLYGSHPIPLPETASIINDFFSEALSHPYRVTTTELPAPPAIIYHPTPLHPASLRLQRLLKWAPPMRVTSRLYWKVAWGVHLTPTDGHTLGVIT*
>EED79236
MSISPALPDAGLPKRAMHALLLVERSCAQCSPLGVLDVPLCVVAIEHSERNVGAVVYDDKQRDGLAGKQKNLSNEWYCGEQGHLCPHLIDAIGRAPLKELSLRRRRRIHPTSSPIRLSRNTPAHIDNILPHHYLLSSIRWDPLAMIGPPSVMCPQRPTHIKANHYAHGRQAVLECRTLPTSRTRHRWIVALVGDINMETSKASVLLDLVDGLLSLPNVAPSRVILSSPNAGDECAEHTARRSVCSHQIDAIPWHVCDDWCQLAFASFLFSTGSIRFALPATIGPGLSIFICRRVATSIATADYLTSRHTDHGFVSSRSASANPAVFYAPASSSVSMKERQAAQNLYDAAEDDHFLEGHF*
>EED79237
MSRHQRPPQGLQQRDRAERKRKEDNKTQYVRKLSGTIVHVERLFGRFTAKAPNAPGVLGVLDVSGPPSSIVAEHGWTSSNAPGLHAGHEPILSRTEASNHQGSRSNPSMDTSNPRRSLSAVHRPTLQHGSLACARTHSQGHYPYHMEPLRDQDTAPEGPLPEMRMMQPSALNHQSTLHAPHMLLSTREDHREVLTYDPFYGARRIPLPAAEQRLPSIIIDNTSFTQQSSLGLALDLFGGTDLHSAVNDPPSADSHPVPRSTESSCQRGTAMPATGRVQQASFPTQSNSIRAPLAITTSDPGQIVSIHSTSALIPSLPTQISMDDRRSPTCNSQYDVNLVALSGIPRTWDFTPSVTHDPILRPSNPLATQLLQPALSSMRAIPGDYGEIRVRDHLYGSRPIPLPEAERLMNDHVEEALPHTGESTATLLGINLGTTSISAPMHPTLLPTRAQTKSGASY*
>EED79238
MSLSPSGSMNTIHELDFEVVEQPKAIPPTPPPPPSIPPALSLDLRLRWLETLLYGARPEGPDRKHTEVKNGETLARRAAELQHTLDDVVQGNDGLRRFMEHYDQHAQYLTPAFALSGIIPAPPTYENMSSSELEAFLTEMEPDIRAAERDLREIKTLEDKGVTGAGKLANYKDLQPRLDALLKAHQEDAEKAATLEARISGLMDRYATRIDTLSELFVAWDDALRDAEEKARAAERDKEERKKLGYE*
>EED79239
MGSTQAGIDVYLAQFNYECYIYIAATAFYCYDYCLTFSDEVRFVWKARPSVIAALFCVFRYTALFNTVFMILGLHAWPSWQSDRCPRLRDLLQLHYPRAHGDVFAALRVYAICDRRLLPFVVTLLLGLINPAISIYTFIINEPILNTFPHLTCGLNIQGEYVQLYSKLIQGARGSSLLFDLVVLIITWTKLRVAAMDIRSQARQPSILAHCQYHWNQHWGPYSQLLAFGTYVDLDRSVSAEGLGVSITHTMGTLAFADAHSLGDDTVMDTLDVTSGRYLDEENYGLVIALAYENVMVLRALDSNIPPPQNSCIMFPFPPSEMEANFYYAGLPSAPALVARTSTTPWEAPTGLEAYRNIKELRAVGNHALKEAWEDDLALKLHALLDSMKVKWTSTDVVRIGDAGEPSTPIILWIGVMPASLAGNELLVESNIADVDVEIRESVVTRSAGPKLLTPTYSSDCTVGVREPFTTTLCLPICAQSTPWVEGTGGFFISEGGKIERLLLVTARHVVFPPDKNENKHFEHKNDSQRCCDVRLFGDAAFKKYLESIKAGIGGKAIMAQYQERRIKAIEGKGDPAANRERQEAQAELDKAMAVMEELNDFYQNILTHWDLPESRVLGHVILSLPISSGEGYTEDWAAIEIDASKIDANNFNGNAIDLGTHIPVDEFSRMMCSNPRNAHSFTYPGDRLLKLKGTIPDDEMRHPTALDENNDPCLIVIKRGNTTNLTVGRASDICSYARIYDDNDKAETSKEWAILPFDSKSGPFSAKGDSGSVVVDGLGRIGGLLTSGAGATPSLDIAYATPISFLLKRMQENGLNLVNASRQTA*
>EED79240
MSAFVTRNPDVTLHAHARLNNSPAAELFYYVPFHSVRDGGHLDYAGLPSPPVLVARTSTTPWEAFTGLEAYRNVKELRAVSNHALKEAWEDDLTLKLHALLDSMKVKWTSTDVVRIGNAGSLDIVSSRASAFLVSRYHEVFVGLIDDTSHIEAEQTLYDVDVDATDGALTSSHVQGFALMDLISRGHEARAPSLLALPDWYFEEI*
>EED79241
MDSTQVDVYLAQFNYECYIYLSATAFFCYDYCLTFSDEVRFVWNARPSVIAVVFYTFRYTALFNTVFMILGLHAWPSWQSDQYSALFYMFVIYEPTLITFPHSACGLEIEGKYGQLYFKLIDGARGSSLLFDLVVLIITWTQLRVAAMDSRGQARVSVVLIRDTTFYFSLQLIVNIIGISIGSFGRLLIPMSTWIAVLTSSLLSRLLFDLRKVSAEGLGMSITHTMETLVFANANSLEDDMVIDTPEVASGSHLDEENI*
>EED79242
MCSGSITIERWKHPDRSQSQPYRRRSKENKADYTEWEKKHDGFAHLMQRVPTLWNDVRFLARQPEAEAEGFEADGALIFVVGRVMAGNDGEGSGDHDGVRVGKAGVSARRTSTPSMNNVPAALFNASAAFKQHYPSPHVAAPKTPLRALDRIRQLCAPAQEQEHVQVRDPPTAFLSMRLCARSRLDSKHVAADNLDVALLVFHDTRDGQRPRIANAQFLWNVAQARFSAVPA*
>EED79243
MLG*
>EED79244
MARECLEESMRFKNVEEARRIKVDVSGGGLRRWGGPVSVYIEGADWKDGRGPIPHLATFASRFAGRWSRVKDLWIYNAVWRARDLDLDAVVRDLAAFAITELHLYDVILPSILTFGRLLCALPRLKRLILHHVQFTQHPLNASAFSRFHLLPHMQLETLDLDHGRDDSELRPSFVELVELMAAVSNRRCLAPPANFAQASLWRAVRRLILGYVTFPSVTTFVRLLCAFPALEDLRLHKPYAFVKHGFDLRSVPVHPGLPSHLADVELTFDFHCYSDSCSVIDLVDLFIATGLSENLRRITIHMSSSSRITTVCDAALNRLVKHSQSLQHLSFMPPLLFSVSPEANEWVHVDHSAAPYFDVSSDTCLERLDLTVDVDHEDISHLPLYHPDAELDVDLGQLMDGLPQLDAVLSRPIFDSLTDVIIFIGTLDRCNARDEDLAQDLRLCLQTVNARGILGFMLNNVNLSRSGLHQDMETGEWRSHKIERVSAQDAGVTNAGAGADDDRRTKNATTITIPHDDSDVVSGTLQPFWVPSAVYADAQTPSLLNYTDAQVPAELACDDDLVLQNATAGLGASVHKFAPDDHGMGDKLSGEPGTLISKRITPSVLTEAHLA*
>EED79245
MPKAKPFIITAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQVGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED79246
MQEQTAPWAHGHGRPLISASSPASTLRSRRFQADRLLFLDEMSVPSPVLSDREVVPEAGTGENDTDDVQPLPVLPKAVVVSGLEHASLPAQRALCLALAERRLIFEEEMASANSCLNEEGTWNLPDDFIMIYVCKADGHDRPALLGALLDKFAMSTDVVISPAVRHAYAAYRGTPSSTPLASPFATPHSLPTPSDVTSSGPLRSPPSRFFALPSMSTSKPISPPVPPAELALLRASSARTVMHPFLSAYLRNLFYAARQHPLLDGTLLTRRTHRDADALVRAFRVIAGDSVGADLLRAGFAGGLPGEASEHASTTSLSTREPAEWEKGVTGIDWARRGDGASDREAICGNGATDEPMPVNLPFRMPASEDEVDPDDKTSMLGDTNEVAVWDVSEVDVARVFPRIVSHHLRVRGGPDEEILGSINWPAVEHLPDGGPNPRLDGSVYERKTVKEVLVQILGDV*
>EED79247
MSLQLAPSAQYNVAIVGATGGLGKEVAKAFLTHYRPYFASIVAVVRDASTVAARALAEQGAELRQVNSAEPVVSFAEAFKGVDAVVNIANAAASVDYHNAIFEAALNSGVKVYFPSEFGSDLRWNDFPGWDDVSWVQKREHLSYVRQLSGGKVKIVAVSTVGFDTANMTYTVVGSPDTKIALTAKLDIGRALAELSLLSLSPESASRVPDHVRIAGNNKSYREVRDIVQNVRKVLGVPSDGEIVLKTEDYEKFKALVREEQLKSPSPHPLRHLMLLSAEGKMDFSTNDNDLVNPGQKVWKWKSVTDYIQEVGGRPFC*
>EED79248
MSFKGLLAGISKTTKRLSLKILTRSKRRNLYQSLLFECDALQREAEVLIEQCRLKLTQPEFNEWNTVLDVLKAERKKLIPFSGYGSRSSAELAGISTAESFKCDVTTFNQNSHELARRCESRRGRHIEMSGEDIGIEGEQELQQVEDNSDFHISNLAVSEKEKLRSRSAPVKTSVAFDLKDQERRCEAVLATRMIVHTPENALGLHFNGGPDRLQHSAVYSSNRTISLIVEYSEDQNCKNQLGMSTCTTLVVSTITMAFGYIPALFTASTLVVRLRPRSSLKIDYQSRLYEGDANLSEASVHYAEIQDTINNQRERIGWRAQFDRLRIERGQLAMDVFTYRSARAQADALARAERYRTNAKELNSTVTEAYHLALASTAGKSTPVASSTSHIFNHHDGPRILSVYTNNSLDVWAHMEKPDTNAVHRVPTSKLTNSIIPECDGYTESPEPLTYPGYDIPRAVGALTAAAAANPMNFCILTIPRHAPSNLDASLCETHLSTDTQNAGRPPGSDGRMHKVLLVIWINLPSHSIHLPTRKVQRGEKMKENMDDAIKEAFGDRIEKIDLTDLRQEGRLTSFGSIMGQCLINFFLNSGKPTRPSFVQTAARRYTKLVEYVFWKNKRRTTFDQSHPPLTYAPATI*
>EED79249
MDTHFAHSRLLWRPANPARTSLDAFRRMVNRKHGLNLRDYHDLHRYSVEDYEFWLDVWEFVGILHSVPPTKILEDGRMKELPTWFPGARLNYAENLLYRNDDGIACTAARETGAISHYTFRQLRELVRITVAALRMNGVGKGDRVAAIVTNHIDAVVLALASASIGAIFSSTATDMGTQGILDRYQQIQPKIVFSETEVTWLGKTIDLIPKVAEVANGLASYGLQRVVLLPSAKTGTELSPSTAAGVPKSISLSAFLSSGDNRELQFEQLPFNHPLYILYSSGTTGPPKCIIHTAGGVLLQAKKDMRLSMSMSSDDTYFQYTTTGWMMWPYMLQGLACGARIIAYDGSPFYPNVHHFLKFLSAEGVTIFGTSARFLSEVQGQGVEPYPIQGKLLGMKVEIFDPDGKNVEDTGMPGELVCTRPHPSLPLGFWGDDSGEKVRKAYFDRYPGVWHHADFIVKNPETQGLMILGRSDGVLNPSGVRFGSAEIYSVLEGFSDAIDDSLCIGQRRPQDRDERVLLFLKMRPGHAFTNDLTDQIRSSIRKALSPRHVPAYIFSVEDIPYTVNGKKIEIAVKQIVSGSNLKPSGTVANPESLTHYYKFRELEKLVGSRAKL*
>EED79250
MSGYIHSPEPTVQSWVQFKIPCGESQWVFMRWRGDNQLFSTSGLRISIDVGRGYALCAGSEQLDLRITVSSQSIGGCRLCYLYNNQLCYWDRVCSKPGRDSIGICDTCLCCEPAQLVFVNPQYATITPKVTVNPQLGCVAVVASRPSLRTVMFRDGTSYFWRVESADSADYITDCSSSTITLLNSINIVVYTLLGDYTVPISAHITRGRVSVIINTVFAFYSLSSILISRFLIHIRQAADRAARGFSSQSSLSFTNSQPDSSLSTAEFAADMAHNTVQDDDPDSAFDLDDDNNDIQEERDEEDGIEDEESGIELQELSPTARSST*
>EED79251
MASIRSPEPHSAADPFELQRLAEELAEREATSPPVATAFDEVSKAERDLPIYVPLSHENPYLRAEAFNVEEFLLSRAYTSLPDLRLELRDYLATLKEELVRLINDDYEAFISLSTDLRGEGARLERMKAPLKDLKYQVLTPREQGTRKILQDIQDNTEQKLKERSKIREQKAFLHLLLKISESITRLESLLLITVPSDGDRISSEVNALRDIHRSDDRGPDDRTRANRAKHLARVASEYTQLLYHVFRAREYGSAFIDESQWRVDRIKSTLSSDLDHLFASTLVALAAGREQGRETKASELERSKWVADVTECLRTYDMLGLWRDAEDVLRKEVVRDFVKKTIHPNALSTPHSPIVPHTPFAPSSAASGARPHTPLPTATHPPRTPYTPFTAFASKQNPFALSLHPSAGGAEAAPPIHMLDDRDDSLAALYNAVLRFVDRDLKRVMEIAEGVCAKSGARGRREAGEQGAGFEVMANVVWAEVGRAIMDELGSVVFAAGKPDEFRKHHETTQAFTRALEFLAPSLHSIEVMRAHPTYTAFERRWQLPVYFQLRWKEIVTKVEESLATTRLERLSSKAIAPFVTAQAAIVWDAINTCWSAEVYIPELSHRFWKLTLQLISRYKAWLDNSLPAFEPPSKVAAAVAAEKMGLTPGSPANLPRAATPNLPTEAASPESTAVDEISLQQFSTAIIDIKAMDAEVVKLWREELSVMMPESIDDGSDENIGPEAEPSYFVSLIFRPVRTFFGVGSADGPGAPLRDELLQSYAEEIFEIVTQRYIHFLSAMKKTEESLRRLKKNKKTSYSLFGSSSSRDDDGRADEEKIRVQMVLDVEAFGKDAESLGVSVQQSETFKILREMAGSTLTDAAEYDDM*
>EED79252
MDAARSAPNLRETGICDLPYDILFELVHYLAAQDMSYLLSTCRTLHKYLHEISLWQHFSARYGLRDLSHFHGLSFYTVYTQLLHAYAPLCGLWASDHPYRGNIMEFRLTVDEDYAGIVGESWVFPDSSSPDTRLLRPQYMPVVTIGFQPPPRCMADKGSNGSGTVSVYCDLAHPSNADIDSCHLSRIEVLSSTQDGYFMQNYRRSFPHPDFPWEGASWYEGERGMPRLKISTPVSVDQHELVRIYPAVRLPLVFSTPTKHLKPPAISITCPHKDFRGSFEPPIPFEDLTPAPPRYYPLKCEIRIGIDPESDEWKPESLVGLWIGDYGPNGLEVLYLSWHPDAMEVHAHKITGDIHVPRVLRGSCSLGLRYTCSASVCGGGHGQ*
>EED79253
MTEGKRENITAVRSSAYQIETEAQSGTNRPGSEFPVRGHVLLLATRIMPVMLQPFPLEIWFLIIDELGAESGYDALSACSNVCPEWHERTKKYILPEMTFRTQEEVASIKVGRGLGWGGPREVCIEGGRRSDERLPIPHLRTFASRLARRWTGVETLRIERAEWRVQDLDANTVLLDLSCFDSITQLRLHNVTFPSFLTFGRLVSAFSRLEELDVCDIDIVCDIDIVKAAIDAQMIDIHGQTPPPEPPDFFIAVCSPSRAWFNN*
>EED79254
MHLPILPPSIVATCQGQLHAFNSQDLVDVYIPDGPETIIYTCEQQLCPNWTPQSVAQDYSHYKAIRRAQHLLGLRSTLASRSASWHSRPVSPSSRLPQTVTGQVQGDLPPDPAPEPEPEEGAGEEGVSESESADSARSASPTALTPASAVPDLRNPPTELSPAPSPLTPPRGRSRTRSPHSPTSGGPSQLPLPPQCPPSPPTPIMPSPATAPDKETLKLLLPLRYDGKTVIECDIFLSQLRIYWLINTLLTTIELKVQVALSLLDSDARAWATPYFAQLASVQMGVQGVTTLFQNEAAFTTAFKAPFGNLDDKAAAQVELEKLCADKLVREKRTAVEFSALFKGPADRSGYGDLELRDKDTEKRATEVKQILDISRARRPKLNNFFSARGRGCGGARGGAPQSHAALASINAAIGKGDFPSSCFGCGKQGY*
>EED79255
MPKAKPFIVTAKHEPTGLLEHIAIHNTHKFDDVGKPRRIVHPTIKPLIRRPFNPERAETVKHDIEELALRAHLFKKQQLLNCISDPAPPLIYRIDMQAGPSYEYEPPKPLPNIHFQHTKILLRTSEYNKMFAATADRLEPVFAQMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEKWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITVLFINKDLASKDFVKYAERFRTLGRLMEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGLDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGTKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED79256
MADTNLAPSTATSVQNTTHAKLPSFKHPLDPLTPDEIVAVSLGVRRHTAANTDIKAIRFITSYLLPPPKKAVLAYLGIPLDPGEKPERATEIIRKAEVDDGQWEVETLEKLPEGVQPQISVEELLLCEKIIREDKQVQKLAADVGEKASX
>EED79257
MQLCPFTYIALAAVLATATSVVGHPSVVDGVAKVKARQVDDDCGNNIALQFVDSAGDNFGACEDVVYETYLTLDRPNDFSYCTQQLYDCAGVALGRAIFMKSQDVKITTRKQRHAADVIEGGKRGETTVKTEGRSCGTCIMLHGNHDWEAAHCYAPNVEDEPGRVQESERAAEGQDCLEGDMVEVEKERKAPYATLMYIPDPELALAIAELTAIDIRPPLANYTLGKVEQKFAAGVASGGCRDTALLVGKHVNRLHKANAYNQLVCISSTSTAVWKISADLHNNPPIGPTHCSAPHKMVLPFPTEIWLGIFHGLAKEGEYDTLEGCRVVCRGFQLMADECLAYNMTFKSPKDVERIKMNFSGDASEVRRWRGPRQVTIHGEDSSEDDRGPIPHLATFASRHGGRWPSVDALTISNALWRAADLDADAVFRDLFRFSISRLSLDYVVFPTILTLGRLVCALPRLKRLALWHVQFTHQLFDASTISQFRLLPHAQLEILFLGRTFDSKFPRLSPSFVELSEFIAAVSGRKHLIPHSRPTQACLWSAVRTLHLSSVIFPSVATFSRLLCALPALETLILQGPCTFAKHGVRLRSMPVLPSGLVVVELMLGFSLHSDPRSVADLVDFFIATGTSTQLRDITISSTPSFQMMTQSDVCLNRLVKYSGQSLRRLSLDPYISWPPLEESDALLRTDQSAAPYLNLSDNGCLEQLDLTVKVTDANDSCLCTPVIEVLSQVTSTHISRITVQLHPYDFPHASIDVDLGTLIEELPQLDAVLSLPVFGNLIHVAIDVRTLDRPNVRDEEWAKGLILRLPTLDERGVLGILLNDTRIGVHWDSKASAWKRYGVERGAIQDVVITDEVVGVEDEREPHK*
>EED79258
MSHLEWHYIALPVPSPRRKTSALVYLELELSQFDDVDTVESQNMHYMNWSNQINTAIDDQVPVHQNDDPDRTPYASPIIIVTPPQPRLIPQKLEQEDEIPGDLCLIGSVREATGTNQPSERDKVTIPSDYLLHLVWEDQHTNFLGLEIDGETNMSLEPLDLPVPSW*
>EED79259
MPSTDRPSVGSQLFRLLLSSCGPICAIHERLRWLWLLVKLYIRRLGWESSRKRKGDDRKQSDKDVHCGVDFGPRVERAKWGEGGERGAICCSKEPVISHLGLHVEHYDRSPRLPSTGDVPSRPASRPISTAYPDSVDCNGNYEPYSISVQNASQSSIDIGLATLSEHGNHYHEPRYDHLALSSRPSSRASSLHRRDRGVLRDVSRPTSSRARARSRSRVRSRARDSPAGSYRGSRLSIASFPPEHKSTTHSGLNQAIPAGDKPSHAPVEPRRIQTTYPILQVKRYHKGLKMYDISLLPYYLVSISGARENLDSNYVVKPIQLSYPFDDVPDGWTALTHPEGARYFYHAKRRIYTDAYIMDPEIMAEIDDFIALLQHLSNGLEFQMGPSMELVLELEDNTKPDRLTKYHWCYYFVDSDTRTLFWLQEYEVYDESPWDELQGLNSASHIRYAIEEMYWHEPSKELVHELQSIIVHSTAVKNAEGRFMHIFAHQRFLHYHGQKAARLSRDQSVFSDAHEHRTPLISILAPILFNAPDVHLKSLENIWIDNIITLIPWSQFMSKLQTDWQEYVLFSTVLLNANISFMTINDVDPGTGTRHRTPAQIASFVSTIASIGSTVIGLLLIRQYRLKPKDTAQDALNYLTSRRHPTLGLETLAIMYSLPYALLMWAMVTFLVAFAFECFETADEPSIIVSGVSWGLVGILIIWCIYTSWEGGETSVREWILSHWSTFHAMMLDKGLWPQAMVTRRHIATPQAEEMEFDVA*
>EED79260
MPLQSPPSLSSQDDSSPSSSSQAFSSGLNTSPASSRPLLRTSTSDPAAGLTIPFEQLTLQEQKDFWSHHPQIAHVKAHAPRNPSVGIEPQQIEPGEYYNMEMFDNRMREHEGGKGKGKDAGTQLQHACASPLPSICGSDGAAVQLRQEKWRGRDHLVRCLPTSHVGPAMAEAGADGTEAGGVQIGRRWPEVQRREAPGGPVNVMPDGDGAHSVFGAYDFERSPGPAGGDHAGSAGSSASSSDSLPTASSQSSPPTGPTLSRVDTPMDTGSSAAASSSAVASTSTAHYHIDAGLMASTSQHQLAGTKVPASPVHPFSRPYSSPDTYGSRQPPARIHSPTPVRAAPMPDRSSTASLPLPFHTPFHTLPSVAPSNGAIMEDRDPVGRASLPQQQAPSLSYFAGPSRYRSSAAEHDSDWPDDRSVSPPMARILERAYPSMMYPLPHAVPSPELGPLPPPAPPVVLTPPPPPLSEETRDRAPHEPFLAHDPAPHDSYIAVETNPREYRLLVRLPGFRRDAITLSTRKRRILHVVADSWEPNGGHFERRISFGYDADLAQVRAEFDGEFLRVIVPRRGTPASLWGIRD*
>EED79261
MVVFPESEGPDFGAIEGRKSRKTSTILAMAPLPLGTASHPTDHYNLIALLTPIKLVIVGLKPSPRTWYRRHREGDEKGGRSKFKGVLAWYPSVIPGSNAGAEVTNKKDQVNGSDHPASTMPMLIYSWGDTLFLMRLSEDKVQQQTRNARTGKINSVEVGRVVFEETRQWNVGDNVLALQWLNVNQVLVLTAASLEVHDVRTAKLVEQVPYDAWSLVSPILSHTTNGTVSYSDAVMEIAHSLRIYKGKIFTLGQSEVRVGTLLTWADRILSFVQDGDFLSAIELTRSYYTGECPGNRNSLPESPEQLKVVVGEKMRELMVASARYAFSEERMTDGTHVTRDGRGVDRTLLFEGLVKTCARACSTLDDYDFLFEELFQFYDDNGIARIFLLQLEPFVLDSVIRHVPPWITQRLIALHDNDGHPELVERVIWHIDPECLDVNQVIKLCQRHALYDALIYIFTRAVKDFKSPVVELLGLVRKVQQYRRSRRERSSPDAYVGDENIEHVVLNAYKIYPYLANTLTGLSYPSAESLPEDEAFQAKDAVYTFLFLGRSSVWPLGEGGKLILTADEDNGVEPTYPYTRLLLRFDPEAFLHTLDLAFEDAYLNDETRGVSRLVIVKILLDILSSPDLSPAEATFVNIFIARNVPKYPQFIQIPPSALHSILINLAEDPDPETREDRQLAAEYLLSTYNPHESDRIVAIFERAGFYRILRSWYYHERKWAPLLLTYLDDSNLPVAELFRCIDDALESARSANKGSLSRDLEATFVNSLPSLLNSNIVDAAALVDKHFPSLHDRAVQELGRHSERDQHAYLRFLLGSPPRGEEDGYISFRKGGPSPYVPPPLRLAFISLQCQFEPTAVIPTLRYLPPDFLDADDVAAICEEHSSFDAVIWVLGHAGKPLAALSKAEGFSNVLSARLAEMLVGPVAEDRGLINDTLSKIDAMGRNAVGVCLEHSQATSNVEVPLEDMWYQLLRSQIDTVQRVASCCLSLEDKGIDGTGHDEQKGLQQQSLSALRLLVQETFASLMTISSAKAVSLPRLFKRLLTATSANSVSKPTLYAEFRMLFTGMLEAYRSDGDMLIITKHLVDDSVFAAIQELAQERIRGWAPSQGKCGGCGEAFVKKKQSNDQDISAGAAVIVSRTGAIYHSKCLPSESS*
>EED79262
MGSNKPRGLQAARKLRNDRRENRWADKAYKKRALGNIYKTSPTGGSSHAKGIVLEKIGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDDNDEVLISGFGRRGKAKGDIPGVRFKVVKVSGVGLLALWKEKKEKPRS*
>EED79263
MSTYLYELPTTGAVFFAGVCEDPTVSYTTAIADATETRANLRAALKESKRADDGGKDYLKLVKVLDDYLPYLYAIMTCVHHGELMLKTEPIFSWRTTLSSTLFHNSPRLSIPTIATELCFTQLTYGFALSNLARAVIHSLGAYERDRAISDAERKAKDERLGFAVTLLCKAAGLFEYIGKDCIHEWELERDRVVAAGLTCPRPPDLSREVVIALSKHLPFGSCSRRLRSTIL*
>EED79264
MAESVFPLTLDDTSPTIAYAPFADTFGLPNLTAGWSPYYTDSGFSTDSGPSSSSSGVSNVGNGTSLHVTAHDGAQFAIRWNGTAISIHGTVTAPSSSAFTYSVSLDGISTTNYLSSISQVPSVNTASTDILAQFANLTDTSHEVVLTVHIPGMSSKVSTTNLDAVVAFDRAVIDMDGSGSQATPTSSQLPSATSIPTTTSVPDNLISYRGQWSYEPRLLPNSPSSAFHTSTSVGDTAYVNFNGLLKMLLCCVRRRRDPPLPADGTFVCVLAFALRPPAYGTAVTLAGLTTPSSGRYNITLDNTSYSTLSARSSFTASTPTILFYASDLDPEAMHSLEITNAGPAVGEETSYLVVLAGGVNVTTLTPPGPAATPVGATSTSSSLARGTVAAITIGATLAVIAAIGLVAMLVLWRRRITRRKQSFIENPQVGYWRTNWRRWFGPGSTQPEYAHGPGAGTEKHDMWEDGRRRSRTGVLNIGMYRDDDEKMEGGVERGQAKGKAVTRPRHASQNSDGSFSIELPELSSTPLEYPPHPFPSTPQPLSLMSQISTVPRVAPSTSLRSARPRGPRDMHGRDSSRGILLSEAISPMSEDEGEDDIPALRVEFAQEQRRPERRTERYLSAGGLSLPHSLMQALSRSRDAEDENGAGPSGESRPSTFLSFLDFSSSSSSSVSGGRSRSLRRSASNSRSNSTRSSRKSRRNTGSERSTNSTAPPERRMSLGLSMTVGGGPTASRPSLTPDISLRVVPLPPPVAIPSDVHPRDVSFVSETDPDQMGMPSPTDSLPLTMSEIHFRHSVHSAMSHVTESRRTSAIRSSNPHPPHPPLPVMSSSSSPSSLIAGTHTRDGSQVIRSTIVQKLMGLPVTDSTASTPAVTPTTATVPSSPPAPPEPGPSTSGTPATSSLGHRTGFSFSLGRHR*
>EED79265
MTLRAVAVATPKTVIKNIFNMVYEVQHEPWRSTYIALQSALTYFFLMPLWALSSIPRIVRPRPSWSAQKTFLLSYARHWSVVGPVVERVGQITKVPDHRAISDAPSVKSVWLEPTPRLLVGDVARWAEQADVRPISIPGYWYDKDGCDTPIGAPPEEGEKVVLYFHGSAFIVLSAHPHTMTGPCVPAVLRHSPAKRGLAVEYRLTGPASYANPFPAALIDCIAGYHYLIDVVGFAPENIILLGDSAGCNLALALARYIVDNIAELSTRMKLPPTPPSYSMVLLSPWCDLGTSHETPGSSALTFWYDYLADLRTGLMGAARRAYTHQLPPNAPEINPYISPASLHPGCHASFKGFPRTFIDVGTAERFLDMSHTLAQKMKRDLGDANVVYREAQDAVHCYMYLPFEAEEAAKSMKALEAFLE*
>EED79266
MPESTSVPPTTGTQMAWEPKLERTTDLYGRRIDQQYDKKLSMIWRAHSRQDVEWSAAGLPGDSWAWAMSYTVRHVKRRVWADEQQGKRGRWTKANRRERRDGERREDQTRGWHQSGLIEKQVCDRTRHGARGRATVSRYRQKMDRSVRDEEDIVEKRQTPFATVQLDASHTGVRVEARIEEKRSRANRRGTRVIRREREERVAGSGTLYERRTQSIDEMFMSVGRRRAGVDIQSVASLRKNKRTASGVMQDFCASRWFSAHLVATSALRGEHLTTFPLHCTARLEAVESLVVRHATVGTSFARLGGRFSSGHSGERRSEGQARQRAGGDLPLEFEARHYVVRDALHAVGGLPVGLAARKAYGEEFPQQEDLRKCALNFVANHQSNSSSICRNIEGFVQVQELALGRIRFVGDECVGNVISLYSIDPPNRGVLGMSISEECSESGAHHDESHISAYTIITGTESRENWIDAQAEKVSSLASDLRVARSTHRDNKFDPVATPPKWFTCNFARASALNGGDDGEDISFVADPFPGGSSHSKSPVLQVTYGKGSYSKGGGGAQFTSLWSPPGDEKQYLVYSYFLLPDGLCDNKNSQFAISQGILQYRNSDDVYISGFYFSTFFGGADSSYATPKETHSYFRNIQMWASTKAA*
>EED79267
MSGESSKRSDLIDITYQQLHSFLSGHLEGNTPSELADFVKPRISHIQNVSTPFGAPSSGSRKRLESGSVTLGDGVAIRVEPADRDCAFAISTRFEIDEVAALVLLRSFLYNEGLPDSAGGEGANIIDEVVEAITPFYYSERLFLLRTLIPLFRANENAADPIHGIAGDLLSRILTDGHAFARALLAEYASKTKAPLPERVSSDPRQAVLWARQNAKEQLVLLEVLFWTMWSYASCDGPSVVRIFETAYETNLGSTQQNSTLLLDEEGVQLQRDSAALWVLLTLEVLELERAAEPGAIELMPKSEDTKLYWASTESMKRIHELVLGHTDSHYACTYMAWAFVLSRVTQAASEAIDLPQAHHDFIALLMPHSGRAYSREREPIFTLMARTCLDPDVGLFKLMFTLLTTSPLFVTSIAWRTASTLTDPNAVAYRSVFKGLVIAIVESVPIELIPDFDDFVEIWIALFGRSESRSVSGICCQFWQSDWVRGNARRAILDVARARFPVQPRPLIRLLRALSATGFLDTDPLVTANYSPHTGILDEEREMCTRYVFDFLAQLPTYTQVVPANASNGAQALYEKMPERHGSSSVAGGLIYTNLRALKLPGGTTLPPRTIGRLLSGDGGDAIAVSWQHEHSGWMLLLEFLTDYVNRKRRFTGTSNPHGDISFSQRGSHRPIPLRLEDIGAEMDREGDDAIVMDILDLIRSVVQDNPGLAAELLDTFESSDPVVAHTMVEAQPPDLVQLTTAVLEEALSRSSSQPRGAPRAPLITIPVRI*
>EED79268
MNTISYDKAHCLATLEQQRSAVSTSILGRNRRREETRVTGIYGDKCKAQVGRQVRGIARTFKLARRHSLDRRRRKLGDPARYDRRRAMKHDPVALTNWKVDYKEDQTPKITFSSSNAFEGILREKQASKEQDQLKNTRFVDGELLDQCEVLRTR*
>EED79269
MMLWGVREWTLGSNPYDQYQSYRSYEDRARKQPAKPNFDTRFLGTTMRAIGSQHKVTKISEDTVNYLALSLRARLQDLITAMIDASAHRTDAQFDRPASLYEDGSPMWSVVVRSDVAKQLAAIERVEREEEMRVRRERKERAEAAAAAQSAALAAQNAAGTSVDGEDGEGGPKKKKKKDGPGVTARNMSEDTRKKMSNAVAAQAAGLSTGKYAWMTQANAAAPPPKPKPIATSGSATTPATTTAPSPSATAAGGWARPYVPTKQTQSQMQDEKDMRRAITMRDALFVIEKERGHGEVVGDRNATSALRLREDLSGSTDRAAAGMTLLVSYPPASSSHPDHRGGEVRIWNIGVQDQSSPTNKDNDTTTDTRTTLEMQAIHRLPVSICAARRLNAYNVPYRLPTEILWEIFLFAAAKYREKNHCIGVSAPASTLAPLLKCATSLRHFVINQSHNIPIPTEFTRALRSMQDLETLSLIGIFILPQEGMGAIHLSRLRSIRLACSPSLSAEHLTMLSPSSDVRVKFDWHTYDCISGKIRNMQSLQVVLSPIISPCTTVSIRKPTNEIPHIIVSYRDASQEPLRTLIDLELASLVIWIRGPENLQQEALGWLCRLLPLEQIRTLELATGNVHVMRAKGAWKKRLKNVTVVRTTAYTIGRHRILEDLWAVPRLGFLSRDQVTDDELFFIPRLEALQIVDSDDKSKESDHMEEILRQRRCVLDEWHQMSTGSISVRMYMGETSSFHYVKGHELNDYSDSDKRFLQVLVHEDAIFAQNVLARRPPQIAGVPMIRYKRVRISHRHHLLMILVLEGIPWSTFDFANLAVAFVANWQIDVDVAACGHLDQRFFETDRNIAENSRLTPDFRYRRGTPITAPYLGKSAIPRPKMPSTPSHMRRGPWSD*
>EED79270
MSTPSPPNLALNRSSVQSAFEIIQPLIHRTPIFTSSSLSASLPGQNSLYFKAENLQKGGAFKFRGASYSLSCLTKAELEKGNHAGALALAAKERGVKCYVVMPDNSSQPKVDAVRAYGAEITFCEPNAPARASTLAAVQARTGATFIPPYDAANTIFGQGTALLELLEQAPEPLAAVVAPVGGGGLLAGTALAAEGTGVRVFGAEPAGADDCAQGLREGRRREDVTAVTIADGLRTPVGVLNFPIIQEKVERVIVVSDEEIAEAMKLMWERLKLVVEPSGAAAFAAVRSPAFQDLGIKGPVGIIISGGNIDLSKPLPWNGQK*
>EED79271
MDEHSDIDDSPSKPTKRLRRTREGAKALLHKLRRKNHDMTTPAIELEIIPLPTPDNAAPTPTWPGLRPVDEFADYDWASAFANSPGIGAITTSPQPAHGSSSRQLQEAAARAREREVKATVAAKASLYKNARELGGTSRFELGHGWTRFVHFMGYVYYYNHDLHTVTRDNIEDEEMRKAVEEASIGVRNALEQDGLEDHIPEDCEIIIGDEAETAVAIHHPARRIYDTGPEDPSEVLEVRTMTTDYWDWAEQFPMHLEYNNLHSVEDMFLNALTYGANVRVLEDKDNDFPYTDEQIAKIMRTYRQQRVISTGDIRANCSFNWHIARLLREVQSARTLSPRKGCSSAVKAAFDFHRVSKKHRSSWQLEAAEYLLAGILLGAHKLYFGRLKNVRMGPSVHLTDFRDTVRSFLNEWSNSNLLATVFVGQNISGLQKTASLASSIFALLSAGTGVHHVWQHRAHVNTDIMNAVKYLNHMRDDYLDAPSDMLETDLLVTSAFLSVPFSALLWSMLCFTIALAALCIQSTISGGRVLLALTMAIFGLVALATWWFFRHIWKASRSESEVSAPHARVRTYISRTFPEVSFIREAFQEIHR*
>EED79272
MDGVIFGSRVSGHPKRMFARVIVIHQYTSTDLGPILSANTPICAHSLHTRKAKANTIKPKSLSTNITCRPLQNCDKSTNYVRKSKGYYLRQTSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADHCFVTSTKRAPIYPRGSTWCRATGPNPQVVAHQELVSYYQRHPPTHPEDIFTILRIDVEPTQTAESVQSPTSEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKVEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDTEVNGTNRIENNYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNTDAAKQGALVITDTRDYGEPMDIDATAVASTFASTSGGRKWELGAVLNEADWKFHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNHGGSGKDDFRARIKTLSADEKRELRLPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVQCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARHVGVVVDNVFLEGIINEAKERKERQTKAIPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEKEDDGVDWELYGDAHLSMDYRPDVGHGDGSWTRREGVRIDAEIEGVPSAEKQGPHVVRNELASSGARVAGGRRPPIVVYNDCVRCFINEVRVTLDLMTFGQSFRVHGLRERCEQDIRAMGSGDGAGDEYRSAMFKHVRIWSNIRVFGRMRAGIMTWRNWGRREPGLTESRGDWRRPGFANS*
>EED79273
MPVEETIKFIFSSLPRFIYQPRAVKTILLSPAALCVLALGLRWLYSKASTTHITGDPGKVRRRLKDRDIDTEYDIVIVGGGTAGCVLASRLSEDPSIRVLLLEAGTSSRTTLCSQIPALFPRSFHTALDYNLYTVPQTHAASKRKYWPRGKMLGGCNPSAGALRYRFHHGAPSDYDEWAQLQKGQEGAEEWSYQQLHRYFMKFETYNPSKEHDLVDVRLRGSSGPVHVGHFGHVSELTHKFIRACHNAGVCYNPDINTSKGTLGSTETPTYIDARRRRVTTETAYLTPAVLARKNLTVATKAKVLRILFEHKGNAGNTVPHAVAVQYANERGKVFEVKARREVVLAAGAVHTPQILMLSGVGPANHLVSHGIPVVADLPGVGSHLMDHGIVSFYFMDRSKADISALTVSDIRGIPRPSLAFKLARAALQYYMSGTGPFAGNIAEAMSFARSDDPKLFPHDVFRNAGRAQLEDTTSGANAPDIEVLFTPLAYMEHGDVPFPIKGHHFGLNAILLRPTSMGTLRLHSADAYDAPVIDPKYLSTCHDVDVFVRAARLVSRIAQREPLASLVDPAGDDIPLLNHKMDRQSDAEIEALVRDRVQTLYHPTSTARMAPRADGGVVDPFLRVHGVPNLRVVDASMFPTIPSGHTSAPVIAVAEKAADMIKETLIGHHLSSGGR*
>EED79274
MPWADIPLLDSLHLALITRTVYTCAVSDFSDPKAWIVPSWLRALPPHSTLFFMSAGSIVFSIKSFLVVGDVFHLSQISDSDALFKRCSYQYITMPDNLIFIALFFVLPKLGLNALLATLNARLDLRRTRQHNLSTSGQSMKPLLSPSIRYSSKTEPGSPRNLSGRYLSFQVQAETLPQLPRASRTAMHRVGYADADILEQGLWSPPTIFRSPRQSHFSDD*
>EED79275
MSDGKHFTLYSHVGGPNGWKVVLALEILGLTYETVYLDFKTGEQKAAGHTKFNPNGRIPTIIDHKNDDFVLWESNAILLYLVEKYDTDHKISVTDGNDKFTQLQWLYFQASGQGPYYGQLGWFTDYHPEKVPSAIERYTNETLRILGVLDSVLSKRTWLVADKLTIADLSFVPWNELALTRVLTEEHGYNIEKDFPALYKWHSAMMAMEPVRKTFETRKAVFAASKRKE*
>EED79276
MAPSRTEKSGRFAELWNRPIMSTAVGRRLGVAHLSGSIKGVCFVDALAKEALDADQPDFVSYSLALARVKARMKSKWDCVARDANAVHYRGRRLWVSDDPLLHGTTAATTWLLKHAGKSNADVARAARVLTNHFPCGEYRARFNIPGPQDCPCGGGLETRHHILFQFPFWIRTKAPVASSLALPHDDRTRQLRAQCGWSAGDVLEFLRLNPMVSTFGWAEILAEALADRAAGRHSRANARLQAHTTERVFRWRRFYQDRGKVPELACARSDDFDAFTAW*
>EED79277
MFNLRAVKAGRYRVIVINPEIIMQDGHTKEIIQSNDRPKISLCVRKMEHAASSFKDLDFVIPDDFATNVSPPKFVIFCNSILETEAITQYLCNWLPDHLRTKIKYLHTTMSADYRADEYMALKNGDLFGLCVTDAFGMGFDLAGIQLVIQWKAPLSINTLWQHFGRAARGTGEFVFAILIAERHNFDEEMRKKEVAKAKRQERAGKKRKRKETMHTTQPFSCIQSMSNR*
>EED79278
MMLAASCSSLVLGDVGVAMLSGAMIIVAWTSLWEPPIDREELVYVQLPEGFLDMSEEDMAGYLATIDVESIGEEFDVFDSVQGGTFGELNLPDDYACCIHHFYAGWTFPQILISNVQDVSSIKLHRPTSWLKAILSIIQVVSAILTLYNSRSDQLMRYGYAAYGLSVTPYTIMSLINLLYAGQVGEWPCLYILHTPILEEAECREDAPFTGVIGRVRPLPSTEEISGDPSIQPCIREGYTATFLSLEYTDDLQPVTALRTLVVRVDDAIRRLKFYPGASSSNIVLRFGVASMTDRTLPLPDSLWFPKGLDPSTFLENPKLSDCLAFVPMYWFVAVNYVLAAVFANAVIASPYVVMLILTHFKVQSSTLADRAWMVSWPITMVPAVGGFYTVVKMYLDDKGYSKC*
>EED79279
MQAVDGPVLELSDIMHPMSPSPAPQLPPPAESAGLPNVDISSNYTAFHRQADSSVVQFGGDSVLRMQSPEPLDDSEVEAMRSRLHQLGLADPGGTPSSATLTEKELAEMPSPSQLASQAETIAVLTVQRSFLLQEKEDLHARWEADRDAWERSAEALIGRQRAVTDAAEKDYESERIIARLKDDNSALRHKISDLHSRISVLETELIRLRPLLLMQPAILQDPSLLQDPIFVQHFGLPAEQAGTKKRRTKKERERDRIKKERDTTSVPVPPPPETDIDMDAEGDIDTEVDPTATGGALYGLAQIPQEHALSNDVSEPQTDSQGHHAFHRPDVGSNAISSLGHGTLISRPSVSKVDEKPSKKTKDKRGRGKAADSRTPLLSDARAECLLIAARKIGRARGEMMAAQARELQIQEGERRKREEEAQAAERAALDAHGRERQRSQQAQGAWPYPWDGGMQGLSSHPAYAGQRVTPPLVSTPADGPIAHRSTGTTVSQVHPSHLHPTVHAPSTPRHLHAQTTHPGPVAPYAIPHPGLVYMHSAVPVAGSSTHLMAGPSLGGSMITTVAPGWPIPTTPTRNHQEQAAQSHTPVRKGQLSAPTTPMDSLVSAARRMLDEDYDGDGDGDASVVGKRTSLRRTATVPAPDSPAPKRRRTGASALSGAASTSALGTRARKTKSGAQPPQNKKGKGKQKIPTEEEQTKNSEIARVQVPGLMRVRSALDVLADQAAQEQERRPSLGPGSQRQSMEPERRQGLDRSRTTSLHEQNVQQEGPSHLASSSIQKSTDTTLSIPALPALEDAKLPKRRHPSAPPITSSALGRSRSWERHRTSLESVQEERAPAETSPFIPLSHSRAVRAASEEPSQNRRIKTSTSAGAGAGITSVSVAREVEDGKTDRPIDKVSIDVDEPQSGDSLPEPVVVHDTVPIGADSAMQ*
>EED79280
MPRRILIGFGVDVDAVAGWLGSYGGQDSPLDISRGLYAGEVGVPRLLNLFKKYNMKTTWFIPGHSLETFPDQMAAVRDAGHEIGLHGYSHENPIAMSLAQQKDILDHTYKLLTDFCGGVPPKGSVAPWWETSSEGSELLLDKGIQYDHSNMAHDSQAYYLRDQDTWTKIDYSAQAHTWMKPLVRGKPTGLVELPGNWYLDDLPPMMFIKSAPNSHGWVNARDVEQLWKDTFTYLYREEENFIFPITIHPDVSGRPHVLLMLERFIEWVDTHADVHWVCMADMAREFREKNPPPAGARMPRGMQAA*
>EED79281
MVPLAREKGFARVFLECANWTAMEWGSVGFVYAGAAMRMSFLAGLGL
>EED79282
MSDAGDEIQVDEAPVEVEAAAEAPKGKLSVEDALQQVLKNALKHDGLARGLRECAKALDKRQAHLCVLVETCTEAEYIKLIEALCAEHKINLIKVGDAKILGTWAGLCKIDREGNPRKIVGCSCVVVKDYGTESEGLHVLLDYFKNR*
>EED79283
MLPVPPGDVLLHSGDLTATGTYADFQRTMAWLVDLPHRTKIIIAGNHDLPLDHHDSWYDNNYSRWHGRQKQVRDPAARGSSSHRSHEQSIGLIQDLVQGHKATKAGIVYLEDQTHSFQARENGRMWTVYGSPWSPYFHNWAFNYERGDEAEKLLAAFPKTDILLTHGPPFQIFDRTLTHEHVGCEALAARLPALRPRLHVFGHIHEAHGALIREW
>EED79284
MISAVIFLIHLLLAVSVASKAVDLNLFSKHTTLEQRATKPVAAAYYPDWASDTNAPSDLDFSKFDILLFAFATPNSDSGITYDSGSTSTLQTLVSSAYNSGHGTKVVLSIDMRLVHINPPPFTELLVSMKRWKKFVDACVSAVNTYNLDGIDIDWAAYAHNQGMGGCFTWSLDQDYNYVLQDVIRSNLGL*
>EED79285
MASAEPGPSQPVAPIQILYCGVCTFPVEYCEFGSHITRCKEWLQKEHPPLYDKYYSEEALQAKLGTLSLEAQTKLEEDTAKKEAKAEKKADAALKKKMASQVTIKRIERNKRKYVTAIHGLEAFDVDLKKAAKFFAQKFATGASVTKNAQGFDEIVVQGDVSGDVVEMIEDGVGLLKGVPKDNIVEVEEKKKKGGAEGA*
>EED79286
MSTISIQSVLASLHALVLPPAQPSARNMLGSSAALGVLILMLRWLSARGATAHQLIGDPGKVGRPVKSREDEYDPEEYDVIVVGGVQARLDVFSHRVYLKTEIHEFCCWKQGRGICIQSLSRNELFSRVPALFPQAFCSEHDHNIYTVPQKHAGFKCKYWPRGKMLGGCSSVNAMMFHHCAPSDYNEWAALQKGKEGAEGWSYQQMHKYFMKFEKYHPNEKHPSVDVSLRGSSGPVNVGYFGHVSVLTPKFIEACHNAGVSYNPDLNTSKGTMGVTETATYIDSRRRRVTTETAYLTAEVLERENLTVATGAKVLRLLFEYRRSGEEFGPRVKGVQYANDHGNVFEVKARKEVVISFSSFRHLKILLLSGIGPADHLAVHGIPSVADLPGVGAHLMDHASANLYFMDKSGADVSAFTVHDIRSMPGPSLALKLARAALDYVAFGTGMLSGNIVESLAFARSDDPTLFPHERFPPNNIPEDTTSGPDAPDIEIVFTPVALYEHGVLPFPIKGHHFSLLAILLRPTSLGTVRLKSADPYAAPVIDPANKKANRPRQTEPFASLIDPAGDDIPLLNHRMDRLSDTEVETLVRDRVQTVYHPTSTARMAPLEDGGVVDPFLRVHGVPNLRVVDASVFPTIPSGHTVRILLILHFVQCKLIFVSVKVRTCDCCCREGSGYDQGDFDEPSPVIFVMISSE*
>EED79287
MMRRTSTSVSAIGKLSRVGSKSSLKVDSTPAQSISEPDVPSPVAESPMREAAAAEESPVIVSPLGPSPLHQSTTAQEAPSVQPSPQPALVPALEPGTITASPEGSEAPLVPEQSKPQSQVEASSMEPPAAVPTTSAVKSQEATPAEPPAVPAPAPAPSAPGSSGSTSTVGQSGAVVPALTAIGDLPVSPSILPPGPTGPIAEKKGPDYFGFSDEQTLAPDWAGTAHAAPPVPQVISAATEEKHGPVRAGTNHAEAYAWGTEISISPKQSMASFADRRDEGFQPARTSRAVTRASSKTSLTSSSFGEVVVATGGKRVAVSLERGEGEGRRGRSPGPNVRVTVEDPYSDPFADPPPDPKPTPKVTPKATPKAAPAAKMPALSPIESLDTPALEIAANDAEWSGPVSIPLPPQREVIASKMEPVTRLILIGTSERQPLLQRSSTASLGGNGKVNAYNDASSRAAIPFPTGSISPEPASTYSIENVGWKEHALPDSTSYYVHSSLRVVTDIDLRNAQKLRAIMEYLKRFPSEVATPPQGWELWIRETGKTKKDFKLIKNWVNHKARILSFDPPPTISGDSLPDQINDDDRLDMEYRYWMYLEAHPAHAPLISDSRAEALDALSWSYTAGLLAILFSASSMISAVVALLQYKADAERTMVYVGGEGLVLLTRRSIIMSLPLVFLAWATITFVSGVTYYSFRDTILTGRFALRNPFEDHTHRTMVGTAGGIAGLLVISALLAHA*
>EED79288
MARYWLLQIRYIAWQGVPMLRHLSQYSVEQTGPPGVQLAAIEQDPSLASRLQGSKLSAFPGMLAVRPSVRIHVFGYKAWSYQIHAYPDRGGLTIEDAAIALTKVVRRAYNDLVEMVCLPGGWPLGPGGITFDQLYLVDVYQVSRGSIQLDLTAEIPTPTD*
>EED79289
MAAFRL*
>EED79290
MSSTLPFLDQFNAPSTEGGKRILIYTPKHTHVGNSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPCEKEAPGHLERECGTRPMKRHVSAPPEEPARRMGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPCSTNPEPQASPIVGSSRPRPDTPIVFRKVDPDWTPDTTPWTWDSSWPHQEHLSGEEWKNVGRNTCNEWFDEEEDDGVDWELYGDGEQRQAPLGPDTALFGNRIPPGTSAQSPNTSISPSTLFDIFDGARRLLEARRGHPDASR*
>EED79291
MPLSSNNLQAILWCLEENGTTMPELLVSLLLSDDAASWSARDDITRHISPIMHILHTAQATRAPLQVWVHETVKSVYVNEIIALTQIKPGFHFNARQATEQQLAGFNLEKLSETMLTTVLHLCDLLSNLLDANPALKHRRERQRPKRRARRMRAGLSSNPWQSMEDVGEYDADMAETETWPREAVQNAGANDAVASDSDHEFWAAFPEPDPALVGDYDDVEMDGNRRSEEVRGAAAEGEDSEDEYWDNEFNAFLPEDTEDPESEKDEFSMNESRKRVLTMCCNALQGVIGIFLHACNAPESIIELLSCIGVSISQTAIRDAITSLSKESAVALKQLGRTLLAGFTFNNFDIEIKQATPTIEKPYNALLHLTSSTLLRLDHGVSLNDLQCSDALWQQSPNNPANFKLNSAIDWTEFVNLHPDEPDVIGFTRHQRFHCWKFLYDLVHHGPEYFRRFTGVLGDAETVEEIPCVQSKQVPARAMDINQSTVKGNADALSNLFAQGGIGDPTDMPGCRDIGDHVILVHGDLATCERVQSVQRSRREEKTPFNRYQSVVFIMGLFHLKMACTDTIWKIFIQPKAAQEDDTSLLRQVREIRIKESAKVASKPGFRRMHEVIQHVSIVSCLDCWAQEVGDENRAMDSLEAWAQSRPSWDDLVSVATRLVTKYVAGPEFSELQYQSPEVRDQQWENTLLREQYFLLYEEVSYTMNAGDIGHVEDCFLPWIFIFRGCGKHKRAIRMNILCNPTGRKNTFRAIDWWVEHNNLYIKSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFITTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFTILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFIKGLPKELYVSLATRVARD*
>EED79292
MTHSAEVLVKSIEYMTSLPLGDSPGTQVVSDDSEDEVEWLSNANIDEEVSNRFMQQAMEKIPEECNRIITQYGALEYIMSDDPVPVVTGVAEPKGYLKKSDELNIERFGTGFHQTSQIQSPLTSTGLIRLGNSQLMRSIATWDRFKADTAHLYAAVLQRAQTGSAHGRVAESRITEFLQDVEAMDAKYGRFGMRERRGQVIAQVKKIMDTIQLRTIRGFMRALTFHPDIKPTLVHVENYTNFAGGMSEHDMSKYLNLAEALREKGTLRGVFARLLCRVWGETITDEYLHDISDALASASPEERAKLSPDILDAWAEVEMVTDYIIMLGTDGEDWRRAPDDRWYLEHWSQLDLSTKITQDSVVKLTRMTPSWTHNPALLQESSSNLEAGSSLDVVVDDRAQQLAPALSKAQRKKLKKQEKLAARNIEEEVTRKSAALQVAEDHNNSAEGDLKVPVLAPAASASSRIVKPYAVPNIPESIPDIMATRGPKLKTRGVADTSKASTRTTIEEVQHRVIGRLHVRAIYPIGKCYETMERLLVRNQGSMDWVDLEKTGSAIANLIDRATDHEMYSNEYGNPLRCKALDELNEVDAAAIVSFEQRFNVSITPFVQILNVAAQDKPETLPERFSFRYDAQRQTVECLYYLCDSETWKGGPVFALGTIGDVFINRSSRCEAIFFRTLAPLAEHTKEKWKLWPEIVGFLKKILDAPSSGSQPQFSENPRRESTSVRAAGEAPSKRVDYRFSNYAGTFTIPIGDTAVTVVRPPVADTSTRFYTKKDFPDFTKKTQPVQRKQDAPAVLSCSDTPLSSGSRALIPSSNVEAPKRQGPHASALCPPTGIQQRVNLSLDHQPSANDVYAAVRTTDPRLRKRSVLQIDARPDVNQQHIQISPRRSEKRMKTSNPMTPVPPVPPEVRAQDMPTRDSTEPIRPVQATAVLASHQPSTNQTTWNAAIVTENLMHDDLSIMLRVHGELFKFDAQQLAQESRLCQAVLYRRENVQRPHTSRDNDYSSLIVRSIRNEVISGCPVYDISEVALEDFKILSRVLKRGYEFMVNPPEFPVLAALLRTAHTLSFDRITNIATHFLQRLWPNDPSRVTSTRRPYAIETVLLAESCNIRRPMKHAYYEVLRTETFGVGEKSAENDDLYFPASIREKTPSLTTDQLARLIKAREYLLGKWSSFMHSAPEVLMQCAQDRSELLQTENVQARTELERCRLARTRTIGFWRNLTAGIDDVGLYDILGGLDSLKGLCTKQGGLCRGCAQVVGSHWDEVKLDVWKDLDMWLQLE*
>EED79293
MPSNLQLTEDEKGTLKYIKQTLKSEVDHFFRKVSFKDDEHCLLPDSHIPRYNEEKKHVGCLYYLCTSARPPPGSGLLGDVWIITQPGAESVHFRDKSGWVKWQHNNHAMDMAHPLFRDRRLCLGTRGLTWVTLGSVQSVRQRWVEYRNVRMSDYQNLPIPDIVKDVVRLKSASLSSLPGVKARPIDDSTRLSPQGQRLETQSSLKAAHTPSGRTSSSLEVPKPVIERASPTIAADTNGAIPSAARRSLVPPSRGSGSPKPPVAKTARKPVLDSAPAKNMIGPPITPRGLTTAGTLPNFKLKAAGSAVSTPASSRPTTPQDTLSQPASPTIHGLSNMFSNMMPRAGSSNASLTTNIQPLCLPPFYASGSEPARHEQARIRHPIPSSHIVRNPPQTSASQSQSGSTDSRKRAAFIKDEGQSMTSLSLRADASSERPAKRLKTNGPAPVSPSQSARATSPIHTGVAAPDSTSSSEPASAPVTNARQPSDTKPVLLVVPVPKPLLSTPPSLAPKILPDPRHRHPEFWHMDGSIVLQAENILFKLHRSRLIQHSPLFAAVLSAEKKNNNDVEFNSTDIIAGNGEFLDGCAIFKLMHVLARDFENLLRALERGYDFVVKPPPFPVVASILRTAHSLSFASISQFATHVLENMWPNDIARLSTKPKLHAAEAILLAQECRVSSVLKHAYYDLLRTRAFGASIPTVNDDMYLDQSPRSDTSPKAQFLCSDQLVLMVVVRERLQSEWIHIMRGPPKLSACALERRPPTERERVDGMRQQLERCKTATSKMARDWSMLLAKTRVYEIGLFDILGGLDLLLNENWRGAGFCRGCVEARKIWTDKKRQIWDNLDDWLGLSSADNT*
>EED79294
MSNTCSPHTPTEEIATGRGKAIDRAEIGRLTMTHGSRRACRPSTRWSWALDAPAHVGGICAVNWVVFPFAVLPGIDAAGPSWELTQGHSTDEEEGSGSNSERGRAGPVEGDGGILPDQLRPKAGKASTPIHMLIPRDRDALPPLQPAPPRYSYSNPDPPSALLLTQPNASSSSQPPPAASAVPAPKRRHVCHTCDRTFTTSGHLARHTRVHTGERNHKCPFPGCETRCSRQDNLQQHYRIHLSPGSRRSTGTATRAAMTRALSTSERSTPGIRDTPHGGPRALGSSPPSPRLSPPRRPDPPNTPPLLTQAPLPLVLTPADEPVPPPSGTVSSRSSTTSTPDNAYRPPHARPPIFHSAESQRPADSLQSPDLQYPSSQAIYASPVSETHSQDGWAPADPHYVRDYISHSTLGGHTSAPPSPAESPGGQSSYYTRPAYSMQGDYQLQYSEPSADMQYAQSAGVPHQGHAQMHATGSMGSSRLSIAHISPPRPRLSPLAQESMSPFSSHPSTPSYPPPGAFPMTRLQPSSRVSASPQGSPSTERQGGEGMLVRPPYHHATYPEYAHARSMSGPAPTHPEYADSLAVHHSAQQQHQQHYVHSQSLPVYHSAQGGHRAKHISPPLTLAPIQDLRGGPDLSYDARARVSPTRSQQQPPGSSNAHGDTQTHPYYHQGPQQIAEPVYRDLRSHIEAGLTAADHEQGVGPPHAAGNYVPLHQPRPHHAGYAQQEQEYEYDPPPALVAAPESEWRVDSSGRRRGPLAR*
>EED79295
MSLLHTLRRPPVFNAWYPARRCFASSSKTADAALAAPAIASEAKKVDGAEAVSRSSCPEGTILTGMSYLKNQQPVTAMADDAYPEWLWKLLEPKQLPDDGPGGKAEKYMLRKQNRRKIRDQNFMKTQ*
>EED79296
MATQTATMTNGTNGIKANGKIKSKNQLRRLKAKQKKEQEKSGTPKPDVKMEEDGVQPMDVEYVPEQLDVKGPALEGFSSVFARFQPPPESAFKGEIIYSDDDMASEGDSDAEEKKPLSKKKARKMNRLTVAELKQLVKKPEVVEWTDVTASDPRLLLHLKSYRNTVPIPSHWSAKRDYLQGKRGIEKPPFQLPSYIADTGIATMRDAVKEKEAGMSLKAKTRERVQPKMGKVDIDYQKLHDAFFKFMTKPNVTGFGEMYYEGKEFETQLKHKRPGDLSPELVEALSIPPLAPPPWLISMQRFGPPPSYPTLRIPGLNAPIPEGAQWGFHPGGWGKPPLDEYNRPLYGDVFGVMPKNNDADMGEPVDRNFWGELEPEEGMSCLKRFELFEEESEEEEEQQPAPQDGMQTPSGMETPSGMTSVVSTVAGGLETPDFLELRKNAGRAQSEAVESGPRSLYQVVPEKQASVRGLMGSERGYDVSAVTGGGAIPVLGDERGSKRRGNGVEVSIDAGELEGMSEDELRRKYEQHARGSAGVPGANGREDFSDMIAKETSRRRQKERERENRKEKEFKF*
>EED79297
MSVRQFIVGAVLALSSLSFASAVPQRRDAPQPGCPYVATTTFTQTLNIVAPTTTGVYETTTITQTETLPPIATTTVFSTRGTEIITETFLYSNWYADPPYPSYCTVSGVYGP*
>EED79298
MTAGCCGQAQLRLGHLRRVSLFASTQARPTCGLRRLSATGAMLKTLTMRRPRRQARNSKALSKFHMKVARMSPGRSIRTL*
>EED79299
MWNLQARYCIYNCPGYTFSKYISNFIPKSLLDACDIELLLHGDRIHLESHATDLAQRWNRLEGDPDARLRFIETQKAKVEEINRFAPLWKEWASARAKARKNELKRMREKTLQSAVERLRLMGWKDELNRLAQTDYAPLRQHNALRNRFKMTDCIWDNISDKLVELLQDIQDTRLRDDRRRVLRVRLAALNGLISSYYANPLRTAETEYKPHFCDFIFMPEIREVIESSNEAELGPHCENALRVLLPTLIARWEAQTRRKLTRLLQPSARSSKGTDVLELAVTHFTCTECQCLLPYPEVLAHPCLRQLRPQPRPRDKSRCSHPFPKEDMVYEISTWEIGVMREPWNSSALCVPDAPFEEHLRNVIALSGRDPASVTRRELDALDVHLVHRNKKQSRLIPLRAVINFEWERFKALAWLAAFHAINSITVDHGAGYSNPRLSMSISLYVDRDSRQYPTIVHREIEQGSTMYLMYVHAYCLKSFLIDNNTYFPLSSEYSHQGPKESKGAKIVQGCAHTIRSVELHKRRSQHERPPMAPLTAGAMPDVTTTQIGSTMHAFES*
>EED79300
MSLADASEARKSRLIALRKRKAGEDVDGDNEATQPVIKHRLFDPESRTLKKRTQDDDVTMEDTVEKKVDGLAEAIISEDKERRAQDLDLFNIAPKRPNWDLKREMEKKLAKLERRTQEAIHTLIRQRLSAQKGQSDDIVGAMRAQERAGQAEGDDSDEDI*
>EED79301
MTVMWDDHGARFPVTVLQLENCQVTANIKTVRKDLSEYHAVQVAATDRPAKTATKQMLGHFKKAGVDPKRIVKEFPVTPDAHVPIGTTLSAVHFVPGQYVDVIANSLGKGFQGTMKKWNFKGLRASHGVSVSHRSAGAIGAHQDPGRVWPGKKMAGRLGGVRTTTQNLAVIRVDSALDLIFVRGCVPGIDDAHVMVRDAKKKMISVARVNHAKGDFDKLLPKGILGLPFPAGTKEMAKELPPVIEAPTHRRSPFLPIS*
>EED79302
MSRHTLLPFGVP*
>EED79303
MRPPSSCSGTRWTPHMLLNVPMRSKARIRC*
>EED79304
MTKRYKDASKIVDEMETSELPTFSQIATYNDLAETKRKASWMRRYAEAIRVEKTGREVHSKRFFLKGTHDLTCSIPIPWTVDSGHKIRIKVLAKKMIEAFEILDALQARVFDLQYAQDPAYDWIKEFQKGRESRNVDDEESDSVSLSTVLDRSKDKAGQAIVIPAEETDDDDPIELFMRAEKRAYLRKYDFLRGSRERWIQHTLSEEDCTDPHYLRRVNIQLDTLLQYTRRIILNDPVLLLKAEGKVSLNDMFLDPNFSAEDFKRLEEGQGLLRSSGMIWWKDATLEAIAMWPEAGKKSTAANLGDLSKSIISSLPCRIPHHAFLCDSEDRFKILDGWVFKSRHTREMSNEAWWTFLSFGALGMYPAPSFCEKQGVESYRAHLSMCGVVVGDCVNGVSPTFPGPLPTTKPASRRDLITWGEVNCRVYMFGAVRDDADTFTRAFLKQLRLRPDRFLVLTRSESDPGQQLEQFGGAADEIFYQLRMRTFEAPMAAIHNPPAGHGPWNVIRSGRDVLYGSGDPVQLGDKNLPGMKGYLTELTTPKNEGWLFWLKKDRFKVKYFVILSAKPQGVVKDLVRDVAWAALCAAGFGRGTYTARKYIRAADALGRQRTSELLSWMPPSVLSYEFQSIEERLKKEGLEWFLDFSEQMGVDV*
>EED79305
MLLQISHTDILRTSFVDCATGAVAFRTNTYHPQRARSGSCSSASSSSSSALQEQLSGDNNVDRVTSLEDAYGNILAEITWDGANASLIRVGDDLIKGTAELFDAAFVKIFPDATLFPTRLEYTWRMTRDDLLLLDDDEEVVGKLHQNQIQDDLKLAPAPSSKIGHDYLELNTLPEDELPEMLVCYLLLCTLRERMYSITRFVYGQPQKTDPIAKLRRGATRSIANLRNRFRRRKAPNH*
>EED79306
MFALAAWRGFSVLALGMCSRAGQQHYLVSVNLDVILLAAMLDGILCSVWSSYATSVGGGSETAQSWWLSWALAIAVAGRLTGTLLSTLLGRQYDSELLAYKRWELILVARKWKLTVHRFGLLSESPLMVETAAVKTIGYSSEEKGKQKVRQERPSDKDWRALDRRETRLKDKTKALQQRIGRKIGQYRETIGGLEEKLRSKDLSIETLSAQLRKLGAEAGHEAEKLKTDLATRSVDIVQLRTQIEELTCSTRRAVEQLRKARTERDEELAAVQRQKAELQGRVDEQSVLLQGAMMEQQRMETVHKAGCSALEAAEGENGELKERCSSQAAMVETVQQENMELKQGQQERDAELEALREQLRMSREEADGLRAQLQQQDQTQVAEDGLTAHLPPQDGDDDTMGMLSFSGAIHSLESLDSVDSVLGGVIVERSALTAATPSQLVDTLADHIPPIDDASVASSGATTSDGTVVPVTEDSEDSEVSEASVDSATLWSGRRLQDSVELRSTQWLTLAKPKGPDSADDTTVDVSLEMSQDLAPNASMENAQGSDWDIDPRLEAYIPPPPKTMEEIRREADEAFDLLNPNWDAQRAREEAELSSDSIHFGYIPPWLLGNLAETSFSGLSDVSSGWSVGEEDGLANASMENAQGSDWDINPRLEAYIPPPPRTMEEIRREADEAFDQWNPNWDAQRAREEAELSSDSIHFGYIPPWLLGNLVDTSFSGLSDVSSSWSIAREDAPTNGPIENATSSSRPAEHTLSAECAATLLAGQGILPTSLAHFVFEGARCTVERLEMSWTNENPSSEQTQTEASLVSMNIDGRRAGPLMIIGKTTL*
>EED79307
MSDPEKRPSVSPLDVNLSSSGSSVEKHGEHDVVNAYDVDVAAQLTSGTEVAIDPAESARVRRKIDMHLMPLMCVMYLMQFADKTTLGQSAVLGLLTGDHLTQNQFNWLGTIFYLFYLGFEFPQNYALQRFPVGKWVSINIFIWAVALLCHAAAKTFGQLFACRVFLGICEGAITPGFMIVTSMFYTRQEQTQRVGYWFLMNGAAIIMLGLIAYGTLHIHSSVLMPWQWLMIIFGIITFVVSILFWFFFPDSPATASFLTPEEKVIAIERIKVNQAGVENKHFERRVLRFVECFKDPKTWLFFFFAAISNVTNSLSNQRQIIVAEFGFTDIQTTLLGCVDGVVEIIVIYCTTTSAAYWPNGRAYSGALAYCVAILGSILVNALPSKDRVGLLFCYWISISEIAPFVVMLAWVGSTTAGHTKRVTTNAVVMVGYAVGNAVGPQYWKAEYQPRNHIPWAILTACWFVSGMLLLVTRWYLARENAKRDREAHDPTYDDVYITDEATQSEKKVDKAFLDLTDIQNRDFRYVL*
>EED79308
MPFLLLNDDVLSSILSFVSPSDAFAVAATAKRVHYIAIRRALSSASLETPAQVKAFNSYILADAEYRPACIRALTLSAWAFRDVNLRKDYSAVPHLVAVLASAHGLRCLSLPDIETVLQNNPQIWNAITGLSNLIELHLRDAGPLAVSLVHNLGRGLVNLSVSSAENIRTALIPSLIPALTRHQDLRSLKASAVFFYPDVVEDPSMVHSALQSLEVYDCLYLSNIVSRTFPNIQTLRAIQPLPHAIVTVDRPGWGPASWKHLERLYIQEPADFTLWKASCLVRWLIFTNKGGVSDASLVEMVGGTLPVILTMTVTQAMLISWMSLMNVNSRLRCLQLTLLSTTGFDDHNGITNLSGWMASLPPILYSSALVMIRIYIVGHFPRQMAESEDDVLERLAVPIANAIPTLRYLCLGAGPGIAWDSDADPAEPNFMGRIVWWKVFDEDGRRCLRPISSEVWFRALAHIDSAEFEFDLVFDGRSVILRSATVTNIIPEVGEMWRLL*
>EED79309
MSQDLAPNASMENAQGSDWDIDPRLEAYIPPPPKTMEEIRREADEAFDLLNPNWDAQRAREEAELSSDSIHFGYIPPWLLGNLAETSFSGLSDVSSGWSVGEEDGLANASMENAQGSDWDINPRLEAYIPPPPRTMEEIRREADEAFDQWNPNWDAQRAREEAELSSDSIHFGYIPPWLLGNLVDTSFSGLSDVSSSWSIAREDAPTNGPIENATSSSRPAELMVSRGVQLIKARGKEVTEPVVRAATAGMKEADTSQTAVLNDLFAPLSRKRVKDLGLTEDEEGRYAARLSREIEASGAMDKVAQGCFEHYASCHRHDANFGRYLKAHEWLGAYDVLAGEMRAEREYALLAYLPHLLAAFFPLFQERGGGRVERAKADYENYLVTRANEEIYAALARGLRGAGGGYRHLAGDAVLRLEVAPLLMRIVSPPLKPVNRQIIRPGERAVLGRVVDIMVALELRFVQEKMEDGQLTYRLDPPIDVFVTYDGKRAADIAVSKYAVRQLVATEIDARLVSVQTETGDKGRPGKEGFFRQGASSRGAKAAAKHPGEDGEPQAKRARGDGGVPAVKVAVDFFGRPIVPKADADKTSQEKVKEAEAKKTAAYRVAYRFNEGNSAAVRKPVKVAAFV*
>EED79310
MRFATLVAALLPIGSVLATIHTIKVGENDTLTYDPPSVNATVGDQLVFQFVALNHTITQSTFAAPCSNFTFPNGTTGVDSGFQFVAKNATDFPTFTMTVMNTSAPLWFYCRQTGHCEKGMVFAVNPTASKSFEAFQAAAMKTTTNTTSSGTSGSSGSSGSGTATDSASTSTSTSTKANGAAALEVRNVVTLLGAAVNDLSSRRLVCGHTATRETHTSLPVERVGSDGRTGSDGRTGSDGQGSTNLTKLSDASTDSYEPQVLLQAYTTSQAVANLLGSGIAHPANPSPEELGNGLRAMLASQDAVREITEHLEGVDARWMIDVLDCAEPILGSEAGFRARIFHILRKLCGRTGRLPTSCYISRSKIEETDGKPKGRGAYGIVFQGSYDGKVVAMKLLMKCDIVNHMWFKEAVVWRFIKHTNIVPFLGVEEDGDSLSLISEWMPGEDTRKYIKTHPDSNRLSLIMDAAQGLLYLHETGIVHGDLKSTNILVDIDGRARLADFGLARVVYNNESFNHESNAIPKQGALVWSAPELLAPGRFGLEDQDPSQESDVYAFAMVIWEIFTGVYPYSGDVMAAYSILNGIRPPRPADATELGLSDSIWHLMERCWDQDRHNRPTMEIVVEELRRAIARPEGDSWACKSTQPMPLDRSAHISLSSTILTALVSSITTDEHRNRFEQGKFTWGPLTVSMTCLNQQKQLLHPNVPGIADPTKVSPEEIMTGIRKMLATEGTVRSLYSLRGSDAISIANLLDCAMSLLDVDIELDDKLRKTAFHVLRRLCAESECLPASFYIPSSQMQRVGEEPVGHGSFGIVYRGLYGSQDVAIKLLQKSTVAKADGYPFICKGLYKEAVVWKYLSHPNIVPFLGVEDRGRYLGLISEWMPRGHLHNHIQAHPDAERLPLINVLVDVEAHARLADFGISRIVYATDSVNAMTAVTPGQGTVYWMAPEVLDPEQYGINEKEDPMPESDIYSLAMLMWEIFTGHRPFADARTSAAVTFRILSGKRPPQTPAATARGISDSLWELMKQCWDEDRHKRPNMTTVIKELQRALVTSSSCASSATRSMNDVLGLAGGILDYLILSLETEHHHEILRAKLNNQSFITPTLLARLPNIKDTDKFSLEKVMQAMRLFLTSEVDVQSIPNLNGDDAQCMADLLDGVFYKEAVVCRYLRHPNIVPFLGVENRPDPRLRLVSAWMPGGCVREYIKGNPNSNRQSLGAERWAAPEVLEPDKFGVPGVIFESDIHSFGMVMLEIFSGEIPYFDILRPTNVRAKIVNGDRPSRPNATDIGLSDEVWKLIERCWDQHWGNRPSMLSVVEVLQHAFKVVLAVSIPAAQHPAIIGKHGIRVKALQERTGTQVLFPTYRLYNTVGTPENLTHMMNPPDIVKVVGKRAACKVAIDQLRYSPLFLGSMSSLTFGQPSNLLGGPAAAPFPLSQESDINLQAAFDLTSLLLGTDSDETHSPDDLNEESEWRGDEFGEAAALEGLLNVSNGNTADPEFPDVSELLRPYASDAVSSESSSTYLPPLRTSTFDGKPVVLRRKPRREARQKPTEGTTCAAASSSGGSQYSKLLDVPMHRLLDQLSTDTARQLSRADAEHATPGPSRPVPSGDASLWVDRYRPRRFTDLLGDDRVHREVMAWVKEWDYCVFGRRQGRGQKRRREEDTENLDEWRRPREKANPAFVRATGDGEDDPGTCGSPACRIQCLRDQREVIDERLRPALETGSAIGSARPILAVIDEIDGATGGTDSSAGFIQKLIQLTYDRPKKKGRKADPKASRPLLRPIICICNDLYAGSLAKLRAHARIVRFSRPNDVLLVRRLRDICERESLRADSRALTALVGAGPLLFSRRVRPVPS*
>EED79311
MVNPLWRTGTIEKKQKGANQQVLDKLKVERERGITVKAQTASMTLKNAGHQYLLNLIDTPGHVDFAWEVSRSLAACQGALLLVDATQGIQAQSISVFHVARDRGLKIIPVLNKIDLPAAQPEIIAAQMESTFGIDPAECIPISAKTGKGVEAVLQAIIDRIPPPTGEFSAPLKAFLFDSSYDRYRGVISLVNIQSGILRKGDKIASCHTRKKYEVVDLGIMHPEEIPTASLRPGQVGYVACNMKESSEAHIGDTLHRVGEPVEPMPGFKPAKAMVYAGIYPIDSNDFPKLEESIKRLTLTDRSVEATRESSTALGQGCRLGFLGTLHMDVFRQRLEDEYDANIIITAPTVPYKVVYWDRTVTVSNPTDFPDVTDVAARVREIQEPIVKASIISPQEYLGDMMDLCYQHRAENLDVKYLDVSGMASSRAILTCTIPLTEIVSDFFDRLKSRSSGFASFDYEDAGYQRSNMVKMVFLLNNKPVDALALIVHRTVEQEVGRAWVKKLHKVIPRQLFEVPIQAAVGRRIVARETLSALRADVTAGLYGGHYERKLKHLVNQKEGKKRMKRIGSVELPQEAFFDILRTKT*
>EED79312
MFYEPVHDVQKPTHPRLHLRDMRDAHIIFEAVRRGLMKPVNRRLNEVERTRYITSGSVFVWEESEEETGLKRWTDGRIWSQSRMREPYLFYDEKLSGDDTANASGQTSQSTYRFVEGPSRTWSSSAQSHFDRSDHHPTGLVKQAYSAWVLPAPNARPRKWHLTAYFTYADLPNIPTVDRDPTLCSLSVPLGVYRSGKTRTRNPDTALGVSPPPPSQASAGPSGSAIRSGSGDRMLAPPQSDRNPRPAAPRAAEDERMIQMLNSRPI*
>EED79313
MYEEVCLLCGGPLSVEGRAYCSDECESLDANSPSISTTSSAYPSPYLHSNNGPGSLADVPALVASTMGRSLTATSPYKTHKNRHSISSSSASSAVCSVFADEDEEDFANPNLTIGSEGEFSLSREMLSVDSMPKASSFSQYYHLRGSGLSYARRPSGTNNRSTITTLNRRVSSINNSSPAEAGSVWSAPTPYDTYSDDYSDDPSVSPSPASSTRPQHGRTPSDALNRTSSEQDADPDTVTEKRRRNRASLPAYFSLLTTATSSQASPRSHRVPSQLQTLTTFTRSLQSSPPTPRVANPVVNPITAYSYDHSKAQLVETTPRGRGRQRDPEMRSTSSRRSAARSPPRQSARPRDSPPPCLHHHAHVGPQSRARLDSIEKVSGWVASSPVVTRGRTLTRRNSSPPAKPRFGMMAGAGSQDDLDAVREVLARSLHIHREAPGEDDHSHLGRRESDLSRGRRRVDELDEPPVGVDSRIAPGFGNGRSGLRARERERGRPLAR*
>EED79314
MSDDASVPSRPASPVAELPNFSHVRPYRFTWDPSTRKPGPASVSGTTEGRGDYFGDASPYELYNHSSLSSLPLGALPPDWSSARHGFHAISTVVNSPHKRSAPPKAHSSLPAVPPAELPRVRRKDFDPYLSSVGTEWERFQKNAEQGREGAAQIPSAFASSSSSELPGTPLTPRIPAGRAIPPLESVPSVFFEPEFNLGDPRTFNAVTEQGDGTDASPESDPSSLSYSLPLLEKLSHHADTIEQHLVREISVRSTSFFAALSNLQDLQTESEQCLDRIAKLRGLLKEVDEKGAHRGLEVVRKEARLRNMEAVREGVRFVGGVVEMTGVAKSLVAAGQWGEALDVIDELDRLWEADSPSKLVEDRATHQPPRVPPRERSRSPLPPVPESPPESPGLTSADTPRKPSFNVPLSSLRAFASLPEHLRTLTMQITSSLTSEFVNVLRLDLVERIDADGSGDQDKEKDRNMSLKDRLRPLLQSLARTKGVREAAASWREVVMAEVRSMLRRRIPASNVEDDEASSSGSEVVNELRAMSHAAFMDLAHVMYGSLMRCIEGLNWQNAIILEVLQSIRSPKAAIDSPVLQEELSGILSSASELAHVRASRVVALRAEQHAALDLPSFCALFNESWTFVIETEKICRRMIVGLRGTVVSQAKSFLQTYHQAQISHSAKLVEDEQWNAAEVAPSVQRLVDLLVDASISDPPELLLNRGPPMSPLPNSPAPPSPGLSPNGITAPPHRPSSPLPSPAFPLTRPQSSHQSPRRVAAGPSKHLRIEDRSYFAVSATLEVLVLLVDYLKIVANLEMLTTETMSRVIELLKSFNSRTCQVVLGAGAMRSAGLKNITARHLALASQSLSIVISLVPYIRETFRRHLSQRQAVMLVEFDKLKRDYQEHQNEIHQKLIAIMGDRLSAHIKSLQWSQTSLSTEADSSGV*
>EED79315
MSVQHKILRTANAPTTPPDETETSVAQALIDLENNVPELKSELRPLQISAAREVDVRGGKKAIVIFVPVPQLKAFHKVQQRLTRELEKKFSDRHVVFVAQRRVLPKPTRNSRVKQKRPRSRTLTNVHEKILEDLVFPTEIVGKRTRVAVDGSKLLKVFLDSKDATSLEYKLDSFSSVYRRLTGKDVVFEFPVQAQE*
>EED79316
MESSPDALGVSTSSSRSASPLRARRVAVTYGRRREPPSDADTSTSSAFSLSSRADPSLLPSSSADEEIPPSSDIDDALDYSITHARDDDASDNDKTGDSFEFPWKRRLRKVDTYYAERNTMPEFSGAYRDGGTGASPSSSRGGRHIVSRTSTSRDTSDGEGSLKPLSSLLEFSQVRQQSRSPSPVIRPRHRARVATAGYDSDEDVHDSPKRYSSALNSGLSPAAQSREPSPATDRGKGKAKAITPEESGEDESTASVNRLRSKRKRPTTQPKERRTKAPTRKEREDTQKATARLLAERSTTVARTQTREMRVTDLLHKIAFNYDSQPPKSENVTSDPIQPFSSSPAVEHIADHPRRSGPDPSISAVLECSPKMPVTATFIQNGLLGAVPIEVSPFRHNGSDDERPEVSAVLAEHEARSAKQTRGEEARRRLLEHKRRALERNQQHAVIVADEDSDLEIVSEDPRLVANDEARSRGRQSARSTQYSLGRQLQLAHAGPLRRSEVRHSDATNGLDMLRMASAPAFGRPVKETKDMASVQLSHGSLNKYLFRKVQGQAGEERRLKEEEWERRGGKVRYKIVDDRAQDAILGYIDRGLSAEQARDEVGHADDDDDEDEDEEADPTYDDGDDENMPPAQGIEDDASEYTAPREGESEAYEDDDDWENDGGLRYRSSRSSCRRISTVHSDQEDETDDAIGMPDEPRDFSPQRRRDASTTSTELEGTDKENDYRMVYAAGEDKENAAFPTSSSGPRLASDRRGLFDLYLRDQSPVEVLEESDDVPMENTVEDRRPPLKEILGAETDDPFAFSSPARRLVFSVDDESEQSTSDKPSSGRKSIRDDVGLQDTLSLLQPAAPSESGFSQFFESSANAGAPSPAVPKALQAGGLSQFWSQQPVDAGFERLRQEKQAQELELTLDAGLQPALDITQGRRKKADEIFEKEQQMLAESAAGKQKSKSKDYINEHGFLTQTAQTPRLAMVSPLFTRTQAISPLTRKGPMAPPLVPRQPLAAIELGMEGDVEEDQPRRRLVKRQSSPTRSNSLEVRDASPSPSPSKSRNSKPRNVFDVMQRAANLQHRDAAKTERRLQKSEFIEGEAEESDDDAMMGFGPRNKVDEDEEDDESQDQTLTELVDDAAMDAETLAEHAVIEKHREHQQIDDEANEKLQKDVVAGNWKTKRRDRGIGFEDSDSEDDDDDDAKARRRKMSKKRRIEDDSLEQLAKNPETLAFVQAYHADDADGDDFAHLQQDEMTLINEQDQENVEDDEPEYVSTTELTRQLREAAQDVEAHRAFDPHDVSWVEQDADDDEGIIPVKEVQKQFNPRRGADLDAALEMKRTVAEDERDRARLQTWAKGESRTAGSSRSTGISAVTGSGKRKPGRGPLSDGQRAVAKSTTRVSKSSSALSSKRQHTIARSDVPPPYTLEPPTTSPHSGAQNQSWDSSGRVGPNPNSARYPLSQGRARSSSSLQNPGLPSYSYTGSAQHERTPLNPSQHQGYAYTSYSVSVREVPSRWNSFYRFMIMVLVIAVIYQMHEHRQTLSQYPPTLAEREAIRREWEAERVTYDTEVGRVRRSWALERVEHDRESEDMRRESEQWEQEKDGWKYDKIEAGRRLGLHWDQVQARRCVAFGTREYIARMEFEPREACDVMPVNIGGRMVDSPGFCENTRWDGDIVAHWFVDKDEGCMPHWGRVYDKGCVAPGLHRWETRLWGLHYGEDWHAMCKSTPADVAGRHFDGPTYCQNRGIFFGMVGMFHGTDLTCP*
>EED79317
MAVGKNKRLSKGKKGIKKKVVDPFTRKDWYDIKAPSIFEKRNVGKTLVNRSSGLKNANDSLKGRIVEISLADLNNDEEQAFRKIKLRIDEVQGKNCLTNFHGMSFSSDKLRSLVRKWQTLIEAHVDVKTTDGYLLRLFAIGFTKRRPTQVRKTTYAQSSQIRQIRQKMFEIMTREASSCDLKELVQKFIPEAIGREIEKAARGIYPLQNVFVHKAKILKAPKFDMSKLLELHGESTDETGTRVVKDFKEPEILESV*
>EED79318
MRSPISPIQFFCANCDTVIPASTASSSLPIRPSTTQSQVNDQSSISSASSGHLSRSSTPPTEVSSTLSSPTFAPPIDTEEILRRRQQSDTASAEIGKRMLRGWAMLADECPNNSCYGIPLIRPPKAGGEKNPRKECVICGTVYVDEQDHFGGTRLIPLSPPTHSYEAGSSQTAPTPSDISASSSYAGRPADSKGKAVATSHIERAQSSFSAYPAGSSALDSSAQSLELSLHALSERLKVLVSGAIIDPSLIAQTADAITKVSQALAQVRHLQRNEVLFTGNTGESNSTDSNCGSYAPTGASVGICSNLDHSRLDADVPRRSTAYAHAMFFQFKPISALCDRVARKPHVLHDVCYMDYSTHELRATAPRGRIRGTSMLTMGVTPTMLGKTMHMTSAGIRVNPSGLHLPGAEPFRRNPRPVEGYAWFSPSTIPDYYGEGEVTTAAFTLTPASAHIIQGFHRSRSHRPSDVYPEVRAGMPYAPSDETGSYVNIPSRNHSPVPIVQRESSLTRLQEAFADHGTYSPATLEPTTPMASRYSPHHAPMSMSMPMSMPMPMSTPMPIPTPQVVDLGAAHDMHQTEYVDGLPRDGIYPEVRHTSRRHRMQWMHGRPEDGYPPVTVVVQRGEYGEKDTYYIIPGGAPVIFEDDDGNEITRVGDFSGNYRPRPQRPVIVQDEYGRELCRAGFDEYHGWNRSDDGYYGSGHGSYRRRPSGHEYDRNYSSSHRTYNIRDYDEYDDRGYRRPSYDRQGEAAPNVVFVDPSEDVLGREARRTDHGLAVAMTTSILDRSITGLPVSSKSTRTAPDATRQGRYIAI*
>EED79319
MRTLIPEPSTTAKRGLKKAMGLEHDVEKFMDMTKCLRELSREMLDESRLLKDQDRKAWYEFKLQAKKTCPLLMKYEHAWPLGAYMHRYLYDLNMRRNNERVFGTSVAVRGGASDGDEDEPSDEGILDVRTAIRAFNGGQLAYCERNAAASLSKYRHHQSSYRYPHFRQRGSSFRTPELPVPSNKDKPSAGKKRCMPRATRLSNNMVRYNHMTVSEQDPEAWKAFRETAIETYPLLLRYENEWPVHAYMKNYLYFKNYNRKYRARQKSASSGAYTTKRSSRESKRCVAKNTQNLVVEPNGTMLASNEAREDSETGFTAFGSDQDVIPLPDFTYPVSSTSGTVYATAQSNPELFTAYLRGCKPNLEDLAPKLLAAGVYNETRFRYISTWPETEIVAFLKADSGSGLGSFPPLWGAQSDELEASPVNARPPATLAPQSGYIRAKIKLSIYSLTFILTSYPHNWGLTRFKVDAHQCRAVSIKAMRPPIPEPGSREKEALQKAMKLRKNTARFRHFTAQMRCISRKIFDESVPYQGQDPRKIQHFMEVAQAQYPFLQAYEGAWPARCYLKARLKQISHISRTWVYETRRLNLSTPQAFEVHEDIPVDAEGTQIPSTFTPQVTPCRLSSHTEHNEEFGDKSSRPSVLHFSFSQFREIYCDCDAEASWSGATKRDAPQRQLGIIPKLYPIAALVAKIAETFPFLQSYQDAWPVKEYLKGYLRMSRFKLWQTKQATRMGDKAKENVASVIYANISHSHSLTAITAAFKANVTTIRHSDHFEQPKCLDTNERARLIDEMPLSPTKHYINEEIVSIVTASGGMVSSFTTFLRQTTPNLEHLQPRFAQAGVNDETSFRGLSTWPLPEMDAFLKDDMGLSLFEYQEVINALLFDYRKDAVV*
>EED79320
MAEHGDGNIKVVVRCRPLNSREIARGAKCLVRMEGNQTILDPPEAGSAGAGRATERKPMNFAFDKSYWSAGPRDEPNYCSQQTLYDDLGKELLDHGFAGFNACILAYRFWKVIQSLKFSILSSSMMGYGADKGIIPLTCSELFTRVEDKKAADPNVSFTVEVSYIEIYNEKVRDLLNPKNTGNLRVREHPSLGPYVEDLSKLVVSSYDEMMQLMDEGNKARTVAATNMNETSSRSHAVFTLILTMKRHDVDTNLDTEKVSRISLVDLAGSERANSTGATGQRLKEGANINKSLTTLGKVIASLAAASQNEGKKGKKGKMDEFVPYRDSVLTWLLKDSLGGNSKTAMIAAISPADVQYEETLSTLRYADQAKKIKNKAVVNEDPNAKLVRELKEELEMLRARVSGSSSEAVYDPKIPASQQKVTYQAKDGTIKTVTKAALQEQLETSEKLMQGLNETWEEKMQRTQEVQKEREKALEELGISIDKNNVGVHTPKKMPHLVNLVGRLDSEKPAAIRLSGESIAEEHCYFEHSDGKVTLHAMPDAVTFLNGRQITAGQTYKLRTGFRIIMGEHHVFRFNNPEEVRKQRDRLAKSSMHMSITATDLQHGGTPGEGHTRPESPASSVELNDVDWTFAKREAAFARLGLDPTLDNLPDEDLNKLFEKITKVKTMRDHNSKPRPESSLSQADDIWSETGRPAASDAFTDDTSVDAMASHDSPDVGGSLKEAQNQLETQRIEFESRLQAIAESSEAEDLKIEKEQMEHQLKLVQNHMKRLLDARARGEIDAELEAFEPIIYTARQLRLIRKTLDKWRAHRSFSMAEVVLSTAVFVKEANIISKELNKDVSYNFTVASGGSLAAPVSAIDSIAGLDQFGDVVDPVLASATQPSVAVKVLDKRNKAIYAWSLDRLQQQLQRMRNLTTFIDRPSYSQHFSSEEPFYDSPPPEYSFIGNALLSLAPLSRRLSSTSVVPIFCRYTAEAIGSCRIDVKISNVVFSSKHANASASSTRPPSPVPGTVPPGSKISFILTVDSVKGLSHHDFSSAHIQVRLSSFMGPFISSEEVYPSSAIDMDTASLSDLKFRRSFSIVASSRVLTYLRQGYAPIEFFARLNATYLERMERWDELREQRLPPSTHSPSPDSNSANLPLMRRSETDFVVEQTHDVVAWLQISELAPDGIYTPVPVISQGNLDPGAFLLHQGLQRRIVLSLFSNSGRQLPWTEVTRIRIGNIRLLDAKGRLHDASSKALVTLPLLQQQAVDFKMDGTGTLSAEALWDTSVHNSILLNRVTGSNQRIMLQISWSVNVDVCAEPVQFTMDTAMSIQSRDARPPSRFMSLLGATKIIPKTSTVFTVRLTPPLTRSPKDLWRLDTAEKYVRGEETLGVWKPRGVSVVEDYNKLVSMERRAADVQAVRVVLATSPTSRIMQSDAVVWASEGLMRKALNLWQKKFGHPGEIVISQDPVDPDESSVMSGKTSGTQSTESIKLMSSTKVVPRNDGPTKKGHMMIMVDANENLWEKRWFVLRRPYLHVYAHSNEVEETAIINLSGVNIERNPEMEVLLGKKFTFTLFTASNSYALAAPSLKELQAWTSKLDPTRVPS*
>EED79321
MEYTNGAWLQQTVPDLPVVPDGQHHANDDWKDPSSSVQGVSGTVSVAGQGMDLSDFGLGDLSVPSSSSSSVSTPSTSYFGFPQQHFFLPSAPGSYNTVAYGTTQWSNPTPQLPLSSYSSLNGATSATSASSLSQQQQQQQQAMSSAAQSPMMIDTMPPPKPIGPTPEQRKATFMSTIKPLLTPNSFTGAGAVAQLVGHIEDYGALDVDASTRLEILTKMRDNAGNHYFRAWVENEGAMDVTREWLKSGYTAKADSQLVETIMPLLHLTRKVQIIDRLPLTLESLKASKLGKIIVRLVKEPPAPAIKDMASNLERKWRQLLVASQEESKRMDVDDDPKGKKRKADPSISKAAPPSKRPAVSAPGTSIKTVAVKKDVKTVVREVKDAKSDSSFFSAPKPKPKLPSFKKASPASTAVKKEPDHNVAQPSSINPFEEALKSMAKTRRDSPATSTPPPPVPSSSAPSAAPSLTVSGKPKKMVTWAPEGKLELIKLIERAVYDDDPADVSAISLVLSYTSMSGTQGTFSNHNVRDLDRDEGAALHAHLFEEQMEWTEPIPLETPLNLDFPRGENSQEKAVQEAREQSALVALYTLPNQIPESPAEPSTQIPDEQVDEGVRIMLTGAEVDVVFWSGGAPAVVEPPKHSVAELVNQLAAGTTADTSAVGALSQGSQPQMDLKAFGLDANAMQGVTSDLNPEQLQQLVQALSQGAIFQSQAPGQPAQGGDWNSEQYSDYDRGNYYEDVSEEAVVVVIAVVAAVEAEAKVSEVINENHAVSLQQEGEHRTYSELPKQETNNNVPSRL*
>EED79322
MTNDHYSSQQDAAKNDDLTPKLTGVRYLLEPHVAEECRRVMASFNRRLKDANKPIAYWDDVAREHFAPNAQMKLTLWKCDGSPRQTPEAKPYVIKFPHLSRFFATFAEEDARSMEFCTNDTTLHPRQLDETGSPLIAYSKAMWIFTYGGGERVFAEGPLSIFFKPYGDGHFIIRNIAFDAIEHSSTRTGRGAQVESRVNFFGMPPSTLRCLDNCYDTEGMRQMNQTRNVPTAGMNNTAAGGIQFPHYELTSSAMVGQNRYP*
>EED79323
MCNGCGKLCKWQRLH*
>EED79324
MGRVIRAQRRSHAIFKAHTHHNKSPAQFRALDFAERNGYVKGIVKEIIHDAGRGAPLARVVFRDPYRYKLRKETFIATEGLHTGAFVYCGKKATLAVGNVLPVSQCPEGTIVSNVEEKAGDRGALARTSGNYATVIGHSPDENKTRIRLPSGSKKTVSGSCRATIGIVAGGGRIDKPLLKAGRAFHKYKAKRYNWPRTRGVAMNPVDHPHGGGNHQHIGKASTIARSAVPGQKVGLIAARRTGLLRGTVKVKEV*
>EED79325
MAPPPASSILRVPAMGAVQEGHTGVTREVGMDLKNMKARKVAVFTDPIVAKLPPAKMAIASLESQNDLPFVVYDRVVAEPTEASWRDAIAWAREQDCSHFLAVGGGSVMDTAKAANLFSVYKDADLFDFINAPVGKGLPITQTLRPLIAEALKECASVLVPTTAGTGSETTGTAILDITSMSFKTGIANRAMKPTLDGAHTKESLECCVEWFVSPEVTLRPLDNSYVSLHPAKSLLSVMLEKIALQLQLHGRDDDPHKLFEHVVFCTNVTYSDGGFKGDLTTHAIPTNDLAHLQTQHDLASAWLSLVPNFPSQQVYILPSIEDAIKTVKRLRTSAGSPRVDVLVSGSLHLVGGVIEVAGLSEVALDSYECLVRLLLGSAPKKWMAYIEIEIISSFANFVLQLTEPAATQQAKILRHLQKDIKVDGLKAM*
>EED79326
MDNSPTLPAFRAIFLGFARHGKRVRDLPPAGSLTQRLTSSAWTITNLEALFETFVKLPHNTKPSERTIYWILVAFDTLSDHAKPKLRKVWEKLEERFGGGWGGRLEHFKRRIYDGRKINYSNQDSVRSHRSTVLQPPFHELLPAYGALLTLPAFRIKLSIVSNLSQPCSDAISGR*
>EED79327
MSHRKYEAPRHGSLGFLPRKRAARHRGKVKAFPKDDAQKPVHLTAIMGYKAGMTHVVRDLDRPGSKMHKREVVEAVSIIETPPMVVVGVVGYVETPRGLRTLTTVWASHLSDELKRRFYKNWYRSKKKAFTRYAKKHAEDGGKSIGRELERIRKYCTVVRVLAHTQIRKTGLSQKKAHLMEIQVNGGSVADKVEFAHGLFEKPVEVSTVFEQDEVVDVIAVTKGHGFEGVTHRWGTKKLPRKTHKGLRKVACIGAWHPSKVMFSVARAGQNGYHHRTELNKKIYRIGSGEDTGNASTEHDITKKVITPMGGFPHYGIVKNDYLMLKGSIPGTKKRVITIRKSLMVHTSRRDLEKVQLKFIDTSSKFGHGAFQTFEEKAAFLGTLKARA*
>EED79328
MKWPTYWPRVILSLLVVGLECHKWTHEHSSPLVEAAEWTTSRKLEVSQGYARDQVRSLWYHGFDSYMSYGRGPDWQNPANIATNDVAGNFSVTLIDALDTLVILNDRPGFETAVRNVIDWVSFDVDTKPQVFETTIRVLGGLLSGHLYASQTDGPFHLPWYRSELLDMAHDLGERLLPAFNTPTGMPHARINLKHGLLRTDALDSCTAGAGSLILEFGTLSRLTGDSRFEKAAIKAFFAIWNRKSDIGLVGNTINTWTGKWLQPEVSSIGAGIDSFFEYALKWYVMSGEVEFLDVWQEAYASVMRYSRAPDGFWGDQMYGTFDSLSAFWPGLQVLAGDLQNAIKSHMTYWNIWRGHSGLPEIWDMNFRQGTSMQYPLRPEFVESTWYLYRATHDPFYLDVGGRILYDITTRAKVKCGLASIKDLRTNAQEDRMESFVLSETLKYLYLLFDEANPIHADDSNHIMTTEGHVLWLDQNYLRPISSVRRKLRGAEQHQCPAYELPLVAYDNAQGETGLTAGIRARADTEYIRQLIGVRPSDLDTKRSFASGWCEIPRVNLFSYDFILSAGGQYVPEDFDPSPDKLAPVRDGYILYNISGIRAHIVNRLDGKGYDLTKLGPYAVKTGQLVYVSDPDLGVTPVDAKGGSNSRIPDVDLRFYVDYVDPLFHLRPGMREMTTEVFVTASTALFGGDPTVPPLVPGQPPLKFGHGEGVRVTREPTNSYGCLPYSRVFEDDAVLVQRGKCTFLEKLVGARAAGASGVVVVSDEELMINPSAGAEELAAFENIIKDAVVVVLKHSAGEAITGMLDAAEQMGFGHVMVAVEPEAQPATNDRGELKNASRDMNRVLYLNGHPLLNTRLLV*
>EED79329
MSTGSDKDHLIRLLEAHGQQFLGSFKTSSVVKGKRKASETETVRQKKQKVEDESEDEWSGFGNTAEDGEVESEEPNDADFDDDFSSNQVSATQPNVVVFSEMASQPGPSTQKMSKAQMKAFMSSKVTKLTQEVTDSPSDEENDDEAKELSNAQNDALLHRLVHTRILSGSLDPDLNLTSAQRKKALAGRVLEATGKVKLGKGEGSVRAAERNKAAKRVREGMIVKQKERQQKELEEAKQLGNYHPTLKKLYDTSSQASNHKPKRERGLKMGVGSFRGGVLKLSQAEISTVEGSTSRGRGKPRGRGRGGSRGRGRGRGGKR*
>EED79330
MANSCEPLCHFGGRLYFTTFQNPAPRADVLNRFANEQDHAPRIRGPPPTGTTSTPDDDAKYYYFTIDDQLLYLSFFQDWGPLNLAMVYKACILIHELLQDQSLASHRLVLYSSNDPRRKANAALLMAFYVMIVQQRPPWEAFHPIAEVEFMPFRDAGRGRSDFNLNIQDCLWGIYKAMQNGLCDMNEFDVEEYEYYEKVENGDWNWLTPNFIAFASGSPPSSPTRSQGEHALQRKLPTPFLNCLDYFEKQNVKLVVRLNNPLYDRQVFMDRGINHYELYFDDGTNPTDEIVRKFIDLADEVVEAGGVVAVHCKAGLGRTGTLVGAYLIWKYGFTASEAIAFMRIARPGCVVGPQQQYMYLKQLEWCKWAAYDEMRKVQNAAIKAATALVAPATPPAENDDVMEVSDDSLPQPIVTPATPAPVPPVTPSKHVARATAQAREIEPPAQPRKTPMTKRVASDSDEENEQDDMLPALGVAPPPVRRTKVKPASARAPGTRISASEQRPTRTTRSNAGVGARQGCNPNGVSKTTKAIGPGPNKIPRLANGMSARGIAATKGTIPPSTRQLRQPPSPTPSRLPTLVAKRAHHNSTSSVHDVSGVASVVKSAAAAVGAWMTTNAAAVVVPGSKSERPNLRSVRRRRSSFSSVDVVA*
>EED79331
MTSTASSSTSWMPVQGKHNVLIGSSLKRALKARKGGVPPPKNGKLPHKDFYSFRYNFKPESVDATKPGSIEVKKGREATTVSVERASTQTGEGHVFVGQEHPAREWDCVLIYDEDINAFTLEKLDSYVALTYDRKTFHAPRPAASPASPAPSIASASTPSHQRPQSPLSRVPRSESQDDLPGVRRNARGEPNDRTLPTASAKAKGKGPPSRPPTTTAAPSNKAAPVPERRRITLAERKALAVAVRKEEEEESSGGEGGSKLAQKTEPKQRASDVQTKAPPRSPSPLALPGSGPAPTLQPPLEKPRSSQASSAPSGVYAPTAKAKVTGIVGGASNSSKRALPTDVEEETLEWGRPSQPAKRPRASPPPIKGSGKETSVLDLALPSSSSQMPSLPKEPSGLAFPSATSVVTLPSAPAAAADSDEEDDWVPVTEPAAPPPVAAPMPVRQIDMEEIIPEAATPVEYADADADENEDDMEEMEIDVNAFQAELDKQLYTGASQYGEGEDDDLEAVISPETERPPGGVFDGATGADWDDDDDDTSSDEDRLLVVAFIAPITVVVDSTDRSGQDMPPRKPIPKPKGQEGMKKAMQLKPWKFAQLTGHLRQLAREYLDETKIWSQQSQQALQIVHDKAIEDYPFFGQYYEDAWPIERYLRKHLGYTTWAHRHSKRARGLAHHETRRAQDNVAAIQYADKSQQAEMSGNGSQEYSASSRKIDLPLILNTQDGQRSEIAAVDPFQERIRSHHASPLPASIASSKTMASRTSSPTSTAVHDSFVLFLHSCTPSLVPYTTAFEQLAGITTECGVRMLLSWCEQDLDALLEYDMQLERAALRDVRAALTNLREYGDGGF*
>EED79332
MAGVPDGQQIDYQKMQLIGSLKAVADQMRTCATMAEHFVQSLSHVPFGGPVPLGRKRRTAEEMSTDGKRKRKPPKDPNAPKRPASSYLIFQNDVRNELKKEHPNLSNNELLSMIAALWQNMPKEKKESYELRQKEKKEEWLQQKAVYDGRTSPGAAPIAAAVAPPIAAPLAMPAQVVSPKSLTKPVSKPAKFVAAPAVTPLSDAEESDDEDGTSSDGSTDDDEEEDAEETQPPPKKSKKEAVSHKAEQPPAKEKKHKVPKA*
>EED79333
MSHLQPAAVLNNHFLPQQQQQQQLHPGPQQPQAQPGQPALLQNGPNPNAPMALLGAPQNTYSAQLNVMQANNGLRGQYVPPGASPQGLNPPRGPAPPLVNGHNSVQGMNGFPNGMIPQQQIRRVASQPQGLNQSAGHIGGMPQSMGGMGGIGMNPAQNMPGHMRQVHQPQHSLNGMQRLQQPPQHPGMQTHMSPDMGMQINRQQHLPMTMNGGLPPHSSRTPSTQAPLMSGMNQPGSLTQQHSGGGMQPQMHQNPGFPSAMSMQHHNPQQQLGSSPHIGGHTQPHTAANMGANMPPSNMSPPQTDMFVSFQNGQMQPNVPQVPRLPQQNAQFSFQHSPTPPMQAGDLAQNVGGAQMNGPRPSSRPGLMSTPAQVSQVFEFGPNNENLPAPFNMHSNQQSAPARPPSHNANVSHPPFSLPPQSHQQGMPPRQSPRVPDQMNSHMGQVQRPQSQQGPPQRQSPQQAGSSRTPRVGQQPLPGMTQRISMVPPNQPGPPQQQPQPPQPQPSQNAQQHIAPRQPPGPAPLAPSMTNGQGPTAAGHQPDGQQQNPPQQHQLQQHTLQQQPQAAPNRQMMPPQMQFVVGLGQGTLRLLQFSGQLALETEAVGVIYHALNVSEPNPTIQTKDYRHWQDVIKDYFTHRAMMKITLWKDGQKQEAKPFEIGTPILPRFFLVTAQSGVKSMSIVLDNAREKTIAPGHGIIECPTASWIFRYSNGYTVALNGPLSAHVAAVPLMSPGQPPTPMSYVLRIEHLTFDATSHEKMVNVDRVGNLSIDGSPSHHALAMGPMGTKREEEEKRLDDVRRVSVAMPHEPVNAFGIPQATMRCLELAESVSQMSDLIHYSTEHQLGPRDALSHLARQIRDSQPRDVKPMTMSKPGPPPGPGGGCSTAGPGPGKQAGDASIISTVQLNTVGIRVYTGGSGDTGRAHYNPLDAERHAQAEGYSD*
>EED79334
MSTKDNVEYRFTPTQDWFSVNVDSWRLLFPLVESKSPRVLEVGSWEGRSAVFLLTELCTNSGDITCIDHFDLMSTEAGRERYTNITHNLSITGKPFRVIDEFSIPGLMRLLEEETTSPDAGYDWIYVDGSHEADDTFLDGELCWRLARKGAIIIFDDYHWDTEPEESIHHPKRGIDSFMTLHSGEFEVLSSPTQYQMILRKTSSMRIGFLVKDKAHQNIGEAFGYGMNIAIATDPAYAMAAAVAIHSVIAHTKSRLTIYVLDLGLGDNDRNKLRRSMPRRADATMVFIPLDYASERKEKATWAKIDMIDVLPVERVLYLDADVLVRADIWGLWSTDLRGKPIGAAIDVGFPEGHNGTVRKPYFNAGVLLLDLAAVRRTLQALQGAAREYTTSRFRDQDLLNAYFEANWAEVSLKWNAQGIATYAELPTEARQNIDMGLLKNPYIVHFTGPVNPTLEVVLNPYIQPYTAKPWGYAGAPGHPHGEEWWNVVEQTAWKGWRASEEYRMLCASEKERAIRAAVDKFEQTVEQRLEV*
>EED79335
MTAVSHLVKIPLLLSAAYFAAVCGKPPHGVPQEHEEEKFAGHESKQFREIFRWLPPLLIMPSMWLTFLCETVAILSHYSVGSLAHLPGVTIRPSPVTAQAGMLEVHLTTAFVVGWILLAAGSNLRLICYRHLGRHFTFELALRKDHKLITTGPYTVVRHPAYTGSVMALSGIALIQLAPGSFWAEGLQLWSTLGGMAVAFAWLAILLIMPLGIIARTSAEDDVLRDTFQEQWAEWARKTPYKLVPGLF*
>EED79336
MVFANLSAHEKDAFFSLLDEYFSARPDLLASGVTPEAGHAAAASALQSAFSKTSPKDVASAVNAASGFRRAIPPPAAPASEEADSRPSFGRVAAAAAAFSSPITAQGPRPPARSKPTGLQEEKKFGDVDISSGKAMFSSLRNSTANKTATPPPVAPPTPPAFASRKNNLGAPPPVRRVNSATSIASRAETAPSPPPPPPPRPHQEPEGDWAEVVYDYSSEDPGDLEIKEGERVLIVERTSDDWWTGELDGRRGLVPAAYVKLI*
>EED79337
MSSESYYIGVDVGTGSVRAGLVKQDGTLVASSTEATITYRDSNDHRIFEQSTNNIWEGMCKTIKAVLAEAKVAPSAVKGVGFDATCSLAVTDTNGDPVVVTRGDQLGEIGDRNIILWADHRAEREAELINSSGSVVLDYVGGTMSLEMEIPKTLWLKKHMAAERFARCQFFDLPDFLTYRATGDNTRSCCSLTCKCSFVPTKAGWQADFFKKIGLEEFAQNNYKQLGGADKVLTAGVPIGNGLSKKAAAELGLLEGTPVGSGVIDASYAGWLGTVAARYKENGKLVEKIPSIDESRHRLAACAGTSTCHIVQSLQSKEGIFVKGVWGPYKDAVFPGWWMNEGGQSSTGQLIDFMITTHPAYPKLKELAEQRKTNIHEVLQDELNKLCKENKAANWTELTKDMHFYPDLHGNRSPIADPIMRGMLMGLALDDGLSDLARKFNLTLEAIALQTRHIVDSMNAAGHDIIYMSGGQAKNLMLMQLFANTCNMPVVLPQSSGAAVVLGAAMLGRFAADIGREGKLNEVQQGEALWKIMVEMTPPGTLIAPSASPSEKKLLEAKYKIFRESIDIQYRWRKEIAEASQ*
>EED79338
MTIDPTTGEVSDDASTHQSEAESREGRQWGQRTHSPSPSVVKFAANIAQRVGSLVSSSMSPSPRSHTLPTDDELEAEAEKEREKSRREAERIMQLEAEGPPASSIALSDDAGNTAVSFLTEGWQQLVVCCQEQANTDEGPSDPGAASHPGG*
>EED79339
MAQAGPSSPKKLANTPKAKKPSLKSSRSKKTAAVQAVDILDKAALEYDAPADLKSFADLPVSGLTKRGLKKAFFVDMTDIQAKSLPVSLKGKDVLGAARTGSGKTLAFLVPVLEILYRRKWGPQDGLGALIISPTRELAVQIFDVLRSIGGYHSFSAGLVIGGKNVKDERERLSRMNILVATPGRLLQHMDQTIGFECDNLQMLVLDEADRILDMGFQRTLSALLSHLPKARQTLLFSATQTDSVSDLARLSLKDPVYIGVHDSDNASAMPKSLEQHYVLCTLDQKLDLLWSFLKSHLQSKVLVFLSSCKQVRFVFETFRRLHPGVPLLHLHGKQKQMTRLATFQRFTGMKHAVLFATDIAARGLDFPAVNWVLQVDAPEDAETYIHRVGRTARYESAGKGLLFLMPSEEEGMTQALAKKSITAEKIKIRASKTQSIENQLQNLAFQDPEIKYLAQRAFVSYLRSVHLQKDKSIFKVAELPAERFAEALGLPGMPKIKFLTKEMAKKRKNASYAAVTPEASLAHRPQEHSSPDSDDSDDESSAAEQSSDDEGDPAETPAELEASGNKTKGDRVRTKYDRMFERKNQDILSAHYNKLVDHSADRADSDDDFITLKRADHELPGDDALPASDFISKRKQKMALSKKALAKSAPRGEKLVFDDEGNPHKVYEMKTAEEVFKGAEDVKEAGRKFAEGERGKLKQADVADKAEAKEKKREKKRKRKEREREETGHGDDGGVATFATLAPPDEDDGYVSPQFDLPSDSEDSDREAVPPPSKRAKKSDAERSHVHDSLEDEEELVLQLLRQRR*
>EED79340
MRLRHADAIDSLEKAALTQASGSTKQGQSEELVRAWQEWRLLQIQPVEAREPREALRAFARMSIFERAPEPTLEYMPVRFERLATDGSDAEHGPQIGMDPVIDMMKGWTPSESRQFPLNITGMSPHVIGDLRERLAQNPPRLPSWLYVAPSSIAAIVAALEYWASGAKPALTATRLYDAHDYTDPHAVHGGLQALENMNMRPGSADPRELFRNMLSAFTDEQVVNAPFMPPGLSVAEARAFVRLYQEQIVEVMMPGQKRTDQPSVEQIMYRTTHTFLPPDECLGRHPDVERAVRRLKAGKDLSSALQQKASDSERSCVFSSHFCVQIERHVKYDFRKNVTFLDDDYVEHPLWNCTGVPQFHLAPFESYEHFAYFSRDCGLPGGDAAGEDARRVYQMASVFFDGVANALRTVQEHVVLEFVAGNLAQVLVKMQYDADETRPPGFPKLYTRAWLSNVPDYTHGTMDIALYIAPQLQQQPEAAVACNCLLNSGAWKDDEEFCHNKEPPFKIRMPHGLVAFFAFLLHLHRVGYPGHWLSDFLNSVLAGSMITDLAPYRGEWPIPVSEIHRRVQRRRIRLDPWLMEFENMIATAYEGIPFSVCVLPNWARSASDLCVLETEVRPARIFSTRWEDPSPPFAPVVNMLFYNPQVFLSGAKSLILSIPDILEGTKNPPPGSIYILTSVESLDYLIRVRWRMSRQRYTHMKEERWVVVLYRKDIYEQGMLILIAMLLEACRGRQATLRGLQTTGHNTRGFNIRISSASTRCEWKRWGWYERKRDDVRIVVHSQRQRSVTKQADRRDKHMTLGMRRVRGVRIRSNRCYKGSAQWHLKILHREIVRAAQPTITGKSSTRPVEYWAPGAKTALTAARFYDAHNYTDPHAVQGGRARAVPQHTRLACIRERVRGQKRMDKPGVECIMDRAMHTFLPPDERLGRPADVERAVRPLLARRHEGLDPHARAHSRVRDQAVPAQETRSNSDRSDSGRGALPIGRTVVLSCPRCTPRAHISQRTRQDNALVAREHRPRAALDERDERTGLHDLGRLIDQHGRKAHAAQHAQPRARACREHDACLPRPRCPSPRAGSERPPAGATPRSPPGSAPACCQPLRRDARQGRRCPQTRPQPASSHFATWTLRTAEPRRTAAQPARISVHRHGQKKIDPLNAGPNHARKRRKLQGIAKIAVDGPRNTRAITLRRSHIVAAGRSKYIGRAPRTGQEVHHARALAGHYIYSDIRLPLPVHQPSRLVALAATRAQIVHIVDGPLNACAVERCRAHIVTARDLVPAPNRINRAPQTSQKVRDARDSKSSPLAGRARETGSRLNTRVVNALKRQATKQASAVSSPKKLAPRPDGPTVTAEQAQLEPELVVRQTPSSPHDCLAVLHTAKALKGHALPRPLFSFDASAAEEARSSQTSEHDLSPSIKAAGILRECDIEPHLDASERYAAFLEQKRRSYRRASHDHPPAHVSLEESSMAAETRTPTHRTSRCSGGPTRSRSYALTVLNSPVSSHHCTRAVLTLRTRGMVFSTPKWHAR*
>EED79341
MLMRPRGPLYKTRYRRLKGALRDVVTEDLREITGNRTIRMSWTAVDFHQRVELPYGVALVGWPTNVARTNLSKIGGCEILDDLLMKWQTGKMRFERLEPAKRPVRLELRRCTRSDTGRSHVFVDKKVSLKRMRRGNKVPKSAPIIENSDVGSDADAAAEGNIGSRAESADENESRICKRRRLDLGNLGDDQNGNSSAPETIEDFSSDARSLAETIEDADDWE*
>EED79342
MYTFGAVLMPAYCYERLQHVIGDLRERLAQNPPRLPSWLYVAPSSIAAIVAALEYWASGAKPALTATRLYDAHDYTDPHAVHGGLQALENMNMRPGSADPRELFRNMLSAFTDEQVVNAPFMPPELSVAEARAFVRQYQEPIVEVMMAGQKRTDQPSVEQIMYRAMHTFLPPDEYLGHHPDVERAVRRLKAGKDLSSALQQKASDIERRFVFSSHFCVQLERHVKCDFRKNVTFLDDEYVEHPLWNRTGVPQFHLAPFESYEHFADFSRDCGLPGGGAAGEDGRRVYQMASVFFDGVANALKTVQEHVVLEFVAGNLTQVLVKMRYDADETRPSGFPKLYTRAWLSNVPDYTHGTMDIALYMAPQLQQQPEAAVACNCLLNSGAWKDDEEFCHNYTFLKLADVPRYLGCRVVSKEAVMAVLAIGSLPLPRPLSELACRQELTTWLTRVLLAKLVSGRSKELPFKIRMPHGLVAFFALLLHLYRVGYPGHWLSDFLNSVPFSVGVPPNWARSASDLCVLEAKVRPARIFSTRWEDPSPPFAPVVNVLFYDPQVFSPGAKSLILSIPDILEGRKDPPPGSVYILTSVESLDYLIHVRWRMSRQRYAHMKAERWVMVLYRKDTYEQVSGSVPASRWEQLADAVV*
>EED79343
MSGILEGLDIRLPRSKISPGSPLPASPGYLPGRKHPRFKGVPHADLHKVVWAARWAGLAFLSDDLMDAGKEYSRINALKRAGRGDRASAISQNLVNQHDPVELAHNRLSEALKLTAGPREFAQCIKLTDEWWDSHIHEEFQSLEQYLSVRRVNVGMHAYALDIRLSDEQLFHPLMREVEGIVSDHVGLVNDYYSFPKERLSNSDDTNIIRLLMDSEGVTYKQAAEIVRKKVGEKEKEFILAGEAVLRDPELSRSVNVHRWLTSMPYILGGNIAFHQTSGRYKTNDMPMQARI*
>EED79344
MNQESVTEPDRLGESRPADRRQPTLPIEVCERVLDHLWFDSITLKACTLVCKFWYTRSRYHLLATTDLKAREHVVRLARLVRFSAFHSGAVSQVRLRGTKAGASKDERMPSGLVGIFATMLAGKLPQLKELNMWDINWKPSAMHADVFLHLSAFVSITLLVLDGVTFPSVQLFGRLVCSLRGLEQLACYDVTFTDPGFDAAAFHVSPSKLSFLSVDGDGTREIIDFFVSTMGPQLEMLRLGMQDPQDAISVSEASTLGIPQLLQACGLSLRQLGIAMKNYELLVFSTIAAKPVDLHAFLAHNHGLEEIWLCTQLRGKSAWIPLILSGITSKKMKAIRVWVDGWSDDLDVLRRIQSFPDPPQHSSIDELLSTDKFPYLQKLEIELHLRGDHGVPLEEHWRKALVLQLPKLHARGILHASVRKLDPLDPRY*
>EED79345
MSSKGSGGAPPKYLNDFSKALAGEVRILLQEVGKLRDERRALQYEIAELMALKSKHGAGGEYTPDWKPTHDEPAPPPSPGPPPPPASVVGDAPARPAWRVVNKREERRQKAKAKALPPPEPIPQPEPTRAPNLPAWAQWRRPPTPPPK*
>EED79346
MPARAKRTATKAKKVPARKAASSGRIRGRAAAVHRDEEDEERSGSEGDRSDEDVYRSEDEEDVESLDSDALDEESDGGAKGPKKRKRAAPRSKKASPRKASLRKKRKRADESDEDDDDLDLKEGQEVVGIVVTAPTIGWGGSCSAAARGLVRVQTTAVYEIVASEWRTSGYPRHHSTNTPSRTDTHRVSSALDGIVPVAPGHAHAQGHAQGHAQSKSEDQGGGRHQKQGDETTRSARPTLASLTGCRNVLKSPVLPLPAVTVEVREAMAVVCVAFVRRPVGTGDSTMMSGTPPLLPDWQVRKRFLNRCSSSVTALDLRWHSKDASHSRSRLASCGACAGGLSMAIASGSSIAIGHGPWAPSLMRSCMHDLSLLDCGRTAPIICHTSIKALATSLGIKRATSTKSSNSAQRPPSIWDESRQDELTKHVIPCSELLPVPSLLRTNAAVEWDAGADADGDVSMSAPVPGSPLRLGAPALANARLSMGNKGATTTIRLISAAQRYDHDAPGTPQLPPFQTNFDLEMGSPAPGASPGHRPNVWPASPGNTLQGRCLYPLVPFEDMVGGGTMTAGTLPHPQPHVAHDEDDAARMPGGILTFTNATPAKVSAPLSSKPTPQPADAPDMFSPMRPPHANAVKPAPALRPRSEPFLFGSPLPRHSLSNKEFGDAAASVLEEMNRRVAEARAAKGGEAKAPARGVQDAFADVFSAKDRAHQRTGSTDHFAKAHEDAFGKMDSIVNHYAARRPPQAQVQPNSKKRKSDALGIGPAPGAKRKSSAAGARVISAGCLSNKKFGDAAASVLEEMNRRVAKTRAAKGGKAKVPARGVQDAFADVFNASDKAFAKAHEDAFGKMDSIATHYDARHPSQTQAQPNSKKRKSDALGIGPAPGANRKSSAASAHVISTGVRKKMGVSGAFGADEDNDDDSEEDPGDHRSSKRILGQRVSLAPPAGADPDAEDLKKQKEREAIRRKLDTNKARRCSSRGRVSIGGKPPAGKAKTSCFGFLASAKSLPSSTVPVAKPAQAPKPAPEPKPARPASASLPLPLLLLALAADLPVRALMRLYCETLVYVLDCEENGAFGPGNAWCVWAWAWAGAASWSCAYAKLRVQTLRGRRALPLPLSRAARH*
>EED79347
MPARAKRTPTKAKKVPARKAASSGRIRGRAAAVHRDEEDEERSGSEGDRSDEDVYRSEDEEEVESLDSDALDEESDGGAKGPKKRKRAATSSKKASPRKASLRKKRKRADESDEDDDDLDLKEGQEVVGIVVKAPTTGWGGSCSAAARGLVRVQTTAVYEVPVAPGHAHAQGHAQSKSEDQGGGRHQKQGDETTRSARPTLASLTGCRNVLKSPVLPLPAVTVEVREAMAVVCVAFVRRPVGTGDSTMMSGTPPLLPDWQALATSLGIKRATSTKSSNSAQRPPSIRDESRQDELTKHAIPCSELLPVPSLLRTNAAVEWDAGADADGDVSMSAPVPGSPLWLGAPALANARLSMGNKGATTTIRLISAAQHNDHDAPGTPQLLPFQTDFDLEMGSPAPSASPGHRLNMWPASPGNTLQGRCLYPLVPFEDMVGGGTMTAGTLPHPQPHAARNEDDAAHMPGGVLTFTNATPAKASAPLLSNKKFGDAAASVLEEMNRRVAKTRAAKGGKAKVPARGVQDAFADVFNASDKAFAKAHEDAFGKMDSIATHYDARHPSQTQAQPNSKKRKSDALGIGPAPGAKRKSSAAGARVISAGVRKKMGVPGAFGADEDNDNDNGDGDDDGSEEDPGDRRSSKRIRTTSGNDVHSGQRVSLAPSAGADPDAEDLKKQKEREAIRRKLDANKARRRSSRGRVSIGGKPPAGKAKTSCFGFLASAKSLVRNVWNMGAGALKDTKPAAQLSSIVPVAKPAQAPKPAPEPKPARPASASLPLPLLPLALAADLPVRVLMRLYCETLVYVLDCEENGAFGPGNAWCVWAWAWAGAASWSCAYAKLRVQTLRGRRALPLALSRAARH*
>EED79348
MLKMTKNTSDRVASAVGCSHLAAQMVTVSHRRIVALPRSRCIKVIASLRGNRHNRTAGVIRSCLLADVGRRPKLAGKLAKTTSYCCRGLLWEHRGVDGQPAGQLRAPRDSGETQATVKRERRRRRASERPASMCASTASRAHIEGRVVSPSDQIVFLSRDAATAGRQLAVCVPRAARASDEREYVHLPLSPAAAAGRQLTFCLHGAWVMQNTARAQRAHAQYVAALSTLISGSAWLTAGAAALPCQEDTSVRPAACATGAARHGMRLLGLCSRTTGGAGTRPPPTVEGDWELRAKLEPEPELNIPGAEPVERHKTERARARACSTVSVRPLKMPGGTRLVESVQVQADPAHAASKCCVIASLCGNRRTAGIIRGCSLAKMTSVGMRHPVVSRSPVLARAAAAVYITNQELKIYSFVCSGAGRLCPASLGPPGRRLLSERLAAARTMLPSATATFGLATQGLRSRRRCAPSDLGFRGLVLPRSTSAKTSDPYEQRPRNMSAKARAQRLSAARRGVRGREPGRGICALGQSRWARGGDGTYLGVEWRALEAACAALVHFARWRAPLVEDVKDKDAATRRQYDQWKRNTMAGRGLRKQMDKRIPARSRAHVPLRHAIVTVTQLAAPQGAYSDRAWLTFATEE*
>EED79349
MALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRNDKEGAKKEITALFINKDLALKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGLVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKSKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPNTQGDGARKAQGGSGNPTAKKAKKTRVIQVELTDSENDTPPSTKAVSANTARIEEIANVEGSTLAGKDEPQLSAKTEPITATSDFWKKYM*
>EED79350
MSSTLPFLDQFNAPSTEGGKRILIYTPKHTHVGNSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSAHHEYDKAVKAADKAIDHHKRLLRQQDDRVLTELIQLDNLKVAHRFQPLLPRSIRARHNKFIPRTIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGIINEAKERKERERQTKAVPIPPPRSANPEPQDSPIAGSSRPRPDTPVVFRKVNPDWTPDTTQWTWDSSWPRQKHLSGEEWKNVGRNARNEWFDEQEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFLLY*
>EED79351
MAHKKLETRPCNSNAKALANCRRNVRSAKERAYKPMSRAQKDDEVLEDDVVTYHCPPVLPPGWSEIAFRQKHLTYDELSPKDMEYFEYYYPGRKEQKLERLEQALKDVPRPYLLRALASIGNSLKFASYPVQPVEFEKGGFEDWLCQESLNVDIRLPKTLISDPDDLPANMPTHYVAVYGERAAPSRFKSELQPSGRRIQLFPVHAIVLFTYCKRLPKFPRRKPRVVGEWSDDPSLVLVRFPVVPISVDVDCDPYPLLQYLSSLDRDLLLHLCLDIGEHLTHPCDGPTATVYPQQRKEPSHAERVVYYAKRMVDVHEWDLRRMLWSMYTIEGLHRNAVCLAVDEAGFWETIALAREVCLVAIKMHEALYHQWQPVGKGPLSAALAEAPNQPPLAGTLICVPLRKSRKHSAKSPAKALSSSRQFVHSAKQRAYWPRDSPAVQTAPLREESEASQKREVIVWKIPSTQSTDSHPGVSFFPRATRPRPFQPLPALNGYYPDCDGVSRAYVLRALASIGPRLLTVVNRYTFSLKLPVDDFPNNIPPQADLCILEEDLPYIPTHYFAVYSPPERDDPNLSDEQKASLEADEQRARLEGGERSIILYAVHDIVFLSHCARFPALPTPKRTLMRERVPTDKWPETTWYRIPVVPILAKDDFFYQVLCYLYDLHQDTLLDWLVPGFDPRPPPRPPVNEPFADVPSRKQVPRAERVAHFTKTILRHFMGDVGALVGNMARIKSTFGLPGITFGGLGIVLCRASLVCLRTTRGSTNLPDVGVTGAPFASEMPSPNLSMERERRRIFSGVTGDGAAMLANCDPYIVCGRERRREALSEESEGDGDGDGAMRFALLLYGATRRAAALPIVSSFAPVPSHSSVASSCEGVIDTGDEEKNAVLISRDWLREWDVEGLSRSLSRSTRLADMIGPPRATVYRFLGVGLTLACRTAGLLGGGRDVGLAPGEVVRGRPVGDKLVEGSPCEGRPEIDMWRDLVAEVIEDGGELVGENAPWPSMGKRVRGVREDNAGALTGGGLMDARILGGVDVGLIVIFCEIERTATADVVDGARAMAAALREGPEDRLPGAPALNIVHALLQSAHMPYCPLLKLLEPLHDPGVQLVKEKTSLGRGIALATRRGLAECQGHHDDGGSETMV*
>EED79352
MVHRDRKYETLSGRPAKALVISRRNIQRAKSQARRPFNAAAFQKAQPDADPDAWKKDLVSYTFPGIRFRDSAPLSLEYPRRLLKPADIAPVSMENITRTESEYQGMTLDYLRRGLAAMSNRFMGTYKHFQTQRPMAAIPNEATVLVSDYGLSCLPSHVFAVFDASDTEFISPSLGGLTSPMSASREVAYYPVHGIVFLAHCSKLPQFKKMKPRLLARVPGTPTQSATTKFSMPVVPLRVPSIKAFTPVLHYVYSLNTEDLLRSLVPGIGQPSPRPVPQMHEPFATIPSLRKDLPRQQRIAHIAKCTVEHFGWDLSPVSACALRVEAVWRNAACLGLTDDNFWKTIGLAWEACLAALRLCETESSGKVEGTSQGVSA*
>EED79353
MILEILVPNPIYNTSSIRFSPIFLVGCSIATLGGFIRYKCYRELGRLFTYEVTIQQNHQLVTTGPYAYVRHPSYASVIASWAGMAVCLASPGSWLKECGVLKTLAGKTAAWLYVGYGVWGTVTAVARTSIEDRLMKEQFGGEWNKWAQNVPYRLIPRVVLSKLFANASSRTGMHEEHWEGEITCDRTQGEEMLSQCYRQGIVGARECVLDIIDPVRT*
>EED79354
MSSFPVDPAHADNTSPPDSASTDQHHSEGAANGEQENDGPGKKKKGPKRRKVNHACLYCRRSHMTCDEGRPCQRCIKREIGHLCHDERRSSVKEKTPTPGSSSIPNPPVDVARALPGSSPFGSTPIAPTTMNPAWPGMGMAQGAFLYQPEALNEFSVLSDFLESLDDGSFFGQPNGVGPSLMSPTPYNSTVLGAIAQSESLTDIDLVFIEEAFERLLLDYDRVFSAMAIPACLWRRTGEIYKANREFAELVGVDGYMLRDGRLCIYELMAEDSAVNYWEKYGNVAFDSNQKAVLTSCVLRYKPSLPSSGATTPSRRSTQTPPKEEGFISCCFSFTIRRDPYGIPTLIVGNFIKC*
>EED79355
MREGKDLGTWKAFVAELAQIYGQRNDKEGAKKEITALFINKDLALKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGLVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKSKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPNTQGDGARKAQGGSGNPTAKKAKKTRVIQVELTDSENDTPPSTKAVSANTARIEEIANVEGSTLAGKDEPQLSAKTEPITATSDFWKKYM*
>EED79356
MLAQMTVSAQAGEVLIYSPGGTNVLGGNFALVGLPSVGASGAIFGTTAIAWIDLLAHWRYHPRPGTRLAWLIVELIVGIGLGFIPYVDNFAHLGGLLMGLLMGMAFYPIISPSTRHRAIVIGFRLAAIPIAIVLFVVLIRNFYKSDPYAACTWCRYLSCIPTSANDHCQGTAPDTAPEEPDEFAVDSFVLFLELEAKSIVGVGSVWKVLAWVWRAFTCLVHDAVNRGTPTVERFREIRKLGIPRRLPLVFCLPGIFPLLCRATRAAHASHGALGAARLAGPYALHLQPGSQRRGRTRALGRRWGWKWKSVVRVAQMGSEFVQGVQANPSWRWWSPSTHLHELAYALSSYLGMLVLRVQPGRHRGA*
>EED79357
MKYIEQFQKGYKPPFQEQSDAPGLQAKLNPQPINDVTADGKPYKASGKLEEQSVIITGADSGIGLSTAILFALEGADLTLTYTPKEKKEAKAAEEEIKKKTNGKCKVQTLEYDLRKEQQCIELVKKHLSFHGKLDALVLNHGTQNANTDFPSLPTEQWHDTFDTNIHSFFYICKAAIPHLPPGGTINFNASINMAVGHPELIDYTATKGAMIGMMRALSNQLVGDKGIRCNAVAPGPIWTPLIPATMTKDSIEKFGTSVPMGRAGQPIEIATAFVYLASPDSSYISGQVIHINGGVVIE*
>EED79358
MQLAELASERSNCMKRRVGAILVRNKRILATGYNGTPRGLTNCNEGGCTRCNSGKEASDECVCLHAEENALLEAGRERVGDGAVLYCNTCPCLKCTIKIIQTGVKEVVYNLSYKVDDSSAALFSEAGVVLRRHSMPK*
>EED79359
MSAAQKLTKKQKKALAFRERKGKGKAKAVDEDNDVPVEENLDLVEAQVEDSHVEDPQGRGKPEATTLGEAKRVVEGGRPAQKRKREEEKPVEGGEQSKPKKKKRKATNSEGVATGDAATEAGEQPADMESKVKQKQRFILFVGNLKYTTTREAIQKHFAVCDPPPSIRLITPKASPSGKTTAKSKGCAFLEFGSRNALQQALKLHQSELEGRMINVELTAGGGGKSESRLEKLKRRNKELHEQREKQLKKQKKGPQNGSEEVHAPRPQRYSATSGVDQTPSKKHTWTVGDTVDEETKRSKKSKKRPPKALGTGVNAIPVG*
>EED79360
MPAKMLGRTKTQAKKESGRGLFSRKNAPKADDSPSDSPEEKASLSPAQSRTSSGKDKERASDDNTQLFGLLANSLIPDPLPELPAWFHTDSDAWSASSPASIRSRYPMHNTAGPRWYRNHHLFPPQDNTPPSQFSPSFPPMPSAAERSQESTRVPGLSRTPSESPSPTPNSSQIRIMEPNGRVRTRKISQSENADLLDNSDPWGMQWHHESPYDIGLNQERGASPDAESPTEPRARRMSFNASTSRHKTVTPSPLSQSTSAIHLSVSDPTSAHIPRRLSKRRKPFVGIFGHQSQDNFHAHPKAASAPPDGTSFTDDVQQKMLRRKSTGPPIAASASMASLHPDSSVREKHSSFMGRLARRFSVMRKQDPVAIKVDSPTDSAPAEARKSIVNGTKRVPAPSIDGEGELRPRASTSISFEGAPAPGRLTIANPDEGMSLTESPAAVEAALPPVEAPQSEVHASALQESSPTPETPIAPTLSADMPAPAPPMSPLPPPTVLANFDHSLPPTPTTTARPLSTSTDAPSQSANTTSYAASVSGLTSGFTNYDPSSTSLIPDDAALARASMVANPPTPHLTPLVIFPSQSNSSAFVVQPTAQESSKHRDGSPTKAKESSHRKSPSTTRRRETETFKLVRSPSGSVRSPNGDVITGMGEQWEVVESADSPKRSRKKESSKSKERDGEEVAEPRAHRRQRSTNEPPAVDRTPSAARSTRTPSVDTARRRPTSDFQNSAELNAMRAKDAWEMDRLWKARSMAIGPDGAAVVQTPPTIVDGSVVSDGQTAGSIPSALDLHRATSAPQPTQHGSNHTFYAIQPAAVPPPPAGLPFVLRPHSVPYQRPQFRPSFSHA*
>EED79361
MPSTQLRMAALATTPTNITIMRASTFLLAAGHTTSILSNKVKRTMAVALELTVSSMMTLETFAVASHTQGHQATTALCSX
>EED79362
MRFALAILTAVTVAIAPQGARGQFSTRLGGVNTAGWDFSVDTSGDFSGTGDAPPVSQYTHFSGEGANLYRIPFAWQLMTPTLGGTINSSFFEEYDTTVQAALSSNSDVYVIVDLHNYARWNGDIIGQGGPTNAQFASIWTQLADEYGSNDRIIFGIMNEPHDLNVTEWVASVQYVVNAVRSAGSTNYLLLPGSNYSSAQTFPTEAGPYLVDVTDPLGGTDKLLFDVHKYLDSDNSGTHSTCVTNNTEVLETLVSFLQENGNRQAILSETGGGETSSCYTYLAEELAYVQSAYPNLVGFSVWAAGAFDTSYVLSVTPYSNGTDETLWTEAVRPYLP*
>EED79363
MTPFISLVGLDWASRQAVGPNEHERLREISPIDRRQKAAEMCLRPIGGQAKWYIYDQQCTKYLQYKLDSSLCLLLTRPPPRHLRMLHDISAITPLYRSFRRQIRLLPTVYLREYFKLKTRDDVRAILDTKKADLRARKIKRFEKELRKITLANQGIEKKFEHVLDLAYGRKGKLKWELINPLLTDPSIPPPERIIPAVEKSRPPVYSKELTALLTSKVSHKNKPLGHTAIRRPPTLPARADPNSEDARLLGPLSKRREVNIYWRFYTEQVKRVYPPFQMILEERDSPGETRHLTDKVALHRAGIRVGGVQGEGVYEEIETLANAPSPSQAGGTSGTDIFQASPLRPRFLRRRFASLLGRTPVLLKDFQGSSKYPFHVTPVIMIYEIPRIIFQKPMLLTLPGYKRLRRTKMRREMLRDTVEQRDWRG*
>EED79364
MSKTPPVSFPAPPNYIPEPPSVRGQKRPHVASGASQRGQDVRTSRFQDGLDFTWAPRPPPEDVYDRLEEYFPEHDLDRPVIDVSSGGSSPTSAENPAVVSHKYRHKRSIRHVAEEHKKTASRMEFQAPLRKRNTKLWGSKLEEVTTQQARAELSSVTQDSSPGGAAKPIFRWVRGELIGKGTYGKVYLALNATTGEMIAVKQVEMPRTASDKSDTRQVTVVEALKLESETLKDLDHPNIVQYLGFEETPTFLSIFLEYVPGGSIASCLRKHGKFDDEVSKSFTGQILDGLEYLHKRGILHRWKAWVDRVDQVVNLEGGMFGSETVRTWESGLDDYAQAKWEGSEVMRQMRDHWVAKVGWLAAAGRAWARTRPSRSCSVSVAQRSPSASDAISGCEDHTCSKPGESSAAYSVTSCVSQLLMHDENPANSVPLGGRMSFRTRVEADKAKMQDAKRPLSDAGNRSPSEAQRAGRRVVPRPTPGDKHARRSLGHGPATSDSPGARRTGNPWRFAVNPVSVDCLTEADIAAVDQGLHLTGLEKTDPPWPDVVASVNAAHPPFHIVHQGLPWNCRATLNVDAG*
>EED79365
MDAVAEGALPVISVFENWKSSQPVYTPWSRLIDLHLPLSTRFAPPGPRLTGPSRFAPSLPGSLRVSAKLFSGSSSSSVFSDPIYATSARRVLGSVPEEHLVAADSWPDDFEEISYDELRASILIADPSSASYAIAANPSIPPRSRSSASRLRKLSRLSLKKPCPETECIPSAPPPHNRSTTDEVALVLASPKSPISPSRRHRASTDTAPVDDSSSAFYDSDPFRKVESPTECEHSGLPASLQTVTERLNPDEASSPPPCVVSPPPAAQSTTIPDSDSAHISLRKSRKSLKRLTTFTKTHLLPSSRKCAPEVRQIIPGELTRDNTPEPVQNNAPLEQATEAAPEFLPQLSFEQVDFSSLFSDRRYQPLVESIQVSEPDSSEYFPRLQLFHFERVDPRDLTASPPLSTLVGFSPSATPVHSPSWLSRNVKELEPYTDEEPPLPIPPPPSPPLHILPRSLLPVRSRYNSESQPASPVRSSVHFQSPPSPIANRLSVIHYRQSFTETSQNITSLLAHASDFTSAEPPTYLVSLAQRASRNSQLLADMSHNGFGKAAPTIDYGEEVDYTEYEWFKDPPPRPEPAPPPVTEQYVPQPGVIEQNEMFDFALKSAPNVLYARYKQYGQLGALAWCSEFSELIDALKELGFRGNMFVSTRSQALTTCEEILKLKLKIDMQIILMVHDTRGYFIFYVALVVLSRRIVVTGEVYGNALEYELVLQRRENGRGENKSNPTSTCDYYCSQMHARSRYSKKGNVSTPEHPKLARSKL*
>EED79366
MPVQKWHVESCSHSTQLAALRPVASWFPFQIVFCTLSPAQPNSRGRKLPEASAAIRSHRSNVRGNVGSHGVAQVIFLSLAAAVTHHRASYHALSSGPTLSIVVLSFLSAITATISLATIGGSYYINRVPHSPWSQLTVFPALWATVWGVVANISPVGRLATWSPVVGFEAYEWIRPVFGQFGIDWLVAAWAVVGAHTIGNWLVGSALAEDVEIFVEEEQIISFTSDNLLPHPQPVRPRKRSSLPGVGHVLVLAGTLVCLAAPSFVISSLPLPAVSSLSTTSLDVACALPDLRRSGVLTGAPGLNDFKSESKMLENSADIILWPEGAVHFESSAEKEAAFEKLSSGMSNKKLYGISFEEYTLRDMSNGTQKEGIRRNGFALLSKQGLLMEYYKQHLVPKSFSLTASSDPPEIYTWNLGPPKGWNKTAWSPQTPSMRPIPLTASICLDFSTSASFSSLEERPALILAPARTWHTSVGYAMWEQARARAEELGSVVLWCDGGAGGVSGVAGGGMREFVQVGQGSWVRRIGIAWPFDQRRTFFSRGGGWAAVGAAWGIVGVGAGVETLVLKFLGVGGAARIVGKIRTLAAAAGALSLRRKREEQQPLLPPDLLEG*
>EED79367
MHPAILRDADATNKLFEAILDTPGGRRSLSRLARTCKAFKEPVLDILWRDLDSLAPLIGLFPNTLLKRARRPGLGLAKNPERDDWNRLLAYGERVRSISYMESSGNISPTIFPILEELRPRQWLLPNLTSLTWKSETAAGLERCRLFLGPELRNITLEVGTKHPKLNDLLVEIASHARLSSLAFTLHTNLPDNFTDIFHNNLSLEKVCIAAPGALSARVGLWAAQLPKLRSFTVDLTGRTTTAVEGFFDDISPGSGASTPSSVGGTDSGVFSGDELDFSEIRKSAVRLTGDGPRHGAFAHLTHLQLSGETSNVATFLKHLTSPLTHLELLIDDPPASEDWQDVCGLVCEQFSYTLQVFRVSPTSASRFQELVRSTSRGGDTPVRHLPLTHLGPLPRLHRLDIDLPESVLFHESDIAHLANMCPSLEILRLSALARWPSTVGPPALTLEGLVPLMRQCRRLHTLAVVVNALDGSEGILSTREVSSRSLQRLHVGHSWIKDPLQTAILLSHVAPFLDNLKWFHEKNRAVVVEANALAWQKVSEFLPHLQNIRLAERRQQPQPQVYVPPPTAEKAVDATVITVDQGILAKPQLVESEVQAEVEFADASVQMAPEVESVSVDATPVLVDTGILVVPTFAERAVDARPETEEKAIDMRGTPPLETTSR
>EED79368
MDYQNRVGSKFGGGGVAGASETNVDRRERLRKLALETIDLAKDPYILRNHLGSLECRLCLTLHTNEGSYLAHTQGKKHQTNLARRAARDLKETQLMIAPAQNTVQRKVFLKIGRPGYRVTKVRDRDTGKEGMMVQVHLPQIKADVIPRRRFMSAWEQKREPPNKAYQYLIVAAEPYETIAFRIPAREIEDESDDAGYWNWSHWDPDTKQYSFQFMFRLHY*
>EED79369
MARAKSARYYKQCQIWWHALATISCSNRATNYLLIPGSNYTSAQYFPTYSGPHLLNLTDPLGGTGRLIFDVHKYLDSDNSGTHPDCVTNNVAILETLVHWLEASGNRQALLSETGGGNTASCKTFLGQELAYVNSTYPTLVGYSIWAAGAFASSYVLSVNETDQSLWTDADIMTVADLRVVMR*
>EED79370
MAMERVKQLVSHMSPGSSKGVAALERKSPDDVVITLAIRSPLCKAKGGGFITDELMLEMFKQAIAQSGIDPALVGDITVGNVLSPGALYEARVAALAAGFPETVPVQTVNRFCSSGLMAVTDIANKVRVGQIEVGLAIGIESMSQNEDKGAPTISDTIKANPTACDCAMPMGWTSENVAGDFHISREDMDEWATLSFQRAEHADRSGYFKNEIVPITAYEKPDETGKRAVKVVSKDDGIRYGTTKDKLLKVRAAFPQWKPSQTTGGNASQITDGAAAVLIMTRRKAEELGLRVLAKHIATAVAGVPPRVMGIGPTYAIPMVLEHVGITQDDVDIYEVATGLNELARRNGKILVTSMCIGTGMGAAAVFVRD*
>EED79371
MAPVFIVTAGTRRPIPSAITAKI*
>EED79372
MQLYAVSFLSVPLTMNSTYRVRCKWSMLMTFS*
>EED79373
MTQPAVVPCQYRTGKTLGSGTYAIVKEAVHIKTGEYYACKVINKKLMEGREYMVRNEIAVLKKVSRGCPNIVTLHDYFETSHNLYLCFDLCTGGELFDRICAKGNYYEADAAGLVRTIFTAVKYVHGCGIVHRDLKPENLLFRTKDEDADIMIADFGLSRIMDDHLLTEICGTPGYMAPEIFKKTGHGKPVDVWAMGVITYFLLCGYTPFDRDTQQQEMEAILAGDYKFEPEEYWANVSDTARDFVSACLTIDPASRPTCEEALQHKWLSSDFPHYVPDPQSPTGGPTDLLPHVKRHFDAKKTFRKAVFSITAMRRMSTLAHAHAGQHVRGHNLAQLMEESEKEHINEVRCFG*
>EED79374
MLIYLLPADPHPRLYHSVNLTDPYERVAFPAGWAAQLNCVHAEDLDPPTTVHMLDKASWLTAVIEQLVFDLVSQTVSCTFISGPTLQWSFAAQNACVEALEGVLTDLVQSQVEARRERVAATPKYEPHPEMFPQPVSVKPGKHKKQRSLLSTLFSGFNKLSLSSGQDNSCASPSPTRSAFSSPSPTRSTFSSPSPTRSTFSSPSPTRSTFSPPSSPSRPSRISWKPTVTFLPLSKKIPLPQPPPCLRSNMLEARARSALVDAFRQFVVSELKTRFQLGPNYVTWVAHNMLRRTEDQMAWLVQEAGGVAPSLMDTAPPRTRSLTASDMSGSTLVSVAEAPFYDDDVDEQSMTDSTSTETDGSSVHTPVDSHAVSPFAPPASSSTDIHLPRQHVPRSPSPAEFSPEDLAEYTALSAQCLRLRQLVSGMDAARAGMLQDERSFLAVLEVKSRRRAWSNCAFMGSATLAGVGLAMPFRSSPLARCEAVTPELLDARMLETTTGEHNLSTLFPVSEEDEEDGVPAQVVTLQAMESGLLQRPPMRSRTHSMHPVHGLDMALAQEADTPRLLAYPTPPPTGVPVSAQQTLPATALLFQPLKKPMLESQTKLHLFDTEHGDDSVAPEFTLSMDLPPPYAKIDGRRTHDGWIGAPGVARLSLLRIFWSLWTLGILSTLHTKKYRDKFGFIH*
>EED79375
MASEEPLFDPSLKKRKKKQVAFSEDPLGADADPTTPAPAIIDSTTANGDAVDMGPTTMHEQMMQNGFTGEGAEDAVEEKKEDDEFKAMFGDLKKKKKKKDIPMDLPEDNSGTATPTTVPAASEDLDFSDLKKKKKSTKKKAALDMEAFEKELQVAKTKDADEEEGGEEGIPLEGDETELGDDPFTRGETTINIDAGSEPWLGSDRDYTYEELLHRFYVQLHASNPALLNSTGKRYTIAPPQLLREGNKKTVFANVSDICKRMHRQPEHVIQYMFAEMGTTGSVDGSGRLVIRGRFQQKQIEHVLRRYIVEYVTCKTCKSPDTLLTKENRIFFMSCESCGSRRSVAPIKTGFQAQVGRRSKNKTG*
>EED79376
MQLLHLAPVVFNLAAALAGGDLGTSPHAAARQDASDVSSAAAPGASPFRFDLNTGMYMQTQMSNEPAPELEYTTENGAPYINPYDAWKIGSNGPLLLEDYHLTELLGSFDRERIPERVVHAKGAGAHGYFVVTNSSFCRQYTMMDMLSEDDLITPVTVRISTVSGETGASDELRDPRGFAVKFRTRKGILDIVMNNTPVFFIRDPSKFPYFIHTQKRNPQTNLRDKDLFWDFLSSNPESMYQVMVLFSDRGTPYGMRHMHAWTGHSYRWIQSDGTWHYVKLYFETMQGVRNFTNAEATLIQGENPDFATQDLFDAIANETYPGWTVYARVMSPEQAENYTYNVLDLTKDWDDTVPYVEIGKMYLTQNPTNYFAEVEQAAFSPSHIVDGWAPSADPMLQGRMFAYSDTQRYRLGINHMPIQVNAPITPVANFERAGKMNINGNQGTRPNYMSTLSRLGLYNRTYTLDSHQQWTGGAVQSLSAVTEIDFAWPRIFWNGLSEQDQQNLISNVIGHLGEVIDQGIRDRQVALFAHVNYTLGKAIADGVGVTEIANLSFPYGETWYNHTIYSDESTLFY*
>EED79377
MPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLAHDLLKIKSGLELHSAAHLQGRAAHSDDDGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSEELMEAHLPPWPRAAQLCDLYLEQAPWFFGAVTRRQLVEEVLPLFYAEAVEYRAHAGASGSGSIEAGAQLSSSAAAFDLQPARTPGTAHDLALLFVTFCFGALTDVELPPAPHNSEAEQYFQLTRAALNAEPLLERPPSVVTVQTMAVCLRKEVHMFVRYRDDLVPRGMQANGPAPTDRTTQGPDTAGGMGGDLHVQSLETAQQDDALRKTYLGVSHRPPQHPQIPRPARAWAEGDATNASGSDRGEKWPLRLPRRPRGLGVLDGDGGSATPAAADRRGPGNRGGGLCSGACAGGSSMAMAGPRGAIACRCRFGCMQNNQCQNNATLKGLRRNARERVPAAAAARSAVAVAVAIAIAIARADEVILRDVGRRPGLRGKWGARVGKRASRMQTPGSSRVCDTVPGLGKYGAMGRASSCGGGRAMMWLLLVVRWDVHRGDAKAIAVHEALVLGYLDKSYDPTLAPEDQVVEDSGTTRKIAGILERDMWTPSL*
>EED79378
MLSFACAVPAVWSLSRASVVRGPASTVRRVWCTSRSEVMASMLRTQATLCCSRVRGLAVAVVLCVLAGAGESEECWERDGVLREWDGADGRVALEDDEGSGRRRKKRKIWRQQRDDPDEWKARMVHSTRHFKFAPPCSHAVLDTTNSVQAGRKHGACYGRCFCSVGCGAQWHRSAYDRGTEANQRPAFDQKPKGVARGNESDVDDARSAAAIPGHSPATCTGASVAATATTACAAAATACAAATTGRAMPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLNVTRDLHPLLAHDLLKIKSGLELHSAAHLQGRAAHSDDAGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSESLLLDENEKSGQPPPAAPHASLPRALARLTTAFPAAPSGLADADADVDVQELMEAHLPPWPRAAQLCDLYLEQAPWFFGAVTRRQLVEEVLPLFYAEAVEYRAHAGASGSGSIEAGAQLSSSAAAFDLQPARTPGTAHDLALLFVTFCFGALTDVELPPAPHNSEAEQYFQLTRAALNAEPLLERPPSVVTVQTMAVCLG*
>EED79379
MAHSVGGASAVYDAVHNGIEAHTIAGRKQSQRPAFDQKPKGVARGNESDVDDARSAAAIPGHSPATCTGRCSPRLASVAATAATARAAAATVCAAAATACAAATTGRAMPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLAHDLLKIKSGLELHSAAHLQGRAAHSDDAGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSESLLLDENEKSGQPPPAAPHASLPHALARLTTAFPAAPSGLADADADMDVQELMEAHLPPWPRAAQLCDLYLEQAPWFFGAVTRRQLVEEVLPLFYAEAVEYRAHAGASGSGSIEAGAQVSSSAAAFDLQPARTPGTAHDLALLFVTFCFGALTDVELPPAPHNSEAEQYFQLTRAALNAEPLLERPPSVVTVQTMACAW*
>EED79380
MPNTSRQDDKDRSARAQEQEFKRARGAISCAECRRLKLKCDKTVPCSSCKRRGCSSICPNGSLTTGQGTRFILADTDRLHRKIAEMSDRIRQLEDALAILQSSVTRDLHPLLVQDLLKIKSGLELHSAAHLQGRAAHSDDAGEQGDEESQYIDAFGTLAVRDDGAAMFYGRSAGSESLLLDENEKSGQPPPAAPHASLPRALARLTTAFPAAPSGLADADADVDVQELMEAHLPPWPRAAQLCDLYLEQAPWFFGAVTRRQLVEEVLPLFYAEAVEYRAHAGASGSGSIEAGAQLSSSAAAFDLQPARTPGTAHDLALLFVTFCFGALTDVELPPAPHNSEAEHSTRKMRLCGLIASPEPKLNIPVGEPVELGEMGNAGALTLGP*
>EED79381
MPVPTVTSQASQASPIGPFALLDVTSLGRYGKIWAAQDCHRGQVFSIRIIKKSKLRDDPKACKSQDDPKARKPQDDHKARKPQDNLKTCTPQDYPSAREQVATEMRCYQRIAADLPLVDADGINFKADKKFLMELFAVLQDEFTIGFVMPAVEYSLLEAIKTRPTKRNTLRWISQVMMGVRVLHTLGIIHRDIKPDNIFLDTQHNFARIGEFSRALLRPAPLTNKDGCSRECVGTRQYTAPEIIGGVKYGRMVDWWSVGCLLFEMITGQVLFQEEEWYKEYINLTSMECRKKYLQMRLDTSRARYDEKAEQEKALDVLVGFLDIDPETRLEYATVLKLDFFLLGPTVSPGFPSFQGPQTPPPFAAVHPGQAIQGGAPKRAAAKWPGNSKTAKGDGDKFSSFSWVNPRGPWRALVLSAQGAV*
>EED79382
MPTVDRNVADLLDELKVLVKFRQERQLSTLDSVLAKKLYRFDKGCARSFTGEDVLCLFHEGFTESALWGQVDDLLVGNLSTILATCSASRGKMQGEISASGASWRYDMDRFFFDFLGTVISPVLQSEMDGQDNTKGQRKVHAVLECMIALPRSVYGDYDLDDLGPIKRDAAHYVYTIDKLHAYWKNSGLQWVEGMAWVEGDIHHGLGTLWRQWRDALMPDSIMKRMAISSTQARCQHNEPETTYLDLPLILVEYKGPATNHVQSQNQRRLHCTSAARFMEAIGITEFPIFSVVSDGPLAVLATTWVKDGIVQIFERHMVSFDVSNALARIVVFWGTALENRFEQVKDRFVEGVKKDDPRLRWTQAHQAPQHAPKDALVETASDNESWMGVVC*
>EED79383
MCRSGACGDGEDGEKSWDTSLRRIFNTVLDDCASLRNVLSADEEDGAYVPVDSPTLVPQDEYRSGGSVSTRRVGSGGHEDVRKSTTCSSRGNSEAAESPGPIEHPDSLPVHIVPERDLSVSEWLRRPVVKEIVYEQDTEDEEASEDQRCLSELNLHVLDCPRDRPLPVDAALPIVCMAEGDVLPVIMANYLPNIDLANPECVLIVTQFFLHLHGCIANIQNNIALSRSVLAEELRGERLVRWRVDSATASEDEDCIGDPEDLVSVWLHGLEDSETFSLPASVMPASKRPKPTSVKSEPLPPARLLSAGARSPFPVAQSDMDEQDNTKGQWKVHAILECMIALPRSVYGGYNLEDRGDRESIKQDARRFVNTVDDLFRADWKDLDENKETVERDIHRGLSVLWAQWRDTLTPDSIKERLAISPTQAKCDAVGLLRMPVCLNLDKKTFDSYKFIKSLSALNPSPSPALGTGDSLQPAKKKSNTTALPSKSSVINYITFNSAVRETSTPYRTSQETNQVSSEKSDRAGLEEQMSKLQMKHLPQKTARSGRRDKPEETSYLELPLILVEYKRPTTSCEQGQNQRRLYCTSAARFLEAIGIVEFPIFSVLSDGPYTVLATTWVKDGIVQIFERHMVSFDVSNPLGAWHYATVMARIAVFWGTALGDYFVKVQGQFEKDAKEGDRKLQWTQTHQAFHHSATTAPKAPPETTENATTTSGS*
>EED79384
MFPSAPALKEIISAPFKRSQLGLFHGKMKQYGNNVPFSMQKTRRTWLPNIQNKRFFSDALQEFVQVKVSTRALKTINKYGGIDTYLLKTKSDLLGWEGMRLRIMVRERISSRQQQADSPAQASS*
>EED79385
MFGTAAVVALFSALSVRDTSIMQYALNLEHIELAFYNQGLEKYDAQAFADAGYEAWVRGRFVQIRDHEVAHVTFLTEQLGCDAPVVCNYTYPYTDLQSWVSLSQTLEHVGAAAYMGASRFVDDKDVLAASVSISHIEARQAGWVNSAVMKQEPWDGDFETPLYFSGAWSLAVGFIESCPESNPALPVDSFPALNVSNSAPPMGSNITVSYAGQGNITTPTYMAWYHDMNTTFTSIDEHGVTTVPDYLRGTVFAGVVRNETETTNDSTMLSGLTIFKFPFSSYAIVGA*
>EED79386
MDTHEPSSSSSDTLPSAPSHSRSTPSRSQHSSPPPAPRRARKDAQHDRDRDRESAWGRSKLLSRLLTRDERDVAHVRTMLALTSERLAHETRRADDAEARIADVLHQFRAARETALHAQADAARAAEERSPPRATNTTVSVSMSDGSPIAAPSPIRPPSRPPAATPEPIPIQDPGRSRSRVRNEGPIVPVPVHNPVPSPSHPPVDVPPDNYIPYMSSDHIMALPPPHELARPVAPRSPSPGAARDDPGVRSRDDLGVRSRDDLGVRSRDDPGVRSRDFAYAHDAAPAQMQRAIPNAPFSPQSRTSTNISQYDLVSARNARSAASGGGGGGGGRDALAGLRQMIGAVRPQSRSERSRVRERERAAREDVSEPVRRERESSLERQRRSGAGTPLERLFKKRFRTRQSGSSGGVPDIMVESPTTATVSRTSTVAAANQPHLLSPEMHQQTLPPPADDADFSDPRPLPPLPEFYLTTPSAVGVSDASEQQLPAGFVPMSADDFPDDPAMQFASPKPLAGAKGPTYEVAPIPPGVVYPDPPGRRSATPGRRSATPGRRSTTPHAVALPPSPSSTPSPRRRRGSLSGHLSPLSLPLAFVASPSSPQCMVQVSEKIDTCPISAIDMKSMTKSV*
>EED79387
MALPLLHELTRPITLRLPSPRTASHAHDDPGVCMRDYAYVHDAVPAQAPTHRAFSNPGAPFSLQSRTSTNILQYNLVSARNGNGNGNGRSSSGSSGSGMDALPGLWQMIGTLRPQSRRERSRVHEKERERTAREQDRQRQAMAINNPLPQAYNPPAQAPELVRRERERESPLERQRRSGAGTPLERLFKKRFWTRQSGSSSGIPDIMVESPTTAMVSRASTIAAANQPHLLSPEMHQPSLPLPTDTADFAVSDSDPRPLLQLPEFYLTTPSAVGIADEVEQQLPAGFVPMSAADPPHDFGATKAKGPRYSYEVAPIPPGVVYPNPPGRRSATPGRSATPHGAAEVALLPSPSSPRGRRGSLSGHLSLLSLPLAFMASPSARALSD*
>EED79388
MRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKLKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED79389
MALLPLHELTQPITLRSPSPGTASHAHDDPGVCTRDYAYVHDAVPAQAPTHRAFSNPGAPFSLQSRTSTNILQYNLVSARNGNGNGNGRSSSGSSGSGMDALPGLWQMIGTLRPQSRRERSRVREKERERTAREQDAPELVRRERDRESPLERQRRSGAGTPLERLFKKRFWTRQSGSSGSIPDIMVESPTTATVSRASTIAAANQLHLLSPEMHQPSLPLPADAADFAVSDSDPRPLPPLPEFYLTTPSAVGIANEDERQLPAGFVPMSTADTPHDFGVPKAKGPRYSYEVAPIPPGVVYPNPPGRRSATPGRSATPHGAAEVALLPSPSSPRGRRGSLSGHLSPLSLPLAFVASPSACAPSD*
>EED79390
MQSTQFLWDYQPSQDHRSSSTTKQIAASSPPQKELPSTLEAAPGVVQPVQTRRSSPIKNSLATTRDTHPLTQKTYSPPYGLTSNLHKQQKACSHPSTNNHSNSLKFTPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPEQQKDRKTALSLSNYVTCFEQLASKAQLKDAEVNGTNRVKNNYHTLHANFIKGLPKELYFALATRVARDRPNTMKAWYDEIRNADAVKQGALVVTDTRDYGEPMDINAAAVTSTFASTSGGRKWEPGAILNEADRKLHRDGNLCFYCHIKGHSAKDCCKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELRYIPTRTNMSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKGRKEKERQTKAIPIPPPCSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDDDTQQLNEPAHGSFCMRCKDETTRETDDTDARDTVMLVIHVASSFFLSVTILSVPNGCMQGGWSGHGVGVGMHDESRCTMLKNIWIIYNIGIVKWDISIWSKMRDNVQHIRQASVIGMAVGGESCEPSRGLQMERPTGASNPNLHLHPRMNKVNGYYQSFSAPDADPELDTDKGEDDQMSWIGDKLTSLIAEGQRALGKEVVVMSEAPEDEEDNGMDDWVEEDGGRAQSSHGGLPPYSSRHGLPLLSASPRRDRFDLSSSHATSYAQSIPGFPHRRGREVSVESDRFASTSFQEDESAWQTPELWEAMERVRQRYRREHA*
>EED79391
MAVRDGAINDIPASAAPHACSLNQLRSAARWTARCFHAGPQHLNMPLHPRMTLNNWVQGRFGRADDLTSEELLTGPRHAATWVVIVYFRSIEYGRGTSTKKNAAKDQAAERALAALQERFDQEQQ*
>EED79392
MFGQMCDAVATCHEHSVFHRDIKPENFIVTDGWTTLPEGRRERKVVVKLTDFGLSTTDTDSADMDCGSAPYMS
>EED79393
MAPKLDPNEIKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGEDIAKATGAWKGLRVTVQLTIQNRQAKVDVVPSASSLVIKALKEPPRDRKKEKNIKHSGNITFDEIVEIARTMRSKSLAKTLANGAKEILGTAQSVGCTIDGRPPHDVIEAIDAGEIEVPEE*
>EED79394
MSDRDEPITLRVHYSAKVDPVSTCVEKDCARTPLPSPPLPPVVQRILGSANIWIPVAAAAAFYVQFGVRAPRSVGLVGHKLSRWRWSAGAMGAGIGGVVFLKLEARRLFNEVADDPSLRTIARQSFVYHEMNRTPGFRKSVIRFAKMSSEPYEPIGLTERIAWWSHRVWSLPTSRMVARQYDGLHIFLHGTGVSPHDIDICTTFFANTFDYERWDYVTAGSGILFACGLFASIALENRPRLRWWVRLGRGASLVVYLASYSLRPYLHWSHLQSLHSRDHLVRMLEAKAEMVDFEDPILAAFFGHRDSR*
>EED79395
MIFIPLLFSYLVFMTNVFIAYDHAARTFGYPSLGGPLKYLQLSGIAIRPTPWLPAVRVDIKTHSAMQWEVYEEYFNSSISYVLPSTVSLDELTCPLREPFADDNNGNDGYSDGDEWCYALPESSFFDQFIFFHDQSAPAMCAVTDAPVEEPSTTPPTDPY*
>EED79396
MHLVMQRNGHVHMAAFGSQFCIKTPLRLSNICGHLTLHQVRSEALAITDAAQNTSFRGFPREMRGAPPWNQDFTKIKRRGTTVVYNVNTIHLPPMASGQSILNAGHIERMFIASANRAKNLGAYARRTTHIGYHRNKRNTSHTRVMEKHTLVGEMCPFCCPGVEAIPGVGDEVASREIPLCIPPGDVRRDEEPPEEEDKSFSGRNPSRFDGCDSALAWPALASALLELLALCRSPTRGVSSPWLDERRRVGLRPKIEPSWEDRRVWPPAIARCRLPGDERGQGQRCARQGQTVEYDKDGRQKAYRRRRGLGSQVPFTVLAIESSADDTCAAVVTSDRQILSNVVVRQDSFHESYGGIHPYIAIEAHQQNMPGAVQKALQVAGMSATDVDGIAFTRGPGIGGCLSVGSNAAKTLAAALNKPLVGVHHMQAHALTPFLTTPANSLPTYPFLTLLVSGGHTLLLLATSPRAFRVLATTLDESIGRAFDKVSRMLALPWSAHGPGAALEQFCRDGPAGGTGAPGGEEIGSGEPAEAPHIPLPMRGRLAFSYTGLHSSVERFLHARGGVVDARTKHAIATTFQKNAVGQLEEKLALGLQLCRRKGIQIRHVVVSGGVASNSYLRERLRICLDEASPDEHIALIFPPPSLCTDNAVMIAWASMHRFLAGDTDDYTVELRRKWSIEELDPSAPAILDE*
>EED79397
MADTYNKNPGRVAAGLKAAINNPNVSEEAKERASDRLENLGDEVERAPDYSASDQDTNRVLGGYKATLHNDRTSADAKEHAREVLETAGYSVERNPGLSDDEHEKRIISGYKAALHNPRVSEGAKRHAREFLEEHGAL*
>EED79398
MRVESLPDTPSFKLQEAALRSATTDGLLVHPFIAVCHVYFALMDGIRKKYRELVSALSDIRIGVAQPNSSVLKPSSSFSDQGTGYIFARVNHGLEDFHINLTSLGHDAEKAVGGLLQFAPDLLHSLSHGHSESETADAADALGDLGEDAAGLSELLVRVAPSSEAIHFNQTATGHEIEGATGDVPALPLTVLTWLRGGAEEERYVKTRRGLVNKMVRGGVLDKLD*
>EED79399
MSLPKIWFSSSSGFGRHMTELALQSGDIAIATLRSPAALADLASQYGPDKLLILRLDVSKPDEIRVAFAKAKEAFGRIDVVFNNAGSGLMSEVEGTPDDVARAMFEVNFWGAANVSQEAVRFFREINVPAGGRLLQVSSSGGVQGLPAIGYYCASKFAIEGLTEALADEIRPEWNIKVTLIELGGFMTNAGRSMVRSVPPPAYIDIERKIATARAYLTVNPRMPSDATKGAAAIYKMALTPDPPLRFVLGKDSLEKVKKKGENLIAAAEASAPFSEGLGLDLSVLSARR*
>EED79400
MRHSRNCRTLHQPSSCLVSSDYTRICTQHYSLFFL*
>EED79401
MSVTTSMQDIMPSPLTTLMPSDPSHADHRWDVDRVGYMIATNRGTAVSDRCSVHIGDSTFNMSAGHWWDHHRLLLPGFLFANGAWWGDECTRQAETCATFPAATWCHSLSGALTTGRASKAVTGRLPWPAVKTMDRARSPDVSREHHREAQETRAARVTGGAGHQRNGWTQERRAAWLRFPPDRTRAGRRVLYRNTRCKMERAPSGAQRPCDHRAETDRGSQLSGRGVRASGTAILRRGLCDKCHSAAAAKNEASRGLPTCGNRRARTNAGRSGMSGMRDGADAVESATYEGSQRGDGAGADALSGSGRRCGPGQHSSRRSTPLPGRATPDSVTPRRPGTARASARYTSDLMRSVLTGLKRRIRARESGAHKTASAGGGTTAGEERRTGAFIPRYLGA*
>EED79402
MGVQGLWDILNKAGQSRAFKNLTVVDGFEENESGRRAYRVGIDASIWYHHATFSKGGENPELRLFFFRLCKLLEFPLIPLFVFDGRERPKVKRGSKLGKAGSHNLTARVKQLLDCYGIEWRMARGEAEAELACLNRAGVIDAILTDDVDTLVFGARTVIKNPSLSLTGNKANPAQNYEGKTSKYHVMVFSAEALRNHPEVQMTRGGLVLFALLSGGDYDKGLNHFGPQIAHGLARLGFGDRLLEAYERQSGRNMTHFLSQWRAEINVELHTNAHKLLRCPYPSLSIPEDFPDMDVLRNYINPVCSASVGHQGGGALRDNGDLSIPRLAAFCEDHFGEWGHRSGILKRFRNVIWEASVIRVLRRAALEADELEKTKRIRAERTDTAIRGVLQLPTTEGVGTPASLVKKYLSPAEVDHRAAIFVQRNNNPAPLAGAEPDMYPMIRKILSARHHVSTDNLLEYRVEVDPRQLVALAESGIKGKHREPAGLANVSDDDSNEDDDEDATSSQGTNRRKRKKIKAPVDPQSMMRLWIPACMMRQVHPELVEDYEEAERAKLAKKNGAQRRRRK
>EED79403
MSLLPESPFLEFSPRNKPAIEPLPDLSASDVTSRKLVCQLFEEIIFVELARAGYVAHYKRGMLDSGMLFNLKIVDALRHRQRAWRSMTLTRTMSLVFRPDRDPKMFAFRGGVLAIGYVGEPTQTFDAPAMLYGGVFFSEVDIYNTRSKNVANQHRRYKLPWICTGLELDPAQDLLVVWHSLKPLHWQSGSRVCEERDKIREKYGSTFAFLSLSNATQGFAGRAHYDEYGVKISGDHITLIDRYTSWGDTIVCNWKDMKSPVYKVGYNRDCMLNNVCLLKPRDTYYKQPAGGGSASFDVFAKSGNVNHLVANFELPMLRSHVKCKISQCDTFRTNDTAIHSFEDAPPILLSTSPTPSMLQLRLVLYHNSPHNPVMLTEYMVFVYTKPFLHAVSNFVSGKQATREVDTIPWKQWGPSSSFWFKHGSSVEDLFHEEANKDGEERYHKWKNACYGFRVLLPSVMLDFTPLDADEREDRRLHGHNDIRTGTDIDKQDNWFKSAACTTAAYRQTKIKGTPLGKKYTWYILDEDILAISSYGFLSMFFYCRA*
>EED79404
MIRRTPPSWRIMPCSARSLRETV*
>EED79405
MLAKHKTTQQEYAIKILDKGHLKRFEKLPTALAEKNTLVRLGAGHPGIVRLHWAFQDEWSLYFVLDLARNGELQTRISRMGSLSLACGRWYAAQIVDALGYMHSKGVIHRDLKPENLLLDDAYRIKITDFGTGKILDSGGERTKTFVGTAQYVSPELLEDSETSKSSDFWALGCIIYQMIAGRFAFQGLSEYLTWQKIKQLDYSFPEGFDEQAKDLVQKLLVRDPAQRLGAGPPGSGFDMQALRAHPFFGSINWATLWTDPVPPLEP
>EED79406
MREGLLTGSFFDTKVYAYSRRATSDRIIEPRCIFANSVLLKTTSEFFVTLLSEQFSEAQPRTDLACEGYTSIDEYDYGDDSDLEDVELEDESGDTPLVKNCADSILHDDVSQCRGSVLGSDAPGILAPAPDSVGVRDTTIRAIFLRNVASNTIQAFVFYLLTGIVDFAPLRSQSITVARSATRTPQHQAPSCSPKSMYRLAHLYGIKELQALAIQDIASKISHENIVPELFSSLTARYPEIQQTEVNFLIDKGFTAGVFAAMPTWISKVASGSLGRLSGDVLALLLQRLATKPVPRLKTPFCDECAESCPHRPSKKARNASSR*
>EED79407
MTREWPPYPPPRETDLDKAARIGEEREAKKISEEIDRAIERDKQELRKKKLQTRILLLVIQLNLVRSVNVVVGLLARACPNKIATIAISVSPDYPRPKVDLRWLKMRLAPLRQVELILNRVLGVQDPVVDDDAEREWDSDRLSEVALRSRTAWRMLTHSGKERPASRFWDDLLDAQRILDACREDVVQMCVDPLVQALLAEHGIALTDEPGLPIQPLTSMQLLP*
>EED79408
MYISEIGSCSTCTCREWTQLVPGIAGLAARYGSRGHQYNLIDSVGFYALIELCRVVIISRTSVVVSEILVVGATWYYISHTSSVRTQLVHDVWGARPNLTTVMFRDVVMSNILISRFLICIREAAERSTQAFSSQSLSFIDSQGDSNPQPWLSSVEFAADIANPSVGDNSDADAFPGLEDDLDPRGQYDASEGRGDEIELEEYTVSVERLVVLARGA*
>EED79409
MSSPQVWFITGSSSGFGRAVAEHVLRKGDIAVATLRTPSALADLAARYPPSRLLVLPLDVTDAAQIQAAFARALEAFGRIDVVWNNAGYTMLGETEGTPDEVARKMFEVNFWGAANVSREAVRVFRDVNSPRGGRLLQNSSVAGLQGYLLIAYYSATKFALEGLTESLITLVEPGSFNTSALDNGVKLPQHPAYATPDSASTAFRKAVETFEMPGDPEKGAERIYELAALPNPPLHLVLGADAVLTARKKAADLAREVEEYASWSDGTATVH*
>EED79410
MPVAPVDNRGTHLYYEDSGSPQSSQTYTTIVAIHGTIYHGGMFRPIFPFAAENNLRFVTVNLRDYPGSTPSSPAELSALLGDPEGQAGWLRDRGIEIAAFLEWFIRSEHIPQTTTVVNGGSESFVGDPSPHPFGLDFSEIADFVPGRPPIIVRPTQEWVEWFLLWISSYYHHTPATLSSFEALSGPDFMSGCAQAPITDPRPEERPTLLCMSEEERRDALDYIHAPRSCVPMALIDKSVFLENMRRALWDCSVWPHLRVTLVWCEMSPGEMLVGSWHLAKQIREAWPNGARKVSVKRMENANHFLFYKDSGAPPHTSAYVTLVLIHGAVFHGAIYRRILKHATNHDLRLVAVNLRDYPGSSRFTASELDPLGKSDKESQALLYKARGHELASFLIWFIRNEKIPPLTTEEGKATGGLSLLSWSWGTTMTISMLAHAESLPLEFQELLDKYWRSHIAFDTPAYALGAPTPAVEEMYSPLSDRSIPLEDVGQVTSLWVSSYFAHSQSVINSLPSLPRDEFFSGLAKVAMPDPPASHAPTLQRMTAAELAEVSDPSVIDRSHLLMVSVDPSILSDNLRRVLCKSSIWPRLRAIFVWCEMSPPEMVYGAWYMCKLIRETQSSDGREVVIERMGSANHFVIWEEPEIALEFLAKLV*
>EED79411
MRTFQLFVAFLTLFATTALADFYARGDDEGLSARDAWDDDALFARHFDDELYARDFEEHKNHPLVRELVNILAARAGSCKNGGVKITSAVGSCDPDNSKGMRSGHNCKNAGGKYYYCVGSSGGQCMKVKSATGLENGECFL*
>EED79412
MNSSYTLSTTSIAIPSSSAIPVAATDEPGSPHHASPVVAFIIGLAIILLASILNAAGLNLTKLDHVRTSAIPKEQRRRDWLRPLWLLGMVLYIVKLISGSLSHENTGNQFGHASSIFTFILLAITAVSQIICLNRGLKVYDSTLVVPVFYGVYTAAGFLNSLIFNDEVDAYQPWALFLIFVAMIILICGVVLLTYKKPEKKPTTAGASGHVALTPRARRGAARKGSPDNSDEQEALRDIEEGEEDAEQLWQIGDTSDDEDGIDRPRSPRPVRHRSGSARSRGEGEQERMIGGEADDDERRESTSSDATLARPDSARGYADDDFGGWKQGKIQQ*
>EED79413
MAYGPCGMQFREAFSCFVFSEKEPKGIDCVEKFKAMQNCFREHPEEYGSDIMNDDDDDEELAPITDDSPVGGQTTEAISPTPQELVSRKWTSGHCAWMSRREQPGHGPFRDAPALASHCDADERDDVPQGHISRGCTTFTPGTHCGCPAQGRRRAGAAWVYPDASKAGPSSQNKSVMTTWRDKAGLTATRSPYSTFFHNPVFMLSGTPVEPILQSPFTGSTPTLFIVRAGRNMEPSSVAEQRATAVAKLKRAASLPRMKDGRRPPMHVEAVSEGERMDHDDGIDEESVQDADGLQEGEEVQEEEAHQVPGVDTPEDTEERPEQIEEASTPAPETPGPAENIPTRAKRRSRSRTRSRGSKDFKGKAKQSSQVSSASHTNESSADEYAMPASGDEPPPSPPLVSPIPSHFALSASRLLASPFFYPGTTPPTPLPTLDDLQRGMTGMGLYRSNSAGAARMMAMHKLTGGTEMIDPAPSIDYEKPMGHRGVVVEEEDDVTPERVSPQRLPLPSPSTPARGFMTTAGLRLPHTSDAPSSTSTDSASVSVPVFISQKVGYGQEMFPASPFATPLKERMYPDEDEERVLVRSRSRLAAFERESEISWVAEPVPERERMPLHDDEDDEDELEFEEEPIGEQPQTTIGDRVPQLSELIVEVETSPEPTSAHILPSPISPIVPLTSTLSESHSGPAQPSPTVYPARLSVATPSQMDRSPSSTDFPPDLDDSRATVTEATLKRVGESTRTWGDKVKNAFPFQLGRSGSVNGRRSRTGSISIRDRRENTDSSMSRESHGSISREKGEQPNHETQPPSASTSILSLPIAPQMGMHSPIPPATAEMISYDHEYNPKLFPFPGIKQLEAQRMHRVAQSVSTPDVTNGNPMESVPSSSSSNTAGRSLEPGRERKLSHQASDSRLLPRFKNATSPLPVSSVPSSASQGDYFSIPSTTTPGTSASGSVKLPMNREDVKKWLNVKRKLFGSSPAPTPSATVDARPRMGDKKPSLSDILRGRKEADWEDSGHDNARTPTSPPSNAIFAPLRREELKTAVHMETEVVLSHDSSPETIHSPKREFHLEGRSNGVISPSHASEFSVSFPSPHDPPSSTTPDPHSSLDDFPPSTSDSCSMSSSHQSPDPRENEVSVSSIILARLDEVLGRGSKSSIYPNTLDDPPRKLVLSSPVLQVVNANTVKDRFLFLFNDILVIAKPVMHDQDILIETMKPSPLDRKFIVKSVCPLRQLRFSGERDEGHTKSINGANPMKHPVISSFVHYFAKDPDSAIAFLSTKTSAREDPIALGQLLFRTLDLDRARLGEYLSRRTSKVVLKAFINSYSLTGLRIDKALRVFLQAVHLPVRSGNQTGALEYLMDSFASRWHEANAGIVAYDKDLAVRLVRAIAQLNEVMHGEITDEPGPTGYPKRNVISRDFVEAFRRYDPRGLVLDDLLDKIYASIRRERLSQARDPSASGDTPDVPITIKRPLPTRLTYRIQSEPIILRIPQPDPHLTIQLFGQDLVFDPPVLSFVRSSETSFRVTGTSLGPKTIIMWRSGPNGILYTGLPLSSAVMVERAFMRNTFQLAFVNHNGAKRKYMFGVDDSLVRHQWAVSLKRQIDIASTSISPAEASGSSSQKAAESLALRVLQDTLISSEDDYPSVPLSPVDEALARLNGATPPRRAHSSSVSSPRSAMNRSANGASGARRQPNGSSPHVRSKSRSQVYHRHGPGRLELELNDLGDRSDEDRLQHDSSAQSQQQQQQRTWSGRDLEIICRQNSLITPMLTYLQVGRRDPDIINGAVS*
>EED79414
MRFIQSILLPLTLLATTVLADSYARDALDLEARSVWDDDALFARSDDELYARDFEEHKDHPLVRELINVLAARAGNCYNNNQRITVANGRCNPANSVGMLAGHQCANRGGKYYFCMDRAGGACMTAKKSIGLEHGESAMYTSPIAAFVLPRSVAIEQQDFGWEISVGAMNILCNVAFGDLKPAGCQNARRDEKSGARGHLRTEIHDMVI*
>EED79415
MSKILERLCHILVTPADGFSVLCKILDDKFKNTRGATNSTYDVVRLTAWNGTLRSRPRDSYAKQLRTRVEIRHTPGGSNVIQAEEYDYGCVDDGAEDIDEGSENGTEDALGPEDGERKGDDDPCTVG*
>EED79416
MWPLLIMSRTPALFALLSLLPLQLVQALPQGASAASSALPTATAVVPGLNYVAKQAGKLYFGTATDNPELNDTAYDAILDNNLQFGALTPANTMKWEYSEPEPFVFTFEQGDVIADLARANGMLLRGHNCVWYNELPAWVTANNYTAAELAIIVADRCATLTTHYRGQAYAWDVINEPFNDNGTYREDVFYNTLGTSYIPIGLRAARSGDPNAKLYINEYNNEYIGPKSTALLNLIKELQADGVPIDGVGFQSHFIVGEVPTTMVENLAQYAALGLEFAITELDIRMPLPATAEMLEQQKADYNYVVNACLAFSECVGITVWDWTDKASGPAPTPRQIRLRTH*
>EED79417
MPIHDVVRQRLQPFTSAVTSNRILLYSVFGTAALVGTVANACRTYSNFYSVTIYLSRSSRSVLVLANFGLLVGLLCGRVMQRLFFGPLQPREVERLYDQTWIFVTESLLAFTIFRDDFDIPFVLMFGFLLFVKCFHWLMADRVETMDQTTYPGPPLIFHIRMNLLFTLLTSIDFVMFVLAVESTLNYGVGGMVLFASEYAILLASAMNSIARYILSVVDLRRARSRGGENAPPMENKSMYVFYIELITDFLKLVTYLTFFMLILTFYGLPLNIVRDVYLTARSFITRLRALIRYHNATRDMDRRYPNATEAELAQMSDRTCIICREEMVSRIPAPNGAEAPAAPPQDGPNMTPKKLPCGHIFHFQCLRSWLERQQSCPTCRRPVLETTPNPRNQPQAQGRQGGVGPGIAPQPGQQ
>EED79418
MRTIQSILLFLAFFATTILADYYARDDSDIGLAARDVWDNDGLFAREDDLYARDWEEHKSHPLVRELVNLLAARGRTCYNNNEKLTAAVKKCEPADSVGILAAHQCANRGGKYYYCHDSTGEGCMKVKTAIGLEHGECFV*
>EED79419
MYEPGDGCGQEGPATQYSFAFHGNRRPSARC*
>EED79420
MMRVVRACQLSKPLLLEGSPGVGKTSLVTALANICGYHLCRINLSDQTDLSDLFGSDLPVEGGQPGQFAWKDAEFLRALQEGHWVLLDEMNLAPQAILEGLNAVLDHRGTVYIPELGRSFTRHPSFRIFAAQNPLHQGGGRKGLPKSFINRFTKV
>EED79421
MNP*
>EED79422
MNP*
>EED79423
MPLPNIRTPLLRTGQDTSSSSSSLSMFQAYLGIDSWISVLLVFVPLSLLSRSLDWDVALRFWFSLLAIPPLATVLDRSVRELSKNLSSTSGGLLEALAANEVNLFIGILALMQDQALRVQGFIVGSILVSTPLALGSAFFTGSNIESSKTSASGLRFMSHGTAIILLITYLGYQAIQLKARSTLSMNEPRQSQSPRTTILAATLGILVASVEEATARENIPQVFVSVILLPIVSNTAKYLNAVSAAHRGSITTSIGSCIDSVIQLATFVAPVLVITGWIASRELPLFFSAFQERLLMLYGIIAMARAYPLGRLGDKSCSTSALPPVWKS*
>EED79424
MATLNYVDIPSYATRLPSNPRADTARPSPKVITALPRPELSSSAASSPVSSQPLSSTLSPSKLALNSIPQLLLSSTLSIPANASATPRSNSSISGTGGAKGVPRLLSGRDPLSIPITTVNFRRFVSKVGPVFWLQDRLEEIVMWRKGSKYTGVWMATYAFLCYFPRLFLLLPYVIVLSVIIATHPALNHPDEYDCEEAKAPIPPPPQTAEGSVDWLANVQAIQNLMGAFRQTFLPALHNLFRRLLRARLACLVDNDRLDDRHWRAELREAELFENERWNAGTGTGAEDDGEWAKGHLKPGERKAWTRGRDGWSGVDEDGASDVSSKLTFALEPGWAFVETEDWRPDVEGAWAVLASADDDEDIELCIRVFTAPRWSPSCRWTSEGFGLSWCHCATLAKAHVGGHAYGLDCVPCGTEDLWHSSQFRRSTPELVSLLYKGDWTFSVSSSVSIRFPLLRYSLSSEWIDPGVRSMTATTEGIPHLGHGPECPRVVTARALSTSGVLNKVVTVSESDYLLHASQNKMIRSVGPAIEIMDTRI*
>EED79425
MSATSTLPTALTPENVPLRSGPPTREELLVYYPAKFTWEQLKTFINSGDLGLLKRDKKLQQRYDQWSAGIRKQYGSMVNYLLTHRLQWGKRDSLSMLHSQLDNAEPHSNGIPSMNGTTAAENLETAPGELPLIPAGAPSYFTADTPQGLISIIMNDWPYSVPPEIEHTLVWTRLPILPPALPPSIEKRLLQDGLWGFTGSLTPPPSPDRIPEYLPALAEWGVTLDSLVRSPPGTEEEETLVKKMGEHVDKFVKMRWKESEWETAWFVNPPRLQSVPGLAHIHVFARYKSREETQEWIAARK*
>EED79426
MSTRPRPRPKPRRLTVATAAASSTGPSSIVGTSPPPVSAVVDLTIEDEDALFIRNRNRTAQTWKKLNRATEDSSGDEEGIASPRRRKNQKKGHKEQSLPAWTRGSSAVHDLLSSDEDEEAIRRRIETQTSNAKGVEQLARPFNGNNKRPRSRSRSITPPPALPAHALQHARDAIREIMGIIPRAPSPTYEEEESADVFELDPELARIRAQIRSQSDSFETPASQDTGGPPTVAVKVRWIPHPQNPAARSDVWGFKLNRVICDNLRVSYENKRVFPSATPHSLKIWSEAELEACDVRTYEYLQDSRRQRSLSVQPVDLGDHLRSKARAPSPIPEESDDTGAESASDTFRLTLRSGKTKDIVLTVRPSTACGAIVKAFLKKSGLAEQYPEPTVEKRKGKKGKPAEGPRLMLDGDKLDPASEIGAADLEDGDLVEVVGL*
>EED79427
MSSSSDPETTPTTSSSSNKKIVFQTETTGSYWSDVKEAIKKIGEIPCARNSLLSGIASGAGVGVIRGMSAGPFWASQWAVGTFVLISMGTWTICRKSMEEERRRIQQVVEQIPKRHTKKHDDQSPLPPGPQS*
>EED79428
MATTSGHITSQPVRTIFEAIEARLPSRLELFSRLAKQYSDVTLHNMTVGQVLGGMRGLPCVLWEVSGTEKAGIRYHGKSLKELLEILPRWRGSEQISPEAMIWYLYTGTVPTRTELEVFAADLARRAEPPVEVEEFCDSLSPDIASATQLMMCLSVWGNHSKFSAALVQGAPRAELWRHALEDALDSHSCTSVISARIYANKYRDGRDRTTPLNPQGDIAENFAIRMGRAGDHDFTELIRMYWSLHMDHGANVSAHTMRLSSSAWTDPYLTLASGLIAGTGILHAGAISQALRYNQAMAAALGPEPSAQAVEAYICRTLEKGLVVPGYGHALLRDVDPRLEPITRFINSRPVPASASGEQGHMLRLIGRNSTIVPEILRRRVPRMKSTAPNVDSLSGCLMYAHGLEIDFILLVMGCSRGMGFMTQYVWDRALGLAIERPLSITMDQIMAKM*
>EED79429
MACVDCVSGNVHTGTPVGEEITLAGLSTYATGDPNSQRIVVFGIDVFGWRFVNTRLLADEYATRGFRVYIPDLFDGYEHPQWTLNAAAEDNPSFFLKLLKPLILLTVVPFVLRNSKSAQSAKIGGLLAHLRAAAPPAAKVGFIGYCWGGRYALTMNAQFDATVAAHPSLVAFPAELDGISRPVMFLLGEHDHGFDGARGRETEKILKARGLPAVEVRVYDGVNHGWTIRCNMDDPKQREARDDAKERAIGWFEK
>EED79430
M*
>EED79431
MDAYKIQKTDDADGESLARVRWAWACVPIELKSTASKAPFAKANSKHFFTGGQLGRLARGQIADYAAMILNHQHRVFCFMIVITGVEARLLRWDRAGAVVTNAFDLTDSEQVKPMYRFLYRLSKMTAEQRGYDPTVVPASNDEITSMNVARDALPESDYRRKRIAAAMTCGWQIQKIRMREQDVVSVEAWRRAGQDSTSAPPDSSSLSSSVQAGVAPSRLSSTSSDAHAPASSDSRNETGSADSGGRYFLVGKPEHHTDSPIGRGTRGYIAYDMKTGKFVFLRDSWRYETSKSEIKVYEQLHRNRVRHIATPICGGDVMGQDNSPQQTCAQEFDKDKKMPKYIHNRLVVEEIGISLLEFPTGRDLVVVMTHALIVTHLTSEAHEDAWKFAEVMHRDISVANILMLPDANPEAVGVSYKEELGAATQRSRSGTWQFMSASLLKGPGIKTHEVADDLESYMHVLNWLCLRFFKTSHVNLRSYVSDSYDLVTKVGKHRTGGHEKYQAIKDGRAMANLEQKEGTPLKVLVESLATMCHVHYQAVDSSPSSEPGDDRPRRHPIITIGGASPDTYKWSDIYNPRTRQDEPKVKKDDPLVNHRLFIAAFLIACSAPASEFPAKEEDKFAFFKDIHTEHSLKRGIKTAINGTC*
>EED79432
MSSTLLFLDQFNAPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHNPEATNATDRATLEAYLSARHEYNEAVKAANEVIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIWTRHNKFIPCAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSQHWVRDCPDVRCTGCGKEAPGHLERECGTRLMKRHVSAPPEEPARRMGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPRSANPEPLASPVAGPSCPRPDTPIVFRKVDPDWTPDTTQWTWDSSWPRQEHLSGEEWKNLGRNARNEWFDEEEDDGVDWELYGDAYIMGSVRTSYSASYHYVSFFTNTST*
>EED79433
MRLTVVSGLAYACGALAASSSVGSYIASESPVAKAGVLANIGAGGSKSSGAYSGVVIASPSTSNPDYLYTWTRDSSLTFKALIDQYTSGEDTSLRTLIDEFVSAEATLQQVTNPSGSVSTGGLGEPKFNINETAFTGAWGRPQRDGPALRATAVMSYATYLYNSGNTTYVTDTLWPIIELDLNYVAGNWNQSTFDLWEEVDSSSFFTTAVQHRSLRQGIIFANLIGQTSVVSNYETQAEALLCFLQSYWNPTDNYVTANTGGGRSGKDANTVLASIHTFDLDAGCDATTFQPCSDKALSNLKVYVDSFRSIYTINDDISSDAAVATGRYPEDTYYNGNPWYLCTLAVAEQLYDALIVWDAQDYLEVTSTSLAFFQQFDSSVAAGTYDSSTSTYSTLTSAVKSFADGFTLIVANYTPSDGELSEQYSKSDGSQTSAVDLTWSYASALTAFAAEAGTSYGSWGAANLSTSSCPSTSGVSVTFEVEYDTEYGENLYITGSVSELEDWSADDALIMSSANYPTWSITVTLPASTAIQYKYLTKYNGDVTWEDDPNNEITTPASGSVTQSDSWH*
>EED79434
MRTVIWTTPKATHARRRPHAAATAHAHGPGTLRARTHGPQEPQGNDAQNASARRRRQGGGACAMLCVLDGHVEGLPPSGGIAPLPSIARKPGRSWGTVWAPASGRRAMLRAGRENCADADHVELFLSGRGIACAMGCFPQAAGPPTDSRHKHKCSAYRLCTLITPPILGQEPRTTPSSPGYHAGRPPTCPGSRSGSLHRAHGLPHTQSMVIFSRVPRVRPAPPDAEIGAQKDVRGAVLVFLGSAGGGGDPGSAAECYLRGAEVLRVTAPSRQWRWGVPAGSVNGSVRWLQRHGGRGSGGEVYVL*
>EED79435
MSSADTLVSSLNQLLAKLGLSAIVLESPFDLTPSLLVAVLECILESRLDIPPATRASRDIPSRVQAMKVFLGVLEGDVIQMDVGLSDVDPRRLAAGEWDEVVFIGQLLCWLGKTTGLLPVLPEDTPVEDGPGRFVKTASAERDMRGGAVSPSLPSDTASNTGHSYLSLSRTVPAGSDTTVSSSLSVPPAPLPHADLFDPGSQTVSSGQTRARRRAPRCIHEVEELSFMSQDSQSTEDTYCACPPEPLEPLLSSIRPSSTPVRHTRWVHRVDDASELRSFKAHRPHSVDLLSPAYGNLVSTPRTPLTGATPPGRILTRHNSPTEYTLALLNERAKLLAELAELKTARSKT*
>EED79436
MSDSSTDEAQILQSDQYSLIEYYIEVMAMSLFAYDSILSFNLEWRAVWSRKITGATVLYLALRYVTLMNVIMFVVATTVIPCEGYFITTQVYIGTACGTYIAQAAFASLRVYAIDGRRWTKATVVMMLGLVPVATNIASIQPPVYVISLINASFTSFVLSDTHTPHSRVILLLVTRICAFMSNLLVVISTWQATRADRAVTALNSRKSLIAVLFLDGIVHFALVFGLNAADVVVTLLMGEHFDMSDAVELISTVVLCHFFLNLRRLSSSPDINDSSMPSPGSSSLNFASRVIGNLGEMLEDSPGAFEDDLDCEPDAYLDAREAHSANDGHDGANSPSDAQAATAAICRREMYEGSTANRQALAAPDQGFNRRTVDTV*
>EED79437
MRLIEVSYPPESRDYLWDDTAALIACSLTCHAWHLANQHQTLDSSLTMPLSSYRFLHCALAKAHPGLEITLLRQVAPELFGLVVDTISFKGLLGDVREWISFRRVSETRVIVSTQFPLSGLWFIRAFPINHPVSTRYMGLKLEPPDAEKLPDVTLRLKRIEACLYVFIFADKTCSLTCRDWLPSSRYHLYSALDINSNNQLTKLQILLDHSPHICEFIREIHIAGIDRRCSAWLLALSTRLSRLESLTLKFCLLRSFAADTFKLPLPTLFPALRKLIIRSSSFDRECLPRMLFALPQLSHLELKDVCCMKHPLASLSRPSSSEATDQTVYLETLRWDFVPDDLIEWLLWARWPTRIRTLNLLLNTAIAHGQNTVDRLLEVAGGSLENLSLSLGVTCRYKPLDLSRNPGLASLQLVLYAHAPDPEWFAWVTAALMDIPPIHRSLKHVHISFQLYESIQDHYQIVTQWPWAMLDRALSAVTIRHPNVVLDIDFPGCSRKCIESVVGLRIHLVVTQIFKSDIILGPTRANNFEIFS*
>EED79438
MSSDIAEEVLNILDAAADAATIVSASKPAARLIMNMLPSTRLKRGDQYQESTLRLLEASRKIMSPAVFEEHFHKFENICDTRETLVADDIVQSIKIRGAIKKYKKRAKTLHESMTVSSQQARRAALRYLHEAASSNNDAVSKMDALMLQEETYRGADSHDEDAQSMDITEERSVYCPTASTLTLNDPFRETASVVVQDPHDVSIFRCNVWEDDDDAQTSGRTTPTS*
>EED79439
MITPICSSLLAVSALQIDEEMHVTNSYVSALPVAMFLFGLGLGPLFLAPLSEMFGRRVIYVTFFGIFSLLNVGCALVHNMAGLVVLRFLGGLAGSAGPSLGGGTIGDMFKREERGGAQAVYGFGPTFGPAIGGLIGGYISNHIGWRWQMWIMAIAGGVTTTASFFFLRETYAPYLLARLQGKRSAPTTIGFARSITRPLRMLFTAPIVTTMSVYMSVIYGILYLHIITIPLLFGPFPLYGLFTYGWKNGNDGLAYLGAGSGCYLSIVFCLFTLNRTYRALCKRYGTQKPEFRMPAMQLGMLIVPGGLFMYGWAAEAQVYWLVPLIGACVFAFGMMITYISIQTYLVDSFQQYAASALAAIIVLRCTFGAIFTIFGTKLYEKLGYGWGTSLLAFIIIVALPIPSVLWVFGERIRARP
>EED79440
MRNTEGLYIADENVGDDTVGAYVLSPFSSLIIPCWILQEPIPFMPADYLDIINGDVEYEAHHDLESTYWLLCRAAKRSWLNEGSPVSIRGNTPLTHLLHKLTRMCNQNLSHRERERIPLTHEAFLGAMQAAHREHGWPLNDDMLPWVPPEPAGDTEDSDWENDSVIVLCTSEVDEENVESRGASRDARLPTTSEVDNQLDAQVDDVTDDLRRWALDW*
>EED79441
MPRDLPGLYWDEEKKRYFPLTSKPKTLPGRVLPGVHPEHAGHRLPLQAPLDEGPLPKRRRLYAERYGHPTWNAAETVRTSLGSSRRSRVLHGILTDLARVPTCSWDGTFDGFLGQCMASRTVECAYEEVNIPLVYSKVNTSPEHEYSVMAGDAQGWLSSLTRNGDHNAAELNVTSKISSVSSWGTRHVLLYVKHFQQSAAKRGILLPDIETGRGFQVLQTGSDVFAIQRRDNLVYMGARNGSISRFDTRLDTNDRQELLQSRFEPTRSSITHLSVVQEWQLLVSTIRGDLETHDLRFLRSTTPLMRLNGHINSYTIELGIAVDPSENYVFAAGDDCKLRGWSLHSGDEIVPSPPSPRDIESAATSSLFGKTFDNPIRALQVTESPMETCLWAASGPTLHQFWLGQRAMLS*
>EED79442
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVNSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMWNRNPPSESM*
>EED79443
MPKAKPFIVTAKYEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVVKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED79444
MRAPVSKKDRLVWKNYPDDVRWPGEEKTGGRNKAKGIAELSMPELDRMIEGLVDRDYPFEFERVDKEALKKNKLPVIICAPPAHDATFKRARRYFANGTSDCKGPPRRVAPASSGEHGESSSPDTDELSKDTASAALSPVQAPPPKASLTRSRVIEVVIRKSRTSQPTTASKPIDVDDSEDDNAPVKPRADKRKAINVEDSEDSEDEDAYQPSEGTPTPVKPRGAKQKALRNGSDTEDEPEDGTKKEPSKGKGPSKGKAPARSTKRSKASAGGSDVEQQSTPRMPEKPRAQTVKRPAPRPVHAGAQTRGGAMDTTTNEQCDASTEAPAQRQRPARHLHEDESSPEHAPPKRRHRPATTEGAPTEGPHDQEHHQKAPAQHDKDAPAELHRPQEGRSKRAHAPVPSSGTAALPPSLLHQRLAVYDDEDGNSTSTAGASGMLGHWMFSPPAPFAHAHDAPPGYAPPGYAPRPRPTLPHAPRYAPLIRPT*
>EED79445
MFLPIKLLRRTQSSLLVTLGKWLGPSIYAIALLIPGVGRLETDTYSGQRQQWRAQEEYNKDGTMAARGYVAATDREVARRDSRFPSKRQETIGMIQSVHRSEIGHLGWTLSRTTSVAFPHLVSHNPLWPHRLDIKETLQ*
>EED79446
MASRAQRGGKTFTEVYSDYVRLQEDYARKSEEFDNLEKTMAHVLAQLEERAPILSQQREEYERLHAETSQLASQLSQALSDRDAYAAASEENAQKFNGTRRENELLQKQLDDLGRQLRGVLRELGRVQDPSIPSDDVLDADENSQPPETIEGVIKSNLVLFRSIPQLQEQNQTLRKVVYELGAKLEAEEKDYREVLEKEQSEAVVEAHRALVDVRQQFDTYRQSAEVKFQSISKERDTLRAMLDRASTNAPPM
>EED79447
MPQIAFGTGSKWKGQDVTDYVTNAIETGFSHIDTAQFYANEESVAAAIRETGLDRSDVFITTKWSSQAVDLYLIHNPALVKDYESDWRDFEAVREAGLTKSIGVSNFNLEQMQKLWKIAKVKPAANQIQFHPYNYAVNKDLLAFCQQHGVVVEAYSSLSPITRYPGGPVDRPVNAAAKRLGATPTQVILSWVKAKGVVIVTTSSSREHMQEYLDVGDLPALTEDEIAAIDAAGARGPPSSAHRRIRDAAILLSTTALLGWRLYRFLH*
>EED79448
MSLSSHQASMPQIAFGTGSKWKGQDVTDYVTNAIETGFSHIDTAQFYANEESVAAAIRETGLDRSDVFITTKWSSQAVDLYLIHNPALVKDYESDWRDFEAVREAGLTKSIGVSNFNLEQMQKLWKIAKVKPAANQIQFHPYNYAVNKDLLAFCQQHGVVVEAYSSLSPITRYPGGPVDRPVNAAAKRLGATPTQVILSWVKAKGVVIVTTSSSREHMQEYLDVGDLPALTEDEIAAIDAAGARGPPSSAHRRIRDAAILLSTTALLGWRLYRFLH*
>EED79449
MPQIAFGTGSKWKGQDVTDYVTNAIETGFSHIDTAQFYANEESVAAAIRETGLDRSDVFITTKWSSQAVDLYLIHNPALVKDYESDWRDFEAVREAGLTKSIGVSNFNLEQMQKLWKIAKVKPAANQVHLSAHFPRWLLSKLDCQIQFHPYNYAGNKDLLAFCQQHGVVVEAYSSLSPITRYPGGPVDRPVNAAAKRLGATATQVILSWVKAKGVVIVT*
>EED79450
MPQIAFGTGSKWKGQDVTDYVTNAIETGFSHIDTAQFYANEESVAAAIRETGLDRSDVFITTKWSSQAAQQLELTQVDLYLIHNPALAKDYESDWRDFEAVREAGLTKSIGVSNFNLEQMQKLWKIAKVKPAANQIQFHPYNYAGTKDLLAFCQQHGVVVEAYSSLSPITRYPGGPVDRPVNAAAKRLGATATQVILSWVKAKGVVIVTTSSSREHMQEYLDVGDLPALTEDEIAAIDAAGARGPPSSAHRRIRDAAILLSTTALLGWRLYRFLH*
>EED79451
MSVSPPVRRYSSTTSSSREDLINQYEAEEERIINVLSRKLERLREEKIALENTLEAESESHVNRLSREISALRLAQQQQQAQVNGGTMGGGSGSPVDTRLGLHTFLGYRNPAEPSSEMMLEAMRRENEQLRSRLVDTERDYMRITRLNEIYREELIEHRRRLGLPVDNLIGLASAEPYSQPTHRRASSAASSPATSIGVLASPSPQRQHPLTAAAAWPIPGVPIPRPPSQVHHPAASLSLESSAATTPLSHSPSSPTVFSTSVSPLASYATGITTPPSSAPLVAHPPAPYPAPPPPLSYPSVPPPSLSSSFGSPPPMMGEHFMGRGHSRGASHSRRTSVERGARVAETGSLLPRGRVARGSLQGMPEVETTAAVNGSSRSGHGHVQQRLS*
>EED79452
MSTTNKNVVIIGAGVAGLTTGIAILEKGGYDVTIVAETFPGDPRTIKYCSNWAGGHHVSHASGDPRMQKIDEDTFKVMWELSAPGGDAEGCFKRIHETEYFYDGRDARLNWMPDFTVLPEAALVPGAQTGVSFTTVTFDAPRYTYPRIRRCGNSESTVGTMVST*
>EED79453
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGSSWVRAEGSNPQIIAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTSRQPLPPHREEGSGN*
>EED79454
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPHSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWNSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED79455
MVDGFKPRRSNRLKHLPKVDYCENKTADGKRKRGALDEEDEYTPSREGTPENQRIPTRAPENQRSPSRRVIRKTCITRSSRQRAIDASQNEGACIISGIKDKSVQQCHVLPRATKPDVLTALEWWWDIKELSVDSRHNQVFRASSFQDACRYAWTYLHTVRADLHALWDRGYIAIMPMPDVTKEYLAKWQDGDRHKVLEDSDEAKIHEYCVIPHPDLVAGAPAPGNSPICEGFTYRFDKVGIIRSHAKPHFMALNAAMKLKENKELWVEALTAFCKRIELEVDASSFVEDVLTLSDVWTAPPPGDAELIMKEEKEQAAEEASSLLDTVSTGEAVTPKHPKAPVGPEALDQDKHPKAKAPKPEGEPCGSNLKLYAAHLAPTGSRCLLSLQDDKSVQGCHVVPRRTDDRRCARVAAWWGLDEFDVDSPFNIFLLRADIHCLWDQGHLMFVPEPHIIDSYLARSVVPIGGASSLAELFAASDVPVYRYCVVAHRDLPDTEEKAAFPRDVKTLAYVESPVPPQFVIYNAGLMLSKSGLEGFRMALDAFYKRHGIDYEAIDVLRNMLALFQRYTNKKPDSTLLHPITQGDLSL*
>EED79456
MRARTRGANGCAWPSTNHNFLRCYAPGNIIFLRRDLRELWETNRLLIIPHPDHLQKLKYCPVSGTISSRKTCWWCSGRLLNFWPARDIIRWRWAFSSCHPNDRTQYCSPLLDDETVRFCRQFRPILLGIKRRCFGDTSASSQDYIGDAEREVGIRPPAEFEDAEMLAYRQEQAGDVQPVVQKCIPLQYPWFSGYRLQKHRSNPKFDLVIHSSLCSSLLGVVVVDFWSTWFLWDYQLSQDHCSSSTTEQISASSPPPKGLPYTLKAAPGVAPPVQTRRSSPIKNSLATTRDTHPLTQKTYSPSYGSTSNLRKQQKVHSHPSTNNHSNSLKFTPPAPTNAPVEVPMAMFTQEDINQRIAVTLTAYQSQQSTANRPLHLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADTYYEKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDTEVNGTNCIENDYHTLHANFGALVITDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAVLNKADQKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFCARIKTLSADEKRELYEELMMEDF*
>EED79457
MSSTLPFLDQFNAPSTEGRKRISIYTPKHTHVGNSTLLTLLLSNPTDVFNKLKTHHPEATNATDRAALEAYLSACREYDEAVKAADEAIDHHKRLLRQQDDHVLTELIRLDNLKVAHRFQPLLPHSIWARHNKFIPCAIPNAYLPLPAPLPMSAFRHPPIPSPFLQATPQSTTIPADWQPNPGWTPKGSCRQCGLSRHWVRDCPDIQCTRCGKEAPGHLERECGTRPMKRHISTPPEEPAQHVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPCSANPEPQASPIAGSSCPRPDTPIIFRKVDPDWTPDTTQWTWDSSWPRQEHLSGEEWKNVGRNVCNEWFNEEEDDGVDWELYGDGEQLGQRLSFLMSPIMWAKPVQLAAGLTLIEYTFPFIFGDRALSLNARPGCTERGTEKSWLSRLRVKRLGKTKQRTLGAFD*
>EED79458
MVDGFKPRRSNRLKHLPKVDYCENKTADGKRKRGALDEEDEYTPSREGTPENQKIPTRAPENQKIPTRAPEIQRSPSRRVRRKTAITRSSRQRAIDASQNEGACIISGIKDKSVQQCHVLPRATKPDVLTALEWWWDITELSVDSRHNQVFRASSFQDACRYAWTYLHTVRADLHALWDRGYIAIMPMPDVMKEYVAKWKDGGRHKVLEASDEAKIHEYCVIPHPDLDNYAIREGFTYGFDKVGIIRSHAKPHFMALNAAMKLKENKEMWVEALTAFCKRIELEVDASSFAEDVLTLSDVWTAPPPGDAEFLMKEEKEQAAEEALSLPPTVPTGEPMTPKRLKALMGPEALDQDKRSKLKAVKPDGESCGSNLKLYAAYLAPTGSRCLLSLQDAKSVQGCHVVPRRTDDDTCARVAAWWGLDEFDVDSPFNIFLLRADIHCLWDQGHLMFVPEPHIVKDHLARSIVPIGGASSLAELFAASDVPVYRYCVVAHRDLPETEESAAFPRDIKILGYVESPIPPQLVIYKWGVDPVEEWTKWVLNGSRCLLQAPRSRL*
>EED79459
MTAPCSYRSLGWHELGAHLHLMIFRAGQKLSKRPLHYQHVLRDLLPQKEVNHAYTLIRRHGSWTAPLPWDIVPDRRLWATGELTPFPDGYFRRPLTKYCSPLLDDDTVRFSRPFRPIVSGTKRKVSSDTSMGRQAYTADGYTQRGISVRQWCLDCDHARDEWTMGPPADPENVEMVAYRQEQPGDVQLVVQKLWSGESYFSSIVCWKTILVGELRRPTATTGAHVVTSIHTRKRTDPAIAKSTNEPDIFPDLHSPMISVALELSATERVCNCTRGVYQMTRGVYQTSVHYHLTSDENIHGSNSMLVTDRKAFQAQPLGIGYSYGYLPAFNQQEAAVALWNTRTASMWDQTAVTVEQLLCIAVCQWNEPQHNPERQLTPTVTPIQTADPVFNTVTAIISASSRS*
>EED79460
MKEEKEQAAEEALSLPPTVPTGEPMTPKRLKALMGPEALDQDKRSKLKAVKPDGESCGSNLKLYAAYLAPTGSRCLLSLQDAKSVQGCHVVPRRTDDDTCARVAAWWGLDEFDVDSPFNIFLWHLMFVPEPHIVKDHLARSIVPIGGASSLAELFAASDVPVYRYCVVAHRDLPETEESAAFPRDIKILGYVESPIPPQLVIYKWGMPSTSATESIMKRSKFFVICWLSSNDTQPKIRPAKFRVSLPKVTFRSSCLNRQNQEIHVFLSRSWPENSTDVQGPARPGLKAGATAWLEAAWACQNLRPGLDRRLRLGPARLKLRPRLVSKETTLKKLDLSGR*
>EED79461
MVNGFKPRRSNRLKHLPKVDYCENKTADGKRKRGALDDEDEYTPSREGTPENQKTATHAPENQRSPSRRVRHKSAITKDARQRAVDASQNEGACIISGMKDKSVQQFHVLPRATKPDVVSSSGS*
>EED79462
MATFTQADIDQRIAVAFAAYQSQQSTANRPLRLDIPAPKPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKREX
>EED79463
MDPRNRGQNPAVYDDRQPGLPNVARKSANAPRAPARKVALGPGAAPVQRVPSGHGAPNAHRSRGIATKTMSRQVNAQRDARPVADQHVRAHSPPRLVSRKRAYVDQGRNAQAQFTFTAPLPSRLQRPTKTTSLRPWWFTEDEHSNILGIRRRRDQNDVGGRQPPRMMAMSAGGSRRAQARARSPALLIRQNGHAIPMPRRGGFIVGPGGVLHKLTDSDDDDEDPSAASRNIRTHRTQLATKAAIKGGLQFGSDSNRTSRYHRLRANDDDDEYYALKGLSPIAIPPQELAERVQTSVTDDTDQEESYEKREGRMLDWRCPLCQLHSPFDTREMLNFHLCCDHSEVKISTWRITLTIPELDYESSEEEETTEEESSDDEEVHETHEKLQPAPLAHLEPVSSHNRPSSARGAGPDHASGQTLWEMSREVEQRIASMHQRLSDPTLLSDSEDNDTPEATHPPPEPMILVRVEEEEPRIPIPEPAALPRAPQPISAAPPEPVLIFKKFRAFSPTPSHTASAGTRTRSTTTQTRSSRGLREGRYPTPPPPSDPLGPAAQPPFLPTEPAADGELYYSCRLGGPRIFDLLNTLPLDRFGVMAWAIIDREEDLFELDDVRDEDKVILALWNRWIMLNRRVIDFVDEYWFMIHRAAGWDALRAFLLVLAANKFLDAPEVARILKHYETHTGMDHWYQDDDDDYLDDASAA*
>EED79464
MPSSSRAALPASTPAVSLGCPAANVWRERLLLSTLPGAEAPSLSFDRPGTSTASTPTVTPAHHAQGLPAAPVSAHANMPRYNIRADVHFDAARGLLIAMLELPGVRKTDVRISLAQCPHSRMKVLAVAGVAFPSHFISDSAHGGALHAVRERKCGAFGRCLPVPPETKAENLHVSMEEGILTLRVFCGPPAQAVQAQSIPIP*
>EED79465
MTKKRRNNGRNKKGRGHVNFVRCSNCSRCVGKDKAIKRFTVRNMVESAAVRDISEASVYPEYVIPKLYIKIAYCVSCAIHSHVVRVRSREGRRNRAPPPRVRWKDGKKVNPAVAAAEDAKAAAARTA*
>EED79466
MSDQEGDKKSGYRLEYAASNRSVCKGPKPCNGTKITKGELRLGSVVDFRGNTTMVWRHWGCTTPRIIQNMKKSFEEADELDGFEDLKDEDQDKIRKAWEEEKVADEDIPETARKPEGEEGEEEEDDKPKKKKAAGKAAKKEDADEGDKKGVFKLEYASSGRAKCKAGWGELKEGEQDKVRRAWEEGAVPEEDQGAGEAVDTGKKAPARRRKKAEDADDAEPKKRGRKPKKVEDEDEDAEEEKPKRKRAPAKAKNGAGEKSKAAPKKRASRKKKSSDEEDEASAEDFEDELAAVGDDFEDDELEEEEAPPKKRQVRADEVGRAMVLTNVQRAPTSKASAKASKPPSKKASKPVSRKKKQQEEIPEESEVEED*
>EED79467
MFYMLAAEHPPRENTPALSDDTGSSSSRESSPPATPVSGLSRAPSISFDDDSKHTPTGDGTDIKIVEPDADSSPPEDITRPLKRRRLADIRADELRAETVLPPDILSDATKADYDTSFCPEHIRIGDCTSAGDVKPDSDAVHPSLEHVFRPLKRKRLVDMSTDDACAKRAQTSDISSGAANIEDSASFDLGHIPIHDCTKIRVAEPDDVHPVSPHIDLHAPLEAIARPPKRKRCADTTTDEVRVKRRRLSDSPSNASKLKYGGPFGPHPRCMITGCASAEVEACYILPPDMPQPLGDLRTPNDISPAYCSAPANIIFLRHDLRILWETNRLLMIPHPDHIDHPDTRPAYKYCVVVEDEHPSSSCIPKDYTITPPVATACSSYRSLGWHELSANLHLMTIRVGREFIKRPLHYEHVLHVDALAHIPVIRLAHLDAVKPVSPHNERESSVEGIPRLSKRKRSSDAETDEVPAKRMRMSVSASEASELEDGVLFGPHPRCMITGCVSAEVEACYILPPDMPQLLPHIPHAVRRDLRELWETNRLLMIPHPDHLNKLEYCTAYKYSIIAEEEHPPDSCTTMNNIITPSVMTAPCSYRSLGWHKLNANLHLMIFRAGQKLSKRPLHYRHVLRDLLPPNEVDHAYSILEWHVTWTAPLPCELVPDRRLWATGELSACPKNYYRPSSKQYCPPLLDDDTVRFRRQFRPILSGIRRRHFGDTSGSSQDYIGDAEREVSIRQWCLDCDHARDEWMTGPPAEPEDAELLAYRQEEAGDVQPVVQDLWQLEDHFSSSCGIGCISNFTSGRGDDMQLHAWRWRDGVRHLPGVGAYRLASIENIRSSSNNSLAIWLHAV*
>EED79468
MNVLAILIPDMFYTRAAEHLPRESTPALSDDTGSSSSRESSPPATPVSGLSRAPSISFDDNSKHIPTGDGTDIKILEPDADSSPPEDITRPLKRRRLADTRADEFRSDRALSPDTWSDATEADDGVSFGSGRVPISDCTSVGDVKPDAVDPSLEYVFRPSKRKRCADTRTDDACAKRERTSGTSSDAIKAEDSASFGLGHILVRECTSIRFAESNAVHAVSPRIDLHTSLEAIARPPKRKRCAHTTTDEVRVKRRRLSDSPSDASKLKDGGPYGPHPRCMITGCVSAEVEACYILPPDTHQPLGDCTTLRMPTDSNAAYCSTPANIIFLRRDLRILWDTNRLLMIPHPDHIDYPDTRPAYKYYVIAEDEHPLDSCIAKDYTITPPVATACSSYRSLGWHDLSANLHLMTIRVGRELMKRPLHYENHLVQDALSHIPTITPVCADMIEPVFSHIKRDSPVEGISRLPKRKRSPDIETDEVPAKRMRTSGSARNTSKVEDSVPFGPHPRCMITGCVSADVEACYILPPDMPQLLVNRKMDYITFNMRTNYNDLRCPAPGNFIFLRRDLRELWETNRLLMIPHPDHLQELQHCTVYKYCIIAEEEHPPDSCATMGYFVTPSVMKAPCSYRSLGWHELNANLRLMIFRAGQKLSKRPLHYQHVLRDLLPHKEINHAYTIIQCHGSWTARLSKQLVPERRLWATGELSACPDGYYRRPVKQYCSPLLDDDAYRFPRRFRPIVSGIKRKRSGDTSVGTQTYTVDEHTQREVSVRQWCLDCDHARDEWKMGPPAEPEDAEMLAYRQEQAGDVLAVTRSQVLIPSPVAYVMTGFTCPSVLTIQHTLSENDPCWRTPSTRRHDWSLCLDGVILASRILPDEPNALPDRSGSRSVEYKNYKHVGSSMLIVPANHLLRLPIPQLPAAGSELQTALNGGHCGPAFSACLINETSDIPEIIMAKYTASCMMNPYISTRTDDFVATIPKPHVVQSEKSGNPVGFLTTAYNPLIVSKNDTSIILAPSQSQTGRPPSDTADTEQ*
>EED79469
MFRQIVNDLSRLDVCRSYLYSESNTIWTSRMNLYFPGQFPLFGQTEQDAERIRKTYLFHYDLTDKEKEEVRATGMHCAEYIRDAASFQENAADYCASRGLRESADIEDLLPLPEEAATIKQVDNYLQTVKTLVEVLDNLFS*
>EED79470
MATSHEFSPSSLALLPNLAFSPPIGIYIALWLDPVGMAEELDIHAVLTAAQAMTPRKYLGYVHVVRDFPLPSRPWHRCHIRFVGEGMPEEQPEKGILSDMCTPIEPTTAHPAGREPLHPSRLFPYPNCYQHHFIDKLVRVPTHIIQYDNCVRLRPREMRRHMNYENGDWVIRRALVKDMEDREVWSPEIIVPHDEENPGVPPAMEVDDEENAADTAGHKESVQEDGDDSGDPPDLMQALAIVMTAGDRLNMDIDTLPLVRISLDLTEGGKFEDPRGFLKEVAAMTECEIV*
>EED79471
MVKRNAPAKNGSRRVPQIDKDIKRWEVQIPKPENAPMEMDHGLERYIEHSRVNRGLRMQWYPHSDRGRSQRGEYSMVFNVTRNTINVGLHHREVEPWDCHSRVIHLRASQCRLAESERTFAGLGSASATEAVNTIEMMVTWWSAVPPLQVSLTMTPPKSFSRRRVIRLEQVEACHRQVLHVPARNKLQEPFMGHAEPAAMQRAWDQGHAPGSVQALLGTL*
>EED79472
MASAKLWRRFIRALEVEDHRGHEAQISFTESFLSNDDLLPVPVGKRTWAAWNFVSFWVADSFNINTWMIASSMIQLGLSWWQAWICVWIGYGITAPFLVLNARPGAVFHITFPVVARASFGLWGSLWCVLNRAAMACIWYGVQASIGGDCVLVMLRAMWPSVNNILKAIVVSIAGIVFFIWCIVKARGVGPIVHQPAQIHGSQLGWAIVVSCMACVNGMITLITTNLTANSVSAGCDLTALFPRFINIRRGGYIAAIVGLCMLPWNLLKSSNNFTTYLSAYAVFLSSIAGVMLADYYVVHKGQYRVSDLYDAKKDGWYYYSYGFNIRIFPARGAAKNFEEVDLSAYATNESDAYYDHNNDMKDHVDGIQLSV*
>EED79473
MSTAHRPTWEPAQAKDVKGGSRQFSARDMAAHTKLKFRQPGQTSVDEVVKRDLRADLLLAEQAAREKKRKAEGKPPLAVENASTAAGQDDESNKRRRLLQEALELDKDDDDEEKSDKNDMGDKDEDNDEDDDDDDDDEDEDDTAELLRELEKIKRERAEEKERQEREQSASATAAREAEIANLIFKNQAMSARDKDKASGKFVNDLLRTEFHKKFMAKFIKESRDHDASWIQSLVKRELSDDDHDRENYSPHTSHDVMNSGHGDGCDLFPVFVQISKQAKRRVTGGR*
>EED79474
MEFLSQTYIALRGPTGAPQSASETIARLADRLSPSTLLGDRRAAVLSLKGLSRDHKADVGERALPGLLDVLQNDAEIDADIGKAVLETLNLLCEVDDSANVQARELGFKHTDLVLADEKVAHKLFVLLADNSFYLRLSALQFLATLLQNRRQVVQGYFLKAPVGPTSFVAVLEEKREIIRHEAIFVVQTLISQSPEIQKILAFEGAFERLFNIVASENGVDGGVVVQDALKCIDGLVRFNQSNQSYLRNSPLPPLLFTVLGFPPALPHDTPPPQNFALQLWDDPRKRANAALVVGIIGLLTKSGGGNLQDPLTFACTRCLLELGLASNAPTAIKTQALRLLPTNFSNFPLGQMLTTPYVPVPETNGEEWDRLEPSIALDTLVELILHGEYNGMLEGERRSKEGVELRAAALELRAAGRDRRGNRAGHGLTIGYEQTLCKSVLVPNCSVLESAPVTPLLDALIASHTTPLRTSSVTSTHLASLLFAHLLRASPQNKTLALSIVPPTAPTSAHPQGSFFVPADGGPAPAPPEEPELEPEQEESEALIQILSEHLSLAFLARGRADTSDKEAREWDRLLVGYLTLLSQWLWENPRAVRGFLEAGALGVLVEPINHMTDTDALIPSLCAFLLGICYEFNREPGEITRSTIYPILTRLGIDMLGGRILLLRDDDRFKAIGPDTLVLSMPAAPSHLHTGPAVAGKLEIEEGEIWFDWPFIDFWKSNYLIPGCQQTRYREALPQIRTHWVHHQVQTLIISTMTLHTHDLISGQGDTTMLIASLKDVIRNQATEIDALRSQLQSVSVAQAEDDREALRAQISSLITQLQAAEEKRRDVEKEQEDLLVLLDELNNKRRRDKAHMRKAGLEVSEDESDEDGEDAE*
>EED79475
MQPTRPDSTSRTAGNEREQEHGQGRKSQVRTNNGEATQQAQSHDSGGVTAHRQENRGGNGRVTRGRFEDMDRESLMKEIRKLRRGYKDAHQKLEEVTGQVGGLQRSLAEAENKLTVTATLLDERTATLRATETLLTKNDQYSDADVLRLVNELNDHILQASAQIADARIRLSPQTSRHQGDIDEAKQRIESSVGAKMVQLLQSRLHDRPSVLQMAFQACIVFCASRIIKAWVFQHFGDRKIFDEVHKRIHANGEWYRSDKGRKTLSLKGD*
>EED79476
MTSAPSQGDPNPARPSQERRSPAALNIPPSDRHPGSPTLAVHSPRSDDPHRPPRHSERRGDSNARGGYPRHRSHPQPRPHGTHSRGGRPQNGHRRQDRDPPRTLEELQNETRRLSARLEASEKRERQAKAAVEEMENAFQALQLSHTSTIALLDVRTSELCAAEKFLARVDSYSDADITRLVQELNGHIFQVAAQIADYVPTLTAGEAGRDLDEAKMEAMAKLLGPNMLDILLSRQHGNNMICIEIAVQACISTHLTWLIRLWSLNRDVESVLFGMVYKEMRKHATDQNHAEEQPVFGRWRALSRSYIRAVSESQSIAINITKILVGDVASIASLAGARGTRSCLNKTIGAAFGSKIQPLVERSLDIRKAIYEDVISGEFEPFTVACGTYFDKGTMEEAYTVKTATTAPQHTQEVKALCVTEMGLLRREKREGKVHDMVLLKAKVVPETLVNELRGLGRGSDSASR*
>EED79477
MPLALLDSFRGLLHCLLPGTVRGHPDGSTRSYNGETAPLMHGLQDDLRKAKEDGDVWKRRADEERESKRQSEAAYSDLQRRYNSLKETVRAIETETVVLRERADTLKVVEEELRMTKHLLRSQEQQNTTLQAIHVKTTALLETRSAELHDAQQYLTKADQLSDADILRVVEQLNSEIMQAGSGAVDTAIPTELVGAKMAELLQVVPHSDDTFCVQLALQAALVTLARWIVAKWILWPDCDDGDIRGIYEGIRMNQSQAVAGRWRALTRAHAKTLRDHDAGAELHKNLTVYVAHILALAGAQGSPEDILGLVQASHSDRLRSFVNACLHIHKAIGEELTSADFETVAGACGARFEAGSMKDEWGGSTDESEEGTVLCTTAMGLRRVEKRDGGEINSIMLLKPGVALDSVVKEMTCTERDLM*
>EED79478
MAAAPGGMTGNRIDGLPSTEDVVADVQRAQSPTMAQITSLEGELRTARKELEELQGKEERSRSVIQWFQAELTESQTYAKSIEDELRKFSGIKEQLREERRLTHSLGQQLATAQAAHSNTTALLDMRSSELKDAQRFLTKTDPLSDADVVRMVEHLNSQIFQAAARIADSVNFPHSNTARHGSRAGHLVGPVLAKLLQQVSHADDAFCMQLALQAGIIAWTKWLLETWNFDPRGDEGLFHDMHAYIKNKESQTVSGRWRALAHSYLMARLSDAAQSTLLDHLVLCVADLLLASGAGDCSEQAVAFIRDRHGEPLRSIIMATLQLRTVMWEDIVSRDFETTAVSGGERFDHESMKDDFNSQARTREDIGGNVLCTTGIGLVRSEKCEGPEGATRTVKVLLKAAVALESVVHELSLAAHA*
>EED79479
MPAVKKSEDAANLGSIDDQSSSNDDSNHSGMRLTDTRGTKLRHALNVRYRLRKLCVAPSFDEPHSTLWAIFVHHSQCAVSSWTTLGMASGAHWSQENNRRSSCQERARDSGEAGKSRDQKAPILALIRQGSHREHGRQVRVRTSGKEMMPPPSRYTKLGSKEEKRQ*
>EED79480
MCTRGGGPEDAQHGEDAQHGADDARAQVARQEESLHRLEETLAQVQTDLQTTKQHLDDRERQYTALEAAYRKTTHLLEMRTAELQGAQRYLTKTDGLSDTEVLRDVERINATILHDATSIADSVSFERSADRALPADPTALTQLLGVRMVELLQKVSHHGDPFCVQLALQACMAAFAKDVLPMWTTQSENDAFLDTVYAGIWEHAAYEETQAIAGRWRALARSYLHGQLRERGAIAELHHWLAALVVQILRLAGAAGTEQEVSHVVSVRHTERLRSFIDICIDVNKSIGEGVLSADFKVLSVDCGSGFNEDIMKDDYGSAVSMGTGEEVLCMVAVGIQRLDKQDDGQRRAITLLKPGVALDSITSELTWEEDSSERKVEGRSPS*
>EED79481
MAGGLGMGASAELKEGVKEDMAHRVGEATVQLLLQKRHDEDPTCIQIAFQACLMRHLSEIIEAWTLHRKCNVDDGPFGRVYHEMYTHEDQAVSGRWRALTRSYLRAVSDHNGIVKGQTRQLVVQLVYIALLAGVKGPSKQLFEMISSRFGSTIRSLMNQALDIRKVIGEDIISGDFKLLLPSDVPFDESMEDAYPTDAMSNAEIRQDAVVVRTAEVGLMRVVKSDSEDLNTTLLLKPKVILELSLNELNGNRSELWGAAEEPTESNGP*
>EED79482
MRSRQSRAQRKSHIRRRSTSPAPGEHIEAKRQHLKRRRHKARKQKPQATEKRPSTTIDHATVKARRVLWAAMAAETRDIVLGDGQYVEERLMSAVDSALAQPPHQGPEPAACTPVAHDISMQVMLSQQGTTSYPHYSTALAMWSQKPAFTATRSATTFEFTQHSTLTAARRIALTTPDLHSSNHSQTSLGILSYASRRRPGGGYLRGGDEQHERIARHSSLVASLTAPAAQEFYKEHRTFWQEDGSGLQDHAMVYSPGVVVFRRDRDDSLALVGANATTVELPPPADSIGGEFIAPYLVNVVSSVPVNAAAVRSKHVIKPWEKEFFENGIRHAMKERMARILRLLEKEGNRVIVLGAFGCESSQNKVETIAEVWAELLVSGHLNKEGHRIEARFKDVFEKVVFAVPGKLFEPFRHAFELRVLETRISEVTVSVVIRGGMILVPPRWIPRCDLFKQWWHIREYAKMIAKDAITSYATVRGSPPGVGLSAETCRRGAMEDTDAQQDHSSLPTPCNPLDASKASSDALPGQSANEDSINVPNHQHPTTPPRPTAPACSSNKPDTPAVCSDSFRTGSLSEREDAVLADIRCLRCMSVDEFIAIFLPTLPDDIDIEHEKKEADLSLNEDKLFERIVLLVQQLATEASKMSSREQTFEYALEPTKIPTTVERKSSFRPDGVFCKKGGSSSSYYDIALPMEFKIFDADKSNYDEDYLRHATHHVGGPMSDPSPTDSGARNVYESLSLLCFCDSDEDGMGSDHRTNPWPWQASLSDYVGDECYETIEELQGAADVLLGRGVRVFFVKDAQGNSAVLKDIWLEDGRMTEFQIYTAIVEDIARRFPDGGKEKADRFLMQPSKDSKSFPVTCDEKPTQQPMKGLPVLGLNVEEASICHRRYYRVVFKQHAIPLFDVDDLGLGFQTLDDVMEVLQLVHGSGWVHRDISPSNSRPYLFQGTLQFMAAETMDREYLFLPVQLEERSTMEQCTAFILLNQRLNKTAAESTPNVGTFHHNYLHDIESMWWCGVWLLFFNCPKGYDEAQNDVEKRQAATMVWITTKIVQSYTTYEALLASVAGSNATWNLDPDASQVHSDVQDTFVLAKKYLWGKRIQCAKTSNRPSESRARHGLDQNKGRYEMCKLALLAVRTVAQSDAGQFGNR*
>EED79483
MHLYRTKCILRYINIMKVSRDENGLTGFSAVARVKLKPEYTASTNVLLGEESRLYSIPNKAQTKSDGASPADVSSEEYVYDASVQAVSMFCFVAGPDLGRYMRPDVLVRQRALALSYEHVVQSIPIGSDVVAGVKFGHEIPGAKICDRQPSSSEASNVTSATATAVEKTFPSFSQTDTWRHCTTELEESVHSLQESEEHTRLIEIIKRADLVESELTVPAEKHSCQKSATDLKIDKSRKLFNRPRTIVSFLVVSAGLAKLIQYAPPLTPLGLRLCDDILCERNKRYGTGAQKSLIITMPGSPPTTAPPDASGFQLQVNDALPAIPPSWTSFRATTSRLANALLYSVCGITSEMSQIILQVASTLYTDIFLCCYPVRIHGARYAHIRSAMAIHDTQGLEAAAPNTAQAQWSAFHTRILNELELVGILSGVLLTGIATFSQIAALSGDPIACTLALIALIDALHSLAAAVAFVPHFKDMVPLARGRRWIRDGERLCVSPAALLAAPVAWLLWASICAIAASLVYLWAKALDIEPALMSPARWVVPGVVVTGVTLLNAAHLLYAISAFRRLGAGCLEVEGVTADPLPTAEQTSAGVIAQVLHAG*
>EED79484
MSTESGSLDFQEEQEKSEGITVQPDLIHPTDKDGDLLAKDVRPAAERQLVRQLDIRLMPTIIVIFIMNYIDRSAVTSARLQGLTQDLHLTEVQYSTVLAVLCASYVPAQIPSNMSDRIGKILNRISRALQELAFRSAVIYGGLLISNAFGNGAISIFIGILAIFILPDYPHNTRWLTPAQLRLAQVRLAEDAGEADEDAAGESAFVGLKLALKDPKVGIFAIMNCSQLLGLGFINFFPTDPVRPPWIFATIVCAVNAWSADRTGERFFHHCWPWWGVLVAYIIGASTTSIGARYFAMFLMAAGYSGFALTAVWVANAIPRPPAKRSAAIGIVNGIGNIGNLYALQSVWSPDYHPSMFIGIASLTFSTILAFVIRCILVHENKQLERDETEDPKGARRERIEEAARLEGLTFEEALERKRGFRYLY*
>EED79485
MSAAAIQSVENTYELLLYSDYHETTETYYLYDCIITFDREVEVIWTRKLTGASVLYILNRYLCAVNQVISILPSFVDISSDCMKSVAICVTRVTIYEQSAGFSGLRVYGVSGRRWKALPVVVVILGTVPLITNTNCPPLAFLRHFRGHGHTYLHTYSRNDFTSISVILSILHIVFWFTKTTPPSSTNLSQLDFCLTALWETWALRFDEEGHFRFHYRWQQAMTTRPSQVQRNHPAEALTTHRPKK*
>EED79486
MSLLEHLPVELFLSILRFVPLQYLYSLRRTSRTINNIIAANESYVYHHAALLHQYVNSADIGLSEIPRTGTAYPVRDTSTWKSFCELKRMYISFGLVAEILESGAQCFSLDKNWLGKGELSTRSYCTNDRDVHRIKVDEDRGLLITSYIDGGIRVTDTKTDERLWALSMSYVRPNAHVEYEKGFLIFDRIGHCKEVWRLSEDYISTDLPHNVAPEDSQETAWREAEQRFQNTSRGHFRPWALLTSPEITRAFRFSYPTLVVMSHMNAFLWDIPTGSLAQTLHNVQDLDGGVLPLGDVMYVDVSPQHVFVCGLVELRVFNRSDGAVALRIPAGRQVFADTRIVLTIPDVLRCFPSWAVGSYPPPPHAFMAAHVSRDGRDLVALISDNRLLLLKDFERVVRGQISLAEATLEVELISSTLNSGGIADWPVYLAFEHGRFKHEIPQHASFPNLAVAHVPQLKGQETLSEVRCLQMTATRLYLACDKQYLPNKGKERAEIALDVQRSYGRDEYIGPSNGPATSAATYATLPDAAFATWDTANTDEDLPGESTIFTGELGYSDEEEDWEDELDENGEDWEDHIEPYIVEDYDDGGMDMDVDEDGGESQELAVYCIDFTPEIMKEE*
>EED79487
MTENKDGLGQGFPAGRTNLRRIPATGRHRPRTLLRAMRRTWRNNAQKGLEPRTPRAHSDAFCPELPTRDRRSIGKLVGRRDSLLACAARPARRWDGIRTARGLRRDAAADRAWNGVHLGALAAAAGASSTELTRGFALTGAKLRRECDLRLMARVDPHYEQASRLLDASSWARWCVHDGVRAGEFQAGILLGVAYYIRDCGRRAKAEARIAEWTTLHAGAVRLFRGLEKLPAKPVELQYETHVHNQLSEMISCVANSVVRAQKTQWIHQRQMLQIWRIREGCSMGFSFEAISSKRKLGPETSNKGQDTASDWPAPLPSGVAVTEHRWLMTDS*
>EED79488
MELECIEDELLPTLSDVRMSINAQRPVNRLPDKILSEIFRQVPPSPLALYINGLCISLRKFLVWESFFDFKDTGCDFDLPASYAHGLQALAAWNCVLQGDVSNLKALVLRAVDWHLPSSLTNLTHLYLVRKRLRVVDLFRILSIALRIEDLVLEEFSAEDALDPHEDIPAVALQHLRRLAIHRPDRNLVSALFSHVCLPMRLAASFELCQALDFEWLVPLTQIDTNALYISASTYSVVAAGPSRAVRFSCRHDLEVMVPWTMALLSHFHLQFKDLWIASTHRELDMTILKRTPWVERLHIGSSRYTTMLETLGNKPAYWPKLTKVATLQHSRLLKFAETRAHLGRPLEELECHASRSTYIQDLERIESHVGVVRLVKDDPFALPLPDVCTDGVPSPYFWPEEWDELYFSSVNVNIMTY*
>EED79489
MATPRTSSISSLASGPRRSTTRATTTATPCCTWSAPTGTQTP*
>EED79490
MCKHVLNAQVAIRAPCCKQWFDCAECHAETQDHRLQKTSEMTFMCKKCKKAFRKDMANYEESDEFCPHCDNHYVIDAKTPQAVLGVEGDDPRINARMLRDERAKQDPSRSLFAQDLSDRIG*
>EED79491
MHMYDNLVRLLVSDAFLACLLPIVLSFVSLKLVGTPSFAELRNILSAVFRGNRSTPKHFSLERATLSYEQYVRLSQAELAVMRSSYERLGRAHKRIAQKLGYPEKLDRLQQSILLNARVTAGIAGLARKQFSSTGGEDVSDNHGDLGRVRESLKHFVRDWSVEGQHERTRIFGPVLNVLKQVTSEQRASTKVLVPGSGLGRLAWEISQLGFDTTANELSFFMNTAFRFLLSEETTQHANQHVLQPYASWFSHQRTNDVLFRTVAFPDVTPRLSGKLHLAECDFLSLRPPLPAPLHHEGADDGYDYIVTLFFIDTSLNAIQTIEHIYALLRPGGTWINLGPLLWTGGGQAAVELSLQEMLQLAETVGFIIHDGLGEDNPCRPRTIECEYTADREAMMRWLYQAEFWVATKAQI*
>EED79492
M*
>EED79493
MMS*
>EED79494
MTKQIAASSPPQKGLPYTLEEAPGVVQPVQTRRSLPIKNSLATTRDTHLLTQKTYSPSYGSTSNLYKQQKARSHPSTNNHLNSLKFTLMRKDLGKTWADTYYKKSAGGVQVYSTWANFVATLEEVFPKHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDTEVNGTNRVKNNYHTLHANFVKGLLKELYFALTTRVARDRPNTMKACYNEVQNTDTAKQGALVVTDTRDYGEPMDIDAAAVASTFTSTSGGRKWELGAAFNEANWKLHRDENLCFYCHIKGHSAKDCHKKAAARQGGGRPNQEGSGKDDFCTRIKTLSADKKQELYEELTMEDF*
>EED79495
MPRSTTIPADWQPNPGWTPKGSYIQCARCGKEAPGHLEQECGTRPMKRHVSAPPEEPARCVGVVIDNVFLEGIINEVKERKERERQTKAVPIPPLRSANPEPQASPIVGSSCPHSNTPIIFRKVNPDWTPNTTQWTWDSPWPHQEHLSGEEWKNVGRNACNEWFDEEEDDGIDWELYGDGEHLHNGVRAHFVPGNVPLCFFLS*
>EED79496
MYIKESAGQHQGVSWPKAKRSKDSNFCDSALWTHDPDGVQPIDAFRHVSQASFEFPVSAHLVFTAEGEPALAHGTIEIDDSGEAGAETVNVDITAYSNNLRDLLDETKACQLTPTKSPVHGVGIYANKHRPNFAYSYQRMVTWHIKVRLPAPSGPSPTNITSLSTHMPMFVHHIGDIAHSVHFTALSLNSFAAPISVQSLAAERATVETMDGTITGTFNTSRSLSLFTTNAPLKVDVGMLSSRDDGLFSTDLSIQTRNGPVTANISLHATTASGTGGVFEVGLKTSNSPLTVAMLDAPPDHILRMNAQTWGASANVVLPKTYEGEYHLRSPPMDSPAIHVADLEDPAGRGRRRVVEKHNVKGVIGGTIQWLPSIRNTHSGVVNIWASKLGMSLSL*
>EED79497
MTFHKKTGWLRYLWYAFLFASLSTVIIVALVKHAHKNKHQGVGWSKSNRPKDSGFCDSALWTHDPDGVPPIDAFRHVSQASFEFPVSAHLVFTAEGEPALAHGTIEIDDSGEAGADTVNVDITAYSNNLRDLLDETKACQLTPTKNPVHGVGIYTNKHRPNFAYSYQRMVTWHIKVRLPAPSGRSPINITGLSTHMPMFVHHIGDIARSVHFTALSSNSFAVPISVQSLAAERVTVETMDGTITGTFNASRSLSLFTTNAPLKVDVGMLSSRDDGLFSTDLSIQTMNGNVTVSMHDNVYANCVLCKGPSLRTSACMPRQLPARGGVFEVGLKTSNSSLTIAMLDAPPDHILRMNAQTWGASANVVLPKTYEGEYHLRSPPMDSPAIHVADLEDPAGRGRRRVVEKHNVKGVIGGTIQWLPSIRNTHSGVVNIWASKLGMSLSL*
>EED79498
MSESSAATVPIQHHPTLYFPDGDVALLAKQRLSDLNGLPVDIMQIFRVHSFILKHHSPVFADMFGLPAPENLDADETHEGVPLVKLQDDGKDLASLLNALYNPSCELNHSCVREIPYKRLDPNTPLLVGGILRLAHKYQIEPLRIRILEHFAADWPQNVDEWDRMEAGIAAVRRQHLGPSAIQGKLDGLYLDDRFPEPALAIKFAEEFQLSGPAVRLGLFAPGGRQYGGTS*
>EED79499
MRVPMAQVNFIGSNQSAIRAPLLTV*
>EED79500
MTCMFSLFRKRRKSDPSSTDTPRSERQSGSMSTQATSRRHDVDQLTKDMARATISSVQTVPLANSAFIGGFNPALGNSLPSVPQTQSGQSGRNGVLPASHSSHATPTWTLAHTPADGHSTNIEDYAVRTNPGAFTKAGARCSRVVKQPVSLDAVDPDVEPKQERFCHQHLKEQLKHTQFPLKGDIWVDFADWIPSYLHPNTQAALRKEMQRVISAADEPGYIYVYQYRDLHGPDYLCFKVGRTVTLNKRLGEWEKQCGSRVPHLRHWAPSSVDERDQGIVGGGVKQGKPAAWCHRLERLIHLELADQLRYAPYLDPKYPNIEGERKLAEIPKKAPCSDCQHHCPSLRRRLNLTVLTGGKMHREIFPLPRAPDGPFKDRELENIVIPVVDKWTQFMGIYGDAFNIQEKQLTDGQ*
>EED79501
MFSFSSSRVLTLALLAIIPVTFVFAAEHQVVVGGPGILRYNPEYVNANPGDTVVFSFRQENHTVSQSSLDDPCQLLNDGFDSGFVPVAENDTNGTFPAAEYTVVDMNPVWAFCRQANHCEQGMVFAINPGNQFATFKANAMDNVTSNSSAASTTSTTASANATTSSTVVSNSSTISSTSISASTTTASANASSTVGGITITVSVPVPQSSQATSFASASSSAPPATTTSSDHLVLVGANGELTYSPSNISAEVGDTVTFRFMQANHTATQSSFADPCISLAKTSTNGQVGFDSGFMPVSTNASPYPTFTIQVNDTAPIWAYCRQTNPKSHCGAGMVFSVNAVESSSNNFAAFEAKAKQINGTSTSSTTSDSDITFPFSHRASAVAVACGIFGDSGIDSESPRPHTEPSPAASPSKSSAGSHNKHAQDSDSSAKQLPSLVPLVSSYITCGDCGSMINSSDDATHRTTVCGKLGLAALIATTKAEDAEEIASTATFEPPSPTGAVYNEPLLERKTQETASTPSPGVMGQATSRPRRSESATSSSRRR*
>EED79502
MWGPIRCANAVELWALFLLRLSSIDQCLRSTLTEHQQCPKCRQSATEVHMRKNIAVENAVKAWSAARALVLQLSKEEQDRQNAPPKRTDRSERPRKRRKTAQSSGQSSDSDVKIISGPSSPVNVKATSSVECPVCRKHVPFDVINQHIDSNCRKFSAGEQSSSKDKNNQKQQWSKVFDKGGVASSTRRSKEKERYMSKTPDEGYLPKVAYHTLKDKRINDLLNEYDLPTSGDRAACIRRHEKWVVLYNANIDRTPDSRRTHEQLRRDLRRWEDTLKPTAGSGSNSASGSGSKRAVAADTTAYQVTHKAQFAQLVEAARPKTAASKPQTNESPDEIGGTQEQDGEPLQVTDSEPVVISDSDSES*
>EED79503
MFMMNHTGRLGSSTPLTFLSNLISRAVGYSTSFAIISWKDTERRSQSVPSCTSSMYMAKTPSSSRTRTLPVAGQCLVLWSSSTQQLIRAEHLSCGTTTRNGLLTQRV*
>EED79504
MAYSHYQSLGAENWGTEQFTFSEPPAPTFAPQGNWGGSDYFQAHAFCNDPGFYNAVTSRLSSAVGVGFNEARYWHRRVYGGLINLAQVLPADIGAAAAYEAYRYWKYHQPALFQPLGQDIERQREALIAVAIAEATQLWQYTGRALDAYGRQDAAESAAATAQRIARRVLVAEMGGNGLGAGMGAGAGGMGMGGGMPGMGMGAGAGMGTPGGIGMGMGGNGAMGMGGGGIGIGGGSINPVDYANGGVNPQNYTGGAGAGGGGVLARDYAPRPGRGLRRVSSVGSTLSAAMGRMGLGGGGGVTGSGMAVSPAGTPIPMAGQGMGVGGGVGGIGAGMGGVGAGGGMYGGGMNGGGMNGGGMYGGGGGVPGGVGASGYAGGFGAAPTGPAAYGGALGGVVPGTTTGYGGGGGYGGGGGYGGGGGYGGGGGYGGGGYGGGGVYGGGGAYGGGGGGIGGAYGGYSGQAGMAGRYGGQAGMAGGAYGGQVIPPGSTVLIKDRSRSRRHHRHRSRARSADSRYY*
>EED79505
MPSLVLKTNVPLSDPKIFLLEFSTLAAKTLNKPEVYISVSYDYSENLTFNGSFDPAFLLTVTSLDNITPELNEGYSKVLYSFFESKFGIPGDRGYMCASR*
>EED79506
MVSMMTAMERVANTPIPRSYSIHLKQCVTLYLFVLPFTLIKELGWGMVPIVTVVAFTLMGIEGIADEIEMPF
>EED79507
MAGRSMAEAVLSGECPSAVCESECKQDGEPMTSDIAVSCESGGVDGTHGHSLATTGDNTRSADPGPIDRFRSPCRSIYAPLSGGVQGAILNAFQGALGTSQWSSSKAMKIISWQSRMLYDQASQRGELQLEIRRWTLGTRVAVRGRYVAAIGAVVQKAGRGRERGDEDTDREGRGMARALSRAARRFRPWTVAAVVCARWRQLVW*
>EED79508
MPEPNPPIDPRGLPALQSDPTSATPARTPKHPRISISLAALSPYQARGDLPPDPAPEPEPEESEGEEGVSESESEDSVGSAPPTVFAPASAVPDVRDPPAELPPAPSPLTPPRGRSSTRSSRSSASGRPPQPPPPPQRPPSPPTPIMSSPAAAPDKETLKLLLPLRYDCHELVRRLAIF*
>EED79509
MFIPPTTSIRSASPMDVHVIDPTRGCEQHDSPGMDRSTGSDNDGKASDADKAIGTYGSRTERSPSASPRITRSHGRAQETPNTKRSTPPRSPRRKPVRYLRSRGKVTYADADDDDGDTSVPRPRPSARKRKAQAQQVRGRLADSPNGVCADEGPKRSRRGRKKPRAVKKYCCSQPMCEKSFSRSFDLRRHMDICAGPGEKKAPAQHFCDSCGRGFNRKDALGRHCMEMPAACTKYLRGLEKRAALQSGKEDSTNGVSSGSPSGGALQQEEW*
>EED79510
MCSTEAFLDKWDIPLANLTAPSGDKQPPMCAEAESMDTVSPMDTLLDPYVVYGGGESISSTSPPVTPHQHSPDSPASGYDSPARGMDAIMDASDVQRHNPVAGLQEASRTASTTCPQAQAHFNITV*
>EED79511
MNIEWLEDRVRAQAADLSSGGVVVEEIQTCGAANSSFSWRRMYTESCILRALVDVRAFYTTSDTSFALASISKLDQAIVIAGAPGEGRPDLVTDLINEVQSRCLARAISRNGLVAFPTQITTATIHPLPAASQNVLQLGAPPSLASFLSRYSKQPFVIPKYIKDWPAMNEHPWASPDYLRAVAGPGRVVPVEVGSDYRDNEWTQRLMPWDEFLDALVEEPQSKIDQSCRPVLYLAQHNMLMQFPGLRADVEVPDYAYASLPPPPDFPGYTPPGNEDQLVLNAWLGPMGTVSPAHTDPYFNFYAQVVGRKTVWLAPPSVSSSMYAYPPPSSSSVSATPDPPHNPAANTANPSMSNTSQVDVFRPRTDHEHAPDAWPLFWQDVVPHATSVTLEPGDLLFFPPGWWHAMRSEETSFSVSMWF*
>EED79512
MTRPSHPRPPSLPPRSCPKPPRSLNALEAPPDVHSPPPLPTPLRSFLNDSYELSTHLVPAAFPRSTPDIPFPEMPTWTPDKQEWNANVRKTADAMIALRVKQWNGELESKGSRTPLWVCVNRRDEWRDNSRDILHFFLHYMPASCSPNKLPIHLPRLDPTVAEGRRLHGFTSRINVGIGHSLGGCTVTRAAITEPALFSSLILVDPIIRPHPKGELSITPATYQFTVGAIARQIHWPSRDDARKRFLASPFFAAWHPAVLELYLECGLYDDPNGGGRRSTSLATLD*
>EED79513
MSLNDKDVTLGGNKGVDLHPGVANPTASSTHADPLAANFVRPPWLQALAPGIYSQLPLPGAQVTDPTTDALGAGAGGDFAGHREARRNFSKTAGVVEGRPGIIETTDIDPLNENSNKDDGWANATDAPSSRSTVSGAANTASSYAASAASVAAGAAKVAYGHVAGDEATKEAGKEAVWGKQ*
>EED79514
MQALRFHTSRCVRRAPLSIAKRALSSIPSSSSSPSNSLPWFIDPADAAPSTSASTPSHRITPNAYPLPPLPAGVSPDSPIAHLRAALSTSPHLEPGQLIVREPIPTATGPPLPDSPPKGRRKRGRTYAGEGVYEYAGGIWNWIIIAQVKEGTEKRGAIESVVRIVRRTLLTAQPPLPLPPNSKRRVNDGWAMIDAGEFXVHIVGKEAREKFFPDTVRDW*
>EED79515
MSHNKHLTFYTHKAGPNGWKVVFVLNELGLKYENVYIDLDFQKPGYQDPEFTKYNPNGRIPVLIDHHNNDFVVWESNAIILYLTEKYDTARRISASTPEDKALELQWLFFQASGQGPYFGQAVWFVTYHQEKVPSVIERYQNETRRVLGVLDGVLSKQEWLVGDKYTIADISFIPWSNVAFKTILADVEGVNIEKDFPSFYAWHQKLMAKDVVKSAWAERAALIAQ*
>EED79516
MTPTALVNHSCEQQAVAAMQNANVSESTQYRTTFLDLNDDVLTTIVSDFTTKDSLQLSLVARRVHLLAKHQALSSVTMKSMQAVMRICTYMLDDVVGRLQWIRRLSVSVGLPYQGSGIFTQHNYPQKLEEALRALGLLTSLFKHASGLRHLHISPLEDLLVFYPPLLDAIYAHTNLAELELLQCDGCEALKLLDELLSQPRNLMLEVRTQDADKDAIISRIGRFHELRALSLYGLYTPHYPVRMDTEHFMRSLHHSWPKVTDLTLAHCEIQLPAILRAFPNLRALSFTERGSLHDAALNRLGYSVPLQGTAGLEYVKGSGHLFENWPTARPVYHILIQSILAIPSRSAMVGPTRCGDSEIPILLQMVRNAEPVVLTFRIMAFESLRESFWRSLAAEATRLRCLEVELCLFRQTENLTAAFLQWMTNIPPSLSGVTSLTYLDIGINVGISRFISCVIAMRPKPVDLETSQEYAEQLASLIIAQISSLRYISLGFSSPGPRSSWMWKVDDDEGERTLRAVPPNIAQRLKVVLKSSNHDLQRESEEERASAIKIEHDRQARSSSDREQAPKTGSAIVGEPQRN*
>EED79517
MPFHILQELEAHLKNASFGAKDTAVFVNTNEAVATSNNRDLPFAAAIAKFGYSVAIKARTQAVKLVLSHLFVARELGPYDISTPIVESFDTLHEAFAYGAAVVREDKVLVVWSDKKEDIINEFRHREHRLQHLTGLCTCGAIPRRPLLDVANCGEQVASSSTHPASGVYDGLFDKALQERLSDKTPSSSKLAVRESTTYRALSWNAPPNAHRRIMGEDDPEIVPSRTSRKNAARSTHPSKRKRGQVEVLEATSAVSSSPRAMGKNQITAIHSEAAPDEDTNSGSGNQKIKMHACPVHDAGPSHARSDLAPRVQTERLSSPKPGTLEKEKEREVSLAGVPPQPTEGRQPSPPGLTATDKGKGRAVDSPDGGGGAEPGPSTNMRERTQSTRKSKRKVNAHDDDPYADAADQRRLKRQKQASMTSASGSTVRCICTHPGCQKTYGREKDMVRHRKTHDAPTNFCGWCGKGFGRLDVLRRHCRERHEDILDEQDEAVVEGDDENKDDGGEECSWMKSEEI*
>EED79518
MQNGISSQLTQASAYGATFLDLYDDVLAMIAPDLTTKDTLQLSLVARRVHLVAKRQALSSVTIESVQAVIRICKYMLEDVVGRLQWIRRLSVSVWIPDKGSGRYEWLVKRNGQSEAPMAMGLLVSLLEQAHGLQYLHVGDVDINLEFDHALLDAICALPNLVELELLRGGRSETLELLAGFQELRVLGLGGMYTQRSRFYKNTTRFMHDLHHTWPNVNHLTIAHCDLELPAVLHAFPYLRALTFTEWDIIPRSMLHDRSAYSVKLQGPSCLEYVEGSGHLFEDWPAGRPVYHILIQSVLAIPSQTAMGGETRCGDPEIPILLEMARNAEPVILTFCIMAFESLHESFWKRLAAEATRLRCLEVGLFEFRETKGLTSLFLDWMTNVPSSLSPITSLLYLEIAIDVSTSWFISCVRNETPEPINLDCSEAYPERLASLVIMQIPSLRYLSLGFGSMASHLPTPHIQPFAGRMWMWKAEGTGGERALCPVPSTIARRLKVLLKSPDYDPLQENDANLNTSQTVDTGELSSRNPHERDSFM*
>EED79519
MIHRHHLRDELFGICTSYAHLPFLPPSNVAACQGQIHAFDFQDLIDVYIPDGPETILYHCEQQPCPNRTPRSIEKDYPRYKAIRRAQHPLGPRSTLASQSASRHSRPVSPSSRLPQTVITNPGQTRGDLPPDPALEPEPEEGEGEEGVSESESTDSARPTSPTALAPASAVPDIRDPPAKLFPAPSPPTPLRGRSSTRSSRSSTSGGPPQPPPPPQRPPSPPTPIMSSPAAAPDKETSKLLLPLRYDGKTVIKCDRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLTSVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDAAAAQVELAKLCADKSVCEKRTAAEFSVLFKGPADCSGYGDLELRDKYLSGIPSRVYRKIELETFITGKQLRSAPLRSSRFSTSARPVGPN*
>EED79520
MTSTNLSTFEAAIVDTCEKYQEAHWEDPDYRPCVSIDGTYFVKFKETRFLWPEIATHVYISEYAQSQPETPGMPRISKVIHHFTDQNMMYMVMEHITLTDTSPDPTKIAEALRWLSEVPAPPDHVFGPLGGGVIRHSFFKDYEAPLVFSSIEALERYIEKVRPCLYFLDPPLISNLGQAGTYLSKLRRTPLERVSLSGERIMFTQPDMHPSNFGVDEHGNTVLMDFGEIAMLPESFVAFTLAQYESQAASATARSHLTSMAVIASVLWMIADPRLGLNEDGYPKASVRKR*
>EED79521
MSHLTILRSYAQKKPEDILPSVRLLHSEHTITIFDKYEKAIFHFLVLPRVKPPYTLDHLRNLRSLLLGDREQAKQLLERMAEDAKAVQAMIEDEMMKKYGFKWDIWMGFHAIPSLPHLHLHIISSDLCSNYLKTKKHYNSFHPGHGFFIKLQDVLEWFEPDTDPRWVELAKLLVETEYGDRLKESFHCWRCDLMIGSFAELKGHLQADWEKEGKRKKAAIERKRIEAEKKALSSKRQHAELSSSEETTSKKQRVGGADAGPTR*
>EED79522
MDLVI*
>EED79523
MSVQNLNTFDPFADEGDPLGASADVGTQQNYIHIRIQQRNGRKTLTTLQGLPKEYDAKKLLKAFKKEFACNGTLVEDEEAGQVIQLQGDQRIKISNFLVEEGVAKNSIKIHGF*
>EED79524
MADLSHLSRTLRLVLESAPEAPSLTTLLALVDSFVLQVANSPSPGPLLSALEDELQEICDSVVELDQREVFLAVLYHLQPILPPASLIERWFDLILRRALREPRLPRPALDHAKELIIAALDSCTHTDIGAKDASEEEREKERERQREKVGEFRRRLMDLYLLDAYNESSGEDVIEWAALDGDERGTKASWKNNLEDVLVKGGLERPQDFLTEVYHCFSSPSSRLQLLILLNVYTSQPGFTEVASVLAGHRLMTCLLHSLLFDGSSTAANIALTVITKLLPIVAVKACEDLKGLLPLLLAVLARIICWRERELPVPAMESLDGETVSDVEDNADTQSLAFVLDIREDLEWTRLEQTFACGASSAPSAHRYFTHLYYLFPCNTLRFLRHPIQYMKDSELESPYNVGWEDALDEDQIRSRSEPLMRGHVLHPLLIWRTPAEELSKPDFWTQYDIARIVGDCTMLDVRNTALGMQQRTPTVLSTDPEPASAPLSSSALATPLGPQSLGTSTVSSPTVRPVDLSSGKPQVSLQDMIETSIALRSGLDVEIVQPAPAWSSGAVASSSNVRPPSVFTMDTAAPEHRSTSPPTSIGDAASVRPGSIGGVSVAGAGGDHVPVQMVQALAGLQREVLLLRNELNFELWNARENVKHIGRLYQDRVLSKTAEMERQGLHNKLREYKQEIGRLQRELKEHKNHATHTKNQYEDWNRKMQDKLKESREERKKREANTTKLSAEKKDAAFVEQGKQLAESVQKVFLLETQIKENAHKVDRLRDYEKQIDQLIKLQQLWEMDVQKLNDQAEYLQVVTSKYKKMEIRLETYEKTHTEMDTAMRNYQHEIEASRAHLALAQRQLEGAGKASIIAKQSTAGAEIAKLTRDNQRLRDENSELRDEIEEVKEMVEIPKARSERGRAVARTGSLPVGMIGSSAAET*
>EED79525
MADAKQFRNPCEMIPLSPALLRQIFECGTKIEVKEDRVKFSHRVASVCRTWRDVANGTPTLWSTVHIALGREHALLSLEKSLERSRSQPLEISIAQGPCEDEEEPNAIRQAMDLLMPHMKRWRTIHIDVFSVETVVAAVGKWNGSADALEEIFLGTTGEQLDEDDLAIFALNPTFSAPNLHLCVVYDLYCTSEGPRLPKIFPAMQDLSIVRTGFKDVTTSAQYLKVLEPLRSLRSLNLFEPDLDQGGADDNAVEGGQVSLPALVELELQSISYNTLDRFLAALDAPSLATLSLSRCNDLDMNSLPHIRDQLARFPSLDTLRLFRNTLKMEHISDIFGSLRCFCLVSISLTELFSSLKPKLKRGWYLPRLTAMEIHCEKGVPLTLLGQVVDVRHAGAASEQADDAPRAIQDITVYTKDPISEEDRLWFESKVRTFVWLDTDLYKGTDVGATKLICAVPD*
>EED79526
MIPLASMG*
>EED79527
MLSIGERTIKWIKGALIGAGSFGKVYLGMDASTGLLMAVKQVELPTGSAPNEERKKSMLSALEHEIELLQELQHENIVQYLSSCIDDDHLNIFLEYVPGGSVTSLLRNYGAFEEPLVRNWVRQILLGLNYLHERDIIHRDIKGANMLVDNKGGIKISDFGISKKVEDSHRAHRPSLQGSVFWMAPEVVKQTAYTQKADIWSVGCLVVEMLTGEHPWAQLTQMQAIFKIGMSSKPAIPADISPEAEDFLQLTFELNHEKRPSATELLKHPWVASQALPLGH*
>EED79528
MKVSFSLANNKNKAKTAVPIGDTPSLKRSAAFASLDDDEPVDAAPTASGSGKATVNKKLVAQNMEISKAVKKQIEAEMKVDSTVFEYDEVYDKMQQAKQRAKEAKEVDAKERKPKYINGLLQTAATRRLDHLRAEEKMIQREREAEGDEYKEKEAFVTQAYKDQMAELRKAEEEEKQRDELEKKRKKDGVGSGMAHFYRKLLEESEQQHEQTVAATTSAPKPAIGPQGPQPNLTITKPANYATKSDLELARQAKQEGKSVELNDDNQIVDKRELLSAGLNLSAPNTRRFGLQTSKARSSSQEEVQTHRAVGTAASWKEIQERRAREVAQQLEEERERTLKERERQEQESINRVIAKRNDEDSLQSAKERYMERKRRRIEETQVDGAPDGTRPG*
>EED79529
MPALGSASSPKTTAIMLSISKRTLLGVCWAVPSTGRSCKTSSAPSVLGMEGLGSSANQSEQRRPLRNSAGVHLYLFRNKMSVRRTKFIVVVGPSGTGKTRFINLASEQSLPEGNDSIEPCTRTIQTAEFILDQQPVILIDAPAFDAPGVRDRSKRTIATFLRMLHKQETDLVGIIYMHRIVDNRVGTASVDGFRAFKELCGDAAMPNAAIVTTMWDRVQAGVGEARERELRTADHGFRSAIDSQAKLLRHDNTAESARKILRELLKNSPMPLRCQVDFDAGSVKLPPAHAPKDFGDGHASGCLRKVLWHNAGDSGRSSPRDDLPRPESIASEFIPWRPAPTPPPAPTRQPSMTEMRRAPSRSRSIDTSKRQARPSSPPPPLPIAPVPRRPTQRMAWNPTAGSTSEPAQSYLPPRKRSDGSVSSWGSAASSGSSMSQDSLLSGRSYASSRTSVDENTMDSAKSMGPVEEGGNAGSQPSPEGFRSALWSRVTNAAGNLKVNVSKALGATLSPDAGEGTFFQNNLFIYGESHTLIPETPQGEESRLMRAMKAYHVDKARDANDLPDWLFEGRDREVISRLRGNAPGNAEPAQPPIPRQATTSPAPARRGRQERIPSGETAPSAWQAAHSRASSTSSVRSVHASTPSRDAMNRLKELRLAKRNARVRFAGDDDDDELHASSIRRPPTPGARDPSPEPSGSSRDDAQPATAIPSTAPRRRPTVTLTRERSLARVGLPSSVRPQRA*
>EED79530
MSQNTTAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMTEIHAAATFILHGTSSTPTTVANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHSHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPAMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTMPVVTRSAEASGSX
>EED79531
MLCTDQLHTDQRAQPPLNIPCYAHLPVLPPSIVAACQGQIHAFDSQDLIDVYIPDDPETVLYCCEQQPCPNRTPRSIEEDYPRYKAIRRAQHPLGPRSTLASRSASRHSCPVSPTSRLPQTVADQARGDLPPDPAPEPEPEEGDSEEGVSESESADPARPASPTALASASAIPDVRNPSAELPPVPSPPTPPRGRSSTRSSRSSTGGRPPQPPPPPQRPPSPPMPIMSSPAAALDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGAMTSFANEAAFAAAFKAHFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAAEKHVTEVEQILDISRARRPELNNFFSARG*
>EED79532
MGNAEERIGGGEAEERVEDANASRARLVWQTRSNIASVRTIMLGIENYGSDNDSDVETLPAPSASSEKPLLASDLSAPANKSTIALPPPSNTSSSSAKSSAGLSLPPLKSKRPKKITIGLPELPPIDGDTTLDSDERPTKKPRIEPGARSSVLLSMLPAPKNKAVVPATQERVLGSGRGPGLVFNTGFSRNTRAVTVEDAEDSVDNPDDTGVTQSTDGIKSDTRASLPFLPPSLVKGRSNISLEEKAERPKAAPTITPAPAVDFFSLSMYLTLSILHFVFDNPTGSSTSTISSTTPAKPSAAVLTAPSVASSSAPSLPLFPGVSSAPKVDDFVPPEPTPQDLYPGYYLLPSGQWAAYEPEYYRSFYNKWKKEYDDHVRALEKGIKGFEAVETEGAREFNALQEMEKAKREIQEHEERKALTTGDTEAAAPKMNIKGAALGGRAKTRHQLSTLLTEAYQNREALEERIAQGRRNRKEAGNKYGECTC*
>EED79533
MENDQGVLVDLYVPRKCAATNRLITAKDHASVQISICDVDADGRALATSTTFALCGPVRAMGESDDSLNRLATKAGLLRNVWSYQK*
>EED79534
MANSSDGTKKRKPPTFQHLPVDRAKKLKRSWVEVQKIKSQWKAQKRKEGLVTARTQLTQLVADADTDMDRETTRPSHNESRADALEKDSEDGVSISENADESSEEEESGSGSEGAEDESDDASSPPPARKRKEASPHSQGEGATQLTGVKIVDGVIQLAEDKIVDEAGAMTGEPRTEVVAEDNLICVCG*
>EED79535
MSSRHDHRRRDRSWERDERERYRDRDRERYRDRDAPKQSRSRSPPPRGHGRAPADRRDYARRDDDRQGRDRRDDRRRDDRDRRDHGRERDGRREPPPPPRDREKEKDGESVRDKDAKPSGTAHERDGRPDDKRSATHSENTPGSSRSAPVGSEEPPSARPRQESEVPAEEGEEGEAMDATNEDDAAMMAMMGLTGFGTTKGKRVEGNQEGSVDVKKIRTWRQYMNRRGGFNRPLDKIK*
>EED79536
MFPSTYDEIRFCILKHEHLDKNPVPRHLRHKGSETSSKESGHPSQAPQANNRQFDKIFRRLFRKALSAAVVDPANKSSSPSSDRINDSCLTGTHGNTACYLSDVRCLRLKDDTSNPAVYRASFQTQASTSKMKMFALAAVLATAVIPIAHTYTLVPLAYSTIYDEASTPVDELTCGAQLKALGYTTLGSLPGFPYIGGSANVTDADAAKRGCGECVLINFAGAFAKVLVVDHADEGIVVSEQTMQWLAPDGNVTHYQYGTAALDQSVILIVVSLAWSMTSRFRDIRPQAHKDCLALALRQRNADSYFDNTNWGMAAYKSQSLEKLHRSLHRAHDITIGLYDLLALPKQPESPTCGTCYLLEYEGNLLEVLIIETGTVGLVLSKEAQKSCAVLGDAYSCLDQIQGGTSWHGVLFVIAAPDVYKSPNSDCYIVFGEAKIEDMNSQAQLSAAQQLASGAGAGVPNLESSVAGGDHDDDDIPELEAVEEEGPVDESGVDPKDIELVIQQVGCSRAKAVRVLKDSGGDLINAIMAASE*
>EED79537
MFEGPRPDCPVALPHLKEMSLSFMSSPSVTNILSLLLLPRIKRSATSSRAQSTAGCAPYSPRTAAWFAALSNFRVIEVSAPSGRKFWCSTKSTSTRTPAQTNPLPSRALSSELCQAISELPIANVAAVLNLSTVETFSTEDLGQPPRQHGQSVQAVAGMMHRPASYGGKLWVGRRRREEVV*
>EED79538
MASHTYKFDVKMTCGGCSGAVTRALKKAETDGLGIDTYNVSLEKQEVVVKGTIPYDTLLERIKKTGKEVGSPVPRCCRR*
>EED79539
MSVRRTKFIVVVGPSGTGKTRFINLASEQSLPEGNDSVELCTRTIQTVELMLDEQPVVLIDAPAFDAPGVRDRSKRTIATFLRMLHKQETDLVGIIYMHRIVDNRMGTASVDGFRAFKELCGDAAMPNAAIVTTMWDLVQAGVGEARESELRTADHGFRSAIDGEAKLRRHYNTAESAKNILRELLRNTPKPLRCQVDSDVGSVRSSYVPAPAPKLGNGRVPGCLRKVLLDLLYCATSCDGRPNIESCMIQSSDGKM*
>EED79540
MSSDVLIDVNASSASDDSDAVNTEAARVYFGPLQSPEKKLATRGVKTPLRRSARLSHAPILLESDTDAEERPSDDADAASREGTPVQDLLQDEPSFVLASRILRAHDNPSPPPSPPPPERASRVSFDLDAQMIQQPFQDGIFIPIMSSPPARPSDHQSSLHANISQPDLINFDSFSTPTASPRMGGLVVVTRPETPSPRASQTATVDDLLSISPSPARPSATDVPNPEQPISDETTPTTDEEHQVLEVLAFSSRTSVESEPEPAADLQPQVAPQTPIRRSTRARRSSSPHRPKLVSELSTPARSNAKISPHNLLAAVQEDATDQLLAPPSALRIKKRARSRDISPSEKATAGPQSVDCAPPETNEEQQTTRPNTPVRELGSLSPTSAAVLFQLIPNASASENDSPEQPAQAAVSAPVNEPSPSTPNEHPTITFPSYSRSQNATTFNVLRPLPLDSLASSPSKLPDPPRSPARRVPLRQAMIEGTFSPQKHTATNPLLARHTAPNFGLLGSPVFKRPMLNDPQRSPAKRVPIAQAQPAPSSSSPAKGKTPIRPRAAPRENSAEPGRGSSVEPKPVDHKRERSRSAEPTRPVVPTPTAGKDGSSTWQPSRMDSKPGTSSRSSTRAETARDPLPFPIVASSRRPPASIPEEEEEAPSVSVEKPMAVGSSPASSPAKQVSSLKQPTAGSRIPRIGTKPYARPKPAATPVPKESKQPVTMRRAEGVRTHTNTTAGTSSARPMRLVRTVTSDSSSKYVTPTPAPDATGHSSTGSTAAETSAAKSLKRKRELEQPRASSPGSQPVVVVRKVVPGMFGQSQPSKPGFGGSSPLAPSPARLQSRPERNSPQKQSGPMKMRRAVDWKRPPREPTTSQSPLPPPVGASEAASVSSAAREKAASDTVKEGSAAVKGTHTVAKEATPLSALSDVPLRPSTPLPERPASPTPLAELDTQTSSTRRSPRSRTSSEPAADVFGAVANAAVRPLNVRRKRTKPIDTGPFFGMTATALKALTTSNTTKNQQQVAEIQTEVIRKEGKRPDSPTTKVRTVLERQKQLKTQERQERAARRARRSSEAPPEADTEPDESVDVEGSVGDISLDMNGVPLRHRRAPGDEEDYETPPRPERPAKRGRFDEGIETREGKRVKWDRGLATTVYIDSSPPKPRRPKDVATRGCLAAAAKTLRLDTLGNVLDAVTPLPELVRENIIVQKFLYEDDSDPAAEIATPPPVIKAPKTKSKKTKAS*
>EED79541
MTLGEAGKNGVRTSDLGECQDIVNAFFKYGHRELDTARMYAEGTTEPISGYSITPPLQLLAKLNLPKDATIDTKVFPVKPGDHAPAALRATFQTSLKLLSPLKARVLYLHAPDRSTPFEETLREVNELYKEGHFEIFGLSNYASWEVAEIVGICRLKGWVQPKIYQAMYNAITREMDAELVPCCRKFGLRIVIYNPLAGGFFAGKVAAPDAEAPTGGRFDPSSKMGEMYRARYLKGGYFDALNYLKPVAEKHGLRLTEIALRWCQHHSALTPDDGVILGASSVAQLEQNCQDSEKGPLPDEVVKALEEARMIVGTNAPTYWR*
>EED79542
MSKKETERLRLLYKPARAPKMDDLPPVDSHDEDDSAWSSGISDAGGNEHLSDADSEAASSSSSPRKHKAHGTSDDEMPYEALPRKRRPSWEPESKKDEGISRLPIKLADGRIQQSESKVFLSKNEESDSSDEDDDAEDVSAHQPTHKVEDVSTGARFGRPAVVDVIGNKSRKARIQGAKEQIAGICQEIVSDPENSLGLLRRLHTFSLPAISTPTHPEPVPNDVLIRQLCMLSQLAVFKDIIPGYRIRALTEKEKTEKVSQMVQRTREWEQGLVSVYQSYLRVLEAEVKAKSELLDTALQSMCSLLVEATHFNFRVNLMGTIVAYLSKRSWDKTSDLCLNALIKVFRADNTGEASLEVVRLLNRMVKERTFHVHPEVLSCLLHLRLKTELGVRASDHKADKEKSDRPHGKARGKSKKPDQPHLSKNAKKALKERKEIAKEMREAEAEVDKEERAATQTETLKLLFVLYFRILKTPKPTLLLPAALQGISKFSHLVNIDFFKDLMQVLKDIMFLDPVSKEGSSHMVHDASDTAVAQHQLLCIVTAFELLSGQGEALDIDLTDFINGLYAILPALCLAPDVEASPAASFKSEVRVARPQSTADMLFRALDLVFSPRASASAAPPWRAAAFAKRLLGAALNWPPATASRAVEFVAALVERDPKLEALLSTEDRAGNGVYRPDLDDPQLSNPFGTTFWELHLLANDH
>EED79543
MSNADCKSNATHFHRAVRFYGFSCAQTMFYFYTYRKDKLRLKILVVDFEGTYGIFSYSIMRLSKGWKRIQRPNDRDCPTASYPDDCLSRNSLIKYGRFYVHSIWIFPLRARHPAVKSALTFTILPGTMQPASAFLTDLYIATSLSVILKQQKTGLKGTDYLLSKLALYAIHRGIFTAVFELLELLTVMNGLEFASTLHQTKLLWALFHFPGSQVGNLRDGLTAQLYIVPVQLFPNFMSDVRIAGLKS*
>EED79544
MPREIVTVQLGQCGNQMGSVFWQRLCAEHGINKEGILEEWATEGGDRKDVFFYQADDEHYIPRAILVDLEPRVINGILTSPYANLYNPENIFVSKDGGGAGNNWAQGYSAGERIYEEVMEMVDREAEGSDSLEGFMLMHSIAGGTGSGLGSFLLERLNDKFPKKLIQTYSVFPNAQEGDVVVQPYNALLTLKRLTNHADSVVVLDNGALARISADRLHVQTPTFDQTNQLVSTVMAASTQTLRYPGYMNNDLVGIIASLIPTPRCHFLMTSYTPFTSDQIDKAKPIRRTTVLDVMRRLLQPKNRMVSTTPSKTACYVSILNIIQGDVDPTDVHQSLLRIRERQLANFIPWGPASIQVALTRKSPYIATNHRVSGLMLANHTSIASLFKRMIDQFDRLRKRNAFVEQYKKEKMFENGLEEFDDAR*
>EED79545
MSNRKSRKRRRTEAFQDESQDEAVQSISLETGPADASAEQQEPLSVEIYNQQDKTDVAEQECVDAVEVISDPERIQKEQEIWDVIREEQYAVLEQLPLSLHRSFTLMQELDQQVHRHEEQLQAGLRQYLIMRKELARIVNPVPDTQAVESNDEEKTNVAQPGPEDSSLAREDNTLLPDAQPGENGHAEQAPENASSGRAASSVRSEPEPAQSQGSSRRLLVQIGQLADEVERAANEKVNVARYAHDLVDRYIRDLDRAIKEQETSISLGLRPGTYPASIMLPEVVAPSGLRARVVPEPLAEEPVVEAPAAPEEAAVAAAAGADTGETTLGIVSEEAAAPTHGSPVRPQRRRRRPPRITMKKKVPKLLELIEFVPVDMEVPSGGSGLKLTVPPLAAVIAANDPPIDPNEERWCFCNQVSFGVMVACDNENCTLQWFHLGCVGLTEAPADDEKWYCRDCAPLMVASSPKLRMHRILISVPFDLSTYSLYDLLEGRHASGAASTSGVRYAGPAAVHDHK*
>EED79546
MSVRARWEQFSRSAKGPMWNPLGTNVLHVLRYGAPPQVGRGDLPPTDQKAGFPGTSRDVRNPIIHFGSWANQARVPTWGVCRLPGYCGIIISPPLEKVDRIMIAQSAPSLGHVPRQHAQRYAAVPQMIMQSAYPRTMLVHLASRQDVNGAARSISYLHFAIFFLAYHVGFSDGRIVRKSSTIRYLSMKICKPCNPQFKIIGLGFWVPNLGAVCQMQASTTAGADPIVTSDSNTMSDQPKMIYLPETMANWPWPRYINPHYEEVKAESDAWFKGFKPFTKQSQVAFDKCDFGRLASLAYPWASKEHLRTGCDLMNVFFMIDEYTDVECASVVRGMVDIVIDVINNPHKPRPEGESLLGEITRQFWERAIKAATPSSQKHFIEAFTDYLNSVVEQAADRDNNHIRTVDSYLKTRRENIGARPSYFPAELGLNLPDEAFYHPDIASYNKEQATGDDRHNILTIVMLQFNIDLEAAMTWVASYHKDVENKFLDGMKKLPSFGPVVDKELEEYILALAIWPRTNDCWNFESGRYFGSKGLQVQKTRYVPLLPKVKTDPTLKQKQVVVSLVDL*
>EED79547
MASLSSLSELSLEGLLTHHGLSMLGQVNPKLRKLSIRMSRSDLDPMDIFDVLTRLSNLQTLKISEANFQEEGRRPGSQRSRIPVIPSITRLNLRYYRDVPGLRLSPVRLSFTVGTCEPSFWETLLGNLPRLRALEVTLRSLRLANLEEVSLIQWTIAMVPARLHALSRLVAESVPSMELFAVGLAGRWPKPNWTHWTRIRLNGDNQRVAQDESIVKNERRLSFATTGSKAYDVDRFHVALNRLGHVLRYGEASSATVT*
>EED79548
MCAYTLLQSREPRLMERFIVRIDLGVSRRAHEGRQAAWSAFATLETVFSMVDFLARLAGIGRAQLIAVAVAVVANRYAVHHGGRIELWGGCGMADVRRRERGHLYQLLLQSAGLHQDLYHILMKRCRGRRRSLYGITLLIGTRWIVADSRPTRCWNNGANGAGAFVHGRSDARKGQSRSVHTVRAVVLYYDRGLRPPTTRAPELASSFLITRGCAFQHSHAEGALVWI*
>EED79549
MAAAAPRTFVELVPPEEAAWLTALEFSPNGQFAVAGFEGPVSCTWDNEVVNPLHGHKDTITAIAFSRDGTLVATGSRDKRIIVHHVPSLEREITLEGHTHSIKDVAFSPDKTLLVSGSVDFTVRLWSLTNGRKLAEGHHDAMVMKVGFSPDGTRFVSASADSTVCVWSTEDGAALSVLHGHMGVIHAMSFSPDGCRIVTGSDDGKAKVWRAVSGDCLVTIDENAGVVRQAMFSPDNQYVLTAGTDMMARVNDSFTGERVRVIEGGLDLQTATTFTLDGKYVAAGGHDNAINIWNTDTGDRVARFLGHQDTVTCIRFSADGLRVVSYSEDIVLLSWNVSVANVAPPAAPLAAPPVEVAVPVDQA*
>EED79550
MLLAHLFSFSSCLVSTPHCPPGPSHNVCFSLSTTPSVCSGLSPQSRPHGSILWRCAASSFKAAASSHQGGYMLGSSPRLGLASASWVTCLSLFSLRLCARYSGAVLRYQYTRRIWDWATALCYCLAEGDLLLPRVNSEYDQLVGYRCSRLMKEFVTRKLPPHETVVRTELRRGKSSAFNWMMYMHVHVFAQHSGVSTVRQIKVAGLSRLGLMVHWNGLACLYEDRLDSAPTVPANTLSDARHRELQVNWVLSPTGSSTAGVTLNTWTIKLVLV*
>EED79551
MRLTTVGPVPNYDSQDPLLSADDPESGEITPAKADDAHEATLSVPQLLIAPELRRPLTIVCFSMLVQQLSGVNAVLYYSNDILSKALPDLGPYVSLGITIVNFFMTAAPIVLIDRIGRKQLLSLSACGALLSLLGVGFGLDVGAVTLASITILTFIASFAIGIGPVPFVMISEVSPQYAVSALSSVALSLNWIANFLVGLVFLPLRNVLSQGDPEKEGRSTGGDKSFTRVRGLVFLQGLIVRISL*
>EED79552
MSLFGAAPLPPTKLGRYRQFAPRAAIHVSPLCLGAMNIGDKWHAIGMGDMNKESSFKLLDAYFDAGGNFIDTASNYQSGSSEEFIGEWAEARGIRDQLIIATKYTNMFKAPNEEVKQKVNFVGNNLKNLRLSVESSLKRLRTDYIDILYVHYWDLHTSIDEFMDGLHNLVTAGKVLYLGISDTPAWLVTKANAYARSHGKTPFVVYQAMYSVLQRDIERDVLPMCQHEECASSGMALTMWGVLASGHIRTDEEEERRRQTGEKGRTVMGPWERTPDEKKMCDALVVVAKQVGAKNITAVAIAYTMHKAPYVFPIVGGRKVEHLMDNVEALGISLTREQISYLDGILPFDKGFPNTLIGEYGTYPWLLASNANFDIQPLLPPITPSVN*
>EED79553
MLARNALNTSRAQARATCLCARARTLATVTDTAGPSSTAAPSGTSGHKKSKPNINTAVILNRSPIITRTPSPFERAYYAYHARIQRALFNPFPGDFYFKQGSLLEGKFAEEEKERERLAFGGPRFGKGKELDGDSTAATAPSMVEEVVSTESGEECMPRIHEADMKGDVRSLDRQGERNLYLLLQGKDQSGRDVWRFPQGGIREGELLHEAAERDLHDECGPNMDTWVVSRNPIGVYKPTIDSISPSQIYVFFYKAHIMAGQARPDGKNILDFAWLTKEEIQPRVDQQYWSGVRDMLSDF*
>EED79554
MSIIQPPESSKPQPPESGAVKALRFVFARRPHNATRIPVRRDLYSILDLICVSTTPHPRREMIIKHDELPSLPYRPPNGAPPSGSDMLKKVSLLIKTARGNADLLHDSLVNSESPRDLRSDIVQMPWVAAEARKRRGPNGAAASVYVKELLAVDGQLLSVLQLYDDLIRVGYNQQAQQRVKDEHAVCFTDIAPEKSLLKESDSSRSELERLFVLSQTGLGNADLLRDLLVKAQPADVEGPLIQEFLKACKDSQNEIFEQIRWADVEAARSRQNSHCSTSTREEQLLESLLKTDNALTQALKMYDDLVRDGTVTAGELQASTSSAGSRHDPQSVAELCRNARGNAEVLQEALAHAMPSDLNGELIQEFYTNCQASQRQILDKVPWATTEAEGDLLGELLQAVEEVNKVLHQYDIIKAGKRPVQSHSIEQGPEASQAQRSQTEHDAQWGSVKADFGSNLPPLTSQDRSKFSKIFLGNNPQNGALSGKRVRDLLLKSRLPPATLSQIWDLADIQRRGVLDVGDFIVAMYLVQACMNRQLTSIPDFLPTEIYEQAHGQVHSGISDHSNGSPQLGRSRSASSPATSPIEGLVVPTETKAHADRIFDTLDAKSTGQAQGYVVVSFMLKLGLSMDVSNHIMVLADFDKKGYLTRNEFAKAMTLVDMNKAGEALPGASSTSTLHNDVPPVASSSSSQRRRQIKSSLIDFDAPVDAPLAESSTSSTDVGAASSRFAIDTQSRPPETTGTSVPPSPFYVSPSRLTPEPSMSSSGSDHPGGWAINPVSKARFDKFFDTLDPWKRGYIEGAAAVPFFSKSQLPDNDMAAIWDLADINHDGKLTRDEFAVAMHLILKRTRGAEIPSTLPPSLVPPSLRSPPPSQSRSPSYESPPSNPPPSLEIAPRSETPPPPYEAVAGNIV*
>EED79555
MSLVIPVLGLVAAYYVWLHLNQPKKALPPGPKPLPVVGNITNLTAQELWLRASEWAKSYGDVVYLHVFGQGLVFLNDYDTAVDLLEKRGAIYSDKPGLIMAGDLCGCENMVAFTRYGDKSRRQRRLMQQALGVNSIRAYQPLLEVETQDLLKRLLRDPEDYVGNLRRYAGGLTLNTLYGYHASASDDRFLTLADECVDILSNRIASGCGIWLVDIFPFLQHLPNWFPGAGFKRKAAAWKAKMEVFVDKPYEYVKNRMRDGTAVPFFSTTLLQVERCEEEEFDIRWTANSMYSVSIDTTITTISHFILAMVQHPEVLAKVQQEVDHVVGPGRLPKFADRPSLPYIECVMSEVLRWGVPVPLSLPHRLMEDDVYNGMHIPKGSLVFGNVWNMVRNPTLFPDPDAFLPERYLAPADEAAARRRDPRNYMFGFGRRRCPGMHLIEQSLWIVMASMVATLDISKARDAAGCEVEPEVQFDNPVFRTPRPFRCDIRPRSEQALRLVRQAADAAA*
>EED79556
MQDSSNNKLPERALSIAGAPEGADVQREGVSADEREEGVVETVREVDGWQAIGRPGGGHRALDGTDVTRPNRAARKSARWARDVAAGLGTERGVAATGKHQRKVVPRPRMRGPPSVVSTTVSDVVADAETLSDVVADAETASDVVADTETVSDVVADTETVTDVVADAGLGQNKKKRNRSAAKRLVVATQRRAEAARLAEAAAQVVDFDGAVDNVPTDPVSDREGGTSARRRRAQQWVDDESGDEAAGVEGALLARSSLTSLARSSLTPLTRSSLTPLASSPPASDPPVGSCRVLRSASVRTGVIRAERDSVTGSPVPVLGKRKLVPYVEIISQRAGTRGARAVNRSGPKDRTSNAEALKRAVLAMAAVKKDALQAKQNDDLPPRQRRRWNAEAKAAAAVEKRLRPARVDFKAKKILHGNLRRRWHKEHFPQQNEVVTMGKRSPKLRCCQNICLKRKSRLIAAKELAHCLTIASFHVGQKTTSRQLWSHWGCLKQGQLHFHRRVDENDGVHVSGLGGFNRLADKQKEVITATIVAADQPGPPPPDPKSAAGIARKAKKAKARRESKQKRSDLAKLGECLATVQRRLGKKTFANILPS*
>EED79557
MATESVVRDVLVLGLGPVGALYSYVLQKGGQARVTVVARSNYETVKGEHEALTATSLSLIVDYCSWRPYRLSQTLTEALDRTYEFVIIGTKAVPEVVTTPALLAPLIGSPYSDHHVQPTYVFMQNGINVEADLYLTLKERNRSEEPKIISTALWLGVRMIDGRTVIHTDFGRVELGVYHPLSITMQDTTQQSAVMSDFAEMLQAGGARILVTSDIHRLKFVKNVWNVCFNMFPALTRFSCDAIFIPPKKEDTASGDPLDFKGDTTITVPPPPSTTATAHLPSASDDIGWYTIPLMLDILLEIHALGAVLFPPTETGPNLDPDSALRTLTLTGEAYGNGIMKHRPSTLVDVELGRPMELEVILGETRVDTMYGLLLVVQNQLLRTRS*
>EED79558
MSAQPKMIYLPDTMANWPWPRAINPHHEEVEAESDAWFEGFKPFTKRLQLALETCDPGRLASLAYPWASKEHLRTGCDLMSVFLIIEESTDVESAPVVRDIVDMVIDAINKPHKPRLEGEHHLGEITRQFWEQSTGNDRHNILTIVMHQFNIDLEAAMAWVASYHKDVENKFLDGMKELPSFGPTVDKELEEYILALAIWLRTNDCWAFESGRYFWSKGLQVQKTRYVPVLPKVKTQTRAGSLPTVPGSRRDRP*
>EED79559
MSADTTTRTYARARSPKTLRRSQSLFGAIKTLISTPLAWFQSPSDFEDIPGKRRRNPQVLEPEREEGQRKRQRVRSPSPSTGPPHQRQLRAQHSPSTGSTIPGCYLPRCIYGIYLRAAVLARRDDFPTRAGFSLRSHSSMTPQSTSQSFGPTPEYHAREATEPPPVSTLKSNPAFVKPPPASTPLTAERTMTLGSITESQQRLMPGTEPIPTGTTQHIQPSKIKDKRWFDLTDKKEKSVAPEDGAGLKPYAGRGGVKKMLAKRRAEEEEEVEKEHENEIVDDSRDQEEGEDAMGLAESAEEISQTPPLGDPEFAPGAPTTGPSLLRVGRTGALKHAPSALRAKNTFSALYDDEDEDMESETQEPPKPAPMYKPPAGFSFAKDTSITFDKGGKDAPIASLPFSLSSTSRVSSSAPTNQAAANRTSDSFTSGFMRTDSGGSSTVIATDAAGSEPDARAMAPSKPVMQTVPQISLTPATPLPQASFASTAPKALPKLSEPGASGSGQSTAGASEKIPDFFSKSSIFSKPTATIAPPPPLVFNKPGMPPSATNDASNTAANGTSAPPVWGASTGDASSMSASGIPAQSGATGTTPVTIQAATSPFGKPTTATPDTASSFGALDKGKGVDRSGIGSSGFAAPSQPAEPSTAAPSSFTPAAPEKPAVAPSASSFSFAPAKPADASVAVTPSPFGFSATAKSADSSVAPTPVSFSFATPAKAEASAATGAPSIGLAPPAKVNEPSNSSPFVFGPSTAKAPEQPKPSPFGNLTGFGAPSASNSSGGGEAAKSMFAFGPTSTSPAPTPNGASVEAAKPLFGTGSSSPFAFEQTSSTAPKEAPDSSKPSFSFASASPTPPGTANGASMFGFGSSAQSATSTSPFGGSGASNGPNDSNKFSFATATPAMPITPPKNDQEVSMDESPIRGSGMDTNGNGNGKEPLKVTTSFSFSQPTATSSPFGQTAQSGSTFAFGPTASSSNPFGAKPAENKTEAPNTPTSFGGFGTSASTGPGFSFTQKAPEASQASASTNPFGATGGFGQSATTPSTTSTFAFGPSAASGSGFGQPSANSTSNPVSPFTSAPSYGFSNTPTSTTAPSNPFGFTGSQPASPATSNAGLPSSSSNTGGAFSFASANATPAASPASPFGASAAAPATNGTPGFTMGSAPPPASRPVKKLPSRRGGKR*
>EED79560
MATDTSSFTGGSLLLAWQLKGKRVLIVGGGDVASGRIESVLVADALVTLIAPHDGLHPLTKRFIESSDRITYHDRTFAGPEDLVDVDMVLTAIDDVETSRAICAMARALKIPINVADIPPSCDFYFGSQIRSGPLQIMISTNGQSPKLANIIRRRIENSLPEHAGEAIEKVGQLRNKLRERAPGVGGELGKRRMRWMVDVCTAWEMEDLAALDGEMMAKLLDDGWEYNRV
>EED79561
MFAFAIASAVLAATASALPTLSARQNPCNALGAGSTDSLSYNFTIAAIDMDAPDNSTGAPLALTTGPPGTSGEASTWWISTISASYQSDFPTFSLVGGALIPNPGPHEQGLAVYDNAVNPGSELEFTVTLPSSGLSNDATYCAVSDANGYATIAVNERTDKFALCEATGSAYIVVYEPSTTNDGLYQADTCAPKRLRAVRA*
>EED79562
MPVDLPATNWLRYSLDMTTVTPSDITAVAASVKRALQVIDVDTFEGTRVVEIGMAGVGYLTFVHKLNGMADGVAYNVPKNVVIATDVQTYQGGYVTYEDGNKASAAFINDSSLYPRYLAVTGTTTAGYSISKTFRSDCYRLPAWPSSPDTTVLRDYWAFFDTVGSHSIVTATYGARFQLTVSADNSNSSVNSRFSANVLYAYNGIPNGGKYDANVEPESQYKTYQGLAQRLLSCQGGDADMANTLVNSPTDYSLYEKWTQTNTQNTGVVNFSLTEIWTLMRSAVDATLQARADDIQDAFNYIVTHPDPYKTAVTLDVQSDWAEFRILTPSAVIIPDPSKPYPSNTTTSDNKVTFGKRRSRVIKRGILNFFVVNDGSPLDFYISHGSDGGSRGKGRASITMQNVCINAALSLHRDLTGITDNVDDTKWYYQAKVSNTPAPSGFISSPKERIWDEVRRQYLREIGAAIKILQQPAACGSCVILHTPLMPWSYFVLTRNTQSGRDADSLRSMVAPPCDRVTLAHSTSVSASQSLMGAPSPSFAEPARPSSIGTGCRASFTIFIVFFFHDNSRSNPYSNFYLHSTSTSIIMRTSIAVPILLAATSVAPALAVPVASASDAELLARMYDDGLFARAEPTPAGSQAVNWKKVGNVAGKVASGVGKVISILKREDQELLARAIEDELYARAMDDELAARDVPAFHPVTKFPTAAGLGPVVRPPHPADGETFARELPVGGIHGAKFPPSVRPFPYRIGTVVHPPRSLDEGESFARSLDEDELLARSFDDELYARAEPPAAGSQAINWKQVGNVAGKVLSFIKREEQDLLAREIEDDLYARSDKGLDELD*
>EED79563
MRDAANTTVRGRANDIQDALSYNITLPQPYRTELIFTIQSDWAEFGLLSPCGVIIENKANPYLPLTQGSETKIHWGRKHSHDQRRETIRFSIINDGSPIDFYISHGSDGGSGTTGCAEVLIGGANYVNDKITDNNWNTQWYYQAPMSGVPQQSRYRLNHEVRTWDETLQGYLREIGVRNRLYPECLRPCPSRAAPLARPLSLVVQHLRPPRLVQAENAAAAPAPSKEDPYSPRLPESVLSSASPHGTPQRADFQDFLACRLLVTSPRRMAIDDDDAQTDGGGATPAPLGTRFGAEVVSLPPPFVQAGSGAGGKLAECKDVVSLGGSAVFLYQLRLAPPFEPGETTGRTPAHERNVSTDTTDSEADSESGEASGNLTVKVVASQDFDLSYLPLKRGFATFGGLWVVLIEDVTVNEGQEESAQSRHHAEDVRVLKE*
>EED79564
MAAAAPRTFVELVPPEEAAWLTALEFSPNGQFAVAGFEGPVSCTWDNEVVNPLHGHKDTITAIAFSRDGTLVATGSRDKRIIVHHVPSLEREITLEGHTHSIKDVAFSPDKTLLVSGSVDFTVRLWSLTNGRKLAEGHHDAMVMKVGFSPDGTRFVSASADSTVCVWSTEDGAALSVLHGHMGVIHAMSFSPDGCRIVTGSDDGKAKVWRAVSGDCLVTIDENAGVVRQAMFSPDNQYVLTAGTDMMARVNDSFTGERVRVIEGGLDLQTATTFTLDGKYVAAGGHDNAINIWNTDTGDRVARFLGHQDTVTCIRFSADGLRVVSYSEDIVLLSWNVSVANVAPPAAPLAAPPVEVAVPVDQA*
>EED79565
MHGPNNTMKCLRDPTATLHDLYNDPNGTSHDNFPRLVAEHYTQIFHTSEAFREIPSLDIRSPPTTFPDRSLVRFRAMVQDTSPSSEMYLARSPYGKCGGWGIELTEEENGEVDYTNLRECNVLWAVSVPAESAWCAEELDGSQTRIYDTDSAEKLKSTDIVTFVGILTTESSISLSYSPADLLTARTSVSSTGTGLESRDEVPTLHVLYIREHTATLLSRPYPSTATPDADEHSAPAKVRSELISWMAEEALGGDREAAEWMLLASIARVQSRSPPLLPPSLNLTHFPSPSPTPSTTDAPPTFLPTLSTVLAQILPLTHTLPLSLDVLNKDAFVPESKEEDLHAGVLQLPQGTVLLVTEGGVHEGKLVEQGILNVHALQEVMNTQTLAYKFPFSQFSFPTDISCIVVSEGSKSALFRTNISVPLTAPKDPEAIARLYKPVEDIKLPSAERLAAFRDLLVGARAGKVHVSEETSEYIQRDFVRQRQQDRSVTSDDLIRRMSIAKLYALSLHETELTVDVWERAKAFDERRRAACASTTTSSATV*
>EED79566
MPAQPKMIYLPETMANWPWPRYINPHYEEVKAESDAWFKGFKPFTKQSQIAFDKGDLGRLASLAYPWGSKEHLRTGCALMNVFFVIDEYTDVESASIVRDMVDMVTDAINNTHKARPEGECRLGEITRQFWERAIKTATPSSQKHFIEAFTDWLNSVVGQATDRDNNHIRTIDSYLKIRRENAGARPAYFPAELGLNLPDDAFYHPVVTELKQATGDGKYNILTVVMHQFNIDLEAAMAWVASYHKGVENKFLDGMRKLPSFGPAVDRELQEYVLALAIFPRANDCWSFESGRYFGTKGLQVQKTRYVPLLPKGQPRAEKESATGASGCSLLALNDDVLLAIVSFLSQEDALKLSCTARGIRSIAMRQVNPKLRKLSMSMSQGDLDPMDVFEGLTHLSNLQILAISDASPRGEGRRPESQRSRIPVVPSIKRLDLIYCRDVSGLRLSPVRLSFTIGTCTPSFWETLLGNLPRLRALEVRLIDLRLEDLGEVTLIQWTMFQENMLRFLRPARIACLKIQTNTITCSVNKTSLRIAMEPERLHSLPRLVAESIPSMELFAVGLYLQPNPYLTYWTRIRVNGDNQRVVEAIDSTVELLFYSILAIVRYVRIPFNADIVHSEAYNVGRFHVALNRPGRVLRHGEAPNATAT*
>EED79567
MLLAHLFSFLFSCLVSAPHCRPGPSHKPVLDLWQPRASVYDICLSWCFRLFATPSLCSGLSPQSRLQDSILWRCAASRLDVEVQASASSGNNWLLVYFYFWPPLDCLPGRLTVALLASSLCLAALSLLYAVGMHDRSGLRRLASPLPPDSISGWLNAMDFLSFSWLSYGWSLYSILANTFTYVLYPHVNGVWFYPSVACAKPRRRHKVFLAVAPFSLNALPTVSSIAFKDASTLSVDQYVGPSPSKIVSSLLPLPPALSSLSSSLLSAHCRPMKRSYERSKGKPTCA*
>EED79568
MTTLSSLTSLCSLSMNIYFIQQNHPWYPEHLEAIPSQYSSLADAMIPQRQSEAHTAIKLIEQRQCETRTTFQAQEPAVYHDEVYPKVSGYAHLHSDFPKRGDVRTPTHKNMNMNRKVTLRVDQELRSQKQERREGFQKAGKTCRQKHVATREGQYAHLLVSPRFLCTTAAAIWWSAEKSESEIQG*
>EED79569
MATTVTHTLASNTPNYFLDDKLFYTHLGEHLKLATPQPDQMLRLLKWARQDSRATFKSEAQMQMLFYIIKRDCNLMVVLPTGGGKSLAWEVPGKMAEPKLITIIMIPFLPLIDDQLRRSAASRIVAAKWNSAAPPTNPKLRLLFASYESLATSTFIGWIKLHQENIARLIFDESHEPLVSGNYRPKMQMLTLWKKTQDAFGFGSKTLIQWGEWLMAIPNTASFNNMVTVFIWFCRERNVA*
>EED79570
MSFSLRPATTQPTSYDVEHSKDFWYCHNTPAHKLCYRAAYGMRHNATEAAALGLLGLHSSFDTLSTVPLKAMGESAVNRLDDDEDTSDYINCICGFTYDDGFSIGATPSPAGAMRLASGSSTLSPRPIDRDPAVRTQKARQRAALDLDRQKRRVSNPGVDRRGRRPSAAAIDGGGQSKRRRRPSINVQPTGEDELVDIDEPWSYSYVSIDKDIIPHNNTRDRLRRAAPQWRGVTALEGESSTATSPTTTPVLLTYDGFPSSSPIHFTPLTRSAFHPALSLSVDPSLRPPSYAVSRSIPSSSFITPFMSTIIPSTTYLSDPLNAYAHLSMPKPFVHLIGPPLDLALDARQTGNQSRFVRSGCRPNAVLRAVLCPRIKRSMSAQRVVDDGEPEDEDALTFGIFALRDLKAHEEVMLSWEWDDGSVIHHLPALINNLHIFPLHQYRQQMMSTLHTLSSTFATCSRGPMTRDCALALMVEPVDSHTPPTLTPSPRYLHRGADVMWNIPAVARMASIVYDCRVNIFIKDMKSSNGMFSNGKCLSPECVESEPFELKSDDIVEFGIDIVGEDNKTITHHKVAARVVCVLTEQEAQAAARAEAQAGPAAYGVGVPPAAGAFSFAPSQPPNAPGQQRRPSLQQRRPSLQQDLIELGDRGRNMHTPGKSGLTFDHILSCLQGELQKSHDTGTELHSLTSAMNEIHDTLGGNLPPNLPPHPSNLPPVMPPQSQQQQQESEQQQPPAAPSSSESGLALSELQSRLRETQMSLASHIDKIRSLETMLAEHEAIKREVGSLRKLMEERKREMDTSRGRSGSPSGRHHQYGRDDNMAHDQHYMSDDDDDARSVSTIVPHELDRVDEEDEEQLAAEEEEEQRRRWRDELGCLRTPKPTGMGMSNDDYDHDHDHSRYKPQQRTWLPSPPPAAAPAPIPAAVPDSISERLTTLSKQLESALELLRSLEAQHSVVQLTISLLESKIASLESLMHDTRSQVQVQTEATKQLAEAMRSEQLLDSAAQEAEQRTWESLTEMVNEWKKNEEWTEERERLRCAKDEWEMCIRVVEDGVGSNISKPTQPVSRVDAPTTEVKTQQLIKRPLALAVCLHDCWRGGEDEPTRSLKGIPFPITPESSVLNHPLLSSDDASGTATDSQMRPPPKDLPHFNLLAAHGHWGSLMADKTRRFGFGIAYITSSSCPGERVIIYYNRGPSYLCV*
>EED79571
MSPSSNRAIIAGSVVGVAAFVVLPLAMAVCYRRHQQKKPIFFRRSRPPPRNILLAGEDMDDYDLGPPMSSYRDTPGTGSAPSLTSHATSYNAGSLQGVPFVPPAPFADPGRVSYSPHLMGMRTSESGSIFQEAVWPPPRSALVDPLLVSSEDLSHIVDDVMGPANPSGSDSSPGSGAGAVRFPGSVATAGQATHARAPSEDPLMGGALESPSHSPRAPTWRSPLSVTNMGSETASVRTAASPPPGARRRFSQGQSHPRHDDEGGTSSIGTYASACDATREECRDVAVLAHLIRARGGARGTLIIRVRLDIVGTCEVYVGKRTATADTIRVEVAVEVIAPPATEKQEDESSEQEGTADTANDTSHNRAVWVEISVEMTVEVKAEPAALVVLSGKGYQHQNATVKGLLNGILRHVLVCVDVESEKEVEVKDDVDGELLVPEDPEPVTPPDEDGADDVEETELEPVENGVLDGVVLDDELDGVVLDEEVLGEVDEDVEVEEDEDEDVEDDVDEADGDEEEPMDVDECGSELVIAFAHGPSSGHPHLRGAPKDTVHALLVRKAAEKGLVEAVRQGLFCFNAAAILGLKARGTGRDSALDVEYRAAYLSCMSRTLLSYTAFPVRKQSLSLVQSFTNYGVVLSFLSPLSPSWLNVLRHDEGRTSTTLVCFLLDNTSGSAPYPLVWQRFPIRSSSAPDSIAIGTIRLPARAQGFPRSNGAAFAFSGRRIHAAWLTQRRSDGVHVSVEWDKTAGSICEVRYCVLATPRSQIINFTEDNSGLTITPPVLLQFIAMQTILTPHQIASGSPPLVNLFIDHRGMSEEPLYTAKRCGSFALVASATTFPVEKCQFAPNASRVALWVVSRSAAGLTPRELAEDCKAKARSDIVNADEKPQLEVPKSAKLAPGSTVQPMATVTLEGMMFSQGGHLMLNKKCKLPEGAFKRAKKGYEEIHVPAPKQKPTQADEFVPISNTRYAGKIDVAMLTILNELGKWRHEETGEFDLDSFKIIYVAPMKALVHEMVGNFSYRLGVYGVKVGELTGDAHMTKQQIAETQIIVMAPENTTSSRGRAPTPVIQTSCTSSSSTRSICYTASVDQFSKASLREQYRVWNRLPSMFVSLNYLQALVIIASLRSLVHLHSVHAARFDSKAAGNGDGRALMSRLWTFLKTGVDMEPGAGGTKLMNLADQVVRVSVRLTCTGSGILPVTGDDMADLSREKVTELLEGSKNEDRHSDDNHGDFHYERHRNDRDVQHCRLQQREVL*
>EED79572
MLYLTVHVSLLNHTDIRPVHHSLFERKSCLGIYCVAGQMQCAAPVVEPVFLHFTNPDCFNLWLALLRSYAQPEVYGRWLSPASDGGLYRMWRQVELTCLQGRNLGTTRPLSPTSDDTPSPGSEDAGGGDLADIDVYCEVFVNGCLCGRTTVKRGIGAPDWQERFVLADLPPFENMELVVWREKRLAKPAVVGSVTIVLANFRRSEVVEGWFPVLGGTGGGTQAGELRLKVLVDEEIILPYSEYAGVVQTFSSRNSLDLIHDLESKLKLKTVGPHIISVAIAKNVLIDNIMELIDREVDDTLSLLTKTIELFMAQYGGSFLEASVGPPLRRLCMDKIAIEVDPVRSGKSARNTEKNVEQLVYWCQEFWNCIYDARTQCPHEMRRLFEHVRKLVEQRCRVSVDHNRQLPWQSVSAFCFLRFIVPAILHPHLFGLWPGLPEARVQRSLTLIAKVIQNLANLNARVQKTVQKEGFMRGVKDFLTNSLQGMVDYIVVVSTPDSEETSRTASSSSAGKHENLRIVNALHRRGGDAPVLYREAIPLLPHLLDLPKHLAVITALVVRQSRARNYLPSRPRDPMPEEGPFGEFCAKCMQVEERAVRRVSQLANRPRRQSSSHPNPQAGTQALAVAEESQVAAFGAPGARRR*
>EED79573
MTSSLGSLIVSLSDGRLALLQDDGGTGLTVTNSWSAHEYEPWIAAWNYWDTNVVYSGPRSFDAGVTTIQSNPHIERIIAVGSYDNTVRLFDARKPLTPLTQAEVGGGAWRVKWHPSPTRQNDLLVACMHDGFKVVRFNFNGTGNVDLAAYNEAHPQQWEITTRFDEHESLAYGVDWSYAGEGGAEDDTLIASCSFYDHALQLWRG*
>EED79574
MADSAAPITIRTRKFITNRLLARRQFVVDVLHPSRANVPKAELSEQLAKVYKTEKTRVITFGFRTQFGGGRSTGFALIYDDEESQRKFEPKYRLIRAGLTTAPPKTNRKLRKERKNRAKKLRGTKKSKAAEPPKKGK*
>EED79575
MPNMIDAVDIEAKWSDFTLRWGSVKSAVMSALSKIFSKESEWNLTPEQRHMYATESFMNFRLIARLCATPSPYTLGLSDLAQGEDELYANLAEIGQFVFVSFSTPPPDFIFANLDQLVQPDFPFEGFDALRDAELVSELSGSVANTTGAVVYRKSTKQLVVSFGGTTNLKQAWYDLYAVRCAYPRRRACGVHCGFWKMYEGCQKHVFDVVKKALANYDVQEVVSLGHSLGGALAYLFALDALSGEFPLPSGVGMMVATFGCPRVGDAALSEYWQDLVRTHQAENGVDSVKEFQVKTLNDGVPSLPPVSWGYRHLTRKPLYLHHGRLFHIPESECEHGAFTIAQVALDPTRTAVHPKGGHNYYIGKDAEKIVGFVVDRVKTRGFVMQLFTASSCLTLLCYFWLSLPPRFTGTPMPAIVSFAAGHGFSPLLLVVIVPRLVPAKYVSTTLGAHKALEQVGSTAFQTLAGVALDISKKKPTELNGAQTGDKRDIQYLLNAFLFLNVLQLAGVIGLGRMQRKQREAASQRASALLADLQSESIEEEEQRISEPPQTKEAKDGESWQPADENDPAGDCSRGRSCCRATTSNAEEQPLLREPSRIPSYTGDTRYLVSPLAPRSHSRSSSSVRLLRSKSIVRRGEMFAALSAVLIAFAWVLFLVTAYFKLRSKEERDSAGVSSTIYI*
>EED79576
MGAYKYIGELYKKKQSDVLRFLLRVRCWEFRQLNVIHRASRPSRPDKARRLGYKAKQGYVIYRVRVRRGNRKKHVPKGATYGKPVRQGVNHLKPQRGLRSVAEERVGRRCGNLRVLNSYWVNQDGVYKYYEVILVDPSHKAIRRDPRINWITKPVHKRRETRGLTSVGKQNRGLGKGHRYNHSPGWSTWKKHNTLSLRRYR*
>EED79577
MGAYKYIGELYKKKQSDVLRFLLRVRCWEFRQLNVIHRASRPSRPDKARRLGYKAKQGYVIYRVRVRRGNRKKHVPKGATYGKPVRQGVNHLKPQRGLRSVAEERVGRRCGNLRVLNSYWVNQDGVYKYYEVILVDPSHKAIRRDPRINWITKPVHKRRETRGLTSVGKQNRGLGKGHRYNHSPGWSTWKKHNTLSLRRYR*
>EED79578
MAPWGCGVWPAWWTLGGGQWPYETNGVPNLDCDGELNYNSGCGVVEWSRASYGPIFDSQGGGIFAMKWDVSGIGVCACLR
>EED79579
MDLLENLPRPIDDAEPGAYATRYTSDDENAYAHVAVHSEHEGLRLIFEWDDSDGWKYHNAAMMPFPSDSCLNVQQVLEGSGKHSQHLPTFNHGSGQLENFDEADNDDDYWNAYGSQDDRASFDDRRHTTGKDVDGATEDAYWARYSSVHGTADSTQPSPPPQPKRRLHSVYSPAGDGIHSPRPLPVPTRSYHGLEDALPILPSAIRQPDLHSKWDPASPRALAQRLANVSARPSPWPSRARTPDVVVEPLGSDNASSTVGGSEGSAASASLQGSQGTSDGQQLQQAAFRESELDLSDRGKEGMHEAIVSLQEGIQGIWKLWRASRPGSAGFSEVNDKDIFVEARTVARNNELETRVEELERELSVWKAALKTADEDKKTLSKTVVQLERSIGSLRDDNPLILCLIDGDGNIFSSELLSTGQAGGRQAAMLLTKGLTDHLASTDSLDAALPGRGQLWLTIYCNKSGLVETLTHNNVCTAEQFDAFCAGFNQASPLFSIVDVGNGKEAADAKIKECLRVFTRFPQTSKVFFGGAHDNGYTSTLTYLQNEGLLDKIILLRGYKDLAYEIKGLELPHLDIQGLFMTKRLQTHSSKKNNVPNQAAPPTPQHDTDKTRGKAPTPPSRNSASPVKKSKQVEPDQLRKTGLVHSSTELNYGIQPRVSLNMEFELPEVEEVSDYEEQCSLTRARNKTFLESLNLDAPAFVPKVAKKPRAPRKRKVSALHSPEPQRQSKVARVESSEDGSANTGLRRSGRNQGKKVDYASDNIGHAMPRLASVQAGLREMVTEPRSVNKRMHDPKTFSTIPGVPVGSWWLTREECSADAIHAPWVAGISGGPDGAYSIALSGGYEDDVDLGEAFTYTGAGGRDLKGTKTNPKNVGSRLIVIGS*
>EED79580
MNTYESPRGAESDEEKDSSGDEDILWVTIGRRLRGGPARTWTIPPKPPSRSRRSYSAPPTFSSATKAARSVDANATFHHHHDMLATTATIDLAFDDDDWIAPPPDQKLFRAASVGNSWGVTSIGRGFDWHKQDEDTKAAPADQDLRLHPELPAPSRDATATLKPRLPRVNTHAATKNLLVGPSTGLVTSPVLHSVSPGYGSFIPGPLTRSPPLSRPASPYIVARTTSPRPLSPSSSTGPPTPNPEVETLNERSISEFVIEREIGRGAYGLVKRAREMNSDGTLGQIIKSRILADCWKRHPKYGTIPIEIYVMSAISSTQYVLPSRRPWDPSRSNPEVDDFWQEGKVVNGHPNICPLLDFFEDNHYYYLVLPSTTPEPKDGEPPPPSDLFDLVESYPHGLPPSSIRSYLGQIADAMSFLHSKGIVHRDIKDENVVLGPAGKCVLIDFGSSGLVKKGGWDTFSGTLDYAGPEILRGERYFGKEQDVWAFGVVAYVMVVGECPFTTAAEAQEGLESPFSNAAIALDERCADDKELEGEESDGGGALGDAAALVRACLQVDVGARPTFQRILQSRFLSGRGGWGMKP*
>EED79581
MFLCDWLNRPTFEDRAQDDLRRPDLVDIPSYYSRSPSSGLWILELGDKFVGLIALDASSDSTSTETISTSAPPVKTRDGKVKYTKGTSSTATIRHFYVEELYRPAGIQHDLLTHAVRMAFSRDPKVQTIRASDTPLKPYVSEALRQARFQLEAKTEKPPTALSVSVAESLKHRRIGPLGHQYPTSPEAIRIDTIQGGLRAEERSTKAVMEVRERRSEGSSYPSALCAVRIKNPPMWALSQRRSVRPNYWMSGTVRAFVHTVDQNSEAPSGQEGLRESGGPGRESGQWPKYQPSQLFSIRWYNHTCEPAMASQLYASGGASGTTLLFCLLYPPASFLDASQQDTKHVRAFDWTGHVPGYQVSCGYWKGFDGARAAASGPLTVIIDSVDTLHSDIGSLAKTERFLSELVKFIRTRETTSRLVLHALAPSPVITAVTQTRFSSSIAHITAHPAALIKHVAAEYLTPPPPLSPPEKFWRVFIPIAERHYEAEKLVFGPGGAGSGGSDFVLEVLVRGADGPGRRRGVERVLEGWAADNQGGSPCELRELDDLKSLWLRKVVEESGPDPTQNLSFNLNLTPQQQQLRAQVPLPYAHEGTSAIKEDTAAILYDPDSADDIDDDDPDEDLDI*
>EED79582
MPSATTSKAATATTPSPSASA*
>EED79583
MSVSTPLLQTIEPDELIEEAPSGNINAQAASKSPAVVLTPGARPTASQPLATNENDAESGIAGIFRQSAHPLALFCLYFFRIAAIAVYLFCGFFTSNFVLSTVLVVVLLAMDFWNCRNVAGRILVGLRYWNQVDEDGESHWVFESRDPSRPANPIDSKMFWIALYAFPLLWLALFIVSILKFNVSFIPIVLVALGFNVSNAVAFTFA*
>EED79584
MAGAVDIALENRYPNAPWFALGATGGAGPLFQGSMGAMPPTMQSGQFHSIKVTKVGLLLRKDDTVEGGRRVLNRKWRECCVLLTGSQLLFFRDPTWTAAIQAHIAPSGNRMLSRAALPQPDEYVSVKDAVAVFDKSYIKHRHTLRLVMSDGRHFLLQAPDEKEMNEWISRINYASAFKTAGVRMRAQGMSYRDIELTGIAAADSHLREIRHRATTSISPRVRTWYGGSPEDLQMSSTDLSISPNGLLAPPASAPARQPSMSSTADSTALESSAKLFKATFDEVKTELAQSRWSSEKSGGQRNGRPRTLSLESAPQSPPGSPMSPLSDSSESSRWSSRSEIIRRKLRDLDARITLAQTQLDSDMRLVRNLAVLTPFQRATRDRVQVAVQGAAKRVMQMRLDLEKLPQEEQAEEWNKTRAAKRVSLVRLPPDLRISVLFGKHGRAASESISEDTATGAPASPTRGGAGLSPYTRTTETLTMLDM*
>EED79585
MTDEQRKELYEVLDYDEKAALAESFETPRDALKTRVVAQLNRGSLALKTDPHGKNTEVISVVSDVFQATFIQRPDNFETSLSLGGFAVYDGTTKNTLYPQIVHVQQRQTGGDVVKTQVIGDEEKISEVVDPFLFVRFEQNPLDERADSALEVKMRYMEIVYHRGYVEAIYKFFKPPASQIESVEALLDAASQTLEGLRKETRAGLEHALQTHKTIDVHMDLNAPIIIIPEDITTNKCKHLMIDAGHIAVRSELGNKQAIKEIRAKRKQEYTDEDYKRLESMMYDRLSVNLKDAQVQNSIVPTVYSLPRFKVSGRLPSLQANLSDSKYKALMRLIDVAIPNFDDAEAPTIRPTMGPQLPHNFRLPSGLFGNTETEYNVEDGDDGQLETEIGSPEVQAVQNTEHGPEDEFYDVVDGVPNPPALRQHIVEFNFQVDMLRASLHKSNADGSERAMGEVAFERFSLDFTMMKYVMTVDVNLX
>EED79586
MPQSPSAHSKASSDDCSNRLSEAALRKKKNADAQAAFRARRANYIATLEETVTNLESVVLQLQDTYKQTKNENAELRSENAQLRHAMKQRDRALRRIYQGRPAPNTVSPTDEFPPAPPYSAHAPSAMVQGAMPAAHVAQYADNVMRYQTGPDSNVSMAGPFHPDYPQRSPAMAFASGIDTSTPPDSHAQPMDPHRMPRYDQYGYPMDDCNREGTWVTDDQGGPSPSDVLDSSSSSHSPNFVESPTLTTSDLAYPQYPLDDQKVNLASLNSAPYMYPSSRSISPTISTPTSTSSTSLAPSPFPFTFPEASMIQERPEFGYRRPQPAPQLTLHGGTADISIAASSRGAARYRTTRSDPLTQPPMAQALSTYSRLDTVPADVSYTYSNRSRPRSDIASARPSRSPSPGPPPICGTLAVIKAQAFGALRRTRARSRKTSEGAAKAAVEALEARGIGMGIVYRKPFAPTLLNFVGLILKGKNSVGLHAPGDELNGSDPERQPWAISDVRHPPAL*
>EED79587
MTSSIHDRLFGARIPTGKTRNVLHGDRCGRTQGPETFVRDPDVMALIDEMLIYALDLIRHPEHASRLAAPLPSRSGKVLMVFQIFRVARIANEDVPDRLERSAAEQKKRYREGGYLTSKSKRPGYYLARTTFVSTRRTLGAIYFT*
>EED79588
MTGYSVPESLLERPENVLHGDRCGRTQGPETFVRDPDVMALIDGMLIYALDLIRHPEHASRLAAPLPSRSGKVLMVFQIFRVARIANEDVPDRLERSAAEQKKRYREGGYLTSKSKRPGYYLARTTFVSTRRTLGAIYFT*
>EED79589
MSAQISKKRKFVADGVFRAELNDFFTRELSEEGYSGCDVRVTHARTEIIIRATHTQEVLGEKGRRIRELTALVQKRFKFPENSLELYAEKVQYRGLSAIAQCESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVVVSGKLRAARAKSMKFTDGFMIHSGQPALDFVDYAVRHVMLRQGVLGIKVKIMKGWDPEGQVGPRKPLPDSVQILEPPLDKIVAEPTSEQREPAIISAPAPPAEEPAAYQDSPDAFAQSYEQPAAF*
>EED79590
MFPRLVRSAVPRVRSLSTQVGGVAAILERKPDDVVITFAKRTALGRAKKGQLKDVPVDELMQALIKATLEKTKLDPAKLEDICVGTCHSPSPTYVSRAAAIAAGVPVEVPVSAVNRLCGSGLQAIRIIANAIQAGHMSLGMAIGVENMSLNPRPTPEIVEGVEAIPQAHDCVQPMGWTSEMVAEAYKISRQKQDEYALISHTRAEKASEAGIFGEEIMPVELRGTIISVDDTIRKGTTAEGLSKLKPAFPAWGEASSTGGNSSGLGDGAALCILTTRKRAEKEGMEIIGKYVATSFVGVEPRYMGIAPVAAIPKVLEQTGLAKEDVDVYEINEAFASQFAYCVEQLDVPMSKVNPNGGSIALSHPLGMTGVRQVVTGLAQLRRQGGNILCTSMCIGSGMGGAGIFVNEAHA*
>EED79591
MRSANKGLKDKQNLVHCPGCGSPKLAHHLCPSCYSDLNRTWKSKAK
>EED79592
MLSDVLVFCSETVIILEYTARVVADLPIIPPHVLFPPTIPFLSTRPNATSPVHYIHPLTSPQGAYLPSSSYYPPVHTSVRRSSFTESVSPPLSSSSTQQFAPARTSQTRSTSNPDIPPMSESPPMFRNSSAASLPNILNAPSPSSRSGGGLAGLEALAQAATQERRRLSGEIAGVGQAGDDVGARMSSSPITQRSELRLEHVHETYVKPSSPVVTRSPTQPRRDISTFAENEPVAEGGSRLECEPPRKRRRSSSPSSHSVPTAHTLLAAPPPALPAPASDKAESPVIASPAVETGASPLYHHPGVVTRALQVTTIDVTPDHTRRVHDNGPAEPMKRAPSDSVGQASSPMSDASQLDIGPAKRPHSDTVVPAISSGMEEQLLGSGKGAERRQPQDKKDKKKREKPSSKKEKAKGAPAEQVRNDAGLSKDDAREQDPHEWLLEHYSSPRAAHFPSPAGASKPTASPYTLRPAFPDQFKAEVSLDSPHLDAGATAGTVATPNTEMRTPTPLTILEMELKEAEIPRQTAAQANAHDSDITMELDMAVSATPPPAAGGGGDNDTIELDVEDELLMLLDDEPPSPVKESSRPGSAQPADRQEATGGTANKKKEAAEKSSSKPKAAAKPKAKSTAKSKPKAAKDGSVSAASPAPGALTPSVSTSSVKGKKATPLLGVNVPATKRGASAAAASSRSRSASVMPSGSVAPEADGKGILEAGAEGVEKDVIMDDKLYCVCKTSYDEDRVMIACDRCDEWYHTQCVNMPDLEVDLVDQFFCPPCINSNPHLHLKTTYKRRCFSGLQHPHPSSPSACHKPARGAFSKYCSDECGVLYMQSRLDVWKGAKGSLWGSFKDARKREGVVVCVKDEADAEVKVKDMVGERTTSGLPSEVVKSPKTQLDWFLARLDAQLAKIASNRDHLKKEMEIIQWREKVIQLAATRADQLDECGWDQRLCFGDEEYAEFGVSVLESYEAAGQQKQDGDAMQVDGSPVDDGEWWCNGKKKCERHAGYGLYSLIAPCVSDPNYVRWQKLRATEIQFERDTRFGVLQRLTVEEREIRKQIEDVMDPKARASVLNTVGPPLQPLNGDATPNGPVKSKASSDANRKGKKKT*
>EED79593
MVKVPIVKKRTKPFKRHQSDRYKSVKEAWRKPKGIDNRVRRRFKGQLPMPKIGYGSNKKTRHLLPNGLKKFLVSNVREVDLLLMHNKSFAAEIAHNVSSRNRTAILERAKVLSVKVTNPAARLRSEE*
>EED79594
MTAVPAISLASAGLCSLLSPASISFAPRHHGPLLALAPQIVYASLPSHASHVPVPQHDPPPQLPELLHIRPRSRGRDDHAQDDQYSLNERGLRWGFPTTSESHPVGGDIASGPQRWCRENPDPDENADKPLAFQTSPASDDDSLPAPPSPHSPSESTPSSFAIPSPYTGGIALHASVEMASTFFPVPSILSAHGIRQTPMNHSATSHSVSSSLPSTYSFADSPVLPTDANTGLSPSPHPPLTAPLAIPGPYLSPTSTDLDAFARAAAAAASATSSSLSLPSIGPSNVGPDESCDEVPLRGRAYTAGSGAPSPALAVTECSSPEPTPSESDEPPAFSAQRRDRDPTCLPRIMATDSIQGTARARRRSEPAFSAPYDPVIPVISDRTISSRVDVGHDQALRTGGFDRERPVKLLVFGRMKRIGGKILSLLSGGKASGGKATGGRQKSVESDLGGVRRMTTTSVTKVEYKSEHPIPVPETPARNPRVSRRSLAMPIPVTISPTHPPRTPQRSSFLPIEDEHASRSLLSRPFRSRAGTQVHPSAEADVDAGMNIMPVIDTTPYHRVIRARTQTAPAVTMNAPSPFMREDAPDAIKRRRFSLSSALSKSRMETLKATVVPHPPVPNFPRSTLVDPIVRSTIDRPVRPVSMISGAGLDARQSEGNIRAAALKDGAKQGRRKSKRPVSMGTGGRFSRHAISESLTRRTVPTAMGSSGASREGRTKGSSKPTTMLLGQDPSVRQNWIEAYGPRIKVYRAPESEKENDAVETDAPSMTPRTHALRTLEHGRSPPTSRKSERTSPNLSNAVKLPDNATESPESSKDGKTSRRFSLSSAISKRALRARSMIVSVSKRTSDMDSAIEPQIPITPPGRRARGGTFSTIVDAGVRFDMLTPGLENSPSQAVGQNGVPGLSMNPDDVMTESEDEYPPAESELDSMSFAHTATMQDSDFGSLFERYADVESSHAGSVLADSQNDHEVGLEENVPIANPITTSGLGEPFGGSLPVMKRLELSPALSSGQESSVGSDADDRAAEEEEEDRGFMRALGLDFNCDRERMAEVGC*
>EED79595
MDLSFLLAYLAILAHYLILPPSTVFAPPQLPSPDVREIFMLVYSLTKLLRSSSLSATPYALVVAAFFTHLPSVPLPDDFAYAILLFAFSWVIVELHVPHYPTPLYFLPYDKVLPLMILIWHGVSRIFVPVLVFFLPAIILSLFLLSTSLWDMFPQGLASIQAVPAPLEARMGFLLLCSLLLLLLLLSLGILVLTYPSLSSKSSSSTWDRYSGHIGMEARRAFINVVVEYSAPFYFPPPLNILQSLVQLPAKLGARSRHKERIEKAKMVERGVWRCMTADNASAPSATALSTRALPIVQITNLATLCVRVFADNLRNLSADERIWESVRSYLKALPDSLASRVFNALKSTCPTILSNGFILAADLEHSQYFIRGTSIALDKSLLAGVNKQSIAAVRESTSSRTLRELRLNDFEKEPDSLFASVVLNLPALQVLVLRGCTKVGNKTTQAVAKTCERLTALNLNYTSVLPAYLEEVLLACKDLEVLKLAGILKWSDAALVKLCSTLSSQKDFELSSLRSIKLRQLPLSDTALTPLFALCPNLRRVDLSFTLVRHPSQLLAGRSLEKLSLTSTNITGTELLATVSDKHELATLNIAALGGGQGSSAAISNSSAMSMTDQVLRDLTDILDDYPRLERINLVGNTKLGMVGKRDGPLEDFIFRVGRRCKILNLARLPSLKSTDLEGLVWNGPPEEPSQLRVLILNQTAVDDLAAACISGCPSLEMLAVGGTRFTSTGLFQIINACPKLQELDLTSCRGVRVGDRRRFFEVRTHGWVKQQPAEDDATSNAVDAPPRLHRMWTIGYLYGNWGDEPHRPTVFYETLTAPYVPVSPEPERPRERNTARASPPPGYYPATPPSVQMVQWDHLDPAQLPDDESDSDNEATVEDLRDEDLDFRKLYRQSNPFEWATGHAMVNSQLLYPIRRCVSYTLSANVGVLGVAPIPYIKKSWSLKQSSAYDDFLRELALFKSSEHLKPLQTNVAPGMIGAYMDTRSISFAMEVPHGSFWIEACPDMPLALKQQVMSALAKLHERGVLHGNLQLYNIWIGADARVQFIDFSCSRSLRPIPECDIRRATKAELEQERIVLATKLDIRSTRPPNQHEIPHRFMMPGQSPQQVQDAIDQFRMTVEAMEALRVRNLTPLDDYDPYKQNTRKHRHSDDPEQRHVKRRYRDVPPEVMPLNPYPTSSWHVFELSSSVGDLPPACRSRKRQRDPIVRDYAFKPSPSSSVLKSYERNTKSFSEVSSAHDDLLPAATSSVASTPIKIHDYAHQRYPGIRGWYVPHPPTENRMSIDRVIYIRGTNSAECLRAGLPYFEGDMDNCVAPFFKRSGMGRSGRSISYGTLKRRRDAEENPEGGVAPLLKKRRFKLSEEDYDLDLLQSNEYLDIRDGPVALTQEQTRYRPANKRDRTWRPKPRDQSSPRGILRNKVQPRPILGHRAQWSDQADLCYSPKLGPIPLPLPYQTATPILGAFLENDEEDGPAQLPPSDDELSDDESSDTLDGTSPTPSVIEPKDDVAYALAAATLGLPKPVQEDPAPIRLFPEPRVFHVPGSFPTSYEESNPQRGPLYGSEFSLANVAWREVFGIRLQQSIQDLANAIQLVAVKFRRSDSVWKVV*
>EED79596
MFSYLASC*
>EED79597
MAPYSKPEAVLKQAEGLVSVGQTHAALQSLTEMFSSKRFRSTPLVSLEPIMLRFIELCVDMRKGRTAKEGLMQYKNIAQNSSVGSIEVVISRFIQLADAKVQEAQEKADKAVALDVDDLEASETPESILLGAVSGDQNKDRTDRALVTPWLKFLWESYRTALETLKNNARLEVIYQQIAQQAFRFCLKHQRKVEFRRLCETLRLHLGNVAKYSHQPHSINLSDPDTLQHHLDTRFAQLNTSVELELWQEAFRSVEDVHNLLTMAKKAPRPAMMANYYEKLTKIFLMSGNALYHAAAWGRYYAIVTAIGGKSEEELSRLAGQVLVSALAVPVGLQGEESAVDEHKGKSSRLRPRFVALVSAAQAERKALQLRRALVARRRELLSELSVRKEKEEASRRAELTRREKEEEARRVTEEARKKEQDRARREIEIIRNEEARKLAQSLKEKGTLKVDLNDMETLSTDNLMRMQVQQLEKEKRDLNERMRVIAKRLDHAERAYRKDERPLLAKDYDIQQENDKIAFEAAQKSRLESHRLAHQHDMETKKRLSRTMSDYALKREEIVGKRSEEFAKRQAQAQKKIDEEKAKRKASILKAREEERLRIEEEERIIREKEEEERRAEAERIAEEERLAAEEAEQIAQEKAKKQTEEDARAEVRRKREEERLAAAEQARLQQQREEEASARAAARAAEKNRAPTAPPVRASVSGDSVWRSSWRERETAKKAAEAGQTVRPSSPVPRALPKEEASKNDDGFQPVEKKCANTSVLFLFRL*
>EED79598
MSVDSSAETQAIAFFQATFLNNYCQLSITTLIIYEHLITAAGEVRLLRERKFSSSGLIFLFNRYTLLAFGIINAVYVYPWDTPISCEAMSMLYDILQIILYAVAAAFSALRVYAINDRDWLSATLTLILGLPPVAVNNFYTAIASYDTVSWIVGNPECNGGNDLSQSTENKLTIATRTCATASELIVLITVEEKSLEVVVGNVDTQRCCLLALNIIQMALELSEGVGCKFPYFGVASEFIAIPSFVHSSQDTPSLHFVDSMGASLTDDSLYMSTTEDLPLQPVGQSTAGELEMGALIPDDRQHASHAGGGD*
>EED79599
MSNNSSTITSNNAVNPVPLGLPALTGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTEDLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVKVPMATFTQADINQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFGR*
>EED79600
MPWKSSRVLRACMQRV*
>EED79601
MHSTHKNKSTSPATTQRATDPKLHFSFVIDNRALIALHGPSLPRKDAMCESDESSTPAAPHSSTPAHRDLLLTTSLLSRPNPHPWAPAFPNLSPVQVKREEILISLEELRQSQSLQRPLKQSPSPPWGRVSPPDKETLRLLLPLQYNGKTIIECDRFLSQLCIYWLVNTLLTTIELKVQVALSLLDGDTRTWATPFFAQLVSVQLGTQGVTTPFANEAAFATALKACFGNLDDEAAAQVELAKLCADKSVHEKHTAAEFSALFKGPADHSGYGDLELRNKYLSGIPSRVYRKIELKMFTTWEDADKRATEVEQILDISRARRPELNSFFSARGGGQGGAHGGTPRSHGVSASINTAVGKGDFSGSCFSCGKQGY*
>EED79602
MTNWADDIIEEETDDGEDFRSDGDVLGEVTGRVKRRVVGVQQSIPLEEQWEADVEALEARKAEPPATQFRQCAQMHTDWVNDILLCNQNQTLVSASSDGTIRTWNPHAQEQLSPSQEPTTIGTHGDYVRCLVHSREQHWVASGSFDRTIKLWDLGASSSSTPVVTLNAPESSGPKASVYALATDPYGSMIASGSPERVIRMWDPRSGRRIGKLVGHTDNIRAMLLSEDAKYLLTGSADASIKLWSLSSQRCLHTFTHHTESVWSLFSQHPTLEIFFSGDRSGFVCKVDVEGCADVSEGECVVLCQDTSDHGNGTGAAEGVNKMVGIDDTYLWTASGSSSFKRWRVPPRKAVRASALNATTREGQRLSLSPSLAPSMTSSQSMIPQEPDTFADREGEETWYGIPFESLVRLMSPHDAFSGFGGHGPFPRGRDPEIATLYSAASIMSMPRLTRPLHATRSTSEFRPDDAHSHVRVGEETQTLHPGTRARAAFEEREVAADAIPLRTEPDEIVQGEHGLVRCAMLNDRVHALTVDTAGEVAVWDVIRGVCRGRFLSEDVAAASFCGSEASVITDCDSGKSRKERSPREALETVRERVEGEAVVQSWATVDTKTGVLTVHLNERCFEAEIYADEAGYTSDRRHGDETRLNIGKWVLRNLFHGFIREEQREHVRRSRDAEMAHRLHRGSAPNHIDVQGHSPERRRRTSTDSSQHSSAHTSPPRSTSIVSSPNMLPAVSPAISAAPRTPLLTPLIPLNQGIRDSVLSPIPQSPAEGTPTARTQPDVASSAPPSSDYFSLRTRRGSMSTATSTSTPDDFASWASREPGQQTPSTPTAGGLMGRIRAFGKSNKRQASEIGPATPGGTLTGSDVPVTGATSKNPAQTLLSGPINPPSSNEAPNLSISPHTSLIISEEAVSGWTTLYRGHVASTSVDVRTLEEVLPVWLAEYLLANRVPPVPVTKISFVLLPFPHTDPNEQLPELLNTAQSKLTASRFLRVRKLTTHVQDKLDKLASSRGPTMPNTPRSSFDARSMSSRGEGRPRAEDVYEIVCNDVVLPLDMTLAAVRQFVWRQGGELAMYYRRKYAHPQ*
>EED79603
MSDYSKLGQIDPEYAAAIANFPAPGKIDPRLREKLNGDFEAHIKEALRPHLPPGAPVAWRRILNAFLTATNRPDDSYTVADHHVHLDDENVLVRCLTPRGPGDVSFPVLFWIHGGGWIVGNVKLDDYHLRIICVQLQIAIISVEYRLAPEHPFPTGLNDCYDALKWVPYSRSCDTVNNQTLFGGDISRGFLIGGQSSGGNYAAVLAHRARDDPFFENRRLSGTALQIPIVLHPKAYPDKYKGELLSMEEFRATPPLLNGEMIEACWAALGAEPLNPDGSPFLYSSHTGLPPTYVQVCGRDPLRDEALVYEKLLKEHNVPTRLDVYPGVGHGFHLYFTTLTAAVKLNANFKAANVTRLYRDIAFLHVVVIEEFTGGPYGETHLRHVLLGWRYVEASTDVQHLPVCWNKSANGAGPAATLARHTQKSTQSRVGPPAPGYSRARAFELVPDHAGLRISTLWSVVEAMRAPSIDSTDSSYYGVLNLTWDESAVLYK*
>EED79604
MSPEYRQLGRSGLRVSVPILGGMTFGNTKWNPWLLTEEQSLPLLKAAWDAGVNTIDTANTYSNGDSERIIGNFIKQYSIPRETLVIMTKALFLVSSDPGVKTMLNPSLGQSRDFVNQGGLSRTAIFNQIDASLLRLGTSYIDLLQIHAFDPSTPVEETMRALHDLVLSGKVRYLGACNLRAWQLAEMNRVAELHGWTPFVSIQVEHSLLYRPQELEMFAYCNYKGIGILSYSPLMDGNLARPLDVETARVKSTGNSPFKKKLRASDREIVKRVNEVSQRLEWKMSQVALAWSVSKVTSPIVGVNSIERLYDAIVSDRKLSTEDIQYLEELRQIGQPSPCLRT*
>EED79605
MVTSCSSMVLETTSWYSIP*
>EED79606
MAFGIMFPASLAEQATSVILVLALLWAASSVLRSKSIVQALPVPSGAEWVWGHEKTVFMKEPGRACREWIHIHGLTFKIKAAFRVGHDLPSYFIRQADFTHPTQAPDVRQLVLGDPLGITHILQKKVYDYPHSEVVRPRVARLLGQGLGWVEGEAEHKRMRHMVSPSFSHENIKAMSQDIAVASVQVIDNLTLEVQGSSDGALINVLDWTAKATLNVIGRVVFLHDFQGGNSDDAQLILNARRRGVGPVLQYAGFLTLMLLRRFPILNKLPISAIQSQGLAKTVIQSGVAHEMIRRNEALVANKQLQQKDLLTRLLSAHSTGKLSHEELLEQISTFVVSGHETTTQTLGFTVFELARHPVVQERLRTELAELGHEPTYDDFQARLPYLDAVLKETLRLYPGLPYMERTAIRADVIPLREPVRLSSGELISALPVLPGQVVLIPIIAIQRLDAVWKDADTFRPDRWLHDLPPSEALCTGWANTLAFSDGPRSCIGIRLAIYQYKVILTQMMDRFSYSSCVEISAKVWKNASLNALSLMRRKVFNSKSTSML*
>EED79607
MFDFWLCVAIVVAAYALLLRARKKLLPLPPASRPGPGSYLAGEHEPPWKTYQRWSLKIGPLVTVSKLGHPIIVINSAKDATELLDKRSTFASKPRWPMAELLGRQNNVGFQYYGERLKRSRKVLHAALNPDAIANKWSELLDTQSIKLMRQLASDPSAFYSHIQNNIEELVVLFAYGREPEPEYTRIAKAVMEQTSIALQPGRWAVNRIPIFPLQVKYLPTWLPGAGFQRWAKSARTLFYQMTRGPFLEVKAEMELGTATDSFVKHSLVNLGQNRTVDSEDIIMCAAGSLYSAGIETLTSTILTFILFMARHPEVQDRAYKEIMQVVGNHHLPDITDQRALVYVDCVIREVHRMNPAIPLVTHSNTEDADYSGCHIPKQSWIMGNIWSMLHDETAYPRAEEFLPDRYLHAGDGSAPRDPRTLVYGFGRRLCPGLHFANTVVYLVVARTLALYKITPEIVNGEPRNSDLILVPLYDLNAA*
>EED79608
MVVLAPFVPCVVLLSATFPLHPESFLEGSFIVARVAEALPFLEIGRTKDVTRRTIQPLPRDALTHKIRHDRDNTGARTLTGASYTDTTNMTVEACVNYCIQQEFVYAGVEYASQCFCGNGIDNGGTLANASDCNFQCAGDASETCGAGNRLNVYWSGVQPPAPPITVPSIGLWQSLGCYSDSVNARTLAVTPAVTGDVDIESWYPLAGAEYADQCYCGLEFDNGGAPIAISDYLNREHEKMSRRVGAEHLDPGLAPVMYHHRVVQSLAPAVRSVIT*
>EED79609
MVVLVPFVVLLSATFPLHPESFLEGSFIVARVAEALPFLGIGRTKDVTRRTIQPLPRDALTYGIRRDRDNTGARTLTGASYTDTTNMTVEACVNYCIQQEFVYAGVEYASQCFCGNGIDNGGTLANASDCNFQCAGDASETCGAGNRLNVYWSGVQPPAPPITVPSIGLWQSLGCYSDSVNARTLAVTPAVTGDVDIESWYPLAGAEYADQCYCGLEFDNGGAPIAISDCNMACAGNSSEFCGGPNALNVYNYTGTLPHEPQPPGGGGGGGGGGGGTSSSVYPVTSGLPAPWQYAACYVDNVNGRVFANELPDNQNLTVESCIANCTAENYTLAGLEYSVQCFCGDNLVNGAVTADESDCNMGCGGNATEACGGPNRLSVYTSTGNVTAYPVSIVLNTSLPGQWQYQGCLDIYLDLREPGANRTFPYQNIWTDNNTVEACLNQCALFGYPAAGMEYGDECWCGDVSDVIQYSPGFSAESDCSMPCSGDPLHLCGGPERLQLYYWNGGLQTWHTPANTGRYEFLIGGVVVPLLATVGINGKVAFLEKWGTSEYENSTGAYELDLSLVDNFDLAWRTMHLLPDGRVLISGSDPETPGYPEEMRVEVYIPPYLTQGFIQPNVSVEQNDWDYGGTYTITVTLYQGTTDTMRVSLLAATSSTHGNNMGSRTIFPEFSCNGNTCTVTAPPNQYVSPPAWHQLFVLDGPTPSHSQWVRIGGDPGELGNWPDFPDFTRPGVGPL*
>EED79610
MSQLAQIKKLGREGTINMQASLSPSSSGRDATDRRNRREVDRKFLRGLNKDQVKSYAIAHGVDPHLTQEQIINSIFANKRVVPLLPMKKMVRRRVIEGLTYEEVQRYAKALKVDPELPKEEIINQLYKDRDLIPWFRVGRSFRGPTLEGPIIKQVLPGCNVLIQEKAHNAGYNPHKRHIVEHERMYGEDPLPPGAPTPPASPSPESQRVLPGGQSEHSLSIPFNTHRKGLPSRKRPANMLEEGVVAGSSGQQSTNVPTSASLGDTGSNTPPYKKRRRATSHTVSECVTTDDDDDELYYDSDLYGSTLSEAENENNCGPSDSMGNQRHGEDDGCTDRDEGNDGGPPTSQAC*
>EED79611
MGTYIQIIFATFNDLVFFHAPPSVLSIIGTVIIMSSAIYVAVTKGVAKDKVGMHKRNRSMMPPEEDPSLEEGLLASDEQEQDPPAAASTNGDVSVYPEEGAVDHNEKSNDSNESTANNLVHLQLSTNSQTGTFTACKRTVKKAPSKNSLRVPLATTDIGWLYVVPSFAAVAFTEESTDSKPARGNAGLASFKDKVIVFLLRRFGVRQDNVFDFIAPSFLISFVPIVLIFPIARLWATADWGIQYVQPYIMLANGNAQAEDSVLLDYMPLKTYSTQIIGLSSNNSLVEAFSASAGFIDAATYNSLPDPSYVYAGWAVPNLKTEGTPAASATYITFNTSAVLSSPNCENPSILNLDTPTGTPLYIINATAADGCSGSVGFTPTVADEQFGVSQVDATSCGLSNQTDTGLLPVIFWFYNVRLGDQGQSTPEAKAVICRPSIALRNVQAKLNMSSMSIDTIVDLGAFSATNNVTSGSLEGKAYNGVIFSNISSAILKARATSIQIGLLGSIYRSASQTTELLDSLFSSEDGFLNLTQQIYTQFLAVAAKSVYLIQIQEEEPITAYATAQVQRLVIDTLAAHFLAMGLLTVGVVGAIIHYLHLPYRRRLHLTAPPGSIATSVALAFHSGFGNHGRVGAVCEHVRIRTVVVRIRVGVGPQSCPACNVRVCAHRSPRGGGVGAWNEVAHPDKVGI*
>EED79612
MDSSTRESSSSAIDLTGTPAGFGSSRPSSPSLVPSESRGSYTRRRTSRGFVDVGEDPLRFDVPVEAPRAPKTNWMLDDDPFASPTEEDYVNDLPYRPPRSRYQDTTGAFPTAQVGPSSVSLISEFRGSVDGQREDDEARLTSNMSRAGMASGWSSSGGSDPELSGAGSSARRRKVRYSTSPSPFKKSGYTLKAMSRSLRRASIRVVNFAGFGLEEHVRLAEVSDDSEDTLTGKAEAGEDDVDEEDAALPDLSKSLPIRGRTLGCLGPTSRIRMAMYRLLVWPWTEPIILLLIIFNAVVLTIQAHRSLALAADGSQNPPQTKGYFHAWEDYTLFSLFCFFTLEAFARIAVSGFLFDPEIPTSSLLSSFSFSAHRLQYLLTNLARPFTLRHSTMSLPREPPPVSSSSHTQRSPSHARSDTVQSRTPMMDKAFSKLQDMHSHIRNPSEPTIFSTALRSDNPDVLALPFRLSIAHARVQTQRNVPYLRHSWSRIDFVAIVGFWVSFVLATAGAERGTYHIGIFRALSVLRTARLLAITSGTTTIMRSLKTARPLLASVAYFVFYAMILFSIIGIQAFKGSYLRSCNVLPTLGESLTDTGQNCGGYINSTTLQVMGYLKQDGTYGPSRDIFALRDNLYGWTLVDGRRAARRTRIKQVYESIRWCWVALALTSLVLQATRTVEMGDAHATILDLGELVLTVAFDIEIVVRIVAELPDWRAFFVRGQNLLDLVLAIGSTVIQIPDIHNSDVYPWLTAFQLTRFYRVILEIPRMKPLLLAVFGNMYGLANMSLFLIILNFVAALVGVQLLRGDIARGQFIDYGQIYNSFLAAYQLFSSENWTNVLYGVGPPEIPLRQAPVVILYLTGWFSLANFIVLQMFIAVINENFEIAEESKRSQQASHYWASHQPHETQIPWLRRLNPYMWLKAQPKAIAVEQLPSSLVLPMQKTLVEDFGLPKGGALNSDTSGKANPPRLGSARSYIRRLQQLFSGASDVDQMPMAPIRKRDSSIPQDXIDEETERYLEVIAALNSDTAAAEDVSDVLYERRAQQADFIRDHPTYDKTFWIFSQKNLLRRLCQKVVAPAGGERIFGSRHSPIAHTLFQLVLLLAVVGGIVVESIATPIYRRNYYLEHGLIRGTWFDNAEAIFGLVLFLEFIIKIIADGLVFTPNAYIRSIWNILDFLIMAGVVVNLTTGLIFIGGISRLTRSLKALRALRLITLIDIMRSTFESLIISGVTRILDACLLAILYMIPYAVWGLNIFNGLMKECNDTSVNDVGQCVDEYSNTIYGNSFGFLVPRVWADPHPSTTFSFDSFRTSLLILFEIVSLEGWIDVTTVAVSLLGPGQQPQMNASQFNSIFFLIYNLMGAVIILTLFVSIIIGNFTSKTGSALLTQPQREWIDLQKLIKRQRPSKRPPRPDWPIRAWCYDRAVHKHGWWHRMMTIIFTLHVIALMTQTLGTTSVADHVRDDLFLIVTMIYSIDTIMRLFGLGWQSFNANGWNLFDVIVAGGSLFTTFIVQFRSTGFVEETLQKLFITSIAFKLVQRTNSLNKFFKTAVYVALSAHDAED*
>EED79613
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEEIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPIAGPSCPCPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNMGRNARKEWFDEEKDDGVDWELYGNGEHLHNGVHAHFVPGIVPLRFLLY*
>EED79614
MVASPNGQSLFYLSAYTLTLSLCSPEHAQRTVLCYRSMLMPPVVATAILYSIYCHGLSLSQAGPSLSCLLRPGLIFWQAQATSSQAVAPAFRLSQALHITNGAKMQWVLPDGSIPVVVVSTGAHTGTRLSAHPRVEHYVAYIMGSMRTSCLVSYHYVSFFTNTTTQIQWSSTQFLWDYQPSRDHLSSSTTEQTAALSPPQKGLPSTLEVAPGVVQPVQTHRSSPIKNLLATTRDTHLLTQKMYSPFYESMLNPYKQQKAHSHPPVNNHSNSLKFTPPAPTNALVEVPMATFTQEDIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQYVLSYFVATNNTRLSDEAKIAFTVALMKKDLGKTWADAYYEKSAGGVQVYSTWANFVAALEEVFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYVTHFEQLASKAQLKDTEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNTDTAKQGALVVTDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYYHIKGHSAKDCRKKAAA*
>EED79615
MSQNLQQPSAVQSSSDLPPPRERKRVTRSVSPPLSHVPLSRFNYIIALLAAALLAFYAWRVAQWKAEVGGWWNLALGRRPPAIQNQNHMNGANGAWAAQPDDSKRKEGEPDVEQRIEELARALGVSSTDLAGAIAGVVREFVPPASLSSVAAHETGNAVKYLVDPSSASSSAAEEAPGATASRGVGAMLHAVEAAVGMDEPPSELASDA*
>EED79616
MSIDADPSAPKGLIDTKEVGANPTRSPPAQESTDNAAYREDVKVDGGLWAWLSVAGGWMILFCTFGYANSFGVYQDYYTLEHSSSSSNISWIGSLQLVLSQGVGLGVGSGMLLVPAQTVQSHHWEKRRSLAMGIVATGSGFGGIIYPIMLNRLIHGPLGFAWGLAIMNAGSIPGRVIMNAMATNLGLFNMLIIVIVAMGALTFALFGVLSPGAVIAFSILYGFFSGAFLSLSAPAILGMAKNVDEAGMSLGLAYFISSFAFLTGAPIDGALLGNVNDPRWYRPTVFSGAVLMVGAVFFTSARFLLVKRRGTNYV*
>EED79617
MATSTTTDTLSINLMSSFGPMLIGVLFSCALWGITCVQFYDADSVWLKGFVMIMWYIYCNIGLCCFDIDSVANTYITLGGCGKIGWSWTTIFTHRTWIVSIVDVVGGRKWTGIFALEDQFGLANKSFSELSSAEMIGIQIAVRAVGAAIDITVTICLIYILMQHRHAELIFSNKLIYHLIILAINTGLWTAIVATVDFAMLASNPSSLKYTPFEFSLSSLYINALLANLNARKYLRHGGIAPMNGFIEDGVIAMQPMSTGHTNSFRTEETHDTSVPIKVATSRVVEIPHNQTNPEELSKRYL*
>EED79618
MATDRLGIHLLEHMDVRSDRSNFMHSSSTSFALHDSADSPDFVQYHAVNREAGRSAVLWRGKTLEDDVPSEVSGIRFFSAHPQNEAGHEILQSQASSTPLSNEIWHLDEQHIPETLYQALDIVPEGSMEESRNWMSWALSCRKHMPEEGIFLKSQRDVFLTAREESCPCSRFHWGRLWKQNEPEWVEEAWKALEGKYWRVIAEGQKEAPLQSTGSLRHLGSLAASSLKGKWAGRKVLLTIRHGDWIVGEFHPDEVFHFAGWSTIRTLTLHDVTLPSAVVFINLVAASSAGGGLRSLTLSRVKFKDPSIPGHLRRRMCSQNTRTLMGHRFGLTLCDLDALSCAVFAQVLLAAKVHNDPWMPWLRLAWGSSGLGGAVSSSPLELQEILQEAGPALRRFSLTAIGDIPHHFSLLRNTNRLVDISLNIWLDRRHFNCSWLAETLENLSQAPEKLSICFVINIHIKEGHIAPRSERCNGYIPSISRFLIEQLEKENYLRDLNEGTCCASYPSSRNDIPQYLYRHLKVEAGFTSIGVLTDLRMAMQLAFLPTLRAILLSQIFMNHVWSAFGDGIDGNSHSVKEQLIPANARGHA*
>EED79619
MSRVLATQSTTSHRYPSLPRLPTTTTSPLS*
>EED79620
MTKTAPYGTWTSPITTDAILQGGAKLIELFVDPITSTIYHIEGRPLEGGRNVIVKTEDARDVIGREFNARTGVQEYGGGAAVAHNGVVYFSNFSDNRVYKVTDGQQPTPVTPDNTNHRFAKIDVHPVHNHLLVAIMEDHTKPAPPDVVTTLCVINTQTRTVTTLVSGADFYAFPYFSPDGTRLAWQQWSHPDMPWEGAEIYVAAVSADAQRLSVHDLTYVAGKKIDISASYPCWASSELLLFTSDVSGYQNPWKYDVTAGRAAPVLPEPVAHDFAEPAHSLGIEYGTALDAGATKALYAALRDGRSVLYVVTLKSGALEELACPYVGISAVKRVTEESVVFLADRADAAGGIVSIELKVGPDGEPLHVLYLPPKNPEYTAPEGEKPPCIVNAHGGPTGRASLALSWEKQYFTSRGWVWLDVNYGGSSGYGRRYIERLAGKWGIVDVDDCVHAMQQLAAAPYSLIDSQRSVIRGGSAGGYTTLVTVCSYPDTFAAATSLFGIADLRGLAEDTHKFESHYMEKLMGGTIEEIPEVYKDRSPMFHADKIKSPLLVLQGAIDAVVPPQQAQVIVDAIKKRGGRVEYTIFEGEGHGWRKAETIKVALEQELHFYEDVLGIVPGAST*
>EED79621
MPPHRALQIVEVVREICLYFNAPVSFFRNVLMCLALVNKTFSQIALDLLWEELDDVVYAFRLFTAFEGIPARKHFKAFSAGAYSRGSPLHYEWIRFRSYALRVRTLRLDFGLSPTPGAAVLAHLTRLNPYRTLLFPGLRSVNWIRSSILDSSINASRVLHDCFRSNIIRCEDDIHHKVSLQIISSVTAASLQRIAIHYISHPSAYPPTLWSCNLRSVNLDCPHVGVDIINALRSLERLETLELMADHITGEVTHSFGFCALRTLSLGRNLQLVTDILPSVQSPVLHQFETQVNEGTLEKWHECLSIVSSQFSSSLRSISIHVYTGDSENTQNLIFAELMEPIYSIHGLEVLSLEVSGCDRRHLKERDFSRMARSWSNLRTCNTLFDSRWACDPHSPPVLHPRVMAEFLQLCPKLETLVVPKVDMSPDALTTLPPFKSSALYWLDFGYCKSTAVPRPDFLARYIDSMAPNLDLGYMLGSIVNDESVEDDASSGEGGDSDNEGSADADDGDDAGSVSEDGTGVDDQENPLNILSRHEDPPRTKDEYSSTPARSAVPTWHDTIRLMYDIRRQRYVLLRFLVVRNLKRLLVVVPWSLAEMYTGWSMHSDFCFVVYFTGIVEDAGQQPFIVYVRNNTSGVVPHESSIPSPSITRRVYLGRPIALDDKCRATGAVSKTGYRCIVFHKPCASMAIFALLAHRPHRNSPFVARIVPQFSPGTVPLPMKRHVS*
>EED79622
MLCGRLPFEDEDVQTLFTKISQGVYHIPSFLTTDARSVINGMLAVDPVKRITIPEILQHPFFTTDLPRYLQPLPPRPGPVLGTLSSLVTPPPKALDFEIIDGLGRIEEDVVDELATRMEGVDKDDVWESLRRDDGPQGNAVKVAYMLLRDKRRLGRDLAEFEEQERDAQLAVMDPRNLLSPNALSSAELEENPFESEFIGYDEEDDDGLDFSTPQNEAEINNFAVLDSSLPEQLPEQHHLASYASAKRQWPVKEKKQHRTKWHFGIRSRSPPMEVMLEIYRTLRTLGMEWKAKKDLGGLGDVRRDKRSGEKTKVERAREYDSPGYVDLRAASSIYFIETRARVQDVVV*
>EED79623
MSSGAMQSKVGNPQVYNDGDQRPHGTEAPPRYEAGQQHAHNIFDPQDDQTLDNRRQREEKRERDADRKAESKTVTNPLEPATRQGHKPSRGAQVDAELQEEDEAALEKKKQQKGTLDPAATLRKPTPWFELRVKK*
>EED79624
MLAVSRLALMCTLKFLTSVGVGWGNVMQEMLLNHQLALKAGRSFVFTNYTWDDSGKPYSNFHGSSIPSTIPVSALIRGPSAGASITGDAEAPLAVKKEYWDKVCPHPKLIRNADVVSRFDREPSAQELLDAWVEVLTSTEDPVFGNRDRLLAIWPSLSASPVLTEFGWSSLIELAFDQNREVFAPTAVLESYLTAEPVTTHVARYTPIPGLLALHVRRGDFAAHCRGLAEWGSTYVGFNSFHSFPDTLQVPPDTKGADRKNIYQSHCYPEIAQIVRKVQEVRRSEAGQGLRHMYVMTNGEEPWVQQLKAALAREGHWDSIATSRDIIVNAEQKYVKQAVDMLIGQRAQVFIGNGFSSLTGQISMLRMANGFAPGSIRFW*
>EED79625
MIHAGSKAPGPEQAFACQFAPSRIDTKPLTGNRQLILGESELKEGLVTVKEQKWELVDGKKVKIESTDKGVKVRRNKLVRWLKDSPTYADWRVGSGCRTRLAVLQLAKASGDIIKQLQPDSLIVGLELGPQADVAKNQITARQRTVDIT*
>EED79626
MSTQVVCPTSSSVRHIKPINVTRSRSSVILHEDKWIQSDRDYLPAVSRDEQAAAIALLSFSKAPVPPIVAHHAVRQAQDATSNLADASPESTASADSPGSTGSQVLQSNKGKARATRSPSSTSSGLSRKASHRGPGKEAREKRDAIREQWKARAKAIETPRGTQANDFHLFVMSWVRNEVTPYPDDAWAALVAIAIDRSFVQVKHWFSNQRQVAARKGTSRLEDVETVTVDGRSFRLWRKAVESSGPWSDEHFEAALQKVVRGQQAKYEAQLAAIEWQTTKLYVQDKVLFAGVSDGEVGLLEVNTDVLDDDDEEEEEEVDKSDEVDDDKDEETSDVEGVDVDEDEVVEDKRVDEDDGEGKDDAFDVDEDESVDKVRDKVDVADKDVAVLMASPAFAIDWNAWKVLFPVVAKLNDTGSLGVPGLKPESTPSGAEVKDVADGCVEAALKTSAKIPENIGGTHWSGLKTHNEASNKVDKYGGASE*
>EED79627
MLIRLTARSLVLLHLLARRIHKHPDLHSCQVHAELVDQGALDPSRKPRLWILRNMVYALRVNPVNNDLWVALPCLTVVEIPDIVRAWSWRLHVSEISWRSKLVIGGPLPQPLCGGLMQTWSVGVRDYWIQHRTVAVCSLSCSTNSVCTSYLSFGRCHIQMGMLACRLDIRVRFNIPLTILSAVVAVAFTFAAFWTAYLSETIENPHVTLLVSQAYKAVRACIYSRCPERDPEAAYEALQQDGEGHHDDPCPRTSQDWTEEEWDVGENMEPGPCQRSQTPLRRLSCDEHDTSSTTSSSSTRSDSSEHMRQHVASGSGSTFSGTTLASTASLSGTSHPSWDESLHAGLSRETRLRLTAQAQERPPPMFDWRYWAKTHWKTVTRLLALRAAVWGMALVLMHYCGMWAMHIPGGHISWNPGIVVLSYGVAFAMCLVACIFMEHMDMHFGRQVAFSTIAAFGCCSMHYTGMAAATFYTSSPPGPPEAGYPQYLHSTIIGIAVCVCVVSNVVLAQNAITARNRMAEMILTKRRLWRIMAEKEAAERANEVKQQFISVASHEIRTPMHTVNGYCELLARTPLTEEQTVYIASIQQACHAINVIAGNVLDFSKLDRNNVEQSARPVLVQLRKVLEDLARIQSNVPGVDIIISVASDVPVTVYLDETYTFRVLMNKFCDKGYICVTVSMNGPGQLVFQVRDTGCGIPARFRSAIFEPFRQADTSLIRSREGTGLGLSIIKHLVQRMMGSVEVESTEGLGSTFTVKLPVAPPSCTNTPCSDDIPLLDLSEIPSTPTPKRICVVYSDPRTEALLVELWAQHGHITSRGIAAKSVAELVHTADAVWTDVGSVAVSPLLRALLSSKTPRPFPVYIAYNEQSDLAALEPEWSGARNAVLVKRPVVLHALRDLLESPESHMGVHVLKEQSKVRFAIPAQYAETLSPNETVLPRPAKSYVGPVEEIEMTVEPRNRRETVLLVEDNPVNQRLGCRLLEKLGYAVVTANHGQEALDAISRSTFYCCLMDCQMPVLDGFATTRKVRELESEGTLQGHLPIVALTANVTTDCESLCRQAGMDHFLPKPLVLADLEETLKSYGGPPPHCST*
>EED79628
MKYSM*
>EED79629
MSTAGASSTIPGEFGADTPQLYTEHVPDHLRRATARLRFPPSYVVVAASANPGWHSQLLTDATLFFASTQLTAIITYFVSHGLRIARERAYAQTIESRGKGSDFWQPYVEEWDNPPQPSGFGMGHLVSGVFGRIAFKLLLLPVETVPLVGIMISAWFRALGTSRYLHKASSTFFATQYFKAKDMTHEQISVFMEERKWDYRAFGFAAALLERIPLLGLVFSVSNRIGAAMWAVDLEKRQHYIAEMKKTRSQTVLQ*
>EED79630
MSKAERAQKLRSLVHLLAEAAEVVIREWEAEDDANSHYDPLLPSAELYQARRTLVGTCGMCIDIVQEPQSRLMEMAIDQYVARALHIAAEARIADVLAAIDPKEGMPITDIAKTVHVEERKLARVLRCLCTVHVFIEVKDLHFANSATSQVLANNDPLRCWLVTHGQAIYTASDKLPAVLFNPIKSRSYSLEKTAFQEAFKTTYWEYFETDVGESDAAAKRRQEREVFGLAMLGGGRVHAPPLYADYPWRALGAATVVDVGGGVGGMSLDLARRFPELRFVLQDRPPTITQARELWQREMPDAVKSERIQMMAHDFFAPQPVASADVYLMRYVLHDWPDAECVTILTQLRAAMSRTSRILTADQAIHTTAGSAYLTRAPEPLPANYGFAHVLANARDLNMMALFNGMERTPEQLGALAEKAGLRLVKVWECRGMVAITEMRRNDWDE*
>EED79631
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPECAEKAKHDIEELALRAHLFKKQQLLDRISDPALSRVGSNPRPLYYLPDMDTTLSTVSIPLSLDLKSRHAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYKV*
>EED79632
MAPYIPFVPTEAFAIAMEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVMALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIHGQRDYKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDNSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPKKARGSVFKNSGSDNGSAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPRTQGDGTKKAQGGSSNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED79633
MVNFTIAQIRELMDRPTNIRNMSVIAHVDHGKSTLTDSLVSKAGIIANSKAGDMRFTDTRDDEKERGITIKSTAISMYFEVDKEDLSAIKQKTEGNEFLINLIDSPGHVDFSSEVTAALRVTDGALVVVDCVEGVCVQTETVLRQALTERIKPVVVINKVDRALLELQVDKEELFQSFRRTIENVNVIISTYHDAALGDVQVYPEKGTVAFGSGLHGWGFTLRQFANRYSKKFGVDKEKMMAKLWGDNYFNPTTRKWTTKDTDNDGKQLDRAFNMFVLDPIFKIFDAVMNFKKDAIGPMLEKLDIKLAQEERDLEGKALLKVVMRKFLPAGDSMLEMIVINLPSPKTAQRYRVETLYEGPMDDESAIGIRECNPEAPLVLYVSKMVPTSDKGRFYAFGRVFSGTVRAGPKIRIQGPNYVPGKKDDLFVKAVQRTVLMMGRYVEPIEDCPAGNIVGLIGIDQFLLKSGTLTSSETAHNMRVMRFSVSPVVQVAVEVKNAADLPKLVEGLKRLSKSDPCVQAWISETGEHIVAGAGELHLEICLKDLQEDHAGVPLKISDPVVPYRETVKTESSIVALSKSQNKHNRLYVKAMPIDEELTLAIEAGKVNSRDDYKIRARLLADEYGWDVTDARKIWCFGPDTTGPNMLVDVTKGVQYLNEIKDSCVAAFQWATKEGVCAEENMRGVRVNVLDVTLHTDAIHRGGGQIIPTCRRVCYAACLLATPGLQEPVYLVEIQCPENAIGGIYSVLNKRRGQVFSEEQRVGTPMFTVKAYLPVMESFGFNGELRSQTGGQAFPQSVMDHWELMNGSPLDKGSKLEELVRNIRVRKGLKPDIPSLDTYYDKL*
>EED79634
MSFQSFRLQTHPLPSSPAAGPSSLALALHAPPPPPVDPGAPSPAPASAPPNLAKKGPYGSGDADDGYTLVFASMAAFEAWRAREEAAKMVEFVKGDTHGSKAVPPRFKDHTKLVCARHSRNGRKKYVKKFPERVRKVPSRKLEGIGCPASISYKTYFDTDEVRAMYIDEHSHEIGPANFPFTKRGRKAAAEQHARAKAARRQDGADGTGSAGGAASSSPQAASSPGAIPPASASSRADGIAGRSSVSAHAHANAHQHAHAHPHAQQNGQPALVHARPAALSLPGQAFQAAIRMIAPLPPPPGLPVDVSQDRWDRMDVLFRSIRENARSFAYPAPSVAALESVLIRLYLESPVAGMGPPPPHAMGGLDMNMDGMPDVGNQGHMGT*
>EED79635
MASSSAKGKAPANGDTSTVPYELPWVEKYRPQVLDDIVGNAETIDRLKVIAKDGNCPHIIISGMPGIGKTTSIHCLAHQLLGDAYKEGVLELNASDERGIDVVRNKIKAFAQKKVTLPPGRHKIVILDEADSMTPGAQQALRRTMEIYANTTRFALACNMSNKIIEPIQSRCAILRYAKLRDTEILKRLLEICEMEKVQYNDDGLTALIFTSEGDMRQAINNLQSTHSGFGFISGDNVFKVCDQPHPIIVQSIIRACIKSDIDGAMEKLNELWDQGYSAVDIVVTVFRVVKTIPEYTKLEYIKEIGWTHMRILEGVGTLIQLGGLMARLCKM*
>EED79636
MST*
>EED79637
MSFIRPATPGFLVTLVATGLLAVVTFSVPYIKSIFFLKASLSVENINGSITFGTLGYCLNLSNGTTCSKPSVGYELNVNALLGDDLPIQIPNVLVKWVTYALVLHIVALVLAALSALFGLLAHVREVSMAYCSSFISGFAAAVAMVAFIFDLVLFFVTKSRINAVKGGSASMGIGIWLTLAAWILLFFAGCFYGIGRCCIRRRPDRFSRNGPSGDNGYAEQMRLDAVKAEADRKARQKQGEIGLPAFQELEQMQPLTRAADEDYVEDGDKIVPLGEVQNAGVGTYRRQPSQTRAQFPGGYSQAPPGTRAVDAYYNPNTSPALSSIYPPQSPPQTQRRQGSGHTQDGSGYAASAYSHGGNAAGVGTGAAAAAAAGAATGQYLSSAYGHAQQPTAATDAYGHTAGGSSCCVDYNRAPSHQQYASNYSMPVATPQAFDANTYNASGYMHAVGTSSSSAAATAAQNPYYGRAAPQDRSYTLGGGAAYAGNDAAYYDPYAASSHNPAPAPINTQVSAGGAHAQSPTHLVESPLEGIPEGEHQYADSPPMYDAATAQPPGMWATKH*
>EED79638
MVWMFLLWVCFRPLWSRQLSVSVYGYCSLSYAHAIARCML*
>EED79639
MVDVGARVDLDADTRTPPEVGGRGGGCPAIWDARWAWNVWCGTEDKGSCIEEADCDRRGKKPGGGGGIGGDICPGGGNGGKPDASKNPESPREVEEQKIPQEVEEREIPQAGEEQGTLAEGEAKTQQDNLAVEGSLGAGERDSPGEAVAIEHEVEREVVEVVGEREGPY*
>EED79640
MSTQVVCPTSSSVRHIKPISVTRSRKSIILHEDKWIQSDLKYLPAVSRDDQAAAIALASIAEAPVPRAVAHHAVRQAQDATSNLADASPRSAASADLLEPTGSKVTQPNKGKARATRSPSSTSSGLSRKASHRGPGKEAREKQDAIREQWKARAKAIETPRGTQANDFHLFVMSWVRNEVTPYPDDAWAALVAIAIDRSFVQVKHWFSNQRQVAARKGTSRLEDVETVTVDGRSFRLWRKVVESSGPWSDEHFDAALQEVIRGQQAKYEAQLAAMI*
>EED79641
MFAHLPFTTLGALALAMLAQANLSSHHRRSLTGQSIAFACYGGGGDCECPPDLTGGAGTLINVYPGFQCAYKGGACTWDDKTGALQNVNQTNCPTKAACSTSSGCECPTDLNNNTGVLVNQFAGYQCVYTNGACTWNAAGVLQNTGQTNCPTNKTCSQLAGDS*
>EED79642
MDQSASIIAALNAGKLPSSQQAGAAFDLILDSNILNAEPSADGGELSAQGKVLQKDLRDVLSAYKQLGQSKNGDDLVQESLWRLSEVDVSSTSTQAPIDIDSEQARQDSQAVARAVRTVASLVWENLSQEGRSVFHDFASFMRLALADAADYVAHGAEATAESLRQLDTEVQEGQRNDLGVRKRKAEDEDVDTKVKFERTMDKTKDVGSKTIGAGQAALATAQETADRTSSRLQDTFYTVCDRAQDDEQYHSAINTIFDLAHKWVHRSLDTVGDINRATSLDDFIDDPTPEKHLITAIRGLRTVLERLAGGKSLDDVFGALRVCGVDIQQDEELRQWSDDFLAHLRKSVDERGYVRSEEAKQKSKELREQWKKIVDSDTEQGRKWKKDIGKLKEEYATFQRAMDRDEELRNVRRAHTKLGGDLEETLLVAGSSGLQATMDKAPWFWQDLFNVYLPKAVGAFKDIPIPRTEYRDNDVEFVLEDLDISSFNLLPGHAYIRNITDIDIKAPSAGQADTAVGALTRVYIQALQLALREVSFYYKDKTASVGPAEFTGLLEFTLPPQGIDVDVVVRTIPNSPEGLKERARRSRFLEIQRVDVHVSEDVDLTIRESNHPILVSVFKPVMLSRFREALRTLLEQQVRSALDWLDALAWDVGRRAEVFGDAGLGRGASLVAGLWSELGHLQKGEGGLFSGWTATGTGVFKSDQEGTQFAMGAEPQVLLGEKRGPKGTLSDSIAERYDVDAEMEDARGIVEEAGKSAKAGVRKAKSFKDSVSAKAEEEKAREGWQSPAFDISA*
>EED79643
MPSLRLLAAGSNAQGQLGTGSLEDAHSFTPCLFAGYPAGSLPPGTLAISQIACGANHTLALLQRQVEPDATPVSELWGCGDGRRGQLGPSYLTDVGASADKTSTAVFQKLDLQLDRTVGADSLHAYVPRLVAAGWETSYVVVSRAGCSDVLISMGADDYGDLGVGGAKGKGVMGRPVHLVDLRGLFAQHAGEAEVDGGSFTVRSLAAGPHHVVVNLETVHDHEFMQSLLVGWGAGRHGQLDGFERTPGKASPFYTAPRPIPLAPNAPAVVSIALGNQHSVFLDASGRLSGCGSDRKGQLRDLRTLANVTDVACTWNGSYLVMKDIEGWRILSTGSNNKGQLGRGDFRDASSLVGVQFQCTDHTCQLKKIACGSEHILCLLTRNAGEATQAEVWGWGWNEHGNLGLDSTEDQNRPLCVWSSASAIGTASHAVGIWCGNGTSWIAVEQ*
>EED79644
MIWTALWVYVVDGWGRAEALTEIPWESLPSWLIVNHYYWFATIPINGLYRDDWLFTSGLSVSTGIDIILTTYLTVLLRRSRTGYSTSTDSMIRVIVVYTIQTGAVTCGSSCRTISFSSPSISLLASDTNVHVIPRTEDGLPRPNDDSEEQKVVQAPKKPALEDTDTAVSEES*
>EED79645
MATIARSPGDCCIKTVEHTGTARGVTETIAEVKTYISNPPALDEKRNIIIFFADVYGPFFLNSQLIMDYWASHGYLVLAPDYFEGDPVQNHLSKVGPNYSIEYDFVPGKMIRAKQITPPWIDAVKEKYGYCFGAPFVMDSLAEDWTTAGAFGHPAILNEKHFRNLKQPLLLSCAEVDHTFPLDFRRKAEDILVEKKATYHIQVFSGVTHGFSLRGNVNDPVAKWAKEQSATAIKSWFDVFCGTAESTL*
>EED79646
MDSDDDAPLVAFYEPKQTETPPPSPPKPSTIRRAPSPLSGVPYWGYLEPVDPKCRYKDWYFFTEQPVYTLGAGEDVDLRVIGTSISAEHHCTIVWDGTDTPYAVHVVDYADQTWGAWGGTFINDVRLLPGILSLLRHGDRITFLGEEPMATNENLRSSYLETGFTWVQCTRQGWRNTPTGLLKSMKQQT*
>EED79647
MDQYITYKPQGGDALVKSFLSTIYNHPGDEAFYAAIDTHLLSTTWVQKMSWINDTDAEQQQTYEYATGLTITSGREITNAINLGATFEGLSISFSNTVKVFQTYETTTTQKSISEVHIPPRSSLYFYQKYYTFKSSMFFILDAWGQEWNAGSWGGYDITRKECVVDIMSEEYITKDNVLDGSTTGTFNVATVGRVAKESDRSTRKRENLTGDAKKALDNIVISTRIRGEDERLAVTILFNSISFETSPTFFVRDNESNKRGWSADDVLEFLRLNPMDSTFGWSEILAEALDDHENGLPHSTANARLFAHTLERMFWWQLFHHIRNKVSAFASSLSEDFAESTAWRDVETRAGSLLILWEERGLAPSTLPSPALSPAAGHTA*
>EED79648
MPVKHVPKERAWGTRYDTLDSSPPPSPPKQQNQEPTPPSAIPITSVVASTNDLSRRDRIASHTNTIPPTQSEKMPHDASIFVGSLPTHIEQPELTSLLHEHLSQHAEVKSIKVVRDSKGGICAFVQCEDSSTAARLIHTLQSLPPRPFMGRMLRYEPARAFRTLLISYRFPVVLYDSEAKIVDPIVLNQNDGSTEQEPLNGAGTFLEPLEFDAETILKIASTFGDVEHFNVYTVTDDKHDGRMALPRQPYPHNVSRASNMDAQIWEVKWHHRDDCVVALTVQCHYSTDISIFINSHLENQTLRRIPFISKPSGFSPGPVGKEGSAMSNGSRGPSELPRQWMSESALSSLSSSTTQLSLSQADSSFEQLATVVTSMAPSPSASSIGPSTSVSGSMQHNVMQGYFAPQPWMHAYAPYYPYPIPFVPGYSAFPPPPVQQSGGQPNAADSLNSNAASHHSWPEMHNIYKVPQQGGWASAPLILPTAQAQVQQHQPPYMPSASSSGTLSSAPSFRGSYPGASQAGQRNGVTSTPPPRRLNRRNSYQNNGFSGHRAPNSHASPNRFTRPFGNSAVDVSFG*
>EED79649
MAPPAFLLVFTEPGSDVSDEEYNDWYNNEHIPLRVDTPAFLSWTRWKAADGEKPAYGASYDLESYAATKQAPYTTLAETRSDREKDILRRMQLMDRRHYELYEGPAPPPSALYDPATPAPYVVFVGVETKPELEDEFNKWYDEEHFPMLAKVPGWIRSRRFVLADCNQMGQDAQKKQLPKYLAMYEWASLDVFETEEYKAALSTPWAAKIMANVVLKERRVMSFLKKWERPSGKL*
>EED79650
MDQPAILAHQLEELNLLKCSLLPGEEIVFVPLLDAPSDWSTLLATYAADPGADISSQVPTNIDPARFQVKAEAIPVWFDVLLDPRYNGTTCTEGHLTVSARGADLGRTEQARWNAVIQECVEQVQDNPTSPSSTPTSATPTVRYHALLTSHHLKSPNKRRSLHQWSHELSIHGFAKVGYPGVIYCEGEQAQVEEFVGNVKTMQWLALRVRFVESLADHEERQRGAEIEKKRWSEFEKVGEVVEEMKRLGRTKYVVEMGIGSAGTSLTSPKG*
>EED79651
MTANAAHLKTAITALPELTARKAVLDTHMNIATALLEEIKRRGLDELFSTEEAIGKQTVQMILEALRHPKDSTTPTPLDKLRLVLVFYLSSPDNAISKDDIAELEKELKAAGADVAAFEYVRRTREISRMTISSAVGGGSTPVLGGNTPGGELFKGFSALGNRLTDRLKDGGLENLISGVKNFLPANKLLPVTRLTEALMESSAASNQSLQETDDYLFLDPRAPRNAQAGLGISGVSAPSNGAGAGTGRAKRMAFTEGTVFVVGGAGYVEYGNLEEWAKRMGKRVSYGGTEILDPGGFVKVLEALGKAGAQG*
>EED79652
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAVLDVVEEWAQDLSPLVLSYRKALGAIRDKETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRQKDEEDRRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTVERPLAMSEVDMAAAAIEKRQSGQKCDRCAGYRSAPVDCVWAENATTCDRCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPLRPLLRRPLDGASRLGLEQDDLDVLDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGSRLGLEQDDLDVLDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED79653
MELDLNSNMGCFFIGVMIATPLFGLTCAQVMYYMREYPGDMLGMKILVLPTHDLDPSEVFGRKFYKLPVVGTLVGSSVHAYNYVLIGVNCDPANCAIGLVDPTLPGVYQRTRVSASVQPVTASVTDVCITVCLCWALHNENTGLNRTDTLVRKLMVYAINRGILTSVIQVGQAVAYLAANTTLFYWSMFHFPGSKGSLLRLLVHFLAYPLALPLGVI*
>EED79654
MYEQRRQNVTAGRAKSAPLFGKSIFRINYMLRSRICRLTDIGLCRSGLYFPLYLWHYRLETDQAPVYAQARELDDRDVVSVFSRARIYLILNAVALLIGLLCCPLSTWMLVACILVPALLWNTPLFNWPALTSRGEAKHAFVLKRVPVMKAVFVGVIRGTWDPVRLMVWSTINWTCMSITHDMRDFEDDKVARIPTIPVLLDSVYRARVLITAVQLAVLMACSNDLYIVSCSLWIITLAPRWLFICISHAHTPIFLVYGLVQWHKWVYGMAAQ*
>EED79655
MSPQCTSDDFFHILSQIPTLAHLTLAGKILVPELFHPDTYPGFELQQLHYLMLSNLEPLTTVQLLRLFVFSADRRQAPLGPDTPLFGSRIPPGTSTQSPNTSILPSTLFDTFDGPLDAARPKRVIRSMPHRRSRSFPIRYRTSQHAFTMATGPKWPYVSLHLRIRHDVTLRMNLMRATRRGRFVRNNAKPLVLPFSKGVSRVHSKTVIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGTQGVTTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKTVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWKDAEKCVTEVEQILDISWACWPELNNFLA*
>EED79656
MSLCPSLRNLETSSTVFTQTANRVLAKSPPFKSCPFLLRYIKELCRASIVSTNHPQLPSSFDDVSKPPRTFPVAPRQEFAAFGFLKTSPVVTHSQAREVASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSAAEERTLSPELLGLTTSDYDISTPDSFERSSSSPKPEDPIPSTSNLGLPTLSSFCAHAQPSIASSLQLSVIPTSDLAPPPPLAPSNAALNSNPAPSAPTIPSTTTASSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADNTRKWSLADMDQLIGEHARIGIHNAADLGCYYRDFMAITKHLIAQHQLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYVDDPYTMAEIHAAATFILHGTSVTSWDLSFDLLSLAANQATASTLSTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNCGCRVGTCEFAEIDIRDGKCKHNTDGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNLAAPAADTTYETLTPNLGAT*
>EED79657
MCLTASSTSGQEEVVQLRPTGPANVEDLLNLGDALLSVFPCDEGLELNLVLQVPIPRAIRRTLEQRGEFRGGEYLKARGIRLVLTEAMGQEVETEVPRAAEAGLYTGGDKGRLCALVCAQLVRAQHADAAPGAVQRLRVQAREKEKIKKRLDAVPTRDMGHVDCNMFMRYLGGGIGHKGAGALIAATKITLQHATESMPQGASAGSAREEDVEMGDEDDTFVDAAEDEDIILEEELEYGYQLTEEDGEDELHEDAFGPEDGEDNWEEIYETEGFAQL*
>EED79658
MCATCFASPRET*
>EED79659
MSSPVATPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDAHAWATPYFAQLVLVQIGVQGATTPFANEAAFAAAFRACFGNLDDEAAAQVELAKLCADKSVHEKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIKLETFTTWQAAEKRATKVEQILDISRARRPELNNFFSARGRGRSGARGGAPPSHGASASINAAVGKGTFPA
>EED79660
MKYHSWIPPSLRTQY*
>EED79661
MAALRGTSSCGPLSFSAEELTRTTRGSPKTDGKRWQSKTKCCALGRTCGARQLCRDSQPSLAHFLKPESLPKTHRKLEMPPIKNGRVLFNETPTDHPVPGRTTVYDGSQTIDPDMVPLNGGFLVKTLVVSIEPLILRKMNLLYVIGQPISNFGVGVVLRSEDSAFKPGDHVYSGDILFQEYFVATDTSLFQVLENKQNLPWSVYVGVCGMPGQTAHHGWREFAHAPKGKVAFVSSGAGPVEATVIQLAKQDGLKVIASAGSDEKVEFVKSLGADVAFNYKKEQIADVLQREGPTDIYWDNVGGETLEAAINAAAIGGRFIECGMVSGYNKETPYPVKNLMLIVVKELQILGFLSSSLQTKHLANFYREIPPAVARGEIKYFEDRRLGLENVGETILDMLTGQIKGKSVIVVSQK*
>EED79662
MGNRALIALHGPSLLTKDTSCQSDESNTPAALHSGQAREDPHLPRGTEAVAQPTATPQAIPQSSLGPRLERPPKTEPRDTLPHSWASSLSAVTASTSVPVLRHPASGLPQPTSPPSPLRGRYSTRSSRSPPGGQSQQSPSSAGSPSSPSSPIMSSPAAIPDKETLKLLLPLRYDGKSVIECNRFISQLLIYWTINTALSSLELKIQVALSLLDGDARAWATPIFSQLASVQIGIQGATTPFADEKAFLEAFKAHFWNLDDAAAAQVELTKLCSDKTMREKRTAAEFSALFKGPADRSGYGNLELRDKYLSGIPSRVYCKLKLETFATWQAADKRATEVEQILDVSRARRPELNSFFSS*
>EED79663
MQYQCAKISPECWHIDTSCIVFLRIKVVHWQFHIQNPVDHNGAVVAPVTRCQDTYLHCQESKCDAARISERLAIHSERSQRNPIQLESQIRHSGSITHVNSHMARNRIVGHEVAREFFVKRQRTDKCISVVIFASAIWIIFWKRGGSTQWMLGITSTILFSFATIHISVSLQQLLEAFIYIPPGASPLYSTLYWTNDTTSLSIIKDVLYDTTVWLQDIVLLIVDLAHMAAAYGATILDVRGTVSIYSPVVKGLGLAGWSLDLVVNISVTLVIAARLWYMGMKVTSMTSSRTHSSDQQQNKYLAPIFTIIESGALFAAVTLVMLILYLTGKPVTLAALGIATQLAVTTPLLIIVRVGLGLTHGLPKAYKDLSTSSTVSDFKAATLSQSKTFGGSAIRFAPSRDIATSSASSQGEMVYVMQDFKVTDGLGIDEVATK*
>EED79664
MLARTLQKNTMSAVVPVVRFCYDVSKHERVRIRSFHSHLTTLFFLTLKGAMELKAKVSDVEMVVVGKNEGVAGGEWDKARFGSRHDPSSLSTATYQPGTTRPNNILYPYANAMQDLEKTCIDRRQLVFNKYIILWRSSKITTRLSWDSSADIVKDIRKAGIFLSQVSIHPYADAEAQLINETAFVAPENADAWEGWPPHLVYCIRGFGTPTYRGQVVCTLAGADVMMAAGLGKVKSGSRPKYDTKVKTTMTGPRQPTPRAPPSALPALKPKGEWGRQQLLASQPKGSFNLGSSDKVKFSKQEIAASSYTGTSAGSGKRKYSGDAPKVNDESVRAAEPPQKRARVTAQTSDAAQSSKRKGSSKKTCATETATEGAKTPQASEAPPLTLGTASITTPSRSTPAVVRPPVMATDIAFDPLAPGNVPSAPTLKPATPSLNRGPIMHGSSQSAASAGPSVLKRKRVEEVDASVGDVAQPQAKQRKQMASASNAISNAVQSPETTRQAVVGRRSHMPPPSSWSPTPASTAGSSRCSSSAAMTPRGPDTQIPVDVHATGHISQAPHARRPTTSLVNASTTALSLPAGIPKPPIIAADVSLEDFVPRELPKAQARKTHEQDDARSIENTVVSEDTSNGADEGYEFLDSDVDSLFDGPDDTVAHLAEDAFTETRRSSTVTINESLHEAAISYAGMDFQDMGWQAGEPKVVRSFTDLAHVHMKAFPTPLDYYLVETWHPLEENPYVPSDAAAGQSFTPLEDRSESIHGWFGSFFNQQSMREFVIVPRPVPQYGMRPTG*
>EED79665
MAQFVNLILHVFESPARDSFNPACAAALKSRLEGLYPAVGVVEHSYEIAPKNNLVMQPNQGLGDSVKLMRNWEASMNVPVFNKYIIVWRATCPSQRQYTAKPTIAKKLRDDGIFLSIISPFEFVPIEDCIMRHSLIANADSPAPWMPWWAERYYSLQGFDSATYRGYMSYSQLPQRMHAAGVDVTQCTPMFDGSPIRRDDYRYQVTKFLATPPQMQVQPWNIRQQQTGYTQGFAPQTGYAPHAGVPSHVSPAHLPGAWARQQQVQAQPRASTNLGVPRNRTIPLPVAQQIGSTPLAGVATHTINTPQAQGTWERHQLSAQPSTSSNIGVSHGRAAPLAATPTLPVNGRKRKERSDSTPEQSASAAVDGTAEPEAKRQRVFASAPVAGLSSKAKGKRKAECTVVTVDEHDHASKSGIQGFAQPAYTDLQAPNPASIRDSLSESSTRLPTPAEDRVFAALLQELGLEPDFFASVASLTDVDLYAPVDLIEEVHGLETSPSANEGTNAEEGVTQPTYVPTYPPDDTHSGDNAWYQDEITGLMPSSSSSNPAIQGTNAEEDVAYLTYVPTYPPDGIHSRIKVWYQDVRTSVRPFDANEEVTNFQDDNPEDYVMFSEGPARVRYDEMPYQTVTQYSLDDSIRQLRDLEREAWVDHYWYTDNFSTNIALYNCVV*
>EED79666
MNARRVIKYFPQICALHRC*
>EED79667
MANWIDFVSLFITLSVAASIVAGLLYVGRVTSRAAERAKESLKHKGVNVSREGVSVKTNKRWDRSDYLDATQRLSTFSPAQCPTSPKHPNGMQRNMAAETNKMTPTKRDRQSASNELIASGKLAQHIEAVTTILLSAKCALEYDLMPTVKLPVAIASKWVYDLSHGLPSSSNSYTDPTSDAASSESSSKAIPADDVIQAEGVSTPMLSVRKWANARLSRGAEPTEQVIKLKIYGYQTPATHETTKQITYVGCTPTLK*
>EED79668
MTNGTKYSRPGAISEPPFHGVSRGDIRGNRAEESSYNAKVLRFRQLIRRALRMLNLIIGFMFFLNRYTLLVASSSMMLAHFAWTADPTLSGAFSALRAYAIGGRIVLPALVVLALSLTAGGAVVFQEVFQEIADPQSYLPGPNIKYSCVHVVPVLTHTKMTDRDLSADIGRMAVMTATRAIAIAANLIVFAITVYRTFGIKRQARQLQMKVPLTTVLLADGFLYFVVGVRPTMSSVLISRFMFHLHRSPGPAGSTLQATHSLMSSSAGSAPLDSVVFAHFSTDDKSVGAPFAGDTSSAGIALEDDWDDTASDVEGESESNGEGHPSDSPSGGHFRVAIKGLIEAANVSTVYHANGAPHLPHVITRPILVLDSIYTASFAFEDNSRTMGVLGHGRRIKTHVTQTHWRMTDMFFEDRIIESAVELQDT*
>EED79669
MGGYTCLCAIVSEDLWKIGQLRPGCTIQFRRVTFAQAVSMIGIRECYFEQLSQVISGSEPPNVNVCHELFTASWEPSLQDPKLHVIFASDPRPMVVFRQAGDSAILVEYGERKLDFRLRARIHAFETELRKRDIAGVWQLAPCIRSTMIHYDPAVISQSDLLRTLIQVETGLPDSLSEIVFPARRITFPIVLDDRWNRQALERYMRSMRDEAVYLPSNIAYLARNNGLEGGADEALQLLVSSDWCSLQLVFGVGFYDACPFLIPGAVGIAGLVAAIYPIESPGGYQLFGRTLPAWQTWGKGRGFSLQKPWLLQPFDQVHFEPVTEEQYVEMESQFDAGRYIFKRSR*
>EED79670
MPFALRYEMELLTMLSRSIISIRQEIFAQGTLSVSSANRSLTGMTTVSLRTCRKCAGGGGGGRVIPYATRHFRPSFQAHLQAWGKIYSHLWSSLPAVTQIVLAQQVFLDNSIEIVQALLHFSLAGGFASPRIVRTPKAVSYLVPHVKKPDANYTESSQAAARVTSASNERTFTRLLETFQFVLDVLNCSATPPTKKSPPDSYLMPGGQGLAVFSTIPIWCLRRLSIPPSETHISAYLALWRHVGFYLGVSPSILQHHFRHPAAADKFVATSTLHLFSTDDPSTIVDAPTVPILHTASNRPPAFASFEYNCACSYYFLGPALSERVGLAPPRLPVLLRMHTVLLIQSIPHWFARWYPRRGWLEKRREVMREGIARSLRWNLGMRRVSFCPQTDVIPSLSLGEDTPLASGVEEAESVRPNPAGAAVLVRVGVVGLGTSWLSWTRLLQPSNMP*
>EED79671
MSDGIHALPLVLHTSNHVEPLCQWGRSKAETRTDGVLSFILRASKLEYRSRGARSIAPASHPRFGLQTHVNVPRRVAEGTLRVTWTIGYLNHYQRHADKKEHPAQCGLEEAQAVELAIGFVREISSTRVFGISLVELQDWPTSRARARRCDWPRRFVIFVSVSDLPGRSQTVERPDLDWELAYSIISGVPLANGRPGRRLSEAVVACLQCTDVLAHVGFPVASVEAGTYPEGHGKACAMPRGLRPIRLEEIICRALLSLRPIPIFGGRHLPGTLHSIPIIGGRHFCGSALILQAIRHNNEYWSAMLSSPRPDLWRETLLRGTLHPIPIVGGRHFCGGQLDPGGDTTTWYRSATLSSPRPDRWRETLLRGTLHPIPIVGGRHFCGGLACGIAGSSTTPCFKPLQQRRCRICGVDIDDDKEKQTRHDDYQASHKRVDKAAYQMQIPVKQAAMIAATSNGGKGDDKGDDKGDDKGDDKGDDKGDDKETVEEVRQCRRCRRHRGAEAHLMVMVGGQSVELPRRDASVTLCVA*
>EED79672
MGRTRPASNISKSITRETFWDHIGDRTFYEGYLLYFSDVVLKDGAASAIEEYIFAKSANFHDTAKVPRRMMNRHFAMLYHPMIYLAYGLEFGIPGLVAEGLAQTAVHPLQMPGLISPSDYEGQDTATDRTPTASAVTRLTERFSALFLHGAQAQEKASVVKRDHPNIGVHAFTVLARILQDDRFSASTLGLTPHTEQVEALPHYSKVGAELSDAILSYTEDWMVDGADAGHTEQKIEELCWAATLMYGVCGWGGRKQGLYGEFNNDFFHPSSASLFLRTYFRMLIAWWVSRGRPAFPIREFYTSVPEIPPRCAPDHMKLEKGMFPTESTAPNAWLPLIQMSIMHTDDHLCKTQRALAHFASQYGERPAGYFPELTSGERPSLDGIELLDGTLFLRVAGLTAERVAAAGEDRSLFWDVRGFFV*
>EED79673
MSLLNGNARAWATPYFAQLTLVQMGVQGVTTPFRDKAAFTAAFKARFGNLDDEVAAQVELAKLCADKSVREKCTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAAEKRATEVEQILDISRAHRPELNNFFSARGRGRGGARGGAPSTHAASASINAAVGKENFPGTCFGCGKQGYRRFECPNYHERQTVGTTRRH*
>EED79674
MQINSYYDIRRFSWSLYVGFGLEVVVDGIITVSQCLVLRRFRTGIRSTDSIISVLMVYSINTGMLTSLCAIVCLITYTVLPNMFVYFVFYFVLSKLYVNCLLANLNARTTILEAGHRPLGKFDVSEATTTGESHFGPTAPQASCTGVPFRFRFH*
>EED79675
MAARNGSMLVSCVEERLVPHEACAHSLACAPVSLACADGPDWSSPALEHRQRARCSADATGARDVVQHTHSVRFDTAVRAQAHVTVDDPEDSSTLPETGWTLHHESGGRMAMKTGLAARARTCGCKCFDDGVPACAMCWASGLHNTHPAVGSAKNARTSRGTVGGGGLSCAACGRPAMMGDARANAQWQCASLRARSASVLAVCILGGQSDSDVALQCLGLDA*
>EED79676
MEAFGAPYYHIHRADFHKLLYDLAAPSMTLRLNSTVVGCDPEAPSLTLASGETVHGDLVIGADGVKSYIQQVVLGQVNPAEATGDAAYRALIPTQLMLSDPDLKSFVDTPEMTGWMGPGRHIMAYNVRAKQEFNLVMLHPDDGSVESWTAEGSAEKMRADFADFEPRVQKMLALVKSTLKWRLMDRKPLATWVHPAGRVALLGDACHPMLPYRAQGAAMAIEDAALLGNLLAHVASRAQLAPLLRAYEALRLPRTAETQGASRLNQVIFHLPDGPEQAARDTAMRTAAEAELAAGNPNLWADRRKNEIQFGYDADAIAEAWW
>EED79677
MHYLDSSRHWGPYLPVSDILGNNASDEPGEPSLLNFDSLPPPQLLEPDWGWLGAARILVEANMKDLEIDGLHRFTSLDSWREQGWVESSTNVSTSWDWAGVEGIWRYASPVSLADWDPSADAILRRCVCWIGYSRLIRANVYGGFDDSSLRETCISVPMRLHVVRFGPPTIPEYPERPVIVFEGESGGQDWIEDEDEGGGDARKVRGAVSMLAGGHVRWQMFVSSEESPNEDDWVSEAIQIGGVASGAGIIGMWTGAQHMDGDPLGPFWQWRVA*
>EED79678
MYIFYSFAAPLLLLLALRLKGLPPTPEDIFSNPREAYGAALRQHGPVIAVRRKGNLEYVVGPEFARHVLTCDRDFSFEEGSAAIMNLQPIMALTGGTLFRDLKDFVREGIIARMDEIVDQLYPIYDRDCEVFVDLVAKPEHESSRPDLFNHTRDTLSEATLLLLLGQEYVSKRNMRIVEDVSNGMAQLTGQYQNFSFVGKYLPWLWVLLTWINVIIIKIPFGFVRLLGPRLWVDVSRYEKIARKSKASEQEPRNVLYLIVKTYINSGEQYIGVFRRMYIAMLLLCLIFASVHTTTVVSQWVLFQLATRPEYLNPLREELLKVREEAANGELHLTAASLRAAHLLDSFIREVMRLKGDTISTMRYTTADVPLGGYVIPNGSFVTPMSSTVHENPEIFGDEPTKFDGFRWVELNKDAAMTGSAHIVFGLGRFACPGRVLAVNATVPDEATLNPEIKLIVLSLIGRATPSLLEGRFEVTDPLNTVTQPPKGTLIFTPLDRPLL*
>EED79679
MLPEELSTLINAFLPTEQPTSLRSKAYVALSALCQHYRNISSPAPAKQDTEEATLILHKTFSPPLSSRLSDTVEIEVLAGISFLSALFEVDWKTAAVIFEDEGTIDSVMDGLDMFYSSQISRAVAHLFSQACGHKSCRALISSQHVRWLETKSRQTSDPALRAAAAVALVKLSRGVETDSQEARVAGDRQALRQDDEALAQLMKGLVVDERDSSSLADAIEGLAYLSIDPSVKETLANDSSFLSRLFTVIPRRKPSLTQSPDDIARSPAYGFAIIVLNICAYRARLSDEEAQIAKLKRMTKASPTSSKPQDSENNPLDDDDRVRERGRKLIMAGVVDALTAAIRVTDSRAVRVAIGKILLHLVEDQGNRGKILQGGGAKALLLIIQSILPTPSATTQPPRDAPLDSSDIDPIQALAKLAITSSPIQVFGPNQGALYDAIRPFTIMLVNPASNLLQRFEALMALTNLTSQGEEVAARVARAEGLLNKVELLMLEDHTLVRRAATELICNLAGGCEEVFNRYGGEASAASKSKLQVLVALCDVDDVPTQLAASGAVAILTSSPDACQSLLELQEERHKVLPILGQLIDPAVMSTTS
>EED79680
MSHDRERCHQSVAWSDRREYLPTPRRSKSLNKLEQRQSAVRGSPTYSPSTNTKTIWAFRTLFCCVPTASAAHADSSFMTQNSAMNGAQPTRHGRPAPAGATPPAPAAAPPAPPTHPHAQTTNRQPNGTAVNGAHPSTQKGKKKAADPPPVDPSQMYETLKNRIAALEEEEVHEEEEEKRFELKRLERDHAKEKQKLAKDKDADIVVRVLCKYRAELYFKISRKTKLSRLFNAWTERMESGPKKGDGKGTPQPNGTALSTTPNSTSGASSSSSSMQFIFTHGGRSLDTDQTPEEAGIEEGDVILAVEIMDLTEGPGTEEVDEPEPRRQKLKKEWVENPREAKKTVEEIFDGVGPLPIWNRYRVRERLKEVLRQYELRERHFECVIRSKELEVLLSRARAAEQKQVAEGEKSRADKLEEEVWWPSCP*
>EED79681
MFPLRRTSTALSLLSLLAVRVRADNPITPGFSYGSETVYGVNLGGWLLLEPWITPSLFDNTGNDNIVDEWTFCELQDTTTATEALQNHWETWITEIDIADIAAAGLNHVRLPVGYWAFEVGAGEPYIQGQLLYLESAVSWAANYGVKVIIDLHGAPGSQNGYDNSGHRLSYPEWQSNSTNVQRTDSIIKTIISMYANNPDIVPIIAPLNEPAGYDGSAVLDVLTQYYYDSYGNIRRYPYGNSQESNTVVLLHDAFQPLSYWSGYMTAPDWQGVAMDTHIYQVFSQAEVEYSYSQHISAACAYASSLSGFDLWLIVGEWSPAPNDCATYLNGRGVGARYDGSYPDSTYVGSCSGLTGLSTSFSTDYKTFLRQYWEAQAIAFSAGAQGWIMWTWKTESADEWSYQAGLAGGWIPQDPTDYEYPDICS*
>EED79682
MELAEHSALNSAGDEEDLEEYDEGEGYDEQYDEGDDDFAAEAEEMARRLQDQLHADIARAQLEAAAATMNARPEAAAPPPTNDTTQGSNTRKRRHDAAISTMKAILGFAVANSSIHDSLVSLLVPSAGNVNILDVFHRCISSGIVRKSIARPLSEAVVALAKSDVLFASLRNSDAPAIQLDKGKRKRDLMDDGSYDAPAMPPPKRFALDEPDLRSQVSEAVRVVSAAFLPLLNTPTSQPPDPALISSIHPQLHQVFLFAVTSAPRAGERTPPLQELAGLIQMLGVLSGIPIGAPSPHAPWAPPADIGTAVYPCLAPGCAKTFHRLYALRAHQRSHTLADRPFRCAQCPASFVRNHDLKRHERLHDRKAWRCAGCGKVFSRRDAIKRHKDSRGRAGGGGDAVCAYAEIEEVEVEKDGDEEASRRAKLWSDIAANQAASTGHASGSGGAGAEDSQPEEGEVDPRIVAEAQTIVLQLHGLLQGYVARGLGSPPLSNQSHVQSSQATLASVIAHSQQHHYSMASPTHIGAQSNELTQRSAIQDTTPPAPPLPTSLSLSEEQTRMLEQAIAQAALVAQAQAEAEAALEEEDEEGSDNDEEY*
>EED79683
MSSRGFKIPSTLAQDLQIISGLIGDITPSKPPTPPRVPPRDDGPDSDTDSEREVEEDILGGVDDEESPSTTAPPSVSATDSGSSSDSDVDSHDSDSDEKAKKAPPTSGKLEMLLDDEDETGPAVTSEAQTRTKNEIPETEAKVIIPDIEEVGSDEVLEKVGEVMSIFDKVVIVKGSASEYTNRASERALDSDTLLVFEDRKVLGYIYETFGPTSQPLYQIKFNEKYPLNPERVQLSRPVFHVPQRSNFVFVGQLRRLKGSDASNVYDEEPADEELDFSDDEAEAAHKRALTERRRGQSVTSSRHATPTPSQMRDQDMADDSYGANPYDTSGPYNDMDFGAGPSRPAPIPYDDPYSDSYGVDDAQLPSVEGESRSPVTSRRREDDDDASIDGHINDRGRGRGRNRYPPGRDGGGRRDDRGRGRGRDRPRGNRGRGRGRGRDDRGPWAGHERGRQPSSFSDAQDAPAPRPLSPTSLAIARATGQYADGTAVGSDAQSQASGWSHPQYTADQQYNFSFGYQNQYVQPHINPRFASNFGMNFGFQQGNQYMPYGYNGVGYSGGGNPSWDQEYSRNTGAQRLNNGGGSPRTEEPTGP*
>EED79684
MSTPKTETVGEKRDGNTGEEQSISIATASTPRVSSVGEATSHLQTQAGESPAKPGIQTRQKRVLPSRSRRGGPGVGSTEIDVMILDNLKRRLESEPLIPAESRFLLTTNSSLVPSTSVSGSFQAELNSSAYIRYFDRPEVQKAYREQQIIQTPEFTQLDEDANVGGRFRPRGAEDESADTSDAMYEKRHRKYETFEKRQRLREKEKLKHEQYKLKERMEQLRAMDTPAFLTLPASDFPVTSEEPPEVIPEDDPEYNDIQGAPTTISAAHIEGERRRKLMLDIALSLEERYRTLLPPDRRWLEKKNNKPESISASGGTPAARQSIPIEVEEEGEEEIDELMESDEEPVKEPPPKPYHDEDGESEVDFEARERERSKGLKLRIKFPPRTPSQLKDALAKQASAKKKQSTLSPFLAKHAAETPRTDTHARVISTASSIVTAPAGPVRVRDADGRFLSKNKSSSAESASAKLPPKKKYRTDGASRAESISAVGRTLSRGSPSIGKDTHRTRGQLADRSPRRAFS*
>EED79685
MGQAVHTLPSAGALILSSLHALSTDPGTGTMDEVNTPQDTPQRHESLYFDDDTVVFLIETTLFKVHRYFLKRHSRVFADMFSLPPEKAQEGCDDDHPIHIPEVSRQDSERLLSLFYPEAISRPSRSGPRFPHDRVLLARQFDVSLWLGPAYLELCKRDESLTLDEGMRLGMRDVIMLSEIRQSIRANKRPSLPDGNIIAFINQKLM*
>EED79686
MEYQKGPQVRSSKGSSIVVEVCIDSVESAIAFVDFSALRHPASRWLFSSAANGGADRLEICGNLGLGGGTTPTLALFRAVKRAVPGIPIMVNPSTPHEHCARTANPELDIMLEDIRILKQAGADGLVFGVLSAEGFVDTDRTTSGHKPAAPSALPALRDLLQKAAEPTHPTPASTPAILVGSGINPATVRPVLDALLPHGLREIHLSGGSWVPGEMQYRPPGMGMGVGGDGEWGIWRTSEERVREVRRIADLAWEEYINIEPGETL*
>EED79687
MVWPLSLLWSSNSNSNNNDVQTRGEASNFAVAPEAKRSSSSSNPIDLLHHFESPGMSLPPSARVGDPKLKQRQGQPLSIWQLGKMGTFAAMKAVEMATEVVYHHVRGPRRVSWGLEMTLLSSIMRDVGRHSHLGDMALIRMLMGIGGWIPLPSDALATPVTFRVRRRNLPGILTEFDAAEDGTRELSGEWVVGKRTWQRLQKEWRAAKQQANSPNGGSAGGTSPQLNMSQHQARERVILYLHGGAYYVSSAASHRLITIPLAKHLDARVFAIDYRLAPETRFPGPLHDVVSAYLRLVEDLHIPPENIIVAGDSAGGGLSLALIMYLRDNELPLPSAAILMSPWVDLTMSCESWDSNAEFDIVPRPMPGDHLNPIACYLGEHMEKYLTHPYASPLFGDFKGLPPMLIQAGECEVLRDEIMLLAHKATLAGVEVRHEQYEDAVHVFQTLPFLDTAQKAFISSRDFVQNFLPQWQRQLPQALQGSTERGLEQEIDNDSARVVRGDGVETGSRREDIGSASDEERECSRRNAPESDQSVSASSSAEGDRSWASSWSPPLSSEEDNSGPSTPTEYASVAVPPAHDRPRMQSRPSLRRLKSTFSWRRSTLSTSPQTAPLMPPSIRRSEASHPDISSLVQQYSASGPANRTITYKPDDSRRRRRARTLSGKNNNHL*
>EED79688
MKEHKESWQADAETVRYITQSLRLAGRRPTNKCWDESQDAGLARWTSERPMSPALSMRAIRETPNLGSGAYMRDILHSGQQIPGHSGIDLVSVDEYSEETPVVIEEAISLQPGYRPSTTTTSKHSLAPEAIADLPELVPKAVMKPDDDEDDLYKQHMVVVNGWQAFEAPSSPTSASTPPLADSSSDIDELFMASPPGSQQPLFESLMSARMDDHELPRSERFRGLKPKAKAIGALGEGQSLVSFLEPLVSSRPMLETEAKIITTPKSHPSSPHTTITMSMLGQPPTVDDTGEDSAVTGYRISSEDLDLDVLLEKVCGERTGNQNFRDIILREKIDEKDSLLMDVPVMRPPNEHSLTDIFLPTHLAGLLMSPTSAPAQPVVESLKHESVHHGFLKKVKGLQSLSIELSWRPFKFGSTVPTNEEVAEVCIDMGDNLIQILRTDNEEVEAKLSNLLGGTLHFDPEAQGGDSMCPSTRITWDDGLPPCNPAAFGLFQGDDDIIYTRAHRRRIYGTTDGDEDFGSSDKENECNPDQGTPLYVSHDDPERPAKRFKMDLLEDVEEAESISQQLVSNDSGVFLLSPPADTRRGHFTQLAYGAEGFVGSDMDGDLFPDMDPVHEIELHTTSQTGGSRYLAWSAELGRSSAENTLLDRYFSSAMCALGDSTADDFAYLGELGDIEMPQNQVLTKTEAIAGTGSEPCRNRAHNLETARNVCPSSLHLEGLLARKDADQVSNQQTKLSSPNMSRGAMPAASAEQSLTRFLRLVGRSATDASEDAATSQLEVLAPGHDWVPAHTDLQAVQTTLPPVPEELIDQLTLCIDPESIPSPGAVHRYMASVDLIQKRALIRHLNSRRCAVDLVERDYLDAADLVVDPDSAVLFTSLAALPSAIDALTTSLSSLSWRFRRLLVVFEAFPVSQAFREGSPKPMPNPFSPAVIKSVKKLRRDLSIAEACEAKHSKTVIYFGFATSVQDAALCVRQFGGLIERSDVSGGVLWGERSWLDIEEQEGEYDLANVPGMNTFAATVVLSQMTLDDFLNLTADERTQMFTPLLGYERVLQFNKELWRRTQAAQIEY*
>EED79689
MSWWANSMDDLKHRVEKVEREATRISQVIPPLVFNAQSLKERVDEYLRFDMHFLSAWRNLQTVMTSVEELYVAEQASSDTSSLVAKCKQQSLTSARGFRMVHETLKTLLRDTNTVSVEAVKVSADGESALKSIDDAMSIAHVIRRNVTNLKQIQEQKLSVAHKAVEDANDTVAKLKEVQSDAELKKDVRGAIRWTPFFLGPGPLIVSEIVFANAKRDLAKANEQLRSAESARDEHCAMLSAAQSQLDSIHEQLSNIDGLRGRVQKQISHINNSRKLSIRLKGEVSSVRNAALDLSLILSTFAAKSEPLATKYSARGFADNIRNIAQFVSGADMSIRGTPGEPPS*
>EED79690
MKFTAAATFAALALMTASPVGAGPIAYGICQTGCNTVAVACYAAAGFQFGTVVAAAAAPATILACNAALGSCSAMCATVALFAPTP*
>EED79691
MKYRSGMRAILLSAVALLATVRAEEAPCTAHDGDDYYDLSPLKSKCVDAARTTFMEEIDTRQLSSKDYEFKSPDGKDFVLNVCKPVNHDVWAPKVDNPENVAGFTRHDRGDFSIGETNTTLTVQNGYPMLVMTNGSPCPGAESTRGSTAIRFICDTSVFEAAILTTAFMLYIIVGTFYKRYVLELRGFDQIPRLSIISFSGTMTWVRDRIDHIRDRSSGGGRSGGSGYRGLGGEDEPMMHGPPGYLDEQDEDEAHDLEAQTGSEGARPAALCHFTMASGDPGEIHCDEPERLRDRRVSEHRDAWVSMRTLCVPWARACWGGPRGSRCGGGVIFAPVMEHDKDGAMTVLCPGHRGRRRHNYLYALFGGGLFGGSRGSWQGRGDNRLPWLQRRRGGGNRGRLYDEDMGGIHSAENLANTRKEATRRQRIEAEQRRRDELRDGYARLKDVLPVSNQKSSKVSLLERACNHITALEKSNRQMQARLAQVESEVGRLRSLNEKISLGVGNTPSPGQVNMDSRPLSPPPEETKVPAQQQQHQLTSVAGQEPLREDSDSPAASEADF*
>EED79692
MYHRLALIIQAENYISTLVRLDTGTLTACLRRTQAKHVADLLLGPRSHGPDDEETGSCRRMTILNPEVRKAKRSGMNSYNTTSNNGATPQAPTALRWETRQVMSEDPPNWEAIAYIHDTDFGRGTGISLPAAKDIAAERALKELKHAFSLEEQV*
>EED79693
MPPVRAGRISSALCKCNAQESALWNQCDVITHELPDASYTTGINSTIGTTSRSRSRGRSREPAAAREPASWSIEMGVHDMEYGRGTGSDALAAKDAAAQKALEGLKKAFSSRKKPRPCAGRTVVSLFEILEAIAHSAAIDVVQRSIKLAPQVREQPPQYIHRSPHAHSRTTMSDTATVRRQLKIKAGVCKRLYKEHKLYQKEEEDQKRKLDKFIADAAEDWDIKNARRMLEESQKMITDTANRLGGAVQDLRELLVAGEKDPALKNDEVLMQAQETFEEASRIALQASRSTRSSCSPLPSLLYSPFADGIPTPRDGGTNPGGGALIAEGAIRD*
>EED79694
MSNPWEDPNVPRAGPSSPDELMRTPNRSPQRPQSRRTPPRLAQPQPQYPTVPTNAYVPPPPPVPPPNALAIALSQIATLLQNQQQGGGRKPVVNKPKDFDGNKDEYEKWKMEMRLFLADHQITDDNRRTNVIVSYIRGPKVDAFVRILYNTNCIGGYWQIPSTELWRILDDHYVDASLREKAQQKIEYVRQGNRSADDYIVEFEDLASQAGYNLGDEHVVRMVLPYPRH*
>EED79695
MSSITLWVFFGIVTFCGTAGVIIVLAKWYRPQRGTEHIELQPHPERGYTSLVINRDVEPQLPPPVYIQNPITHAVCTIYKQAEAEGNPQSSVGTFNAKNLAVTKIFDDVMSLTSTNPFAEEDEHDQSSYAIVTSLFSKVRSTLVAPLSSAVASSGTGNQSNTQGGQSTEQRRPSILNGHSNHSSKSSVERHALMKVAASNPAPPLVSLTPVVSETPSYVADYDRPPSRGGSFYAPDTPDGGVYGTAIPGFPIQDSDARSIRTTTGSIRRSASVSKVIRRIRGEGLSRDYWMDDELCKECYDCKSVFTAWRRKHHCRICGQVFCSRCASNIIKGARFGHDGMVRVCNLCLEKLANVDGGDDDDRHSISSNMTSPFAAHQLGMESLLALSQHPQSPFAASQLFGRTDEPFNLFSIAETKRYAGSDDSALASRPVTPLEDMRGDRFVRDNPVPFRRALTEEEEKDPVSLEDKFSNDESPAVPGRKTPIDFPVTVPVSVDGSTSSVQFPMSSPDQAYQFESPGMLRSRFNSYADFDIATPFIRSRVQSRLDGFGTGEPGWRTRRESTAYAQELNLISMFHLRIMLRQMLEAEQIPNVGEWEETLLKISLRIARELTFTAHPQRQGADMDVRRYVKIKKIPGGAPKDSEYVDGAVITKNVAHKQMLRIQRNPRVMLVTFPLEFHRVEGQYMHFGQILRQEKDYLGNLASRIAALRPHVVLAEKSVSRLALDALAKHKITVARTVKPSAIQFVSRMTQGDVFSSMDKLALEPRLGHCARFRIQTFDHPLIPGRRKTYMRFEGCNSEMGCTIVLRGGDIDTLRRIKKVTRFLAFIVRNLRLETHLWKDSIITLPALTEEATPSNYSSALEHISSLAVYGCYSDAPCSYSPDERCEWHFIRELSVKHERRGPSG*
>EED79696
MEAQIIFHFAGRGNPMQRLALLDFASLLDPRWRAPLERHEEETPATTSFVHSVAHSVYNFVQGGFAGAFGATIVYPIDLVKTRSVVGQLLYKNSLDCVKKVFRNEGFVGFYRGLGPQLIGVAPEKAIKLTVNDFVRSRAMDPETGRIKLFWELVAGGTAGGCQVIFTNPLEIVKIRLQIQGEAAKLEGAAPKGAAHIIRQLGLLGLYKGASACLLRDIPFSAIYFPAYSHLKRDVFHEGYNGKQX
>EED79697
MSRSESGSPSPYPSPKRMRLSSPTYDEQTCLSQEEMQAFDILDRQLSQTTLPEPRPSQALSSIERRKRSRAIAFALDEVQDENRSSEVADARESLSPSSSPHRNSSQSNGPKASLPTFPVFQSASTLHMTRADAATDFISHNDSSSGPSGKDESATGAISISTPSRRPTSGFGSALQFEEEQVTDRADDLPSSSPDAAPEQDLATWFNSTPASPIAVGFQSAKSLRDDDAPSGSSDSAENTTLDLPGFTSGRSVLLGANPSSTPSDSAFELDSGTALVGFTSGINLIRPSQGADAGKSKAQDWTRPSKEALALAALKMKRWQEEIEEDLTDTTRIEEETTRPQSASIVQPPETPRPALRAVENSPAHPPDSPTPASTGFGRAGALGMKPAIGGKNKAFKSPLMNVATKRAAGTPSYVGSPLNPNRSTPLASSSKIPAPAFTPLHVGTPAPAALTAFRSPAKALGLTPRRLGAGLGTPGKPNFTTPFKPGMKPGELGRQQLAQTKASQTPATTVGVIKISQATVHAQSKSLSKGKERQRFFDLSKFYYFWHGLKVLTSSAIQAKPPNRKTLATCGAQPQSYDAETLYSMGINVNQLDQVTPDIALYYSFRSPSSPTPPDSQTPTTMFGPDAALTELHEMGCSLAKKEWVENHWRMILWKLAGMACLQPERESNPETRRWCWGEVMKQLLYRYERELNSGSRPPLRLIAAQDAPPMCPMVLCVSKVAWLPTGPDEHGVARNTPELEVSDGWYRLRAVIDAPLERAMRKGLLRVGSKIAVANARVICERKEPAEILEAYDSMSLQLSGNSSNPVPWHTKLGFMKQPPIATLDSLTPDGGLVTLMDLTVVKAHPIAFVEMIERDGKKITVGPLNEQEEVQAEEQWARQREVAVFKIRSEFENRMRRCKHIADRFNERAGLGWAPDDDALAPSDIEDRLMNLLDNPSSFAEEYVNTTREGAGWLSVFAEDYMAKARENLQDEIQRELEVRIYFTNLRDSC*
>EED79698
MSASQECGNSGNATPFLRAYSVSTTDHAYSTNYTELEIAVSSYGYTSQGNAGWVFTTQVGSTIPLYQLYSSALTDFFYTTNYTEGEIAVSQAGYVLQTIAAFVYATQVCNSIPLYRLYSTSLTDHFYTINATEMNAAATWEGYVKEGIQCFVLPNALTESTQSSAQSSTQTSPQTSMQSSAQTFTPQTSTQISTQTTAQTPTETRTQIPTQNTTPVVPVPKSTGHVAAIVAPIVAVVTVFVLLVILIYVWFRRRERAIRAKLEARGEDGSVSSASLLPTSNSVDAEPQVGILVVDAEVPVEGALNVRPKPQVHSAATSAAIESQHVGSTTPTV*
>EED79699
MSPSQECDNNNNATLFLRAYSVNATDHFYTTNHTEMEAAVTFFGYTSQGNAGWVFTTQVGATIPLYRLYNGAITDHFYTTNETERDTAVSQDGYVFQSIAAYVYATQVCNSIPLYRLDNPVVADHFYTINTTEMNVAATTEGYVEEGIQCFVLPNALAESTQTSPQTPAQTPTPTPTPTPVSAPKSTGHVAAIVAPVVAVVLVSLVIVIYVWFQRRKRALCAKLEARGEDGEVPSASLLPTNNPVDAEPQVGIPVVDSEVPGEDALDLDVEPKPEGERHLSFANISAFSRD*
>EED79700
MSTSQYKACGNNTNATLFLRAYSVNATDHFYTTDHIEMEAEVDTGGYTSQGNAGWVFTTEVGATIPLYRLYNLEHTDHFYTTNATEMATVVSQSGYVFQEIAAYVYATQICNSIPLYRLDNSLVTDHFYTINATEMNVAATTEGYVEEGIQCYVLPNALAESTQTTAQTITQTITQTATPGVPIPKSTVDVAIIVAPIAAVVVVSVLLVIFIYVWFRRRERAIRATLEARGEDGSASSASLLPTSNLVDAEPQAEILVVGADVPGESALDVEPTPQVHSVEPEGDDDTHQSHSVSGSQPPPYSQSDEEHRSLDRQGISGVCILAWGRNEGGGERRQTFWIAAETTGKDKSSSVG*
>EED79701
MLAARFASRAFPRTRLYSTAPKRSLKELVTELRSQADVSPIQAGQALKASDMDVSKALLWLEKQRTQSAVKKAAKVADRTANEGLIGTTVLSSGVANGRRVGVRAAMVELNCETDFVARNELFANLLEDITHTAAFISEPANAETFMQPFSMETLQNAPLLSQTKPSQNGKATVSEAMRDLTGRVGEKISLRRALTVVRDPFTSSQPDLALRVAARVHQSVFNPTQGRIGSLALLALKSKRLSEAIASQTFQDDLDKLCQALGRQVIGFPTTCIRSPPGTTDEGALYDQPFSMFIGPGNDQSVGAFLQSWAQERSLVNKDEEQSAGVEVLEFAKWTVGDVV*
>EED79702
MSKREASQIAAAAGVDIDAPRAKRRREVPASTDNSGVKAEHASPGGNDISKPKTEGEDDKGIKEDPELVKEKGLKLWQTVKDAVNKEGRPLSHDFMRLPSKRQYADYYQQIKRPIALDDIKSQLDIGAYQSFEDVRQDLETCFRNAKRYNMKESQIWKDAKSLHFCCQDPVK*
>EED79703
MSVPSPPSAANPDVWWWNAGFFISVHIAAILGVWLYPYYAVHRATLALAFALFQISEISITVGYHRLYSHRSFRAARSVRVFLALMGAGAMQGSIKRFTDDPVHDPYAATRGLLWSHMGWIFFKPRYDRLKVIEQDDLRSDPVPLALLIGFVLPPVAGWLWGDPYGAFVWGALVARVLIWHCTFFVNSSPGGATLLRKHSVRIGSDPTNADDKTWQEADWAFHGGYNIHTRAAHKRMRALRVAKISGLDIAKDRSSSI*
>EED79704
MSAKPLICSVLYSLIRPQASGFDGVKPAIYGDHKSTYRAAETQQQNTCCHLRDLHGAHNAGTSAVDSSLADFPALGMIAPQSVVHHMENTAGYPVVGYYTSANVLQARANMLRPSLGACQYGAPGGWPAMGVGWDSASYISAYAPVSLANGVLSQTDFTRQFNHADPGNATPNEEELGVHHRSGETISMPSAPGFPNHMSEPFDTLVLLYPSSEDEAIDEPSSCPSPVPSLQYPDSEPGMDIDLPAPSHVPTQSHRLLSPLPPLGLSQPLSVPRRDTNPDPAVLHLLRDEHGMLLVRERVYNVEHNNAGIEVTAECQKHNNMNIATVGNRMPRGDSGDGNWSRMPSSLVGTTPVRLHGPSQRLRAMLRDAVRVCLHHFLVGSFFKVPPWLCAPCCMLPWDDGANDAYMACPSRGDWWKDENAFGDAGPLGKKLVMGDDGGDV*
>EED79705
MAKTASNMNIDPAIGPMIVAARLADLVTGSGLGTVEGRSSTVAFEELTKDVDMVAGGGVCDIGVEDCEDGGVPSCDAVAEGGIADEDGGGVGTISRDDEAGEGVSLVVEQGTT*
>EED79706
MYDEVKEIELASLVRYRHALKASSAFKNGMVEGTSGSIPHAANITSENMMRISAQTWKNVWNSIVSKCDPGIGVTDKGSPDSSSADPSPLDWLISMTDSYQMTVLLLENANIPLCNNVPTSRRDLFPNRRRLQRTTKKAAMAPMITIASPVTSPATHVRFLVDIFPSALDVADAEELELVLRNVVLLEDDTAVEEADGVPDELCPGRQESMQLMTSHTETDSHYVPTGKSTVQSSRITRFVVSIGRCPEMLWGL*
>EED79707
MGSGSLREVHHPTLYYEDGNVVLSAQTQDREVHYFRVHQSILCRHSPILADMFAIPPLRVSASRDTLAEVYDGVPHVQMPDSAEDLASFLHVLYDPLGTAYKRFNPDTPVLVQGTLKLATKYECDALRTRIVENLEADWPQTLAQWDARRAEGILARSEHSQQFTGKVNGLYLDDRLPEPASAIRIASDYHIPSILPAAFYQLALLSTDADWDTYRANPGKHLRFGARTARWRLLDKRDLMRLVHGQRLLASYTREIGTIIFGKRCQRGIKTCAKARGDCWKYFQEDAPNSMDDPLDILYDCSRLDTLFTDIPCAACVADIATMAEKKRRELWRSLPAFFNLQ*
>EED79708
MARDRMGIPPLPAPSNSDVLLYTFDDELMETSIRNIAFLRRNPPCWVTPRKETGCLPGVMRRWLLEQGRIVEASEGELSKRDIVDEEVVLTFNGVEGCRWGRI
>EED79709
MHPPSATLLCLGWLPILLVPRVRASFTISPEGTTLQQCLPTDLIWTEEHLWAAPNAEDSPGDPTLHDFGIINSSFILWTVDLPVGQNVSFTYVQTANLYHIYSSAEYMVVPGQDDSCLTGSSSTGTSSALPISVSSSASTTSSSTSLIPSSTSITSGNTNTISSSASAISSSASTRSDPSASKTPAVANADGNKPARNLAWVAGLVVGLAAVIIGVIAAFFITRPFLHAGTLPNNEMSQPRREGAGGLEVAAHLPASPQIPEEHSAITPPALSFDTGPFASHAQSQDSLLHGGTSEKEGLSGETFSSFLGGLVRLTSNATAASDINIPTAPSTSRDVDGGIAFSDDGVPDILPPDYEDRRPATIQMR*
>EED79710
MSISQDLQCGSPSDAFEFLRAYNADLTDHFYTIDHNEMERYVPNGGYASQGDAGWIFSTQVGATVPLYRLYIGGSETDHFYTTNQTEKEIAISQIGYTSQGIAGYVYSTQICGSIPLYRLYQPSWVDHFYTISWAEDQNMTYTKGYVEEGIQCYVLPDIVVTSTNSSSSASSGSPTPTPLMSEVETSTPASTTSSLYSASPTAGSTITHKSGGHLAHIVAPIVATIFTPRDGQQRPIAVWSVLGLKIPSLWSTTTGSSPRMNEAHQHDEASQEPECSDPSLALKFLCAYNPSIVDHFYTIDPFEMDRFVPGLGYESQGDAGWIFPTQTGVTIPLYRMHSQTDHFYTTDEAEMKLATAHGTYVLQGIAGIPLYRLYKPVDHFYTISWAEDQNMSYIEDYVEEGIQCYVLPDIMATSNSSSSSTSGPRPTSLVSGPETSAPAIATSSLYLASPSANSASSKKSGGQTAHITTPVTRQGSNRWKKTAI*
>EED79711
MYTGHRQPTPTVGDSTRPYLTLTFAQSLDAKIAGPGGKQLALSGRESLTMTHWGRYHCPRPIILDSQLRLSPDCKLLKNYRAGTGRRPWVVCIGYEKKTEAQEKESNQKKQALRLAGARVVDETGSKGLISLPMLMMSLSSMGIRSVMVEGGARVIQSFLAEAESSDIVDTVVVTVAPTLVGESGVGYGQNLVGNKLPKLQHISTEVFGSDAVIALKVVESTELSGPVIVLPEHKITKV*
>EED79712
MTDTRLRVLTLNCWGLKYVSKHRTARIAAIADVLAGSDYDLVVLQEVWVYGDYNRLRAAVSTRLPYSKFFYSGALGAGLAILSRFPIIGATIHPYSLNGSPIEVIQGDWFVGKAAASILVAHPILGQVQVFNTHLFAPGGDSGPLHLQAHRLVNAWEFAKLARQAAEVGRYVIAAGDFNSSPASLPMSVIQEHASLTDAWVVTHPNAPPLPNALPTPLDAVNIYGITADSPLNSFSAGKRLEPLARKFQGKRLDYIFFRQPSSPPASDKTPILKCVNTKVAFTDAVPGTDFSYSDHFGLEATFEISLPEGGDVTNPDNTYIPASAEPRSPSFVLTSVPNPTPTPPRALSPDSITEILQSLTACYRFSLYRARSQLTVFVVCILLLLVILIGSAWLPSSWITPVVFFLTIFLAWLATTFLYVGFVYGRWEVNALTNIIEELELYRNSLLERQN*
>EED79713
MSTARWRLVSKASWIARSSQCMCVTTAGQLIVYGGELRPRQPVDSADSLQEGPAVPKPRVGATMVCMDDCLYVWGGRGGVDMAPLDDEQVGVWRAELKTSSDTAEPDGVSWERLSYTEGPEPRSYHAATATDNDFFIHAGCPTSGRLAQLHKFNIRSRQWQELSSAPAPPRGGTGIVAKTLMSWGRVVLRFGGFSGYELPSVPGTLDLFDPKHDRWYTLQPSPDPIHGYPGARSVCGFAHFESKSPMLSSIVVVLFHGERDASTLGHAGAGTFWDDVWALKKTESNHVVQWAWRKLDVKPADEQGEGESGMPEGRGWFAHAGWQENGTTSVFMHGGLLSSNERSDELWELQIE*
>EED79714
MTYTDIVCLYLKKLRQAVMQDPNTPTPTLNYDILLDVFRFLDPWSDTSWPGRPDVVKLRALARTARSCRALSDIALDILWRKLDDIGSLLVLLSAFKFFDCIEAEERVTIDTDTEITYEPLWLLREEILPGEWTRFEQYARRVRILTRLGPSKLEPAALVQLFRLTNGKPLLPNIEEIEYEEWHAFDPSILFLVTPSLRILRVNVSRNTVARDPQHKRTNVALDLVLRRSSGALKDRDVSLTDVPLLFGLPSDLLPRNQCNNLTRAAFVGWEPLMDFNLLRGLASLPNLIDLSLSLHREDQLSDYFRAHQSFQSLRNLRLNGAATQLGPFLEAEAVSAPHLHSLEVEIEWYIDRAPELCHRSAAAICANYSASLREIKMGTPRGSHSNSDTLPESPFLAAFEPLLGMRDLERIHLRAHRKWSLVLSDEELHRMADAWPLLTDLRLEVPSANSSLSPASVLYLANQCPNLRTLALPTIDIECLEDPGTYPLLSHGLRQLEVGDDFYGLKSERMTKFVPLLAGFVDRLFPCLDLDRCVFRASARVGLFKDCHAMIDALKKLRFTRHVA*
>EED79715
MCILQRRSIVPLEIAEHIITDVGPGHQNYKTLLACSLTCKAWVPRSRFVLYTIVTITKESQLYSFSESIKRERHLSFIVKELVIVWNQEWNETTLSSLLVFPAMFARRLPNLEKIKILSDLALTPPSIRHPCFYLSIGEFRSVVSLVLSTITFRSFREFARLLLALPTLSSLECLRVHWKNQDIDTFSSFKSTPLNLVSLAVEGMEPIGLASLLSGTNNALQHLSLDYAPEYGEGVWCDSPRSSPTLPELPHLKDLTLSLRLRFANTPWLDTLLSETKPYQLQSLTIEFCDPHIKYVDFKSPPNTRVDELLRSSYYETLTLVLVIFRTGRLEVSRDTLCDEVKKRLPKLWGERKVVQVEPIEDDEIVPYHTLESTGQAPMYIE*
>EED79716
MSEETPSWRSLASAASRSIKGYVSQRDFRKPLPTSRDANVVGSSRGQTWGQWAGQKLRQVQGESLGADQLLLFPGWATRRFHTPPQDPASDDAPFDIEVFVTGYASRSSGPGFGTRGGKAFIRLARSYAALPKLTATQGYSGTCTSDAQLNRLTQELLESGHLPPPPEEMDDDAELRALESQLRELDVDAEDASPGLNAETVSIASSCTSNSSAQSNASGYAAYANTDANGPSSNVSAEIQKWHTNLETRLHPFWSSALSGRPIRISLFATDPSLYEQDNSLGNEDDSPHQPLLRCVVSTTADGSFQTRFHVPWATLCQHPHGVQIAFGDRRIEDELYIAVELPPASQSTGSAAYHFSMRNTISASITVPLTYAPVRVISDIDDTVKLASVLSGARTVFQNVFVKDLHESVIPGMADWYTNMWKRGSNGPFEILPILNEFFPLSRLPPGSVKLRSYGGRSLFSGLLSAPAVRKRGGVVELLDNFPHSQFILVGDSGEQDLELYASIAKERPAQILAIFIRDVSANSGLPPLGDPTGSNVFEMDRATPPPLSRKSSSRGSTMRTPIARSTSDRGGTPKYAVRRPRRMQSDTMLSTAPLENSGSYFTSSLTDSPVTEEPVPIEQMSTQSSPGMITEQVSYDTYGDARQVMSEAERRLSELQMRIWRARLDVPEHIPLRIFRQPEECEEANIILDGLIPGH*
>EED79717
MSADQLKELLPFLHDPNPQVRQIALSNLLGQTPKGSPHREIFFTGLRAGGLQQAQDNEVIHDLKLLCRDTLVRTLLDLAQGNDPKSLTLYFPVQSQSGTSPAPVPYPSAEPRSVEALPLLLDAFIQGAAADEIEDKDKRPRKANLHFLSSVFANLTTAPVGRMFFLTPRTSDPLKADGDLEYPLTKLLVFTEHKDTIRRGGVASTLKNCAFYVQSHRALLLPESERVAVSPSIVEAPGMEILPYLLLPLAGPEEFDLEDQELLPPALQFLPPTKRRESDPVLRLTHVETLLLLCTTRWAREYLRKNGVYEIVRALHENEQVDKISEHIERLVNFLKRDEGPETEADDVLGATETIGAIQMEDPVDEDSRIEEI*
>EED79718
MENFQDNLRRRGTTLHSDTSHDVRCKTRPLPSAQTKGKGFEDLGSSFARPSKQPPSSQSRVTRSQDKGEVKVATRDIRTTIWDTGSEDSDDELDMLSRRSISREVSFSPGTSSKKPTASQKPLSNNQGVVIDGQFHEYNPAFLPKKSLPSFRKNKKGLDADTGSGPLLSQPSTSSARVTAESSTSRFPTPEPSGSSQPRKASGARPKPRAAHAGTRKLALETSPPPPPKRTSARKGRREQTSPLNELSSNHDRPSSELIHHQDIEDDPKTPRPQRPRPRPVRKGARTPNPQPFPMPPPLLDSGDEDTQRTKDRKGVNGKGKAKEQCNPIEALSPLSSQKHNDRLTPPPRPLLAFPELSPLSSVHQDSPSPRCKVLESIGHSPGKRLSEDDCDVVVDEEAQRERKRRRANPNAILAELMRGDGDDDLDEDDLLFLDPEVDPKTLCPWCDERLPPVPTPYLSTLIAIAKQASWPDLRPSNSLGLRAPLGAFVGVCQRHRFESHQVPLAKRRGWPTEIEWDPLKPRLQAIVDDIDEDFLPGASRKEEYEEDGEYADRPRKGSVFWRDVVKSVRKKGSRQTAGVAGQFASFDKTQPGYYGELGYMIINQTIYNLFPPASFHADSTLPLTPTDFIALVLVPEAAVSLITEDMNQTRQEAIATLRESAEYGVAMFPDDHDSADAVSAGERIIMERARVRRKELEEAGEEGFWTSMDEVESSQLQNKGKRKGRRRKAQGNDSSDDSKDGEWAGTRHQRKAQSEVRKNPPRRGRSKAATSDVDAGDDVIMLTDSGPSSQRTPKKGIATRPKPRPKSRARGVAASSDMDIELLRASSDDESPKLTSRPRPRIKRPPPRAGQESTLEKENVPTDYSTDYSDAQEIEPPPSNQLKRPPRTRHMDAKSSRAEAPDDDATPRPRKIYPVSSPPSAAATSGTKPNVSFPLMAAKERRAKP*
>EED79719
MATLLPPPKRQKVYHGIPEPAPEPPKPCPNVVVQFVSEDDGQPLAPAVNLPANVSREGLEALVNKLNTKDDDPVPFSFHIALPADATTAGAPTRIAITKSIEEDVLAHPSQHFTAEDVFVVRCSPQAVFRVRHTSPILCAAFSPTGNLLATGSGDCNARLWDLSTETPSHVLSGHKGWVLCVEWEAMERKLATGGHDGHVRLWDPKTGKPMGDALKGHTKWVTSLSWEPVHLNASAPRLASSSKDGTVRVWSAATRVCEYALGGHTASVNIVRWGGGGLDGKGVLYTASSDRTIRVWDANGGRLLHTLKDHAHWVTTLTLNTDFVLRTGPYDHTGKKPASDSEAQSLALARYNALASSNGETLISGSDDHTLFFWSLFPARAASGSADADAAAARGGKLKPVARLTGHQRQVAHVAFSPDGRWAASAAWDNSVRVWDGRTGKFVATLRGHIAAVYRLTWSADSRLLVSASKDSTVKIWDLKTYKLKTDLPGHTDEVYCVDFVADKIVSGGRDRTVKIWKN*
>EED79720
MLFKSTFAALLTASLAMVRVNAESHTVTFDNKCGTGTVSTKAIPHNLQTLAANGSLKANNNQYVYSYLQTGNCGNNGEEGTLVETTLEVTTGFGFPAQLPTVIWSSPSATKYIAVKKGYLCYGSSVVLLARLIIPTFIHDKPT*
>EED79721
MPDSTSVPWPSLYNWVIEVYPIQGKAAVQPGGVYLYDSHEIFRFTLYWTLVFYVPAYVLCAIYAFLNVTFPPRPATHTRPRYRLSSSFAFSPYFRVPPAAAADIPLMAPGQHSSPNPGTDPTLALAYQYRARWKPNETRSRVTLALLVFLAFVVFSVAGAVVGSAVIGYVLAGLFKAWRLQYVD
>EED79722
MIPSRRDSLFPPGSLGRNSPVSRTASHESLSTHPLLSPPPPGSPTSATSVESATSSSPRYVPYTPRQRVAPTSATTGTTMHPSISAPQQQSTSDATSKLQLMNLKAAAQRIGLDSGSVGWAILERLSTETDHGPEWNDIWNALSVSKATLLLPLESYKPSEVITAEFIKDHIALCDGQAKSNAPIVTLSGLRGTLTDVTIIFRSVLSPASEQFQKFLSSASRSSALSALPPLPQPLVPPTTVVPSSPSSSTSSIPSVSQYPTFTVPSHSHALPLPPRPSAQKPPLPPRPGARVASGPPHAAARLSTSFASLFGRGTPPASPSTTPLPLHPDPTPAPGTTPPSGALSERASPQEHTLDVPAYTITSRIDRAALGRALTDALTSELRAVLGEAGVPPWAIARVERFAAPLYPFRRAGGVGKDEKGHRAVGGGEPARVSSVREKIKGRSDVEWVANARGPDERGEELSSRFQEFYAELEDAVSEQLARPQVRRRGLPFGRRKTPGDAVSLTESVSEGGEEEEDEVDERGGEDEKKDGHGQEGRMEQVREIMDAVERVLCSLFYDRLFLPANTDDASHDEALSSRIAAVNLLDLGLAHLGVDVGSSGKEVETVVHECGQSLMQLDIACRAPSEKAAILVAVHKIIVDGLSKIPPIGLRSEEDMLDEKTPRASSFGRGVSEDDDDVDDDHDENNITLRDESGANAEDPASALGAPLSPTIILSPEDKPGHEELLPAPGATTVPAPIRIDSSHLSPSGPPSPRSAASLQSSSPQPTVSSRDASPTPVSGDIILPLMIFAVVKANPPRLGECKWRRGGILPHQSDGSG*
>EED79723
MYPPSSLHSNPQPISPSNWQINAGIPSNASYGHDAFGVKPQRTQRRYGANPPDDYWQPIIGGNGTLSQAATASVPLQPVLSAPKYVKTEVFPQPGPSGYSHGIQGEDALPSTSSSWASSPPFKKRKLTPPQPANDSVASSLPPVQAQPVASTSQSAVPPISTFTIASTLRSSGTPPVKRERSLSPVLQSSPRVTTEGSIRFAPLPEHCRKTHAQYMANRKAWVDQEVKKLKQINPSIRAVRVLTRDDGMVIDWRSSVPVMSDTLLSANKGQDPPPSRPSATPDALTVGLSVLEVPQSQQLSPNRGTPPLSPPIVAMCEPEGVPASCAYPAARDNVSPSSADNSSNLLMAKSAAKLNNSDNCASSRSNSSSSTDASSNKPVPVSLPLPRRLTAGRNAVPTRAPNSAKREEVAHPQPGPSYVTRSTTSSSPCANANDIPQGVTSEQHQEPDLSRRSDQPGPPGAALPATQGAMARPDESPVVPQETAPASRKSLSQSLELPRRAPPEMLYPETMPDCSSSRPSPPVPTQFEDLSTPSVNQLVAAISTPTRTSDEDGYRMESAALDFLRRFIVTYDSDRSALASAYSRFATFSVQTIARSGRTLEGPDPRKLKQGRLDIMAGLLCLPDERRFCASGPTKVEYDVVHLGATFGVLLICYSGNESGTWACDQRFVLQYKDWDEEDRIAPDDSQSADLILFPNRSGLAADFENTVRAHGIGEALQPGVACISEADPTPLNYRRILAFAPIMQPTAHSITRVSKAIQVDADEICSLPAISGESSLYSQDQRLEIIEAAALYHRQALSQLHKSLNAMLPVHRLLPEVLTAIFTFVVFDCTVTDGNHQRDIQLFYRWMRVMHVCSYWRTVTLGSPLLWSNILITPNAEFMAQALKLAGTAPLVINAIGSMFCEALDTEYIASLRTVLQAMPRIKELHIAASVDVFDEVWDVVKFEEGAPMLQSLNIHLPSGDVERTHLRDTLRMLLSNALSRSFADLRIYGYPFCLDSSLTSSLSLRHLVLSYNSPFDLDSGLSIPTMVDLLACLENLPLEHVELQHAFRPVAEELIPSSSTSRAFDFPRLRFLGFDGRTVDCINLLNHILIPTSVTLSTRCDLRAHVDHLATAIAEKISGAALPRLLKLQEADDGRKRFSVRIMGFNHAGSSSFLPNHDCFRMSVIPPPYQLHITLNGLRSWERPWRTLSTVSSKLPLSKLRTLDVSGSCFIDCTQWLAVRACQQAGSALLKALSKRREGAHNNPDSLFMPQLDHLSLHEVYCRQAPPDSEDYDVTDLLLESLKFRQGKGKRLEKLTLEYCININRRDVRQYREYVEKVVWDKGVHYGDPAQSDSDLGYGRSMPDGWE*
>EED79724
MRTKSCLKESSFTPSPEPAERGDVSPIYTERRKSVTFSMKGEEDIQEEVFYADEWDRSPIEATPKLTYRDWSVRAFWVWVWVWIWIWICCILNMDMNSIEDQLSSSIKKRLCILGINESRKHNQISQRCCSLLEDIGVCQNLPTTKMQQFIAMRLGGHMDYGQLLTQDISALKVERTLVDYQRHQLALRLKTLNILERRLSNSAVRVNALPVELIVDILSYVRAAGGYAALVTATNVFDHWRTIAVNTPKLWDVVDVGKPWAFVKLCLERSGGTEIQVYASATPASLANMTNNSDNLTWGLNQAAGRIRSINLTADSSQRLDSFLSRISTPMSILTSFDLTVTGELELMVSLPTALVQDVSNLRTLRLERVGFLWTKLPFNSLTTLHVHGMKELRMHVPTDGFLDFLDACRSLEHLSLAYTCPHHPARLPTRVVALPNIKVLVIHDESDYAHNVLSHIQVASHTLTAFDLISSEEDVESKVLAAMLPWKFLENLRSIHALEIVLDCDRGVLDVRGRHSPADGQVLFWRHNFEGRQLPPRLLQKFLADLDSNLLSQLDLLVVSGMDASCTCEEWDQLMRRIPKVQWLSVPFIDSTAASAFFQALSQDDGSTKQTVRYLCPLLRQLCVTRIGPGHMWQLMALQYVRQRMERKHDLERLCLEWVGSVDLLEDMANAFTSEQPRLRDEPRQRGVQRAERRGLPGGRRDARVEVRAVERLDVLRLRVGVHVALALALRVRVAGGGERRRLRARGRRRTRVRLGLVVLVVHVPEGSRTERDIVCTDVALGHEGSSTAVSVGVACSCTLASACSIVSVSRVSILSASRFPTCSASRFSTFSCDFSPALSDEISIRGTNDMLIRRLGRASDFSTSGSGAAGAGAGSCTGSSGRNAKCGVRAGGVDGGRGKESEPDGDESVIAGTAGADGWDTEEEDVGDWAADARARGFESDPRLAEYVCVCIGGWLGRSGALFWAGLEDGASRSGRRRMGTASRYGRISGSTVSRSCVRFFQREGVCEGCVAKRERGNGGGEEADDAEQSAEWLKGVAQEDVSDMSLCTPGKCGAGSSSSAAVRWRSPACVLREMSSMVQRDQTEDATATSRRRIIAASSLLAVAGEEMAVIQWRCRDATITNVQRYPYRRRNRDETAAQTAAQRKWLGVNMGGGIRDDVVPSCPSIKGALATATAQPTHWYDSFGVTGAGERSHSSAMNTSSSASSAQNDTVLCIRGGLAHSHSSSAQLGDVRPDPLGVGGTLTSGVSGGVWVSFKQPLRIVWCVGAEAAQARDPEQTVASLLE*
>EED79725
MPVAPVDDKGTQLYYEDSGAPPGSETYTTLVLVHGLIFHGGVFKPMFQYAAENNMRLVAINLRDYPGSTPFSPSELDALRSDKAGQATFISDRGMELSRFLEWFILEHDLPPKALSHKSEAAGGLSILGWSLGNCPIMSMLASAHALPEATRKLLDMHLRSFIVYDPPLYTFGISDVYEDVYNTARDKSIPPEDAAEVFSFWVSGYYRHSPTVLSSFNTLTREEILAGISNSPIDDPLKYQPTLKSMSPVEIAAVTDWAGAQRSHLAMLDIDSTVYQENAQRALLDKTVWPGLRIVLVWCAMSVGETVYTSWDLARRVRHAWPPGAREVDVRRLDGVNHFAHCDQPSETLRCFASVV*
>EED79726
MAEEPEGVCFAGLLSSRLRSRCPLASRSVLFPDGLRGSGTTRRVVENIELEPRLWPKSMGGSEIESEKESRCKGDATGCEELTFLGSDDFETASKVWYLVGLRGPTGPVRVAPWWCNEKRGTHIFQSKTFEKGPSKDLGRAGWGKSRVKWQEERVETGKKTKVSAEGAAACRDSDDDSKTVLERK*
>EED79727
MSSSATKMVDHAKLSDFFSRLASGSSIEYHSLAKEIGVGSRKMSSFKPSTPVASSVASEHIHRRVAPPARPTPKTDAARCDAAPAKATLTDKHQFTFKLMIHSLYTISEFAMMVTDVLTDSQSRFQPLPPSMRERKPSLSPLSLDTEAALRALKKRRVAAAQKHDTVATFLESPSPQTPPLSPTDSLFSENSSICSPMTPRSNRNDMALVSPSAAFDEEEVLDYVRGLVEERLTASKHALTDEAEKQSSSSLATSTRAKAAGEGVHGLPPPLRPSMKRRLSFD*
>EED79728
MEDIVSPAAPNTPYFTPLQVPPAGTAVDAQPEGKPIPKLFQPIKIRGVQFQNRIMLSPLGQSSSHNGQLTPWHSAHLGGILTYGPALTFIEATAVLPEGRTSPQDNGLWDDAQIEPLRKLAEFAHAQNQKLGIQLGHAGRKASTVAKWLHPEAGASIAVGGWPDDVVSASAVPFSDSLDYPRPKALDEAGIRRIVQGFVDAAARAVKAGVDVVDVHGAHGYLLHNFMSPVSNRRTDKYGGSFENRIHLTLEVVDAVRAVIPTDMPLMFRVSATDWLEEFDEPSWQLEDTVNLAEILADHGVDLIDVSSGGTDRRQKIKFIAPAYQAHFAEAVKKRVGDRMLVAGVGGIKDGHIAQHVLQEQGVDMVLVGRQFLKDHQSVWTFAQQLGVEIHLPNQVDWVFKGRGSARRK*
>EED79729
MLGTAMLVVATVTILHAAFSTYEHLSYRKALGKPEGSLPQDIVIEAFISLFLAIVGAAIRTPELREVTWRSEMKHRMLGHAAANVSSGDCDADVTTNGISTTPIVAKTDSDADTSITVLEIMDWEARTTNNPIYAIFVGNQTEPTAENDLLSVQTQDSHFALYPDAAG*
>EED79730
MEYEALSKTVDASKPAFKPRTVKKKAEGTEAYRDRASERRLGLDSDYAQVEALADDFERKNADNADRKAVEEQRRYLGGDSEHTVLVKGLDYALLEQARSRVAASTAAEDDATLEQAFLETSSSVPKKRTREEIVQELKAKRAKNEGSAEPAPQLPGADVPLEEAKKAGKFRPIGFKPVGAGAGEKARKKKAKKAGGKEGEDTQKKTVKREAAIAEAKADEELPIPESSPAEAGLSQPVAAPPRPLLPDPEPIDDDVDIFADAGEYTGIDLGDDDDESDGEIKKRPHDEDTDQLRDEDAEQSPPTGQWFATDDGDRAARASSSKSEAPPEPAYAKASPPHSFGPPPEALEDGEEEEDERPMRLQPLASSALPSIRDLLEMDSAAGKKDKWRAKKEKKKEKAPSGEGKVDKDKIDRDYKRLKAYTDKKAAAGGGAAKT*
>EED79731
MTSYNTVRSVKELLQSHKSSPPSFTVKLYPDYWILNNGSKCLYNNPVASLLDDIRAQRIPVDFLEAFDAANVPFYDGCMIVELQDFRSPKATDATLDEPDRSRVVLSPNMETLWADICLLNQKSGKAWTDQQSLEVEARILLATSPPLCLDPDPHLARIANNVHRASVPPQPRALKRKAAAVEQEDEEVERARRAKLAQYMNPKFARPAVTAPNFQRLDIMQKFRQNKLKSATNPSQPSQGPAQPSGPIALQYQIGVPVAPAPVATAAPVQSQYPPVTAVPTAVSAGTATPAPPSTPAPTIIAVPHAAPGTGVQRNGQTPTGQSPVLSRTSATPGVPHAPLPIPHHLQPRHPAQNGLQTPARFSQSPHSPSNTGSAAASSPPKPDTRPSSTVQSFHAQQPTQPAQVPAQAAVANAQQAHPTVTFQPQVPVANFATQAAIRQKRQPQAGAPANGAPASTYPQAGQAIPLQAQAQNPAYFYQYAHLQQQQRQNAAQQQLGQIQAANPSPAARSPAAVAPPGATQQRSSPLASTQRLTSRSPMPPTVSQAQTVHNPQQPYNYPALQTQFNSSPLHVHGHPPIMPPQLPNTGPQAGATGAQQQGQMASQDQAQAVHMVPPHYQMYYQMQHGRMPPVGYWPTMGMGRGTPVANGQHQTPAIAGHPQQMQMGANKTGGVQGS*
>EED79732
MSKTLSWVLRHGARSEGLEMRPDGYVRVDDLVSLKIPKMREMNFDMLEQIVKADNKSRYNLIHEPDKAVGSPSQIWWIRANQGHSMKTVVLDLQPIQSPADIPTGLAVHGTSRKAWDIICEQGLSKMSRNHIHLAQGVPGSGVISMRSSSSILIFVDIQKALAAGIRFYLSDNGVVLTDGDEKGFLSPQFFARVETAK
>EED79733
MDPLKGLDESSTDDDAASQTTEDDPQIPERHEPPAPPPVAPPTASGRERPHYQLRHTMRGHTSSISAVKFSPDGTLLASCGNDKAVKIWSPFTGELIRNLNGHTKGLSDIAWSSDSVYLASASDDHTIRIWDVDTGLTHRTLKGHTSFVFCVNYNTTSTLLVSGGCEGDVRIWNASKAKCIKTIHAHLDYVTAVHFNRDASLIVSCALDGLIRIWNTTSGQCLKTLAEGHDAICAIRLWDYQTSRCLKTYMGHTNQKYCIAACFSVTGGKWIVSGSEDNKVYLWDLQSREIVQVLEGHQDVVVAVATHPSQNMIASASMESDLTVRIWEDRGTQ*
>EED79734
MVMVPTPGGGGGAWFPAEVLVDIAVDIVLLDDPLGPPSNLLSLLLTCKHINYILRFDDCRYLYGRIFRGKFDSRAVARRRGVDAALTSHQAAQLKAYCVALKRIRSGDLDSEYLEDDLWTAFVMCLENDGRNEAQLSWARLDSLLEEHLRTRLWVDTHHYGGWPPEHTKHSLAIWLYWLRLDDVKLDALSSDVRKQLLDAIRCYAHTALRYPSFFAPDNHFKFPLSTDVLTATLKTRMTPHGHWPQYRDPSQVIEKVTHYSRRLELCAPILGHGAKLLYMTLDELPYQIPLSLARDREHALALGWSDVRATQADFLEANAHRSVKLLAQGDWDWYSKLTPEQARLEDDAAWRKGLHAKSALLDELWNRLAFCRDPWADQVLKGVVYMPGSLSGLWQGRMHSFRPGEYSALTRSTDYPGDIPDEHVQKWPVYFNLREHHCIMPKTPMPTGGDAAENDEGIYTAWFPSNVSWTEREERAGRFIELRENTNELRKWEYETYAEGRPNSHDEKEEEATQERAHQIVAGAVNQPPFDSDEIDQVRSTLNAALGPDENVDQVISDALLDANRSPPASDDGAESDYESEYVENSCSGICDVIVTGESLPRHGQAWNHFHFYGRVRPWDGLIAIVRVPVEGPHLGSFVGSWRLRANNRNAVPLEGPFAMSKVVGPRSES*
>EED79735
MRAASTTRPTAHLVPALFQAAEDRACALQWAR*
>EED79736
MTKGTTSFGKRHTKSHTLCRRCGNRAFHKQHKECAQCGYPSAKLRSYEWGQKAKRRKTTGTGRMRYLKHVSRRFKNGFRENTTAVKRTKSKPSEA*
>EED79737
MPQLPGLGNGRLLRWRHISPHRSRFPGSNR*
>EED79738
MCHYSLPKNIENIINLNQFVAGSVNFRQDRTLGQEVNANKLGGDVSRIFPATGPARQLPAKPIMIDLPSRSIHFGKMGQKLAAGVGSGADTEDREIEEATGIAKLVAVYLCLQK*
>EED79739
MALGRERSMLARRRILRLGPSSPPSR*
>EED79740
MFYLVEQLIHHNVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEIPMATFTQADIDQRIAVALAAYQSQQSTVNRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKACNPTDVFNKLKTHNPEATNATNRAALEVYLSARHEYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNARPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLEQECGTRPMKRHVSAPPEEPARRMGVVVDNVFLEEIINEAKERKEKERQTKAVPISPPRSANPEPPTSPIVGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKECRYLYK*
>EED79741
MPSNFDTSFGAMLLGVLMSSILFGITNLQVYIYFKTYTNDPLWIKFSVCALWIVDALCVAFSFHLIYQYLITDYSNPGALLVIDWSFKAQDILSYSTLSQLKALVDASINRDSRIRRFLPGIASISVCAGYVLSYEITTPANATPNGLLGHQWITYYPLSVYTGIDTIIAASLCFLLCISRTGYRRTDSLINTLMLYTVNTGIITSLCSLAAIIAMKTSPHTFIVAAVEFLLTKIYVNSFLAMLNARNSLRESDSRMKRDYVLNTLQRTVTSEVADDSKPNPLPPTIDIRSDIDSDSDGPRPSYTDIGFDKAIAQGYAPPTFPQGEGTEDVMISLSKEHDSSLRPLPTPPQAHVYAIHNTARSMLRYGQTLRESKNKEMMSDDELG*
>EED79742
MTEGIESTFGALLIGVLVSAMFLSIVWSWKGTIISSVQVAMHLGQIIHGPHLATGCVYLQLKLLSSLLSGHDFSTVVASYEMQVIAKVWMTKVAEILPRTDVVSPEALLGQKWTSYYLLSLWTVTDLMIAGYLCYLIHSKRTGWRRSDRMIMNLVFYTINGGFCTRTWTLVSIVVTKGPIIALLNARESLRDVAAQAENTVIVLHPLNPSSPGSRYERRFRSMLPREDQVMSVTVEGSDSGVPGAQEEDITSASSSSHHASLRGKGDKEDNSVQLIAKLDALYVAAHFFRTNSVIKYLGPGGKSGLPSVNLTESVAKTLLREALTAKQLKVEIWVPEAGQGKKATRFKLDKEASPGNLQAVEDMLFVNTDILSAPIVMAIKIMSTPAAVAASSKAKTKTVGIAFADTNTREIGVADFVDNDLFSNVETLVIQLSVKEALIPTGTTSGTTERDIELKKVKELLERCGVVITERKPSEFTAKNIKDDMTQLLTPSSLPSSSNVDASLVVPELSLPVAPSALSALVSYLSLLSDPSNRGAYSIRTHDLSQFMKLDASALRALNLTEAPGNIGSNKNTTLFGLLNKCKTAQGSRLLGSWLKQPLVNLHEIHKRQNLVEIFVEDTSTRRSLQDEYLKMMPDMHRICKRFGKSVASLEDVVRVYQAILKLEGLIATLEGVETGEDYKALIDEAYLAKLRDFDISLSKYSEMVQQTLDLDELEHHNFVIKPEYDSRLQALADKLKEIRDSLDEEHQEVDESEFQIITGPNMGGKSTYIRQTGVIVLMAQTGSFVPCSEASIPIFDSVLCRVGAGDSQLKGISTFMAEMLETATILRNIIHQSLIKSASKDSLIIIDELGRGTSTYDGFGLAWAISEHIASEIHAFCLFATHFHELTALDQESPHVKNLHVVAHVSKSDDSTHERDITLLYKVEPGVCDQSFGIHVAELANFPENVVKLAKRTADELEDFNSDSKAGEPQLPPEVVEEGTRIVEELLRTWASQISERDGDDVMMEDSEDPAADELEKLKRCVEQFRPQIEGNAWVQHLLASL*
>EED79743
MSWVNPPQYAAWPTVHTASHSWQGQWPPTAPAPYPGPPPVPAGVNPQAWTSGQWQLNPMFRGAMVGTQAAFQAWAPHPSWGAPMANAHGGPGANFNPYKRVPNPGNAEYWNTKLKENGLGLENMHIREEAPKKTQDNGVTHTPWVWVPKELCESPEHNDSSTLPNGQGGPEKHGSSQSSQNSAVRTQSHPHERSSTTSDAYRQVRPPQELVDVYSSSSATRDKRPTAGQSHQRRDSAQQPDPRVRAEANVVPDRVVPPAVSSYSTYSQQQQQPQQQQQQQHYQQQSQPHHRQPSSDVSSREHQQARDLRTSPNRSVPSATSSASAAAAAANGTNASMNLAAPAPRPSALEAFSSKQDLQTTFSPGIIRTPNHYSSASTGSTPARRSTSEGIQSSSTTPSRRTSTDDANGLSTTPLRRSTGDDAYSFSSTPTRRSSYDDAGRTPSRPSISPQSHGPIYGPPSNAPSRSNSLSKRQSSTSTIPSTSSDTASAPTLPFLANFTEEPAGLLSPLVAPTRTSNGSSPKERELTRHHTYPSFPGFETIPEDRATHPRTPRPPDRDQGRDPNATPYASARSPPRSSRVSPDTAPRQISRSQTYPLLDAPASSRASTTPSRSPNTSTPSRSPNTSTPSRSPNTTSRSRGPSPSRTTRVSHNPLPQPPMPSYYPTASVSASASASSSYPTSSTSVSSSSASMPVVPPVSHRKTRTGFWNRRGDHLIMERNGQFIVYAPRNLANPPELGHYPSPVEGFMDQFGKKVRYDPTVPELPDSLPSHGEPPTRPYEHFVQYV*
>EED79744
MAYAATPAMVAAVINGGGIGFLGAGLEPAEKVAETLAEARAAVGESKAHLVGVGFVGWVLDKFNTAADPRLQAVLDQQPGAIWLAYGQNLGTYIAQVRAHNAAHGRNTLVFLTVNTVDEAIRAVNEWRADVIVAQGAEAGGRGSIHSPPIRGFLKAVVEAIPDGPPILAAGGITTGAQIASLLAMGAAGVVVGTRFLFTHECMFSDEMKKVLLEAGPDSTSRSSAYDAIFPPGIWPEGIQARCITNGLVSDYEKGAGAEDLKAKIASGDRDYMLVYAGTGVADVKEIQ
>EED79745
MTAYILLAREVTHGSSAGNCPTATRLGAGHGSMTGSVASEIPDLRGRVFIVTGGSSGIGYATVQHLVRRGGRVYMAARNEEKARTAIDHLEPEPGVGEVCFLKLDLSDPREARKAALEFISKERRLDVLSRAVRTRRLTKDTGKLDALYSHISPFVFTMSLLPLLEETAKHSQSDVRVVNVGNVPKLANILFTMALQKRLDTRNVPILALALNPGLVCTDGVLNDVRSQPPIISHIFTMVIRALWAAPSRGALTSIFAATAPVVRDQPQLYGGAYLQPPGKLGRPPNADARSLELAEELWDTTERLLRDIGVEIEGGIAGDPCTEIGCTPPSSSSWRTLYADEDARSVILGRAIKNEYLALGTIFSAVSIGMLASGGKKETPAPSAGKSVLEQAKESVKFNAGSSEEEQLMDSIKKFVEEAEKDAKH*
>EED79746
MPAPICHLWAWSKTFANWLPQLHWVLVLSLLPLFITVQVEVLVSGSEDVWELPTGLSWLEEQMKQLEARKKGFILFLAFGQSLHPPDSLTNSHKHVRVQLPIAQDSALVIGFYVLLFRLSGMPSSSASSSSAMLGFTSGAYSSAAAFSHVVVVPCVGFPSVHIWELSPPPQCQFSSGIIFSQLLMAARLVD*
>EED79747
MSSPAAVLDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLINTLLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGAQGVTTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKCTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQDADKRATEVEQILDISRARRPELNNFFSARGRGRGGARGGAPSTHAASASINAAVGKGNFPGTCFGWEARVPLFRVP*
>EED79748
MAACQGQVHAFDSQDLVDVYLPDGPETIIIISPVFLNQSLVQVKREEISLQTLHQSLSLKRVRVKKESRSPSLRILLGPPCQQRLPPHQQSLTYDVSKGDAGSSCTGLALKD*
>EED79749
MFWGSIYLFPLALTKTLLDYVQLVTGVSLFARRRDHFTPHPSAGFEGYYTRTQLDDGGTLAIIFCCVRGAKTRENLVCIMYQPGSNSVLPPFKHEFYPDRIDTTVHPLAQPKDDRAFKLSAGDIGTMEVTSTSIHYTISVSQLDFHVDLRLTKDTPWSYKRPFDGPMGPLLHLSDFLPLNWHVRSTASNAEYSIVRAGQTRRGTGTAHVEKNWGRSFPSGWIWSQASGPTRSTFCLAGGDALYGVQSYLIGYRSPRFQWDFRPPFTFAIGRFSPCTFNLETKTFTRKLAVSITASPDSFIGLSAPLQEGHRPAFAFESFEASISIALWERRWPWQDWRLVETIWKETPCAALEFGGDFSHRTQANQD*
>EED79750
MCRRSGACARRTWEHGSSGTADQRGTAGQHDTTWVQAEEQLRVSTQAQPDVCKITHPLNGSKLVYGYGGVAHLLRCKVLLAHRSSLPAYSTESPRGDWQSRPAGTTHLNAAATQSKLHQKATKQARIQTRVSKMLNKGMSVLFLLMHAAPDGDNGKMLMQELRPDTMLLPVSYQRAMNNTEPMTYQFPRTLQERPKLDRVFATNMSRERGHMTGNPKISMWWNKSDFDREIGRPYGLDLIGWPTLDTLPFANCSKLLGGNKMFKDLMAKWETGELYFKQTWLPRNLVAVGALVQVPRHYKTRRDIKTTPNRILAQLPEKTSRKRIKAGPKSVPVIIESSDGSAGTGQKRSRGSESVWGRRQKKIRTTMKSAEVIENSDIESDKGESGSHSGAAVVDSDPIEDWSDY*
>EED79751
MPNDFFAGVPAELSDSVKEVEEAIKRPIVGGDSLYVRSLNLILPPGTNGTMWKLTNGSIQGAGVKYKGAGQAASAGIGGFTGFGITVHSTESSGTFKLELTHQTAKLNLFSGDKLVAVYEGQGKSTLTGLIEGTWSKGSEDDE*
>EED79752
MCKARLGLRSGATAWLEGAQACQNARPGPSTRLKPGHGSAQAQGRGSLIVKMIFRAAWLASTAWLMSCMLTFGYVGQASLPARVTWSCAGWGMRVPGEVGLDGTGVAWQEVDLDVPAGVLDSSDWSSRETSICSWVSNACSSREQLKNSSATVMGEGRGARGSFDLMSQLGVKQQGQTNTKAGGVQLGLTEAMGQEVETEVPRAAEASLYTGEDKGRLCTLVRAQLVHAQHADAAPEAVDKEMSVSGV*
>EED79753
MAGIEETFGALLVGVLIGAIFFGVTNLQVYIYFQTYVQDRIWTRATVCYLWVIDALCVAFSFHMMYYYLVICYFDPSGLDTIIWSFKAQAILTSLRFKRKLICQAPTVTSLTNKISWKAVCYEDLGTRFLYWQDLVSTPVSSLNSMVHEAYNLYINGLPERCAIA*
>EED79754
MSFSRALALSSLALRPRAATRTCYRNLLCAPLATQSRAYASKRKERDSKEGKSKHSPVVSTENLVPGSQRIVAGEEYVKAEGKMKAIVERFRKEVATMEMRASGRVTPAILSPVRVMLPDSEGGDAKGVRLEEVATVGVREGTTLLVTVFEEHTLRHVETALYDAKLPGVVPQKVDARTIKIPMPKPTVDARQAMLASAQRQAEDSRVQIRKQHQASLKKGKYAKHSPELDEFQSLTDKYLGEVDKVLVDIKKSAGAK*
>EED79755
MSYPHASWSPKTEMEHGQFDPSQGMGGDSPRHVSGGAQQSFAYVGYSQDPRGNIRPSSASPTSSLSGAMGDAHISSTSQMPGTVPSGMTWPVHSAYTDEAQMASYISTPPTPASASPSGTFSVYSIQPYNQPNPNHDIYGQNIPSPSIYAEQQFASQQARSQMLHAMTPSPTTPSSAMTLPTNPMPAINRTSRPSRESLEDEIHRLRQRVMELENAREVEVQRTHHLQQELNRITYGLSAPASGSALPSPLPTPTYPPALEESWRRRTDARRKIFCSVNRAGNALCAWHDSRRERRAFPPRNAPLGMLNCGCTFEEALFEESLARHNVGSYHPGESVRMDPALRNPLLKLLQERYGYRDGDFDFDPVTRTWQNGEDATYWEQKLAAGAANSRRARAEERR*
>EED79756
MLWRKSASFNAPESWPPYPPNAETELEKAVRLEEEKEARRVSDSIDHELELEKQEMRARRRHQTRVLLLGQAESGKSTMLKNFQLHFSPEAFNAETEAWRAVIHLNLTRSVNFVLDVLSPERIQQLRGQDTLRSLRMRLSPLRQVEMLLVQRLSANDPSRSTLKVEEAAPWVYGRASEVSIRGGSGWKSLLRLRRNVNSGSRTIDTRQDELDDAQQILNACRDDIVTLWADPDAQAGLQDEGVSLQQQSGFFLDEAARVASADFVPTYQDILKARLQTIGAEEHVMSVEQSGDPAQKWVFYDVGGARGQRAAWVPYFEDVNAILFLCPVAAFNEVLLEDRSVNRLLDSFNLWKTICTSRLLASTTFILLFNKTDILREKLAAGISFSQYVRSYKDDPFDFELVCEYIKRKFVSIHKHASSQRRQLHIDFTCAIVSGADLSSWLLLC*
>EED79757
MVSSQSSAAPNPPLSYADRAKKAQNTRPKAQPQAGSQGIALPSSSSASTSTPHPGPSTAANASMNVARTARADGNSAPSALSSAKSISGPSSPSRSSANVNGDPQPQGDVTVKSTAAPAVNVWSLRKEQMARVRSSQGTTASVPVQNSPSPHQADNETLEEVASSSNALQNVTNQRPSTNVPGRNPANGEDDAFVVRPRASILPPSEDTESWPEVGKSVSHTSTGSGQAESEPAGQGHERDASREGSQGQGPSRKSEKTKWVPIPAAEMQEAADAFRPPNPRHRPHHMHQHNHGHSRHPPHPGPSSASGSGSQGQSRAHSVAGAHHSVSNSHTSSVAQSQAQSRTGSSHSSPMAAPGRGERRLPEEGAVTQRSAVGPGAASVASRSISIRSSRTSSPQAFLPAFLPPEFIPGATAHRGQPPASRGSGPAEELAGVNGVMSPYYALPHVPPPMSAQRSYHSPHSSGSPVQSPYGLPPPAPAPHIYGAPGSQVPVHHSYAGTPPYPMYSSYYGYPPYMYWAPPPVSHSPAAPDGMPLPMLARPPPPGESEAAVGYRDGEFVLPPPATYTRPEEQVASGPEGQSEGTRDMERGRRARELSFGSIDVVVTASASPVASAHGDALGLDVGGSPANIPAEAAENPEDNGEKPIPPFVIGVAPGEAGPARIRSRTRTQSKGRTLVMGEAVVGIARTASEQSEGIAQINEAADPAASGVQKAVEEIEAAVKAIDLTETKWEFGTTQHPDESEGAAQGQESASDVIEGQVQPVLTHPLPPRPPLIMTTTNGALSNRSPVYAPSALPPQSASEPNSADDWKVKDYGFGFGRKSGYQPAVSGDGSNRREWQPEREYYGRPRRGSVRGGYNYDRGGHERGGFNGRRARGGGYGRGGYHSRTHSRGGGAYHVQGQQPPFVVQPPQAQPLQADLNGYYPPPPPSMTTYYTHGYEAYPSTYPPYAPPTQNLPAQPPLPMPQSPLSFPLDPLRYHLLGQLEYYLSPQNMAMDFFLRQKMDSAGWIEISLLSSFNRVKRLTEDWQLVKDVLTLSSLVEVYGDWVRMRDWKLYVLPTAPASTVYMEQDPSNAPPSQDTYVALSSTTHDSPEAEDGEDMDLEEDEEEDVVFVLGKDAHPTWTSEVHAA*
>EED79758
MSSPRLSTRPLSLSGPRPLQLVDGNVPASASPSTSSCPSPTISGLSTPPPTARSIKASRRQSSISYFPSDNAPTWDLRSPTIVAAPSLKRSLSLGPKANGTPGGKGDRRSLGSVDVQSPKADRGPLTLTEKHADLLQFIAQKESKCLELRSQLAMHEAELAELKRKWERIVSRGMDRMSMPSPLTSPNGGALEGIKEGVRLLASGLGDLSSPTSVSASPASPVPVQVLPGLASVSRSTMGRVRGHTNTQSTSSVSTTGTSTSSHTTSTRLSQSSASSLAFDEPLLEVDEKREGSPHGSVEVSPSSALRAAKLHRRKSREQPSPLCSPLADTSATLGADSPRTRAMKRSSLNLSSGLPPPAIPGIGVLAGQPMSSWMETMGSSVGRKWEELQKGETFTKSQKRASVILSDVSQTFFSALTSPGPSSGTFVSVSSNPFAATLSPLSTSPSASTPPPMMASSASTHSLLDDDSEAQGLGSVMVPDSKGSSSSTSSRTPSVNTKDQSDDEWNW*
>EED79759
MYMQWYCNHVANKENAVKSAPVKNPDNSVTPNGPKCGQNPNQDPNYWHNISEALRLSCVTLTMTTRGDNNFQDESKDASVHTQQDTSGNVNDTVIKQLDDAGMSLGESGKKHTQKQTTVQFNETAWGSLLTAEQKDWEKKIRRLKLSLIFFLT*
>EED79760
MTEGLALKGLRKGVSEVGVRWNVGNSELITFDIFTDEVVAHIDMFDFRVEGGVVRQPNPTSVIAVERGAVRDSWRVLETKLSDDLIDEGSLRKSEVATKAITLDGNTDAEGSLPEIRDEPFCAQFVFEPRDSGRRAPGEYLKAGGVRLDLTEAMGRLCALVRAQLVRAQHADAAPGAVDNDASISGR*
>EED79761
MCAISAIDVKFALLGPLAYGRRFDEDRVVKAWKRRLLLVRLEPRLRAQTCSFGAIAGFGPSRRRDVRYPEYDGALAMDAENTCAFQAPTLTLNFCESHSVEIGHRVMLQPPMEAAMRVADEAMKLEVAYGKSKRARKHKYSLVHAMGPCRERDLSATYDVIGCPRCRERRRQALEQPRVWRRLAFLEASLRATRRAASVAANRTEVEASLICGRASGQIRYGLIDTRLLAFAAARTGSATVAPKRLLRPNTSTTVLPPSSASTPLGRGRRPPRAQSRVHSDTGVVLYTDGSNFHDANKPALNLPGSENHTCVPPTIFTQRLIAPSGEHPKLRPQRDKRAATLRYCDAAICHRFAHSSRAPMQVAPVPLPIGLGRCARAASVLRAGEAASAGARGPVEHACTHAILIKCRRRIPGARGRDQRECLGSRRQIQELAGQIDWLAIASTRAGCTSRRALAGSPTRRVPCPRVPGWSRQTGTDARALGGRPRTHTASGADRTHTHTTAREAAEGRSPAGGESGTGIRDQRARKGPGARAWQGRAPWLLQRLFLCNLRIWVQSNLDPGACRPQTVEFVHRSMLNCVVVWARPRVLRAVRTGVGGGKGMWTAMVSRPANRLHLGVQAEAEMSACVTTKQDEARDSRWGDRSVGSAALDGDDGDAQRYGFINQNPNLRCSTERAWPASQSRLVATAGRDGKVAGARLDLSWHDKSRDAGRRQRQDRTGGRVRAGSLKRDTRQIEGSLSTRAGQNDNAREDGRSIHEGARERRTSTPGPVGLAPADGRRSASVARCHDAAHASLSSWQSGRADGSGCVNIRLSCCCSRVCLAGAAAIGGAQRRTARHRQKVLIHRGLGRSGDMRAENVMIGTTGAGKWAARGQGASATDAMHLAAQGQAGSVLDEGVRDGGRDAGARQSRGVEAVGRVTGRPYASLMSMTTERGVTQRRWRRKWGVVVESNDEDGEEAIVIIWCYRPKHTDNPDAESGRGAGGQWVEGERRAWEGKGSSVELFWKRIWSESGRRLKGDGDRQMRKAASPPPSDDSGDSPSPVNFHYDPSGPLDSASPAQMYASNPAYAHPPSSSATHPPSEHDGYALAQHHAQTRRSPPNILTDNLMPRPGDAESAPYSASPLSSPQQTRTVSSAGPGGYFPPPMSEPFAPYPSDAQQRYMVNPPLDRRMSEPMLSARYAQAQVQSQTTPFAYGLQQSPGVLPPLSPRPASATYSSYSHSSYTPGHARMDSGSSGAEGTGYAPSSWPLSSKHDSGEPPVSPIYAGSVSESDASVTGGLSPPIRSNQVSGRPGAASEGVRPGAPGQVRSANGKTYSFVSLPGNAVKKRPRRRYDEIERLYQCSWPNCTKAYGTLNHLNAHVTMQKHEFKELRKQWRQAKKEAEEQEREREVAAQAHAHAFSGNPLASTGFQMRVATGHPHGHPMHQGMLYPHHELDHHRIRRRMSAIEAYGDAHQVAYVNAQAGFPSPTSYGMEGARYTMPSPSEESQQQQIRYQHEEDIQEMARFYRHSQPQVHEMGWAQAQGQQQQQQHHGHELRHHASHPQLGNQGMSLAGATRPLHPHYQLHPSAQAQAQQPPPHYPQSAPMSAPSSFSSLNQSVVPQSHNSQGGGDMPQLTAHVSLGRNQLPPDSTLLTPLPGYEPDPELQHAMDASRYETHEDDGPILLIAHHIMEPAPLPPPPFFATSTAVRAERFCSRVLYDVLCIIHPIGYYYDNSQVGIPRDLGAPARVQKNSDAWPFVRAGPSVVGGREDFFWQMASPRTPDMKPPRDRTRRIRGRGGDAHWPARVQSVQRGAGRPTHARPFTRGVDASGAEGSSPSGLRRPLAGSARKGEGFREASRVRMGVRGRACHPGRAVAQKGAGCFARMITPGDADADAGGLNMTTESTRFAKANIEAQGGRGWAWERRRGGRDWIGRWVYPGYGQVSADGETDRVAVGRVPLRKIQQYCASGAWDNGEAVVRAARRYACHSDVWARRTQWAIDSIWKGKLKGKWWARSELGVVAGPGQRLRLRLRTGDETEGFMGGAGCYQSWQEERSCDHRTSESIEIPCATAHHVSAKTRIPRFLRLSLPSLFADVSPIRVSSNGCPQLMLPCSLLPRTPPPRTITIATPQTCAKTCRRRVSGIGPRPPGSSSTGFKKQAHLWFWNTRSIFSLTMKTRHTVLERILGLETVHDFSAFDRKLQPHKVMLASSTLDHCVT*
>EED79762
MATRSGRTPRPTAKATAQQAQLTTPIRQSKDSMKMAYVELDEGPETKKRRLSLSKDSSHEELPVATTSRSSRRRAISKAPAAPPLRTRASKRNVTSSPRCAMKEETDEEMEVEAQVAAESEGEDKPSAPTPDENDACEDILKAQEKKHRDNYKTLKRKFRELEASTAKTLLELDKREIELTKMEKDATRQQKQADKKAHSLSKREHTVKKLEQQSNELLLTVTASKAETVMEQFDAHFSCAL*
>EED79763
MGSSLSVLQYIPAVYRQSFPPKPQFTTEQMPDLTGQVMIVTVVYMATRSKARADTAIRELKEQTGKEAIFLELDLASLRSVRKAAEEFRSKEQELHVLFNNAGVSGVPIEWTTEDGYDFQFGINVLGHFLFTQLLITALAAGSRASPDHHARIVTCSSFVAYFSGLDWETLKDSPKRRKLNIYALYGQSKLAATIMARELAKRYAEQGIISLSVNPGNIRTEIRRYAPALERKFSVYPADLGALTQLWAGTMPEPLNYNGHYLVPWARFGDKCRSEVYDDEIGERLWKWLEEQVYAK*
>EED79764
MSYVGMRRRLWVPWITERQYILSCHAWPSITHRLLYCSSPAPTFAFKSCSATQSYTDTLFIHWSSDYRMDGNIEELVLSGKLFNPPSRSSSPVRSRSPSPVHWPNDDADYDYDSDAERRRAIEERIAAQEQPESIGMGPGRTGVKGVLRDHAEAAALARLDRTQEIMELNKAMEKASLGGKTWAEEERERLAEKAALEGKASLLMEGRGTRKGHFGHLMEVGVRSFVQAVEEDRSVFVVVHIYDPSLERCASLDENLSRLARTYLSTKFIRARAGALGFASTSSTSRGDHLRPFPMTRSRSRQILVPGRYSSAADEDDPYAGLDSDDSEDENRDDEGWEDDTVDTDVLPTMLVYRGGVLEHTWVRVDWEAKSGIEELLTRYNILRDSNAAGGVSASRGYSPSFDDDDLDDGELVFGGSDDEN*
>EED79765
MPAVDANVAHFLEEFKWIVAFRQEKKMPTLDSLHAKKLYTGRDQSYSAKDVLCLFNEEFAESVLWSQVDRLLTGSLSTILNTCLLSLRKVEAGIPLGEASWRHDLDRFFFDFINAVIDTAGQSDGSEVKQDQVHVSNLI*
>EED79766
MPVPLSEQVIEGILEGNFRVNCFGYASAAHLIYHVALNLPHDVRHLWGQRSIATLLSAINWLAITGTIITNMPLTQHRIPHKCVSSNYAFGVVSYVNAAIPPLVATLCVHVVSGGSWRWVLPVWLFGMVPVCTNIGALDSSRMLITTRVSVVISDILIVAATWYYISRTSSVGEQLVHGVWATRPNLTTVMFCNSTLYFMMSSILVSHFLICIREATECSIQALGSQSLSFIDSQGYCVSQPWLSSIEFGADIANPSARDSNEDSSSDLEDDLYSRGEDDAGDAGNDGIELEHTQSLSIP*
>EED79767
MGFSRSSKDYQKFAREVRRLVPLYLDISLRYPYQDPVQVVRLVEKAQLEVPWLKVYHDAWPVRAYLRRHMEKPKCRRCETGRGTMYPCNHRYSHRRPVSGSDAPDNNRPPNINLAVSTSNEITRRTQCTTVAADTASNTPLATDVPEAAPSLCADRPVRPAIEPRPSYHVVHDVTNEVSSFLGSLVPNLDHLAARFVTAGVTDRKCLEGLADLCDVEKDAFLQDDLRLPPFQRRVVRDALARTMARGSALG*
>EED79768
MAEELPEEIWLDIFDGSWMEREASVGGRFRIWGYSHPVPMRNPPNPSLWSGITRLTLCDVTLSTPNTFARLLCAFPALSRLYLYGMCTFLSHGLDPTGIPTLPVPPTLETLEFSNRLSICSDLQSLADLLDCLVHTGICSPLTTLRAPDFPPSRTTARLVSAVQRLVRQMGDTLACLTLYSGLLCEQQQTGGHTPTTTTTADRYLDVSNNVALKDLRIVVQLDPLHELTSCIPMLVLLTRVTSQHILRIQITFYRALEENAKRRINVKKLMRVLHYLDLILSYPTFDRLETVCLDLSRCGLPFTAEPRIIEYRIARYLPNLSNRNLLETPSEARGGGEKLCIISFNPQQAD*
>EED79769
MSIATFRWFSGNRIYRFSQLRGTARLNAKVSRNFQGAECVKDGYMRDGWRHGCPVLQCYPWGDMHSRNSAYNDVKVPAWAIPKPVKEDASSSLARYMGFSSWRDPQFGRFTSIMRKLIDKYLDTRCYYKYQDEDGMKRVKKEACVKLDWLRRYQDAWPIGLYTRLRLKFIRFNERNNPHVSSKNVSGQEHDCVEEEPRTGMHASRDIPSRSQARSFKDPAQIAQEAGFAVGKKLKWAVFRAQLHLCSVVHFQGYRRRRHEGRVVVAGAAAVAYGYARQNAPPSSQALPSSTTEPSSNPGGKKKKKKQGDALTPATQPPTDASSVVIPFPAVVPGSFDAPSDARDTSPPASTKAGKPKKKKGKKAGAAGSGTPRSQPADAMSESSATAPESPVSAPVRPAASKSRKSSTPKLPPVDTDESWTRVESRRRPATSTLQPTPAEAATPSDAGVTTTSMTGNSSPVTERTDDELASRTEIPVENRRTLAERLLPRPRKTGVDDMLETSDYPTVARVMRIAPGPDEKPAAGFSWGDYEDVDDARVTADDADGEDDGGWGVVKSRGRPKAEKTPVSAPTAPESLTKKQRQNAAKRDAQKAAKAEAEAERQARLAKHSREVERAKIAEQYAKSSKSVSGGMTAYVDENGKLVWK*
>EED79770
MGSTRLYSKGRVLGHKRAKRNTRPNTSLIQIEGVSTKEDAQFYLGKRVAYVYRAKREVQGSKVRVIWGRVTRPHGNSGVVKSKFRSNLPPHVFGASVRVMLYPSAI*
>EED79771
MSYKCSFLDIGTKLLMIFSLEDQMWIFMNCSVDMDNTIHAQTARMWIPGDESLRQMTLKLFQHPRHLMMLILHHVLIMPKCTTVCEVINGDRVPYFGHTSRPRESAFRVPQHAGAILELGRSIRACFRASDVACRVHAFVYILHYIAEVMKTGVIWRVVIVVCSSRSVLLHTFTFQDVWHVVFGTLGERKSMLEMQRCDGARPACGQCQRADRAADCEYADGQGATASQILEQQVTQLEGRIAELESAAAPLVLHDPYEAYRRSQTAAQAGPPAAQRTQDQHNVMKYFFRHASEVGFFLHIQRFLQNIAIGPYPDHISALLNAIYLLGAQFSNDVQLQAQQDRYLSHAIDLVTPAMSSGNPSAVIYVLQTEVLLAYYFFDNNRALEGTYHMQAAGSTALACRLHQIRSARPSPGSIGGAQYNLPPPVDYIEEGERVNAFWAAFVLDKCWSTILGSSPALTDDASRCSQIDVPWPLDMRSYENHPFPSDFRSMATVQTFIGNTGPEGNVNSLLALHAKAASLLESAHRLVCRWDPGNPTFQGRFNYLDSRLEHFKQSIPSISRIDPARTDIIRDLFVIHTLVHTATITLHKPTEPQAVVSNGRTWIAAIAAVGVLQRVDLNSIQYINPIMSDYDS*
>EED79772
MTKGVTAHQAAEPPPLGTYIVVIVPTATTGSRRPSPKRTPRTHLPAALPSSQGTPLAARRHTASRRERAAPIATAIDSDLAGASEEEETTSVGHARVDCMFSCRLMRRATDVWDHRFNARVRGVEALEPSSVTARQQRVALIFRIHDGPAAPFDPQPQGCTCTHGTKASRVKRRLQAAAAVARTGDRSKVRPSRPANTSCGRRELALLPENPPCGRTPTRGAARVLGRDTGFARECLSHLPKPKPNDRVFLTTQLPPRQRIQDPGSRDHTNHEKHTMSAPPRRHNVSPKAQDHAASRQCGARCKWGKQTPASAVVTGSASPERTESRRVVSAWRQGAGVSLSATNTQQSRTGEHGYCMVKDGRPRRCAAFDRGKRRPGSVQVEWHRQRGTSEPSSEKPQRGHRVSGHKLVPSVVRRAVAETEENDRGQRCAFAAASVYGSLNEVSPMELKLE*
>EED79773
MSTHEQIATHWDGDASSSINAQIVQLGTITPEDEGEIATQVYKCARGVGDEITRLRFYYWLLDYFVWHPSFYPSGNTPLVLACQLDPVTVLLTTRITDLSTLGQRYLPPWEQRVQDYCASLNLQSSMDLPPQDCDEDKDPDSEWRTILQEFTWDWNTTPSVMSPASGSLYDDGATGIATDVTPQLSTPIEIKGAPQTLEICASQVFASHQSPDLGADAPQYSQPSAETNGSPEPESPLTEVDTTRCSSPATPSPATPSPATPSPEIPYAEPSAVEVEESIAHRRRSARLAARNPVALL*
>EED79774
MVRALTPDGAKDARYPQRILADSSSSESLDIKDILAGYDCEYLPDLSLILPSISDETLSFDEVPPFPSDAKPPLYINVFDELPAEPQPQFNRFSTHERIKATLAAPIGSRVRVMLMEPIRAEQWVPTPAPGHVPWREDNYEDNEVYDFPLLPWKAEGSLYNPTDFHKRLASYHPERPPRGKEVYDYRSPLTPTDPAGQYKGDPHSCPNERVNASEHLVKALDNSQEVSIPTIMISNSTAIIPFSLESSQSLTRAPLAVRRGQKVPTPLTLNGPRLQDDPYPDVPTPFLGSPSSSSPSFDFASSTSAFSMGLEAMCADLRQRCPDFRAPSPTPPETPKEQEHAWHDVAGASPGVASPEDEWKFAQDLLVKYGDRHPPPLESPPPVPCGDTLTGTADLNFSWATSPTLIDSVEQSPVTPDMRQMRRKTVIIETPLQSRMQPIRAQAPSSQDDHDPLPFESPSRISFSCACQSTPASSRPSSSASMRPVKGILKEKKRVRFSMAPTSVECPSGVLHHDNKGADGATQSSSGKLIRRSALSRPKSPLRQSFAATGSDVFQDSPTIVQEPVSVPKHPALRSIARNSARSPSPQTPAVTPMILADQQRAPLRSLNTHQSLPAKRNSNAVFVDQRKRSTVATSDIGRPKRLLKTASAQGHLQDENNASRRESSSRSRMPVPFRSILTKLRA*
>EED79775
MPNLADEIVNFHALPADVLFQICQDLSVADILALRQTCRTLHDLTQERSIWHDVLHTELLSRGLPVPGLLGRPLASLAALELEHVTTKAISLCRTSRSAKPRHTQQFDLAPEDLPPDSRARNISVRFLPGRGNQWLLVTTLYNEHVALKNYLIHCWDVTANPPKCIALLRSMSIAGLRVNTDPDHPAILALSCRESEDMVTTSIYSINFAANDKYSAFILLHEFPSSRKPVSLHGSTFVATDSDNVVRLVDIDTQRIKYDLHVPSDPDNATLYPGEQRCIDSLMFGNYILTFCRQWIYVYFLQSEAPPDALKPEHLEPVSSHKWQYKIDTLVVQPRAHAPPYVESRAPALPLIDIVIRFDTPFHWPSNIIHHYALYPARDYPTKDRTPSHDGLCVPYLCKCGGIPFMLRSIPSPVRLFTSHDIVLGRTGTALWLDAQTADSGPVEAGIRGQRIAGSCVAHNSQKGMPGTTSPEGRPRVNDWYGPGIIAETWGGGRTGGANMRFSSRITEFVMHGDEDKWITLAVDDEEGRIAIGTLTGQVSLYEYTPRIE*
>EED79776
MTPGYSLCS*
>EED79777
MSHGKQFTLYTHKGGPNGWKVAFVLAELGLTYESVYLDFNKNEQKAPEFTKYNPNGRIPALIDHKNKDFTVWESNAIIVYLVEKYDTAHRISAATPEDKILQLQWLFFQASGQGRACFSPYFGQAIWFKVYHAEKVPSAIERYQKETARVISVLDGVLSKQEWLVGGKYSVADISFVSWTNAALAAVLPDAQGVNVEKDYPAFWAWHQKLISQDAIKAVWAEKSAL*
>EED79778
MSPVNPEREYVSLMFRASNCYASWDPQRPVRLGDYGHLQKDHSFVVEGNIFDEGYDQEFGITVASNDVSENRCIASLRTTEVKASVSERVGHPVIATAGLKKTFTISSGWGAILIMLNARHQCLYKGGHVEDLIYSTAFSDKNVLVSELYTCQSYARLLVPQQKHAVEVSLDAATHGGLARGNVDLEWKTSAEGGDFKYAYHAEREDGACIQPLFRLVGRRMRGPLASFTRRPVTEIPRRKWIRVLRRHMGPTMELSDLEGDDEDSDSASTLAGDGEDDKRFAEGSDFATASKMDVGDIDLFWQGASSKYQYRPQHFDVLHHVHLLDLYRRLQAGAQKVALVHLEEYDIHEVRLTMTILHPNPEHEYVSLIHGASNYYASWDPLRPVKVGDYGRLQHDYSFSIEGNIFDIDDLASNFDFKPIRSCEDDIRWIEAEKHEAVGVHVGVKLPEGPASGQVKKSATLKSGHGAILGIWKPQKYNLDHVGQIQALLYSEDAWKHRVLVSEVYACHSFARVLVQHKKYEVDITLECTTAPGAQGHAKCQWSTNTTSGDFWNWSSNDDAPETLAYPLFKLVGRKHWFDHLLPVHAHRPVTGLEHHSGKGPHLHLEHMRKFLHCGAEQFTEDLEMLELSEKLDTTANMPALELKTNVALADPSKFLLEFTNTSLWNLNPTSDAEFSKAFFEFFAEQLGVPGDRGYM*
>EED79779
MPGLISIPPFPEDVPTHPLLVVDYGLIRARDEAEINKLWKAARELGFWYLKNHGADEEVNAMFDMGEITMALPLDEKMKYEQGDDGVSFGYKAAGVNAIDEKGNLDCTEFINVSKDDALAFPTPVHRAYPSTVTDRMDTAVIPFVKKSLEVNNSLLAILNEKLGLPQDTLAKLHREEERSGCVARVIRTPPKEGEFDEAKAVLSSHTDFGSLSFLHNRLGGLQVLAPGSERWQYIKPLPGHAICNIGDSLHIFSGGVLRSNMHRVVPPPKEQARYERYSLVFFTRASDDVELRALAKESAVIADAVEKTPNAKTRFYPGATAQEWLTRRVKNQRFKNFKWSPWAGPGQR*
>EED79780
MVSVSRLLLSAVAFAAAGVLALPTELSRPAKRAPSAPYWVEYFDASVSEVTGVPPVSDVTVCPTHYFHPRGCPLTLDTRARTHRDTMCCKCRSSRVHRRATDPRACARPSPHSIIAFLLTEGAWDNAEGWASLSASDRSTLKSQYAAAGISLMVSVFGSTDTPTSSGADPTDTANTFAAWVQEYDLDGIDVDYEDFNAFDAGTAEAWLETFTTQLRNQLPASDYIITHAPVAPWFSPNYWTNGGYIQVDSEVGDLIDWYNIQFYNQGSTEYTTCAGLLTNSSSTWPESALFQIAASGVPLDKLVIGKPATTGDASTGYMSTSTLATCVEQAKGEGWEAQGLGLTRAPPGADAGVMVWEAARVPSLKARDLISSLDWLNTPLSLRLRTIIFTPHAPVSARWKALIRTLFAFALFACVWIAWITLQGAVRAHRQGLVWGERLMS*
>EED79781
MLFSLSHIVAAVSALAYAGAALAAPVPVCSIGVRTGGSSNSTSSSGSGSSSAPHFVVYSDAWVSGENGPPDVSEINGYNVFALSFLLASGSVDQAQEWESLDAATRQSIKSSYNAAGVKLIVSAFGSTETPTSSGDDPVDTANTMAAWIKQYDLDGIDIDYEDFTAINEGNGAVDWLVKFTQQLRNQLPAGQYIITHAPVAPWFSNNAQFKGGAYLQVDQQVGDLIDWYNVQFYNQGVTEYTDCTGLLTQSSTTWPGTSLFEIAAAGVSLDKLVIGKPAVAADATNGFMDASTLASCVSQAQAKGWDAGVMVWEFPSASTSWISTVRGSTYPLN*
>EED79782
MYIPRSDSHSQDSDSRVPFPRAVSADHTNGQSAVPAKPEVTAPQERPRQPRGRFQSEIDGSSSRRKGRPNSYDDLGAKPRRSRFESMVNLGVVTSNASASDLLSRDPYEGSAVRQTLIVREDGKPPTQFQLGNCIGRGQFGAVYRALNLNTGQMVAVKRIRLEGLKEPEIAQLMKEVDLVKSLSHPSIVKYEGMARDDDSLSIVLEYAENGSLGQTLKAFGKLNERLVAGYVVKILEGLHYLHQSDVVHCDLKAANILTTKNGNVKLSDFGVSLNLRAMEREPNNVAGTPNWMAPEVIELKGASPKSDIWSLACTVIELLTGRPPYAEISNSMTVMFRIVEDKIPPLPEGCSESLKDFLRLCFNKDPKRRPTAEALCEHEWLKKNWAIHNKELRPQDSIPFLRR
>EED79783
MTSPTSIRATPYEAWKDEIKALERKTTKAVTLLDNSNADPMRVIVATWTEYIASTAPDPDWLKTVSQEQGQYSHVQSWGRGRKVSAWRINYLIALLRDATKAQIVARSTAPREAEAKDAEARREAAARKTEAREAAVRWTATRTTTATTDTEFAVMSPGIQTVSFLDLQPDRDADYFRSPRLPRHPRKLERELAAMRLEDLKLAEEEGKKSSSWSGGVVPGYRQMVGPVLPYPANPAHCPNAPCQHVRPLSETGRVQSAKLWGRKESADTLVISAGSVEIPQPMWTDCHRQRQ*
>EED79784
MSARLPALWKRLSSTISPRPAPPKAHHHAPIREAAFPNGYVLTGLHCGIKKTGALDLAVILSTTPKPASAAACFTRNAFKAAPVVVSEEVLQRSASTARALVVNSGCANAVTGKQGMEDAWAMVRATDALLGHSAKESETLVMSTGVIGQTLPICKVLAGIESQSSDSQTKSLGSDFTAWERAAKAFMTTDTFPKLRARTFSIDGREYKMAGMDKGAGMIHPDMGPPRMAGQLHATLLGCIMTDAAVSPRSLQSALTYAVDRSFNSISVDGDMSTNDTIVVLANGSAASDPAAEIDEERDPRTYQIFRDELTTFAADLAQLVVRDGEGATKFVTVSVNGAATYEDAHRIASRISTSALVKTALYGQDANWGRILAATGSVPLSVPIDPTRVSVSFIPTDGSPALPLLVNGEPETVDEARAKEIISVEDLEIEVQLGIGSENAKYWTCDFSYEYVRINGDYRS*
>EED79785
MDVRIIFSKAIPVSTRGRCSPRSLSQRIHKAYSVILACQSCTRT*
>EED79786
MHALVDPTSILGVLLFLRGTVNRYWFINNPGELSVQTMAPTRTILVDMPPTPTVLDTEGSLGPSVHLTLFSVLAMMSLVSGAIISFKKTPSFSSMIPLLITVFVVFSSVLGLNVSVVLHIVLEARNEISLATDIYTESVSLLVSILSTMGRVATLYGQQLLLKAFSNSELAAAHNVYQHIVNDVVKFSLPAVERGREGMEEVYRVLPYARVVALFTLLMALIFFIYNTCPCLIRAVINTTTRLIRGLPRTIFLLSKVIVKSAFFLFDIVDKFFIRSVFLCLRIVRVAFKLTGKLIRLIWQSIRHSRTPQVTPDSDDSCSHCLHCMCPRPIPEEDYVWDIELPDDSDRVSFKEIMLFLTLSLWIAGFASNKTRPISVAVVYAPSTVTSLIWSIKGLIFKIFLHLLAMTYETITSLQFILMASAYIVVKSRVRLAIPARKLAGWLWLAIYCAFTYVFARPQGQQAQPPVRNVESVMKTTQPVFVPPPTFRRGGEERKRLAEENKKRNAMRREQRSHRASGARGFNMGNRRRHGVNTHRRRTSIRLIEHGKRWDSFSGYNSWIYLLRARAWENQQTVVDFWLTQDSEAHGLQQTLAELLKTRDPWDKEVEFSRKNLRRQYLRLLFAHPYATESRDVDTHLWRATSYPFIARYKEGITSLDRTIYGPPREQQPRQQGGQQRVVEYRKLLQRFRQFLSEEEKFWVQLITRIRRVFALDDAQRALTALSIVPDDTSATATTAEGPTPRRNQHQFPPESNGVLAAAVTASSTQRQNKMTALGKALVRLGDIERYKEQYNEAGGRPRAGHEDGPPAVPQNRGRSRRGGSAGAGAAPAVVMPRMRNYEKAEQCYRQARLLMPHDGNSSHGLAILALYKKDKFDALVQYYRALCVRAPYEAAAENMQMTLNKALETWKGRQKERVHEEVKASASAEPPRVRVAAFEEKLVALHALFQTQCRRDDPASSLHVVSQQVTDDFKGLVSDRVLPAEMISKVIIMAQGALWRYRSTRQSSSSSHRRSSATSITSTESHIATHLLATHRALLEVGVAQLAEAPEDPSNQDLAQKITAELRRTLPALRLASKWSLGTAPGEHANGHTNTRSRDRRDRGRRSTGPGAPDVGVREFWNAFMQFSSALSRTFPLVRLPPMAVPFEEDVELGGFQPLKTFVSSGGRSGNGSKAPSVNSEVLPEQVHPNEEQLMRIRDLLMDAQALAAAEETPFVLDDGRFEPAAGQPESKPVVQHVIDTTLSQPARTNGEALGALTLQRDSVQEPPVAESDDDSMAETSRTDDDPVGDAFREALNGSDGEDEDDQDEIVWNLGTPTIPTKALVTTAEDLLKGLQRVGGHTRMPSAPQSQLLFGSGASGTSPSIWSTALDGNALKFQGAAAGTTVSQLYPLQQPNTLSQSPFTSPRSQSNGPDVALPVIPAQQPATFPSPAHQRVPSLSQGLPSLNSSQPFGFSGSYSSQTYPSGAAYSTGVPSAYADPVYPPASVAGFRQQYPPGYPMRPINHTRIPSVGQHTHRYGVGGRRDSTKSDAPSIYGPTLLTRPLEGGISIMSGPTSFVEV*
>EED79787
MTAHNMILECCLLAATAVVVYDQVLIIPDMFHVLKRRGFSGVSWILSLNQITLLVKTICSIPVNDAILRAIGNCDQAMGLSFLVIGVTLTTDYITDIGAVEIITRVSAVLSDILAIGGTWYYNISVYKMAYNANIKHSLAYIILRDANISAYTNPNEVTQHASAATSDDTAIQDISFDQSIRRTISLRFASRISDAMGGPLEYMSVSGETLEDEYDDLSDAGEEDQPLSTGYVAVAKDDTDETEEGLLESNI*
>EED79788
MSMASQSKDVLLVGFGAVGAIYSLILKRSGQACVTAVARSNYDAVKSNGLHIKSKKYGEHKGWRPDRLFPSVDRALDRPYSYVIVTAKAIPELVRTPTLLAPLLSSPYADQHPQPTYVLMQNGLNVEVDLYDALKKLNLGQEPRIISTAVWIGTGMVNSTTVEHNDFDRVSMGVYRPSSTVTSNTAEEQALLEDFDRILAAGGSQTTIVPEIQRVKYTKNFWNACLGITAALSRYPLTAIFRPPHMEPGASQTAAAPAAPPPAHPPSAVATASIPCASPAIGAYTIPLLHDAMSEIYTLGMALFPPSDKGPGLDPDIVVKTLTNTASLHARPDANHRASTLVDVENGRPTEVEVIVGELVRMGRAKGVSMPRVETLYALMLIIQNQLLKENEKKAS*
>EED79789
MGNAQSLIRTSGALDSFVAELGGDIVYERSLGSARFLKTVKCRHKSGYLVVKIFVKPDPGLSMRKYHRRLKVEREALVDIANVYSYQTFVETDKAGYIVRQWIASSLYDRISTRPFLSTIDKKWIAFQLLNALRDARNRKVSHGDIKSENILVTSWNWVYLSDFASYKPTYLPLDDPSDFSFFFDTSGRRTCYIAPERFYTAADNPDISAKKSRLAMDDGEGRRDGRVTEAMDCFSAGCVIAELFLEGAPLFTLSQLFKYREGEFSVDAQLNAIDDEGVRNLIKQMIAVEPSVRPTFDNLLHTSRGTVLPESFYSFFHNYVSSINELSTPSPFAAQPAPPVNVVNIVSPTTPSSTIKGTATPLPSDSDHRMNKIWADFESVEPYLLTETVEETVMDIKVEYTPTAESSKPFQDVLPVELYIPNRESKLRTAWSAGRRAAAEDGPALIVLALVCANIRNCSRPSSKIRGLDVFLALSPYLTDEAKLDRMVPYIVDLLHDDAAIVRASALRTLMQILMLVTVITPSNAAIFPEYIIPAVGHLVRDPEVAVRCMYAQCIVSLADTAVRYLEMGQALKAHGSYKLAPDTQEYDEAHFEVSYDASLQELHNSIQEHLGTLLVDPSSVVKRAVLHNISSLCIFLGRQNANDVLLSHMITYLNDRDWLLRYAFYDCIVDVAACAGGRSLEEYILPLMIQSLSDKMRIWELMSATLGFLYHPDIWIREGKNLLGAAAFIASAAKHLPPSDVWCILYPSLKHFLRSDVRDVDERSLLLMLKHPLSRQVFDAAVQWAMKSDKSSFWRSHRSSKPESPRDSLATVRQSSYRSRSEEDESQMTKLQQLGMTPTEESKLMAMRDYILKLARSISSFANRISAEMETNSLKSTTAIELQKLGVVPQTVFLKASRSMSTRRSHSDLSVRSPGIGTPRSGRTFSIDHTTGAPFEDLRRRLAIINGSTTSLTVQGARELRSPTAPFPDPANFPPSSIVDVVGAVPRPGSPTESIVSITNPSASRPMRIGSVDGQKAAPAIGSSRTNATGLLDAHSHSRSDSPEPSGRTSPYSPAGTVRAQQRFRPPSLAPISTYDGQEPGIGSVLEQLYYDNSRELQNDFGPRVHEGPVRRRNAARHSFITRDTGSRRPETTLIAHLNSHGDAVTGLAVSPDHMFFVSASDDKTVKVWDTARLERNVTSKPRHTYGQHHARVKCVCMLEGVHCFASAADDGSLHIVRVHVHVSQSGSLPKYSKLQVVREHRVEQSGEYITSMAHHMTDTSSNLVYATTHSVISIIDLRTMRQLQRMENPRHHGPITCLCLDRRRAWVACGTSTGVLSLWDIRFGILIKSWKVGAAGKHRTARIYQCVVHPVKGKGKWIIVALEAKKLDQEQEAQRLLEVWDIEKSVLVETFVTRIAANPAQEVAELSAASVDDAETSPAAAIAALVRSRQEGGSASDPTRRAHPGAPGAPEGATPPCPDIRAIVVGTEFGGHTTMRSTMADQQNDGTKSGRPSGRGFLLSGSEDRRIRFWDLGRAERSSVLSGLENESERPTAVEPLLTYIVFHSAVQPAASSAIAQVETWTSPPNSGLNNRPPQRLSLINHNQQNLLKGHQDTITALACIDSPFRGGIASGDRAGVIKVWRVEGLDMS*
>EED79790
MLNWLAEALPQMPLDPIELPHLVREPNDIESNPVQNAPGHQSDRSIHSLEWTAEINTEVTSLRSRATGRTGAFNPTGLYRELFTIASVFRIGNVTQYYGVFHAYNGITACWSKVEGGQETVGVIRPEGR*
>EED79791
MSSELSAASMEHDSEKKNEELRTRPPSVEAPGAPSALDDFPEGGLRAWSVVWGGFFAFIATFGMVNSYGVFQDYYQTSLMAHSSSSTIALIGALQLFFLYGGGPVIGRVLNVLVPSTLIASIITLALWLPATDQASITAFAAMYGTFTGAFVSLLPAYVYTISPIEVYGARLGSMYLLVGIANLVGTPTAGAFLTTINQRGFDGLIIFTGIMIAAGSLLLGAVGVVTELRKRKALDNSP*
>EED79792
MAHTLGEPYSGKNPVPKIATKLTSLVNPKKATDAKAQQLQSNQQETKQTVRGLAKGHAVHTTDPTTGEELDIRNANTDEDLDLRSKGENVLRHEFPPPDLDKHRETVISTTSVSVLYICGAYMFSFLFAALFSSSARILCFSIVPPSLLAYTLLFRMRNISRSDFEDRAWHAERLRGLGARVDREGDNQIEDGDRPRESVEWLNTVLRGVWPIINTDMFAPMVDIVEDIMQSSAPSFVHSIRISDVGLGSNSARITSIRSLPDADRSGTDSIGESLEVDSQDMSPEDRDALGGDHVNLEVLFAYRGLPSGNAAASKGKNLHVKATLVTLLGMPHITISATPLSENLPNVMNIPFVSGFVSNAINTALAEYVAPKSLTVDLQKLISGDDIKKDTDAIGVLVVHIHRATGLESADTDGKSDPYVTLTFSRLGKPLYSTRIIKGDLNPVFEETAVVMIDVSTVKLRERLSFQLWDSDRVTVDDMLGYHEVGIAGLIRQRGKPIRRISPLSNSDSKHRPGSIEYTVGYYGKIPPNPSLSTDGADPGIPNDLRDKPEFNEARAVALNDVEAAVLTTPPDHAWPSGILSVRVHEIRDLAVRLSGKERKGKDRRVEKGQDEGEETQEEAEGLPSSYCTISLNDELVYETRVKPITSSPMFNAGTERFTRDWRKSHVTVTVKDYRMRENDAVLGVVFMKLSDIFVNASEVTRFYSLEQGVGHGRIRISLLFRPIDAKLSPNLLGFDTGTVEVRDVSVQSKLDLSNCEISMKSTTTPTVEKVSRKIAEKRDDGWTVWVHDDVSALPVRQRYGTALLVSFRDTAGFKSSSCKALGVLWLRDLVDSDEGTVEIALWQAKHGDYSRLKLNYVPPDGNLDYWDTDKGNVERIGSIFLDIMFRPGVSERHKEMLSGAGAKKRAMWDAYDRQKAAGMRDEVGIEDERTSSNAETRRGQDLEVADNTDTGASQQDRTMTDGPLNTTGGDSTSANQENATEGTNTVVSTGDIDDERKDGAGARGIADESHDDADSSDDNKAKGPITKLKDWRQHERELHRDHRGIMQVKPARTAQWMKDNVEEGVHAVKDRFKMHSRQPDVETEV*
>EED79793
MYLDLGLNETLGPTLIGTIFALMLFGLSCAQVMYYVCEYPKDKLLLKGLVAILWLLDTGATIVDTVIVWHYTVTSRNNVFDLAKLFNYYIYTIWIFYQFLVTVGSPFYGNVALISYSRLQSKCEIWDLAILQTLGAVLTDICTTTSLILILNGQKTGIKATDRLVQKLTIYAVNRGGLITPHNSLRVKYIALQKNSLYWVIFHLPGSKIYFNSFLAVQVFSQLHRRSI*
>EED79794
MSDGIVLQPAFNEALGPALIGTIAALALVMSIIDAASIYAHAQSQIVRLLDTAAAVVNAMVRHTIEFDCVRQVTRPQIIWHYTIKTKTDIIRLVDVFSRLALRRSVANLRVIVHLTDRGTFRQSEYALAVGDFQLYGHTNLTAERFGQAPTIFIVQCANQVLAHRTYKWPLTIIMASMSLSSFGKGCCSVYMQEELTMGLEPIPIPTVLIPNIHQHSSSLLPRGIHSTHGTGYLTNVNSHLCGYLRNGDPALRSKTAKKTDRLIEKLSMYAMNREVLTTIVQIAQVVTYVAQKKNVLYWAIF*
>EED79795
MEKCIFGAYSADSGCRKRSLYNGTKGFTNPGVTTGVDTFRPYNSERFRQKPLLSYALTVSLLRLISYGLIMLTVKRNRTRHKQQSSKMQSFDLTTARLAVVTVDPKVQKGRTCGPGSLPRSRQTKMASAPKAVAAPPLTRAARTLSLSAIPLPVRKEDLANRLGTPTNKVKSGSVGVNKAAEGPMPASTVDDMLSKSLAHTLPPSIPLPPVPKSLSPIAANAKLFIAAAYKSQQTRAIAKIARGTRQRRAFTANKENVPSFARPTRSSVTRAPSNVDLTAQAESPKPAVSRPLFGDARSRSIAPSVTYGRGITHPAQAQNVTARATHFNPVDSDAPVRKMAKTPKETAVSTKNSDISIKTIQHIVPAFKVELTSGGNTQSTVADMDLTPILNGTQFPTAPEWMYAASLSRFPAPKPASTKGATADRQMQADHAIRPAAMQPGWEKTLRAGPSRRFSPTSLDVQEDKERSRLQEVPQSSSVKATVTSPKACPFPSPASVLPSAEPTRAISACSRDATPIVPHSPTAAWWKRGLVSCSPALVDAKDSSAAPLSCGNKISVQNGASSKHVGLSLKEVPTPCDAPMKIVEFFTLSGDASADSVSEAGQQLAVLVVQVGGESGRMITLTSSEDKIFHELEDLRVRLTTHTFEPASIQAVNADENKITRTLPDILKTEPTPTYSNAEEAEKSEDRSRETAHVKAISNVKYCQDTSSQTVVDNDFEDAVLKTTAARHVLRNCDIKAAASSLHSGETADIEHVVAPARRFVGPSYSLNTSSKAVHSPMFTTSAIAVHQTHALEQTNDLNRPKKRPRYKALYPWAHSLTADLWLTDIFSLKQQFQQRPLFRRCLSVYVVGRDSPIDTHFFRSIQDTKRLLFQRLELCVERILGFIVGAIPFHSDF*
>EED79796
MIAGQFALVASQLLLMEASKFWVMCLGRLFEGISSAIILVAGLALICDRTPDKDIGGTGHVSSGIHAAAMTRHAGQLGVAMVGLPLGALVGPPVGGALYARWGYRAPFIFTILFTLVDFAGRVLIIEPPAEIGQRDDEEHNSKSDIALAATQELPSLAAAALGHLDLQIIETVSDPSIPAPHVLARKAPELSLLGVMVRLLSSSRTLVSLSVAFFCSFAFSAADVTLPLRLQAVWGLSASRVGVVFIAAIVPTLIWFLTDRVGPAWVAAALLFAGIPWWGALTRTFSLAFFIASFAIENFFIAAVVSPVTAELASITRTMDGVGYAHSYGTFNIIFGLGNAGKNITSPDVHRHAELTGSASPAGAIVGGQIYSHSSAGWPIICYINVGLLTFTLAVTAAFLEERPLALRGWDLLRGRRRGLKAGEAAPGQDVALGHQMGLQSR*
>EED79797
MGGLGVLTVIVDGPHVPSGPEVPQTVIKQEEVPTRLEDIGEPGYIIQMRQRVKTSTPPGARSLHEDADEEATPREQRSREARDTDTAPTTPTRLRTVIKPTGKSTSISHRAQARRAQLMPXPNPERKGDAMAAAPETTGLHSMELRNTTPQVQEERAPAATIREAEEQALQEQADDDVFGTPGRLSDDVRQILRTGFAKMNTIVEQVSKDSGLPAARVQAMFGQQHARVNTARNHWNIYGRYFKMNQAQECKRLGLDAPLADTVEIRSKTYALFKEAHADIWRDILETFEEVEVWAANHTVGQRKRDFAKAVAKMRQLCDNLALRYDFSTAFLMAGNLVNTDTGLAQLYETATAKNFLSTYLRGNEDTSLAHFKSYLYHQTSMGILNEASEDGDEDAGGPSKPSDPAIAASSHPGGAPSKRHAGPSEQAVGPSKASTRVPGPSKQAGASSNEGTIKSQKDLDRRKFGLEFLHPDWRKKLSSTDKAGVIRFNVREMEVAAGGPWERKYNNLFPWNHHAELLVTQGLVWKNYPDDVRWPGEEKTGGRNKAKGIAELSMPELDRMIEGLVDRDYPFEFERVDKEALKKNKLPVIICAPPAHDATFKRARRYFANGTSDRKGPPRRVAPASSGEHGMPYAGFEAAAPPARRMPGTAGAQTAGVQSHDEGEQRGDAGPSMQA*
>EED79798
MGTHISKVKSLTMDTWAKEQVEVMRNTGNVKSNAHYNPDETRHPPPTNMIDSERDSDLEKYIRSKYEFKSFISRSAQVAAIMGPSRSASSKLLSTTPPARAQTAPVQNPPPVESTPNIPPPVPPKVPSSTTPSPASAVSPSVSGSQSQFRSASQPVPSSTLYTQPQQPFAAQAQPQLAPTNNTWNNLVSLQSPSTNTSSLPLQYTSSLPSASTSQPIQISANPAGTHLSPTMNPYSSLSASPSSPFPSSLATNQTTGSSPGGVGRSMSLNTGLNLSGTSVGTNSSISHSPFATAPTGLGFAPMGMPQTNTMPSSNPFAAQSHPTGTGGSIGGGPGVGYMGSSYNMQPQQPSLAPFTTNSFQQQQHQQHQQQQFQQQQFQQQQFQQQQFQQQHLQQQQLQQQLQPSPFGQQASFQPQPSPSPMFQTQALPHTHMQMQMPTGTNPFLLQQQAQVHTPFGQPQATPPPQAFTGGNPFAGWQGQQSGFAGHQWSVESNCVYHFGVDIEDLLLVVILCAFDNWPAWYRGFFAVVESSSGGCPLTGLGELSVPPRFATRASVEYADRPLEAAALVAACLETGWGHREHGMTSCRSRLGVPLCAMHARNDCLVPNDEARSLEWLSCGFGIRYCELVLWPSVLARASSDLVNTASRRFFKGIHNWSKLSRPFRCDHDIAFADVLVQDTLIGQQIIVVFSAARDETKGRSPLFLQRFQTQLHDVPWLGPLLVLHSRSSPLQLLAMTEARPIIQSRSKAGLQDSTPKLLTLTNPTGEMVMSRYYNRIRKRLTAIAAAANLKDADPGDDSDSESVYGSANSSQQTLSTSSINFDSRSELFGSWSEEIGALPQDGTTRPLLDAVNQVRLLSDEASRNSRRIIEEHSKLAGMMAARLEEAKRTGVLGRNDDITSTGEGICQSSAALCTKMQCLRDRLQNLSQVIEDIQRRNSKQHVSWWGRICRWIPNVLRAVKSIFTTIKKALRNLRGSPLPPSDVAEIVKRYDAYDTARFMRVISSGNIEETQQLSNLRHFLEELSAKILQVEDNLQEIQTGQSSLHLFVEAETSQLIIDGSGRDCRNRHIDAGASPSGKGKGKALSSEHLTSVDLGIDADEEEQEQAIARHRQEIRGIGSSGSETSAIKERKWARIEAEARIVGNAAARYHQDGIKDAILECRVHVVVCIRTPDSNGLDVGQLLQLEGNPQKTSERPQSLVCSPGLTMKPGPVAKSAAQSRALGPSRALNNTTGSLLSPMKVLMGQGTAIYTKVDVGNLVEGDKPAEELRLHGVVLINADKWLSSLTLFYDLGEAMDIAAPRIANIVNSISAHSIHHFSFKFRCSLDPMWTIANKAENDIAQMGPLVQGLMARDVFDSLEHVDVYLLWYINEAISPSDIARFLKAADEAMMKTNWHERGILKVMHEIEMYKPPANTSDTSEISITGWWGLARQLER*
>EED79799
MAALFKDSPEYEARKKVPFSPPAITIKDVHAAVPKHLFKRSTEKCLYYVGRHVAVSFAFYLCATRIDSTVLRIASQHDLGSRAVSALRYAFWALYWFWQSVAFTGLWTLGHECGHDALAPSALLNAVLGLGLHTFVLTPYYAWRATHRSHHKGTNNLDRDETYHPQTREELNLPPEHVATPMDYKELIEETPLYTLWKMVIRQFLGFQLYLIHNRKGNPKYPPWTSHYKPSSLLFRPEDRPYIILSDAAMAVMICLLSFWIHSTSWNNVWRLYVVPWLWAHNW*
>EED79800
MALYAIKESKLPAVLCRLSPPGSPSKRRNSHIAARGGSKFLVAFDAMMAAERRTTENTTAPSAAISDKPATSKKATHTFFLASGASGLQPAALAIGSKPKATTKLQPLTLHSAVSTAKRSIEKTTTSDTRTKSKGLVQPTDSTLPLYTYEDYSPAPAVVYTRHEDEANELAAALTGPLGFDMEWRIFIQRGAPIIERRTAVVQLSDARMILVVQELIESPTVVKTGANIRNDGEKLFRDYGIVAANLVELGALAHRADPAFSTIYHRSIVSLARMVEHYTRRSLDKGKVRIGNWEAAPLSQEQITYAANDAHCALVVYKRLIEIATEHGLCLDSVAYACSIKGVRAGKGVPESSANDHGGGVTTSSSTEAPSDASKHPSSPWLRPPGYVTNVDGNQAPRPQHLRAYKLWQGGNMPLPEICAALRSKENPLAESTVISYVVRALQADSTLPFSMDQLKAFVQLEAGSWQRHREWIMRQDSHTRQ*
>EED79801
MPPTRNTQPRRPDHKFTSAADVRRSLGAQEESSLIDALTTLRNQFTVKPDEPSVSVSDERLLLIKAWLEKSPGAQDVFNIWDTANPRQMNLLSLVVSVLSSMLTLLSAHYLYQPLAQPIVKSLVSQQYSHRLNTYLGGSHNDLVLVTMKLFNSLSNFASGRERKATFEVFAWETKTLPRLLHMRRKGKSDDHLDILSRPDGTTSSAVKTAFLEQRRDIFVLLFKGLWQDQYSLVRKVLEVCWTGLWSDQKVRRTLKVGLFNETVLSQVMRVYERDVAEDSDLEHIPADVVHHYMLAICTRPGVGVCFKDRGWYPRELDQEEKVPDNTPESPEEGSSRRIGRIYNKILANVLKMLKVNEDPRQQELALKILAACPELVAGYWSSAALALEPRNSSKWLANIAFFGTVVSLPVPHSSFVLSEASGKAVYNPAPPPLTTIVENTMPSAHIKAHLSRGLQATSSLVQHSTALTLVKCLRKYDAVLRALDEVENALEEDEEGQWHRRRSELEREIRKRVPEFQVVVAFAQKLADLSAVQDSIQSVPYNPAKYALLSEIAQRLLWLYQRSLPSLAAEARFDIGKLLSVLEGSLEARESTSEVNASNSGMDVLRKLHVLRLLSESDQFNWSGKTGTSRSNLNVLLRGYVATTNRDIRAAITHLLHRALSASLLFQHDPEEVALWLEALPVMTRCPDTRTPDGVLLTSEPDAVISFVDDCAQRCFKTPYRYLENLEELFRSADNAAEGVTLVAGQSDRHPSPLLMTILEQFEAKVKGQLLSASDTLAIVTFIRKLCLKLLSKMDHAGPLRAVATRLNNIIMGHPHADTSLILSAIQRELDYLNSILLRVVRPRLTPNTDRDEHVESFLEMVEIQGETTLLPQGWQQSAYDLIDWYRVINHSMNIQQASRLCLIIEQRSKKSLREFLKYLRAGELRVWDCIDLVRRYAELKDCLSFDVLLFHSDLDTLANAGRREILVQSLFKDATSLRDIGRAITLVHHALLSCCQNDAAAPRFLVVLSDIVSTAQSRLNAKEFSLLHVGKDEQRLIRDFGARWTVMIKENLSVLSSDEKATCALWIKYMAFEDLLVLLDCTLDPSEPSCRLDSELLQELLQGLSYFAGANSYVMQRYAGALWRLRSQLSRPHTLDSILAQVLSGSLPLGLGGFLSSSPDESMLSDIILHAQRHWVQHGRLFESTIDVADILSTTDWSDSTVDVLLGLLYTESATEASILSWLKASPTAPCTTDQLARILLALLDSQRFNELTLQEDLQSIVPHFDRLLKAVMSGKYSIDAEAVDTYTTCVSKMLRQLTSIRPKLLINLQRRCEALQADYMSSHMIRIAKFVQSTMDVEGAKVVEAIVDRGLKWIAQLYSGTDNEASTQTNVGLVEDFSRPSSAESVAHVLHTAFHLHPSNACQPTHIEPLLRLYGGTASVSDRRLLDIFQLFEVTRKTSIASLFHRWSASRDSRAGSTLEAVQNLDPNLCLKTCLSFPDWRRATIDSDDENSVLHGSSMYDPLFLILLFAQLLQEGTPTTASGWVQLFRTNIASVLIRAVSAQDDFIRATSIAQLAALHKCLQEADMQEQQHVIYVLNLLKDAIPKSEDDSPPRLPVYTTLLLAHALRGIFYPANFIYPITARFLLQRSELDTGDVPMLFGMLYSSSEQWKKERGWIVRFLSDGMVSSAEWNVLKRRHTWDLLASLFQSEEKDKALRRGVLEIRLPAEEEALAWIRIVENILAVLDSAKIEQSTHDVSQGYSTAQLAQTAYSAKLSQ*
>EED79802
MDPSLYKQRTTTRGLKYNYFAAPGDAFKPTLLFVHGFPSTSHDWRLQVAFFKNAGYPIIVPDLLGYGSTDKPADSALYRYSAMCADIVEILDLEGARDVIAIGHDWGSILTSRLAVFHKERFLAFAFLAVGYSPSAADFDYEKGLALTKQALGYETFGYWRFFSEDGTDRVIKDHSESFLSIVHAADPQIWKTDFCPSGALKTWLTADKKCAVGSYLNDKDKEIFKQNIDQGLGGALNWYRIMLLGIAAEDSKNIAEPQMLIEQPVFFGEAKHDAVAVPRLYLPQMQKLCTNLTVREYDCGHWVHLVLADDVNSALADWISGMKKPKL*
>EED79803
MGPEEEQAEIQSSQELFAQLGEGEKAWRDRQPFLQSRGYMLRPRYRPGWVPSWKGTNRHPIDFEDHIRLPIRRHLIDATRIEGGQIVYIKRVKTNDAESTIATLLRAPPLRDNPANHCVPILDLFEDDVDSSISYMVMPFLRLIDSPEFETVDNLIDCADQLLEISNDPDTDCTYRNILMEATALFPKSFHPVYDELLPDGYTPAPILSRICVPVKYYYVDFGISVFIPPDVHPKLAIGPHGRDKEVPELSETVPYDPFKVDIFILGNFFRRYFYDKFSNVDFFLPLIRSMTQEVPTSRPTAAEALAQWKTIRCRVSAVHRAWKPRAREDPWFARVGYDVYALFRTVYYGGRYIVERSSELQG*
>EED79804
MSDGIVLQPALNEALGPALIGTIAALALVMSIIDAALYGLSCAQVIYYAAAYPKDKLLLKGLVAILWLLDTAAAVVDAMIVWHYTIKTKTDIITFIDVFRGMLRQSEYALAVGDFKLYGHTNLTAERFGQAPTIFIVQCANQVLAHRTYKWPLTIIMVTGLLQTSTATLVDICATVTLLFVLRGQKTGFKAIVQIAHIITYVATTKSALYWTIFHLPASKIHFNSFLAVLNARQYLNRSGQKYLSNGFSIMDLPGDPTSPDDIPLGDRQMGNIDHASRYIGYESFQAHTIHE*
>EED79805
MYLDLDLNETLGPALIGTIFALMHVISSMVASKVLRDVHRLFGLSCAQVMYYVCEYPKDKLLLKGLVAILWLLDTGATIVDTVIVWHYTVTSRNNVFDLAKLFNYYIYTIWIFYQFLVTVGSPFYGKRLVQKLTIYAVNRGGLITVVQTTQFITGQVHRTAEEFTLLGNFSPSWQQECRWVDVVYFNSFLAVQVFSQLHRRSI*
>EED79806
MLNWIPIDITRKHGNVSDIPQDHRRGHWKKHGESPFPTPVALHDASLLICCTVLRRIFTVTALATILDSRTAGRAPERRARDHPFTRHTADIKFVTADGVEFKVHSVILSEASPLWESMFRLPQPSDAEGETSSDIPSIEVIEDSVTINNLLSMCYPMQRPVLYDLDEVRDTLKAAIKYDVPHVITLLKESALSFLRAAPLRVYDLACYNDCEDIALQAARVVLSNSSQLGARFPMLYKRDMEHLTAGCYERLLGYCKAGGRVDDKYRFCSPQPDQYAATENHEGAENEENLSNFLRPHAYDMAAADVVLRSSDSVEFEVHRLVMAASSPVFRDMLSPSSGRPADAAPVQLSVPACVVSPFLKLCYGQLSDEIVLHDVREALLLYATAQKYQVPSARVDAQVDALIDRDPLRAYFAAYCYELEAQANCAARKLFGRELVPGTWCPEMETAPALAYHRLFRFLQACGENAARLTNDVRWLPALCALRINSRCVEKRQPAPSDPDARPWTGVVPTCWWTPLMREHMKKRLTAGLDIRMDRGMSRRQ*
>EED79807
MAASASSSQPPARERPLLEESSAQLKESDKHRKVHVFDGCLHCYLNAFVPSETTCPPPKLDRSPASERIQSDDNSPHVIKCLQKLAKALPKPRRLAFLLTEGRCLRPPLPSRGLERFQTSPTIVASLPGKHKSFTSLPEWSNVSCVFDIMPEAKDDPVNKKGLDHEEALVRLSRHAQNLLIAHHLLFAFVVGIFGSSVRIFRFDHTAVAVSRAFDYTRNPEILWEFLWRLCHPAVKGAQISGADPTIKMPKKKDIRWARKMLLEHSNRSLAPEDERVCRWIVVHDDDGRILHKFFAFRIIYLRRSLFSSASTVWEVLKHKDHSGKIYVMKDSWRFTALNSELGHYRTLAGGSEDHTFGNSNSDQGIGAGNSSFFGVAQLVCGIDLGRLTSPHVRGLRILPVCTHITPPKTTSAPSRTGDVTRDGESSVRSHSSSHPLPSPMGHYAGHRTMSVTIIFKSEDLAILAERTHMRFVFATVGRPLSQFRSTRELVAALRDAIKGHKHAYEAGILHRGINEGNVMIAEAGAYEGFISDFNNSFDWISFLKYRNREATVANWEKYARAMYAIENGQYARSDVLIEDLEYDVYGPPLRPREHTHQRHMPFAAIEIVENISIHEARHDLESFYWLLLWLVLRHTEHKETGGRKDGAWMFEPGRSPVPSDPDMRKGWILRNANVVVPGNQPLTTLLRELQGLCIRNFLSAMHTVIPLTHEVVLAKFDEALARTDWPENDAALPQHVTTYKVAEPGWPRPQSEQPSTRTHRTAQSFPTFNGGGRGRHGRRWSTDGVSTYRDGQRMAGESEAILASRDPSPAVNRPPPAGDERTRPAPAEAEESASAGRPSSKRERSDEEELEDAQPTKRLRMGSPTDSGRPDELVEPASRDTSEPNIDDLITLNKRGIKRSRSSEDNTIAISKRTRVRYTPEVEVGRPTALGDVACADAPPGSGHESSVDSRP*
>EED79808
MQKTPIRILNAEEIEKMRTVCRLAREVLDIAASHVRPGITTDEIDEIVHNETIKRNAYPSPLNYKGYPKSVCTSINEVICHGIPDQRRLQEGDIINIDVTLYYDGFHGDLNETYPVGRINEDTQKLLRTARQSLDEAIKLCKPGALFRDLGKTIEPIARANNCAVVRTYCGHGIHELFHCAPLNVPYYAKNKAVGTMKPGMIFTIEPMINLGHNWDLVHWPDDWTATTVDGKPSAQFEDTLLVTETGVEVLTAGKRRPDLYD*
>EED79809
MSPPDIDAYWLDGSNPMSYIKTLMYITIIWRLYWVWDRDWRFCVLPLILAIPRTVCGYLAVHYLARGTLDIYAHDSESIGLAGWSLDLAMSVYMTGMIAARLLHLSRRRAEFNLLFGIRDTKNPYIVPLITIIESGVIYTVCLVIMLGILLKHSPLTVTSTYIGAQLVGFTPLLILVRVGFGVTQGIPRNLLQGRDEAVSIHPGHMFSSAPAATVHIATEHEIATDMISLSTRDEYVLQDMKLSGNLASRSEAKASVQSLVP*
>EED79810
MDGRPTLLAFSLLLSFMSESTVPLADLDWGTEWKNLPAGPVTATGGPRPNWETEAIPLLEKIREIYANPVVKAEGFSVTHLFEAAQVLAILAHNPVPEEQAEQAHVTFAMSYIFGEMESPSL*
>EED79811
MATALNTPLLLALTGLLAVCGLLRSRSTSKGTSPLPPGPRAIPLLGNILQLPLEHQHKTFAKWGQKFGDFIFAKFFTTPVLILNSLAVAQDLLENRSSLYSDRPYFALLIDIVGWYPATPFLTYGDQWRRHRRWIHMWYSEKASLLKLRPILRKETLTLLLQLIETPQDLSQHIRRYAAALVTSSVFGRTITSWDDEYFQMTERAIHATTEAGSPAATLVDFVPFLKYLPSWFPGSAPIDKARSVRGIVRNMIDSPYENVKSAMCQGSVKPSLVASLLDANAHHISREDEEDIKGVAASIYSAGVDTCTDGNYDNSQHLSFFMLIRRMGHQEEVYSDPKAFDPSRFESLDAQSGASKDPRQFVFGFGRRICPGRHFADEAVWLAIAHIVATVDIAKGRDASGKLITPAARFCSGFTSPPLDFICSITPRSTAAVKLVADELGSMDNEEPGNSDS*
>EED79812
MSTPTVIAIEAHQIQESFGAIFIGSFISMILFGLGIAQLYIYRGRFTCDRRALRYFVLFIFLLDTASTIFTAWWMYYLFVSNWGDAGIFLTGNWCGAFAGGAIFLVVKKLADIATNVIRPAGIVWLLSAAIGDIMIAASISWFLQSHKSGFKASNQLLDRVIRGLLTATIAVIDLILFLSSDKPNHIDLLTTLVLTKPPEVLVHVEAHQMVDIVKQDAEWTQGPQHAMDISKSDPGWASCSQS*
>EED79813
MSSPAAVPDKETLKLLLPLQYDGKLVVECNCFISQLLIYWTINMALSSLELKIQVALTWATPIFSQLASVQIGIQGATTPFADEKAFLKAFKARFGNLDDAAAAQVELSKLCANKTMRKKRTAAEFSALFKGPADRSGYGNLELRNKYLSGIPSRIYRKLELETFATWQDTDKCATEVEQILDVSRARWPELNSFFSAQGRGRGGARGGAPSSHGASASINAAVRKGNFPGTCFGCGKQGYR*
>EED79814
MFNLRPCPNRTPRLINQDYEHYKAIRRVQHPLGPHSIHASRSASWHSHTVSPSSHLPQTVASTSQGGGDPDLPPDPAQEPESDKSASEEEVSKPEPTLHGWAADRRQAPLGPDTPLFGSRILPSTSTQSPNTLISPSTLFDTFDGARFAPSHTDVAGLSRLGIEPRGMPSMTATGPKWPYVALHLRIQHDVTLPMDLVAWSLLDGDAHMWATPFFAQLVLVQIGTQGATTPFANEAAFATAFKACFGNLNDKAAAQVELAKLCADKSLHEKCTAVEFSALFKGLADQSGYGDHDKYLSGIPSRVYRKIDLETFTT*
>EED79815
MAACQGQIHVFDSQDLIDVYIPDGPETVIYCYYPRYKAIQRAQHPLGPRSTLASRSASRHSRPVSPTSRLPQTVAESSQVRGDLPPDPAPEPEPEEGTGEEGILESESEDFVGSASLTALAPASAVPDIHDPPTKLPPVPSPLSPPRGRSSTRSSQSSTSGGPPQPPPPPQRPPSPPTLVMSSPPTTPDKETLKLLLPLRYDGKTVIECDRFLLQLCIYWLINTSLTTIELKVQVALSLLDGDTRAWATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFKAHFLDDEVAAQVELVKLCADKSVREKCTAAEFSALFKGSVDRSGYGDLELRDKYLSGIPSCVYHKIELETFTTWQVAEKRATKVEQILDISRACQPELNNFFSA*
>EED79816
MSSRSATPALTPSLVNRRLASLLVVLEAPPTADAALDMVEEWAQDLSLLVLAYRKALGAIRDEEMELRVAAAVKQLAKQASELWVEWARGDWPELVTAIDIEVQRRAEEQKHLAEEEARRVEEAAKRDEEEQRLEDKHRAQEAADEEMARIAAAEGLLDKGKGRARVDDEVTELSDDPSVKTPRTLERPFTMTEVDMAAVALEKRQSGQKCDRCAGYRSTPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGRSTTKKCIRPTSPGPSVADASGSKKRRVDEPPRPLLQLPLDGASRLGLEQDDLNALDLDDESRGIIRIIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIDFVCGAVDDE*
>EED79817
METPAPGPLLTSPSLCRCR*
>EED79818
MQATFSLCHTESYKPEVECTTGDLASVSRRGIQWFVSDMGLDIVPHVRDLELEARVAQETACWPQRSTLQPHIATGIFIASTTYRKNSIDSKVSIALYTAIAVAMDDPRIIESLSSGTYHYSLCAGTIPESPDLLAELSKVLAAMWEHFPRFGASCILTSTLQFMNMTLLGNEIGDYRLKHDALPFVEYRRIMDGLPEVYFSFIWEKRHFPDVTVWMQAIPYVDITPPQPFSNILCKDAMKFINFGNDILSFYKEESAGETANYIHDRARYTGQSIEVTLMDLVKETTALANRVRQMLGEGAATDAWDSFTQGYLQFHTSNPRYRLREIL*
>EED79819
MSKTNIIVSRPTPAVALVTLNRPKVLNALSAALIDELVSALSLLDTDDTTRAIVITGNERAFAAGADIKEMKDKTYVDVFKHKFLENWTLISHVRKPVIAAVSGYALGGGCEIAMACDIVLASPTAVFGLPEIDIGVIPGAGGTQRLVRAIGKSRAMELILSGRRFSATDAAAWGVVSRVSEDVFADAIELATTIAGKGTIAVQAAKEAINVAFETSLDEGLRHERTIFYGLFATQDQKEGMSAFAEKRPPVWSHS*
>EED79820
MSSTTAALPPYNKIHLIGAWVETVLWAMKSVHPWSLSSLSYGLYSGNAVMHISVYRLLGAMSILLFTLVTVHIGASLQQLLEAFIYIPANAPADYTTLYWLDFQNPMLILKSILWITTAWVQELTLIWRLYIVWDHNWKICVLPLLLDLSHIGAAYGEVSYTSRPNIDFFSGDIRRLSLFSWLAGLIINISVTTLIASRLWYMGMRVRAAHLSSGQSRVENQYLPAIFTIIESSALFSAVTIFTLTIYLSGSPFNLAAIDIATQLATLTPLLLIVRVGLGLTHGLPAAFKSLKASETMGELSTFQANAQTTTNSMRFTSQGGRTTTAGATSALGETDVELESMKFNPSVISVKEPVRILSESKGTLA*
>EED79821
MSSTTAALPPYNKIHLVGVWVETVLWSWSPSSLPYGLYFGNAVEHINGKGTCDVPPSVQFTLRRMLGATSILLFTLVTVHIGASLQQLLEAFIYIPAGAPADYTTLYWLNFRNPMLILKSILYTTTVWVQDIVLIWRLYIVWDHNWKICVLPLLLDLAHIGTAYADVSYTSKPNVNFFSGAIRSVTLFGWAADLVINISVTTLIASRLWYMGMRVRSVQSRTGQSRIENQYLPAIFTIIESGALFAAVTIFMLSIYVSGNPFNLAAVDISTQLAALTPLLLIVRVGLGLTHGLPAAFKSLKASETMGELSTFQANAQTTTNSMRFTSHGGTTTTAGATSALGETDVELESMKFNPSVISVKEPVRILSESKGTLA*
>EED79822
MRYLCSFRRRALQSPCKPTETVVPSLAFGPTISVPYDTVHDAFRAQAEAHPSQTAVVDFTGHSVSYGELDRLSVQLSVRLRAEGVGSGARVCLLIERSIAQTVAIMAVLRAGATSESSYAGSLSASLASFDNAYIIYTSGTTGRPKGVIVSHFNVCNLLCLSPGNLGIRPGTRVAQLLNVAFDMCAWEILGCLMNGNTLHLRGPHRQDWIKILKTVDVVISTPSILAQHGPADYPNIRIVAMAGEPCPQELADRGALNTIGSPTPNNSVYVLDSDLRPVPRGEAGIMWAGGNGVCKGYLNLPDLTSGKFVRDPFAPQGGVMYNTGDIGRLWDDGQLDHLGRVDDQVKIKGFRVELDGVSAAIHACPDVTTACALLVEQDLWAFYAPEHVSASQISCVVVDTTYLSLVKVQS*
>EED79823
MNPVQDEPQWSVTTWEGRQTYSRPLVASELLSDQYTIFQDGLKDGIGRLRFSCPLIAAAIQKGVHDPQFRSWIYTPISNRRELDEWIEETVIVHPDPLDGDAFLAQITYTRLPYVLPSGKEKIFRCYFVEDPEDESKFSILWHGPHAIMDAWPTFHAFRIMLEAMSKTNPDPLDSLSWGTEWSNLPSGPVTSTGGPRPNWETEGRNLTGKVFQMLMNPVPTLSILPQRTEITVRGRQVRARKVLDETTSAKLVQAARAAGFSVSHLFEAAQALAIMYCNAITEDAAKDAHVTYPVGIISAERFRVPPYNRLNHFVSEMIHVPIQVKYADVVSTDLPKDRILTAAKSIKKQYDDFMMNAHIPHLTAAVVKLAPPREPMVGSNPYATVITNLGVIDRLLPVIYYPDGNESKTPVFEVLSLTVGHRLIAATPPTTYGISHTCKNLSTTLFGRH*
>EED79824
MGISASTTIHSDPHAYATPVSGDGGVDDVCRAYDYVIVGGGTAGCVLASRLSEDTTVTVLLVEAGFFQSRIPLLFTASLGGEYDWDFETTPQEKLGGRQISWPRGKVLGGSSTVNAVMYHRCAPEGQLRDCFQCYSLLTAAKQGALGWGYDTMKSYFRRAEKFLPEPGSKTDALLHGDSGLMKTRDVTLAPLCDAFVQACETAGIPRLDDFNTDKGTLGAGAFGAFIDEKGQRSSAATAYLNPEVLRRPNLTVAVSATTEHILFTTEPDDMPRATGIQLSASKDAPKFQVHARKEVLLTAGTIGSAHLLMASGIGPAAHLAGKNINVVKDSPAVGKNLYDHFSPGVMIVKAKPGLTWDYLYRPIPTTLALIQWLAFGTGVLATIAGQAGAFVRSDDEHISWGSESRPKLPYVTHSSGVGAPDVEITFAPMSVINRGREAPPRETYGVTVGPILLKPESSGTVELQSSDIWDKPIIDPNYLATESDMNLALESMRLCLRLVRQAPVASQLELSGAPENVKFDAFWPTWADPDNVSDDDLKAWMAIHGTTAWHPTSTVKMGLDPLTSAVDPELRVYGVRGLRVIDASVFPDQLSGHTCAVVVAFAERASDIIRETA*
>EED79825
MASTSAALPRLDKIFLVGIWLETVLWGIHEVARNFYVKRQRTDKCISVVIFASAIWIIFWKRGGSTQWMLGITSTILFSFATIHISVSLQQLLEAFIYIPPGASPLYSTLYWADDTTSLSIIKDVLYDTTVWLQDIVLIWRLYIVWNRNWKICVAPIIVDLAHMAAAYGATILEVRGTVSIYSPVVKGLGLAGWSLDLVVNISVTLVIAARLWYMGMKVTSMTSSRTHSSDQQQNKYLAPIFTIIESGALFAAVTIIMLILYLTGNPVTLAALGIATQLAVTTPLLIIVRVGLGLTHGLPKAYKNLSTTVSDFQAATLSQSGTYGGSAIRFAPSRDIATSSASSQGEMVYVMQDFKVADSLGIDEITTKYHAPRVGGRALEDLGFVDIVPSSDVDEPWGQESHQLRRLAAAHEKSLSDASAARAGYLFDVISNIVPENLLGPGERPPWRYETKGEDPNGSRHLIARILVTKISTSISISEIAATIDRVVGD*
>EED79826
MAFAFSYQPLKGLYMLGSILVLLFIRLPVWTILGLVPSWRPLPTWSLGRSLIVQAFQAYVAILYNTAIDTPSRPPENAPDARASPGEKVVYHFHGGAFIMGSASPSDPGSKTCFTGYMEHFPNNPRVFGLEYRLTRGHPLGRANPFPSALIDAIAGYRYLVQDVGFEPQNILLSGDSAGGNLAFVLAYYLATYKLPNLPNAGGLLILSPTVDWANTHKGAQSSMVRHSRTDYVGPILNSGYTRDALVGDLPAEAASSVWISPASLKMKVSTGMFSQIPRTCIVVGGEEMTLDPVVTLRDRLQADMGKEAVTYIEAVDCTHDFLMMGWHEPERTNVLREVAVWVDRLWKSV*
>EED79827
MDDPSIIESLSSRTYHYSLCAGTIPESPDLLAELSKILAKMWEHFPRFGASCILTSTLQFMNMALLGNEIGDHRLKHDALPFVEYRRIMDGFPEVYFSFIWEKRQFPDVTVWMQAIPDAMKFINFGNDILSFYKEESAGETANYIHDRARYTGQSIEVALMDLVKETTALANRVRHILGEGVARDAWDSFTEGYLQFHVSNPRYRLREIL*
>EED79828
MVTHEPGPLPSSPSLPLSILGLRERQRPSPTKRPSSRRSKPVSATSTTPLRHR*
>EED79829
MSYVRNHCTKTRHPVSESIGPLRFAPPQWPEPFTGIRQAISYGPACPQQPAVTPDVLPITVPTVPAPSNQSEDCLYLNVIKPVSAVQGDNLPVLFYIFGGGFETGDSSFNPGNTIVNRSVQLDQPVIFVSINYRLTAFGFIAGEEIQKAGASNLGIRDQRLAMQWVNRYISAFGGDPNKVIIWGESAGALSVGLHMVINNGDPGGLYRGAFMESGSPYALRNYTAGQPFYNMLVESTGCSGETDTLACLRQVPFRELWAAVNATPSIINYTSLNFAWQPRLDGDLFSQNPMRSVSEGSYAKVPMVTGDCDDEGTVFSLGNINVTTDAEFLAYIHQNYLPLASSADIADVATAYPEDPIYGSPFGTGDLYNITPEYKRMAAFQGDWEFQSPRRYFLEVASKTQDAWAFLSRRMKSTPYLGSFHSSDLPEFFTDVDFIGVDSLINFATNLNPNAPPQLCPNISYLSKISWEQWATDALEPPLLTFQDPAPSVNITADTYRKAPMALLSNLALQFP*
>EED79830
MLGSVLALLFVRLPLWTVLSLVPSGRPLPSWTLGRSLILRVFQAYVGILYNTSVETPVRPQPDASNASKSCLVWVEPTPDLILGDIRDFVDANNVKPESVPGYWNGSRASDGPMGSASPSDPGCRTAFAGFSEHFRHNPRIFSLEYRLAGAEPFKAQNPFPATLLDAVAGYRYLVQDIGFQPQDILLSGDSAGGHLAFTLARYLATYELPGLLNAGGLLLLSPTVDWANTHNGPDSSMVRHSRTDFVGPIFTTGYTERALRGALPADTTAISAWISPGSLRAQVTPGMFARLPRACIVAGGAEMTLDAMVTLKERMEADMGTEAVQFMEVPNCTHDFLTMGWHEPERTSTLEEVAKWVGTIWSSA*
>EED79831
MRQTLVDWLLQVHLRYHMLPETLWIAINIVDRFLTKRIVSVLKLQLVGVTAMFIAAKYEEILAPSVEEFVFMTDKGYSKEEILKGERIVLQTLEFKVSHYCSPYSWMRKISKADDYDIQTRTLSKFLTEVTLLDHRFLRVKPSLIAAIGMYTARRMLGGDWNEAFVFYSGFTEEHLQPGHQWLLETITEQGFTQQHVCQKYANKKFLKASLYAIEWA
>EED79832
MCRIEERREMQDGAAAPEAKFAQSVRPGQCTTCSIGGSGKGDITRAAQGVPSSLHYIPKAGVGGSKKARGKNVVYLPQYASGPKLTHPSNSTQSSPRPNIITIHKSNPRQAGIEELEGVISVSDHACEEDVKAAGIRSTSMFVHGRSDARKGQSREARTTQTIEDVPRHLQL*
>EED79833
MASTTPVIPVAHIHRSPSVRSNSSASTVSVSSTSLRRRSRTRTRTSTVTGRGKSSGPSGSEHGRGVDDSAIVVSPAGGDKSRPPLPAFLQQKPESDASGNRSLPERATGRPRTAGAVRRPGVIQKVGSEEGLRQTRSEGGTFKGEMRQRALSLPRRAFKVNASSDTSSQPSPSTPADVVHENTLARIVDGDCPNFRDSMISTTSSSLYPASTITETRTESSFPYTLDSASERHAGQRSPEIVSSENLDFDADDVSYRLRLLVNNSYFLPPAHAKPSPLSLAPPDASMSKKPANKGSNSTFLDFFRIGWSKSKPTTPITSPPAIDYAHGPILRTTSDSTTASGFIQRPHARSLPQTPLHTVPPPNVTSRVVVVRERMDDLAAAAQEAEKDLRRATARNTRSRSSSKGRYSEVDIVDPTDAVDLPPPPPGSYPFATQASAAYGLGVLESVGAAVLAEQLPPGSPGIWSSTTENSSWRQALLREAVSHSLSGSADISLATTSTDRSALPSPTSPMPLSVSTSGPSGPSDDPESPQMYTLGQPILKDLTIVTDMAEEPPTPTQAQVPLTGNSVSSVSSKSTVEARRSGWPMPSSPPRAESPTHSHALAPAPRKRLVNPLYSVSQPDLSDPSEREQPDAPRTARPSLQALRKVMSSPVLSDVPDAGAASKRHVLSLSPPPTAISPRLSAESPGLMQDLRATTSFSSYRSLMSQSQSHHLRDTVVQDDDDDDDDDDMSYVTPADTDVDSPDQPRPSVTLSLLPDARPSLSISDYSNPSPTASAFHDAIFGSSYRPPSVMSRRSFMADPSRSTLDLSAPPSATPVPPRVLTLSPPPRMSSSASPTALPPPPRVPGTRPVYRPSLSSRASTDPGRPSFAATIYSVQEDPIDDAEVPPLPSRLADRRGKSSSRLSLRIPTDFMGPSIHSAPAPASPTEFFDRIESTMDELDDLEDSDSDEDETSVPPAPSLHHAPARADSIDTLGGSPRPSFMRMGNHSTETRFERAREAPPRNLLLV*
>EED79834
MKLTTLLTSALYLVPVVLAQQYNITYNAFYNDGDSSLSFTACSRLIPTDPVLASFHDFPYIGGTSAITSENTTACGTCWQLDYNGNSIYITAVDTAEAGFFNLTHYAFEKLTDGQTGDYVSAIATEVDSSHCGFCPGVYHSSSEYEQVF*
>EED79835
MLSWISVGERCISASSQARRSYNSTMAKLRASRTTWDAPRV*
>EED79836
MVLQIYIVSVAVIFLDQYSFLCRERYPLTSQLIQENKIPEFDNLYVDFNGIIHNCSHPNDGDAHFRLSEEQMFTSIFAYVDHLFGKIKPKKLFFMAIDGVAPRAKMNQQRSRRFRTAKEAREIREKAEQKGEKLPDQKAFDSNCITPGTEFMAKLSEQLRYFINKKISEDSNWRDITVVLSGHDVPGEGEHKVMEYIRLSRAQPDYDPNVRHCLYGLDADLIMLGLLSHDPHFCLLREEVKFGPASKSKGNKR*
>EED79837
MPVLGKNSELRRIVSLLPNVTSLELQGLIITSSTIEALSVLAPHVHELVIGCLLAATPDIFKRFICAFSALRSLEITDMLYVNPKGEKFPRTQFRDFWLGYGSPPGRSYCINPEEWWYFKACNEWTLGPPFRTVNYSVEAMKLVMRRRMLKKYLGYEAGIDNVATNNFRWIERTDDPQCFLWTLAFIVNILTPKLCELHFRLLSPGLSHLVEHFLLHLAEKLERPAFQHVRMFTFDVGFTELHPDTHVDFGFIQRCLPTFAERDMLVLKACAGLVEPDPDVRAVDYVRRRLLALNAGHLKIDFNDILAFVIPGPDEIDREELIRIELAIDGLAMSSHKRRISDLLEDESFDRQSRQRVDGQSYCTLRYHADTESVLHVHFRQPVPLITFSYTPSRELVFTNSALRYYVDPPIGADLKYGYERWVKRPEEKGRIDGLLKAISRARTMAPLDSAEQWSIENTDVVSWRGVMTKLQSYYGYSFESWCTSSKPSTQETLGGHPPGWGGKYNGDTRSLVELKTSLTIRNANDQGRFEKKFLKFYFQSFLLGVPEIIVGFRTPSGELRSLQSFQTMNIPSLAIGKNNAWDPRVCLDWGHRFMSALKDVVRQAVHNDTDSSEASRIKVWRAAFGTGSGVNVSLLDDAGVREVEAGEDRVGFLPRWYWDELRREPRATRPQDTGGSSQGRAPRRVPAGWKI*
>EED79838
MRKGQNAGSVSTSKQDSGMHKKRKTKRKPVTIVVMGPTGSGKTSFINTASGSELRVGLGLESSTENIQKSNTFPLDEYDVTLVDTPGFDDTNISDVDILALIAKYLADEFENRRQISGVIYLHRITDNRMGGTALRNFRMFKELCGGPALANTAIVLNMWNEVNEGIRSARKNELVSKDNFFKPAVTAGAKVLTHDNTVDSAYAVLRHLATRRPRPLLIQTELVSRKKQLCETSAGAALLGDLVKREQRQAEQLRDMQKEIEEAIRKKDEEDRRELEEARRKVDETRRHLLSQQQRMKPVKPVGVRAQRNRRRRLFRGLLCVTSDNLET*
>EED79839
MSSTSQNQKNKKRSHKDAPGDQEKGSKRPQGHPIAHMQALPDFQSNEEAAQFASCLQPEVAYTFIEHLLRMMQQVDPDQISSLEHSRPVSPSSHLPQPVAGPSQTRGDLPPDPLPEPESEESASEEGVLESESEGSDRPTSPTVLASMSAVPDSNQRRDTTAASAPTASSVPPTPIMSSPSTAPDKETLKLLPLRYDGKTVIECDRFLSQLRIYWLVNTSLTTIELKVQVALSLLDRDAHAWATPYFSQLASVQMGVQGVMTPFRNEAAFTAAFKACFGNLDDEAAAQVELAKLCANKSVREKCTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQEAEKRATEVEQILDISRARRPELNNFFSAQGRGCGGARGGAPHTPSFSQHQCGRRKRKLRGQLLRLWEAGVPTLRVPQL*
>EED79840
MSRGGSEGEGAAGAERMLGPSDRLVPWVILFDRSGCPVGAQLLLAGEDKGVRTIWDVDINKVLRVECVNLALTGSHDGWGEDGEEVKAQKEKKKPKAPKAAGEAFLSMFFALPVLLSAWYTRWGWGAVFAVIDMVTFTRLRDLWSSKCLEEVHLLLQLLHQFGDSCLFQIIRRLEVAVSSETAVSPEPESYSIQVPQNEPKIVPHVGAADSCAPCLSYRWTPPITCSPPSHAILNAGADKEGWRCDPSYLVNHLKHARSLSPTASPNSRAIRRRLQQELQKLRPYIVADDLGDSPSPDNQVDNNANDLGDADDNNNTHNDDANNANAIINGEANNNITAVINTSHSRTVQSRCIKIFKAIGQAPVAAREFCEIVSTLPAGTYVFVEDAAFMVLCRGYEPVNAENIDCWVRFPAITNIVADSPHRERRLIPLPPLCLLDETYAPWPYMQRVLQALRLVFMSVTKQVLQDLADASAGTNLFYLIISQNL*
>EED79841
MANKLPLGSIVLYRPTRAILAITSGSQTAAPSNKERPPIVTPLCGALPLGQGQAGRRQGMDVDWWQHTIMVRTDAPTTALLPIPQDGSSLIRDAIRFTHDPLAVKSSDYPRLKSSYLWVGDDGEEVAESLVPNLRVISPYLRLDPPELTKLVESWNYWRRVRAPPSRKT*
>EED79842
MCSGKGETWSGDAMGMEKPKSSEAMRREMGERETGGSTRRGQDEPEPCLGRTVARKQKSGEEDGMPTDVAPKCFGGPTPGSLDQVRGSPALGEGCSTAGAHAVTGDVVREQGLEASEEPGARREGAVGTDPQLRTKHEPAVTQAKVVSKEGDRLRQREVLADEDATAVEKGVSFMGRKQETVLLGPIAQHSGAKGEFAVATQTHSIRPDELAEAHERDVADKESRAERTSPDVNARMGHAEQAAMQRA*
>EED79843
MDDHYGYTMPSQRHTQNTISSYPSSPPNEPPPYSMTSSHSSVDSVHGGSGRLVTIHLEKTESIIWPSLIVGPVPVALSRPGDGFEEPIYPWASSAIIEADYNMDPTSLVLIGLDLCDIREAYTDAFEYFVRAWHQASVPSATIRLVTHYLPLHTSLPSDYLTSTVPSEAEGAKDEPSTPTPTASTWLGDPSHGTTQYYLSRIGHDVDLAQLYRCAGMLHHEGRATALLSSAYTGLSSLRSPVVVSGNTGHGYGSNLGSHAHSSEEWKRNQDCAWQYLERARMLNPAIENPLSLRDSESEPSSGAESGDAKGSRSGAEPCRKEQQQTHFKMPTIEVTKDPPANQPRRRRKKTSGDLSSSFMENCRETPDVDDDRTWYLYIPGLMCKAD*
>EED79844
MDVLMARERRYLRFVKPSYDNFVLPTARHANIIVPGSDNSIAIDIISTHIRRQLDERATRLRQRLAGAVPRDLTPEALNPDPTKEYLNLTLLPQTPQLKGMFTILRDNSTRRGDFIFFVDRLSTLLVEKAMELLPYRQKTVVTPCEVAYHGKELDAEYVCGVSIVRSGGPLERGLRRVVNAIPIGSLLIQSEAATGEQILLHLQLPICLRHRHLAEQSWVFLLDAQIGTGAAAFMAIRVLLDHGVPQDHIIFITFLVARCGGITVLQRAFPQVRIVSGAVDSSLRETWLEGVEDDDGQTAAEGRKVWVVEPGMGQIDFVVVLAHSTLWNSM*
>EED79845
MAPVATTDNVTSNGTDIASLKEAAKVFNPFYSPSAGDDNDGNYEFAKYKPSFPDVKWEPLKEQSVTDRGLFADPEKKSLFAAATKVQHLTPAIGTEIIGIDLRQLTNQQKDELALLVAERGAVFFRDQEINIHEQLELARHFGPLHKHATTGVPREPGLEEVHAASIDFGRHIKLIFPFQYFLLQIHVQDGEQEGTKAITDPLLCQQWRQGSADPNKKAHTIQ*
>EED79846
MATAFVDPTIPKESPITSEVLQQTAAKIGIRVPDSKADEFTEMLASARETMEQVMAMDDFMPALDTERYPRTGVTAVATEDNPLNAWATKVIVRNVNEDEVAAGILAGQRVVLKDNVCLAGVPCHFGTDVFAGWVPQTDATVVTRILEAGGTLPSVSAFGISNTSALGLVGNPYGKTRSAGGSSSGCGVLVATGEADLAIGGDQGGSIRLTYNTLPFNNTGHPALSVPCGMLPPPEGPETLRLPVGMQLVGKYWDELTLYKAALAWSDAFDWKEL*
>EED79847
MSSTLLFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLMLLLSNPTDVFNKLKTHHPEATNATDCAALEVYLSTRREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIQAQHNKFIPRAIPNAYLPLPAPLPTSTFRHPPISSPFLQATPQSTTIPADWQPNPGWTPKGSCRRCRSSRHWVRDCPDIRCTRCGKEAPGHLERECGTRPMKRHVSTPPEEPARCVGVVVNNVFLEGIINEAKERKERERQTKVVPIPPPCSANPEPQASPIAGSSHPRPDTPVVFRKVDPDWTPDTTPWTWDSSWPRQKHLSGEEWKNVGRNVHNEWFNKEEDDGIDWELYGDGEQRRARAYGQHKVRMDPIIRKPQYGARVGACKTEGAGRKTYRDGGRTGTRVFCYTKGSMRAKQNVAWHTDQEETNGKHTGILDNAGLEFQQFPLNFGACEDSVVLEQGCEQHGGPPAPGYSRARAFELVPDHAGRRVSTHGWGDDVGQLLMNTRRLKYLPMTEIEVSPAWGDISGV*
>EED79848
MVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERAPESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEDRRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTLERPFAMTEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSAADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKATLAKGGIGMLPASRSSSRVRRNRDGFAGVRTEDCNGLVLVGEVLDDALREHVEWSAEEDVVCCVSNDVSLEIQYDLTDAEGHISNESQRSVHLAVRRLHVQLDSRIEEEVETETDLFRHGDDLRSWVDDAFDLFFVVDQRDDRTNRVRIACDGEFCGVLAGSGTIVAPGSNVAPEHESWLTAVTSSAPISTMWADATGSVETRLGVTASRYLFRTSGAIDSNSSGVSVTNCDFIERWKISSASFGWMTGTMVLYADEEEDGGGAAAGIVVGGAVLVEVLEGAATPFDVPDPEASALFGTAGVNLDAVKDLPSLPKLKEFSLERLDPAASVERERLHSRAIVDLEEHRWSGGCCGISSMPLVDSISYCETRDSAGAETSVWKDDLAFGVTLALAVPNVDLCELAGAYPAATIAAVMARLFSSAVLMDVDGSLLSWCGGVVVRSVRRRLNERRDRP*
>EED79849
MFLVLPSQTYHPPLRQTTQSTRFLWDYQPSQYHLSSSTTEQTAALSPPRKGLPSTLEAAPGAMQPRHPPAHPEDVFTILRIDVEPTQTAESVQSPINEQPLELPEVQYIPIEIPDIELPPAPPAPTNAPVEVPMATFTQEDIDQRIAVALAAYQSQQSMANRPLRLDIPAPEPFSGKAEDLRCFIQSLMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEAFPEHGMRIKAHQIMMKLPERQRDRKTALSLGNYVTHFEQLASKAQLKDAEVNGTNRIENDYHTLHANFVKGLPKELYFALATRVTRDRPNIMKAWGLSLSQTPGTMANQWISTPLPSHQPSPPRREEGNGN*
>EED79850
MAGYLRSWFASPQPAPTLSVSPIIESPSQSDSVDNDDSDTETIHGGDTTDDIPPSFPAANSAQRLAPPSNTIPRILTDAQLMPPPPPPSLSSRRPGISSTPGSLAVPLTTSRIPPKPSGRGKVALAPGHGPLDWANLKKSGQDLRGVESLLRVTPSMLKQHNKRDDAWSAFNGKVYNITHYLPYHPGGEKELIRVAGRDGSKLFALTHAWVNLEYMLDSCLVGFLVAES*
>EED79851
MARESKTDGKAKLIAVTGPTGAGKTTFINAVCGSHLRVGTSLQSCTDKVQTAYCNINGENITLIDTPGFDDTYKSQADILKDIADFLEQTYERGRKLSGVIYMHRISDYRVGGIARENFRLFSKICGEGAMKNVVIVTTMWEDVAEEVGAQRETELRSKPLFFKDAVDHGARMQRLLNTPQSALDTMSPFFEYAPKVLHMQYELVDLRKLLPHTDAGTELKTELERQTEQHKQELQELQVEMSETAARKDAAHREEMEDLRTAFAEMQKKMEKLDKEMQKLTNSTQTPRGRPQSRGFREILRKASHSLFRGRVDNREAVVFVRERGGAGCLSGDNLEI*
>EED79852
MPRSTTILADWQPNPGWTPKESCRQCGSSRHWVRDCPDVRCAGCGKEAPGHLERECRTRPMKRHVSAPPEEPARRMGVVVDNVFIKGIINEAKERKEKKRQMKAVPIPPPRSANPEPPASPVAGSSRPYPDTPIVFRKVDPDWTPDSTQWTWDSSWPHQKHLSGKEWKNMGRNARKEWFDEEEDDGVDWELYGNGEHHQCFNPNDLVLLEVNIKHWKINEDGSPAYTPGWARWRTGLEMLVLSKDLPVNDEVFIAIALELDIAAPV*
>EED79853
MFNLREIEQKDKQPLELPEVQYVPIEIPDIELPPAPPAPTNALVEVPMATFTQADIDQRIVAAALDTYRLQQSTANRPLRLNIPAPEPFSGKAEDLRRFLQCVLSYFVATNNTRLSDEAKITFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAHQILMKLPERQKNKKTVLSLGNYITRFEQLAPKAQLKDTEVNGINRTENDYHTLHANFVKGLPKELYFALATRIARDRPNTMKAWYDEVRNADAAKQGALVVTDTRDYGEPMDVDATAVASTFASTPGGRKWELGAILNEADRKLHRDRNLCFYCHIKGHSAKDCRKKVAAQQGGGRPNQGGSGKDDFHARIKALSADEKRELYEELTMEDF*
>EED79854
MQAESVVVLAQSFVLARLLTQRSAYWTSWHGYHRAALLPAQPFVALRVRFTEFGCDNVHLFIVSPTKRTSGLYADCDLPLVLQPGNARLDDALRAVPPLPTSTRQLYLHTFPALKTQRGIGGMLQDEESDKFKLEEPSFQRFWSKAWSEAMKFRKKEWGYETMKPRSSGGDEAVQDNWDTFSKDVNDVEHLHDPFGRCVFERLEFKDLPLTEEPSIYRELAIYDQLAADYSWRGVKRSIYWVEALRKFANEGSFAEHSVVHGELCSGELPSFSGDLKEDALALRAMQIPEDGDPPPASAAEEVVEVSWGDEPGPDFSSAPEALPPVVASDIKVVVFDLYGAIMDRQEALRRALSTFISLDPHQHTVDDLLRLYLDVEAHRIRETPGLYSHELAHGTLSNILHIIGLHPAGDMVQRAVDAICPTPYTDVTDALRTLQQRGYKLLYLVPRDASRVSDTGSLLPPDIRTLSVSTAHLHTQSKGVCSQVVEECQSIIPEIKNTEILLVTTGLYRIVELANAAGIPTALVQRPSVDEARLERATEQDELSSPTMTIGGLGELCDKLDLMRSGSLCHSEA*
>EED79855
MSKSVEGEIEVLGLYRGPEGLGAYHRAQLVRAQHADAALGAEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEIMTLFINKDLASKDFVKYAERFRTLGRPTEYDDSLLIDKLRKVIPRDMRLVLVGKNKSTLPKDWTLFLDILLNINKIVNPEKARGLVFKNSGSDNGSTVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCDICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGNGNPAAKKAKKTRIIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED79856
MQWRSRT*
>EED79857
MGSGADIIQPRGTAATRRPCRA*
>EED79858
MPNSTASFLEPLSSPSPSPPPPEEHNATSSNHSGPAGLDSGSELSELTEDEQEESRENGTKKTETRTSSRDSRRKSRGLVPAPMWDWAYKSNKKGDWRTRLVEEEEEEEQAGPATAMEEEEDDDQEQRGGAHRIKGHTVHSDHEGDAEDEGEDERDDDHEDLPVASPPRAESEDEDEEEDEPEQDGAGSSPPSRAPSRKGATSPELTEDENPEDEEADEEAADDDVPVIESDGDDNDDAGAPVVDDLTTPLVGALPALEETTDLMDVDDAVPTPPLVLSNPVIPAGSSIMAGSTVVQPPSPSSSGTSGSPSSSRSASPAPDADAPSDNEVEREPEPKAAASRTSTRNKRRTRTRASRKRKADTRQDAENDADADLVQAADPGEGDGADAEDVEADSPELELELESDLQPAHRAEALDVLATIELKFAMLRERIYVEKMENLAWEEALVAEGTHPEMLHLHSELLKRRDKRLELAARRRDYEVANVTKRRKLDEDCTWSWWKTEMISETNRKRRKLERERRALERPQPERRIPEPPHIVGSPPTLREIVKAYPFSAASHAQISRHKGRGSSNTLVYPNLTTLSPTEVAHDLDFMIQNRRLAVGFDPHRQVMVNPAMGAPVGYDYPPNMPNMQLIDGPAQGNRFGPPPPAFPHQHPPHYSQIQGPQSMMQGFPGQGPRPSHHHSAPAGSLPNLHSSQMPMEPDAVHAHRPDSRSAHPGPHMQQAYGSGPSTSGPGPLMRRSISPVPVQTLSNGSGPSMPMGMGPAPMPPGFAGSKANGWVGVGQSGPGSIPAGMKEPRRPSSVAEGRERDKERERYMEGHPNGPGNLSNGGQGPSMSSLSTSSTAGPNSMGSPPTPRDLEPRRSHPSAEVIEMASDTSRQAGPSQHMWKSNDGLSLVDIRDRGKQPLGPPPVGPHERLMTPFGTPAQAGPSTFPGSPRNMHGPPVAPASAVSSRRGSFSIAEENGLPRPVSSSSQGHPPPSHPSGSAHLVPRTYALADSSKPTALERASTTAATASHLHGPFIIACSPDDSDKERSEHEAIWPHTSITGTDEAECPMFPYRTVLQDQKLFL*
>EED79859
MGNVVSVLWQLVDGCVAGIGKCSRINEEAQPISGLFMQRNIAPAESWIQSTFTTSPQNHAPQGPMLVVELYRRLDNGSSSKLAHILGGCTIGPRCESRRSSMVGSRRQSQHMDRAADSLRLFLSHSGAQYESYLKCEAEQESAAMPSFSLFSEEAVGYRAMTWSCRDAGVFLDDRAVVQCLYATVPPDPPSIDQSPNGV*
>EED79860
MVGILKPRRSLRLKDVKKVHYDESSDAGSVPDLELKPKHVKRKIKSSDDEDGYEPDREGTPVNGSTPNRRVKRKVCMSEDARQRAVDKSPNKGACILSRLNDRTVQFCHVLPRATDSSVLASLEWWWGLTKTLNVDSHHNVAFRASPFPEASRYAWAYLHTVRGDLHVLWDRGDLLIAPMPDVVMRLLDKFTDSGRYNIWEVLEEEKFYSYSALPHPALANSQTREGFACRFETIECVQSQAKPHFMILNAVMKIKENKKLWVKVLEAFYKRINLKADASRVVEGMVTLGDLWTAPPPWDAPLIRNEDEPQEVEKEPQEVEDEPQEAEDEPSLPIIIPTGIPRTPEQPKAVIGPGGLVQDVGRESKTPEPADEPCYSNLKSCAAQLAHTGSRCLLSLQDDKSVQCCHVVARSTTLKTRQTLAAWWGLVDFDINTPFNIFLLRADVHSMWDQGDLLFMPEPEVIKKYLAQSIVPIDVGVSLDEPFEVCNGPIYKYCVVAHRDVPDTEKNCAFRREFKTVGYVYSRVPPQFATYNAGLALSKGAGPADFVMALDAFYKEHKVEYKAIDILSDTLKIFRRWVERKPRARRMRHTARRK*
>EED79861
MDAWTRFLLHTSQKQCQVHAFNAQDLVDVYIPGGPETIILTCEQQPCPNRTPRSIEQDYPRYKAIRRAQHPLGHRSTHASRSASRHSRAVSPSSHLPQTVAGPSQVRGDLPPDPAPEPEPEESASEEGVSESESADSARPASPTALAPTPTVTDIRDPSFGLPPAPSPPLPPRGRSRTRSPRSSTSRGPPQPPPPPRRPPSPPPPIMSSPSTTPDKETLKLLLPLRYDGKTIIECDRFLSQLRIYWLVNTLLTTIELKVQVALSLLDGDARTWATPYFAQLVSVQLGPLQQQAAAQVELAKLCANKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQEAEKRATEVEQILNISRARRPELNNFFSARGRGHGGARGGAPRSQGALASINAAVRKGDFPTRVPTIRVPQL*
>EED79862
MKEEADVIHAHPARKDKKNHNVPGHFDTVLVDEGLEGPGVVGYYIGQVRLVFLLPKKQAEQLFPSQALPKQLAYIEWFTVFAAPDSNHGLYKVSRCLRNGVRLASVIELKAEKVGTSLASHLHFRSNLSPSIPLCFDINMKLMYLASFVALLAIGALAVPHTNANPGTAGSYYTKDKNVNVCALVEDVTSGTLNDHYSSYAKDKDVTAHALIKDVTSGTLNDHYDRMFNSYVKDKDVTAGALIKVHAIIAIVSGVRFPNLDITGRPMCLSSIRK*
>EED79863
MSNNAVNPVPLGLPALAGSPLLQYDRADCCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLQINIKPAQTTENLQLPVNEQPFKLPDVQYVPIEAPDTEPPPVSFAPTNAPVEPPMTMFTQADINQRIAAALDTYRLQQSTANRPFHLDIPAPEPFSGKAEDLRRFLQCILSYFVATNNTRLSDEAKITFTVVLMRKDLGKTWADTYYEKLAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKMLASKAQLKDAKVNGVNCTENDYHTLHANFIKGLLKELYVSLATRVARDRPNTMKAWYDEVRNADTAKQGALTSRQPLPPHREEESGN*
>EED79864
MDTPTQYKVYIGQYCDPARPNDDPKYWALIVKKTSRDFEGNSHATSDVFRVRDGFRLEHKKDDGYNASLLYRARRRTYRARKDRVECALSVLRDQEYFTPRGGPFTTTVLQKMIKKPRLLYPTQAVNRIIVIMIASPIAHRSLCRFKPEGAQEIHVASESVLDGHSRDYQNVGPFVEARSCPEEAYRSDGWGVTIGAAAERTFIMRRGTVPEASHLAAAEPTIASADPVPLMEDPIAAATAAVLALSEPLPGLADSTVSPRRRASARSATKKKVKYTEATTDGEGEPTNLAAGDGNEEQLTALDDESVEAKPKVKRTRRKKDTEPVVYNIPAVERKQTGFTGRLGYACLNTILRVRKPEPVFCSRTCRLDTIRKNGIEFAKDLGRRNATDLLEMIEWNEENKIRFFRVSSEMFPFASHKVHGYDLSYAHEELKAAGALAKHYGHRLTTHPGQFTQLASPREDVVEAKFGSGTGGYMRLCLYSGGRKDSVIIIHMGGVYGDKDGALARFRENYTTKLTDEMKARLVLENDEMCYNSDELLPICEELSIPMVLDYHHNWINPSVLPFPELLPRIAATWTRKGIRQKQHLSEPRPGAESVMEKRAHADRCQELPEVLVGDDVDLMIEAKDKEQAVFHLYRIYGLEPVINANLRPEKPPTPFIRGKGRAAADDNEGDGEDAAEEVDEDGARATRAKTRVKRTKADVTEEGADEPTPSATGESGEAAPTMATPTSSPMKLAPKRRRTATNVIASQAEIEVAADPMPLKRKSRSKPDPASSLKKRRTVSKLMTKARELINQEEDVQPVDGARAEDVEADEHPEAVMAEETETITDEVGACANGRARAVSRTVKS*
>EED79865
MSNISSTITSNNAVNPIPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLRINIEPAQTTENLQLPVNEQPFKLPDVQYVPIEAPDTEPPPVSFAPTNAPVEPPMTMFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYADWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED79866
MSVYIFHDMVLMCSVWKDVKVSRMVELRDVAVKNSFMRAHNCQRVPVGVLVDNCGNSPKRAQTLMGETKWRPMEEAFLAESFTSEEVRQLMQDPARGRLGRVARIITKHYLAKFGEPFAEETEDDFAARKRRKRGADRNALVCRPAETIDDAALRLKGIGTRIHNYVKNHKLIKKQHSARDVIAPVPLGRAPRSITVFDAFQASHPDRPTLSFMVAKSGMKPDLGKFASECKAAFDKLPVEEKTRLEGVAIKMEQERTTYQGEAADEIVRARKVQHFPHHIASSMNHWQNETGWAGMIIMGGIGAMGQLDAYGHSSGFDSNGETFEDRLRREIGWSEAHWQAFFESWLRDIFDPISPPAAAIPSSIFRQLQGGESGKSHLPVTAPIASLWGNPDHEVSDYTHIVQAAPLAFPSSDTTEEQSQASCSHNTAQAQHKILCPSVMVQAIDSGLEDTAQGDTTASRSGNTAQPEVANSRSGEPLDFDLEHTASGTSLELLTPQLAITRVASPVLQPMATHALAAIHGRTRGPRTTAIQTLTWVGSSAAIRLDYAALWPKPAKGVGQISLATAHIVTLMALSATLCTRCRQFWIPPQLGPSPEAGALIERIRQADEEQGLLTGCPKAKPQSRKSPAAKKAKAAESAHARVTKLMAGHDTAVEKALTVAITKDAEWAAELQAECIMRAPALYQWPHSSNAPAPMNTYGLPFTNISGIARCQNTMGDRVVTQHEADAQIARQLATQWAAEDIRQEDKQQAERARLRRLGLYAALNKTAEPSALDHDDDSSVISLHDSDSEVGQEAQTNSNDTTDQSSGRPGKGDKQEDDQRAGDPPSGDGDNNEDKNKREGSQDGREEPDQVRTTEIKAENAAQLRLVIWHEDREPPRSVLVPRPDDGFFIRLQDYSELVEYYNMTPESYVHVWNTSSTSWSVDRAITDDLWVYKMPSVLIRFPDVKICEHIGVEMFGLEQGIQPIAFPFGYALSDLAHLPKLRLGGIPNEEAMNVGEGSQTITATSRSTLKQKRKLNGGPEVIYGASIKKKKALVKGKTTPTEVAVVREIEEDDGGSRFGTSSAFRALPHGLAPIPKLNPWASFQSYLPGAKPRGLLDAGDPGQKIPLRTPKLIDNAPEVPMEMHFQEEVHKWAKRNNTITPSLHQRAWRSFWISGHRQQEQLFLNTKPRRRPPFDAWLCKRKFWEKCSLANLGLIIDLGHGGQKCPLALTRTRNMTIVHTNGVHDFAVRFCSCLASSPENGTLPEPIQLIRYGLWPASWSVPQTAFSISMLRDYQLLSLQSQLSAHDYFMYLRRKTDNVFPDTIRDRYREFLTAMREFTFLRAAKRAGVQPSRNLEAGSLAILCPACPQVGMNMDPNWKTRPAEESYLDALFHTVDGNFHQNQREKPADPDNFPLTLGGAYFANEKDFTTYQSLLGPMEAEHSTCHQFGAMGYGGYWGKVSGTVAITCARHMFMLPGSAVDLQKGERIHFDARVKWMREQLKKVHMDSIQATFFPWTLAAVGKFHLPAHKAACRYRFSFHWLPGVGMTDGEAPERVWATLNALGARTREMTAGHRHDVINDHHSDMNYTQAATQSVNTHEYLMKLELDLDKGRVAEWKEIEAQWTRKIIHMKNHKDMDNPYEPQKDVALSHKDVMAQLADLGKGGGGVSEGMVGTIKEGLELEEMRAQLLEDLSQHGIDEATAVDIAERRGQFEVRYGVWKELNEHYVAPMVAQAVQAVRKSSAMLLDHDAFPFMGGVEDEDEIQSDEERGNSSADEGGGTASISTKGKKRAWRGRVPEQARDQTTEWQEVAGTRIHLPSKYTCMASLVQVEKELRKGRANDALDNLRTHLITSYGFKRERRNVSGQKANTRALGKIRRKERAVEKAAAVYRRDVVPFVMSSEDEVLGDSRKQVSWIWESFNFIEKESNMSIKGFLEDAIRVHWFRRSALNARWAEQVLLIKEEMRRTLRFFEYYMRLWEERARRLEEGGKAGQAAHARRQAHHYERLLDDGIRKFEGKIDICLGEAGWVSECEHVEQPKKRARGLTGNRLWAPASAQWSSREYLRALLMRVPSAASVEEMAARLSTLHSARRTKEAATRSARNAAKAAQKKNGEAEAHRSAAVSYGTEDTRTQALAQHALWAAVRGQYMSHDGGRWPVMMFGGDRKGVGEQSGASKQTTGRRSCAIKPQSGDGQLGGGWAGDWCHMARADPILVVRAGGQNGDNSMWYSGNGRITVSIHGCICKRQFHPQSELKSLYSRSAEKEVTAGTLDDHYSRSAEEDVTAGTLDDHYGRLWSLTRPIGIEIPKSGHNCAGPMMACLAMMKRNTRTSDLLQYREVVAEEREWSPPISQNIFLEVPDRIPMPSVIEMGIPHFLESVGNSLERLYIGLEDTCNVNLNAVVSQSTNPAAVVAADALRAI*
>EED79867
MSILAEFSYGLSTLSASILKKACEEAAKMHQYSSAETEAPSGRQRYRCPNPKLAGSPALNALVHQPDSPSKYRAAARHVGEPVVGHVLARLFQQKAEPVGSNALTALSSLYDPAPSYSEQARVMADTECPIRYFISAIFRPISCSLTTTHNSQGLDSNVLPAAPSENEFAERTYGPPYGPLPQLPIEVWENVIDEFYGDEEELKKFTLICRAWSFRSRYHLLVQSKLRSRDQVVHFARVVRSSTSHAKAVRVLCLYGSDSGLVRLVGVAAAMLAGKLPNVEELALDKFTWDPRFLHPQVFLHFSTAFASVRELCLTIVTFPSVQSFRRLICSLPSLKDLLCLNIKFSARGFNADAFCIPKILLTHLRLYEQGIGEIIDFILATGLAARLEDITLGGDTGILPSTINQLGIPRLLREAGSSLKMLRLHVDSQSKPDPFTIPTHPEQARDSNTDGLPDLKKALSHNTCLDFLELSLFLDEPSWASALRICAPVSCQKLFEISIKILTSGSMDIVERLQTLLEPHVCAEVDEGLSSNKKWEYTLRTPGRARGHDCITVPQIASTQSSHVCTWIWAKNVADADLFILASKRIGLAVWSAHVCT*
>EED79868
MPSLTLPPVPRYVPPAVTKESLAYADLAFIDLSKMDTPEGRAELTTENERMFDIGDVPFSQVSEEEKLAYQGNVKETGSYLGYKLRQYWVDADVADQIEHYNIHRDVNKKQHPKAVRPFLPELSKFARFNHFNVLHPLLRLMSVGMELPEDTFTDIFGYSAEGETWLRSMKYFPRSEADEAKAKNVWLKGHIGADTSQLADYVGLTLLWSQPISALQILCHDGQWRWVKHVENAIVVNAGEAMEFLSGGYYKGTIHRVVQPPEDQRGYSRLGLIYFTLPDDDVKLVPFSKSPVLQREGIKRRFEDAEAPTMREWRKGRASRFLKSKLQKDENGIEKDIINGITVKFYN*
>EED79869
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDQADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFTILRINVEPAQTTENLQSPVNEQLFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQHIAVALAAYQSQQSTANRPLRLDIPAPEPFSRKAEDLRRFIQCVLSYFIATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLLERQKNKKTALSLGNYVTRFEQLATSKGALRLSRNQGR*
>EED79870
MVGILKPRRSLRLKDAKKVHYDESSDAGSVPEPELKPKHVKRKRKSSDEEDSYEPDREGTPVNGDTPNRRVKRKVCMSDGARQRAVEKSPNEGACILSRLNDRTVQFCHVLPRATDSSLLTSLEWWWGLTKKLNVDSHHNVAFRASPFPEASRYAWAYLHTVRGDLHVLWDRGDLLIAPMPDVVMRLLDKFTESSRYNIWESQAKPHFMILNAVMKIKENKKLWIXVLEAFYKRINLKADASRVVEGLVTGDLWTAPPPLDAQLIRNEDEPQELEDEPQEVEGEQHEXEDEPSLPIIIPTGIPRTPEKPKAVIGPGGLVQDIHRGSKTPEPEDEPCYSNLKSCAAQLAPTGSRCLLSLQDDKSIQCCHVVARSTTLKTRQNLAAWWGLVDFDINTPFNIFLLRADVHSMWDQGDLLFMPEPEVIKKYLAQSIFPIDVGVSLDEPFEVCDGPTHKYCVVAHRDVPDTEKNCAFRREFKTVGYVYSRVPPQFATYNAGLALSKGAGPADFVMALDAFYKEHKVDYKAIEILNDTLKIFRRWVHSKPSTRRMRRTVRRK*
>EED79871
MRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLLERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGKPMDIDAAAGRKPVLLLPHQGPQRQGLPQESGRTTRGWEAEPGRIWEGRLPCQNQGTLR*
>EED79872
MAVGRSFCVNASVKKDAVACKRWRAHPSKPKCAVHQIDYTVQSGHDWCRGSPREALTKQPLKALVARAVGATPSLLPRWIRDTVDAKMNGLYILIPDTSHMLAAEHPPREMSTPALSDDTGSCSSRESSPPATPVSGLSRAPSISFDDYCQHIPTGDGTDIGIVEPDVDYSLPEDISRLLKRGRLADTRTDEVRAERPLPPNICSDASRADDGAFFGLGHIPISDCTSVGDVEPDAVDPSLEYVSRPLKRKQCADTSTYDVRAKRVRTSGTSSDATKAEDRACFGLGHTPIHDYTKSRVVDPDAVHVVHPRIDLYVPPEATARPPKRKRCADPTTDEVRIKRRRLSDSPSDASKLKDGDLFGPHPRCMITGCVSAEVEACYILPPDTPQPSVYTYHVIAEDEHPADSGKPRDDTTTSPVATATSSYRSLGWHDLSANLHLMTVRVGREFIKRPLHYQHLLPIDALVHIPIIKLVHPDVVEPASLHIEQESPVEDIPRPPKRKWCPDTEPDEVPAKRVRTASEASKDGIPFGPHPRCMITGHASAIVRRDLRELWETNRLLMIPHPQHLEDFDYCTVYKYYVIAEDEHPPDSCATMGHPITPSVMTAPCSYRSLGLHELDADLYSMAFRAGRKLSKRPLHYQHILRELLPHKEVNHTYIIIARFPRRFRPIVSGIKRKRSGDTRVDSEACTMKEYAQQVARVRPLAEPEDPELLAYQQEEVKDVVSAVEQLWSDEDFFSSSRAISCISEFISGGGGGMQLRAWRLSGVDTYHLTSDENIRFLLFNERSPLIWPNGVHSPDIKI*
>EED79873
MAELEQCENAASWLWDENNKPISWKEQQLALEHWKSLKLSREAGSAGPAVPSAGNGRKPSKHLGPITEIEPGSYLGRAFRSPEKCLGSQSGSADGTEPSSSLPDSSSDGKPSDSSSLLSSGSSELITTFKKKKKRTRCTHAPVLKPKEPDVYDGSWDVQHFHKFMTEVTEKPYKWTLRNLFTDLFNYCSPIDFHMKMCAKFNCCKQGDWTVYDFAHELDGLAKMSGVWSDREKVDKLWASLTFPIQRELWQKELTPTASRWDDVRVAAEIEKIASSVWHLAPTDHKPAKTHKSPSVTGSLVDSCTSRRDHQGPRDRGGSLFVGGSTNARGPKSFDLPPLDLFCRIRRGQTCKLLDSVVHGQALRPKPAGPSPAKPSKAWPKPAKALPEGPALVFSKPEPGLGPGAFGRILVVTAAVPPSLTVSGTKYKVEDQSPMTNTSCCVMLKAFLQDHYIYTMVYSQYHNTHSPGLSLSQAQPKPGPRGGPGLTLRPDPAAKSLAKSRALGPSRALNNTTAGKCFICRQPGHMSRNCPDAQWPDFAAIDELHELAALTAMSDGLALHNIDLGCLGALSAMEESSYDAAGAYIILPITT*
>EED79874
MCAARLSPCALARVSFKAGNDPVRGVLEAGDRRQAPLGPDTPLFGSRIPPGTSAQSPNTSTSPSTLFDTFDVTRSQAREAASRSAAENLDSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDTSTPDLFERSGSSPEPEDPIPSTSNLELPTPSSFRAHAQPPIASSSRLSVIPTPDLAPPPPLAPSNAASNSNPAPPAPTIPSTTTTSSSSPAPTTTTNMSQTTNTPLMPPRGHSTAPSFDPSEVRSLQRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGEHARIGIHNAADLGCYYRDFMAITKHLIAQNRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQAIASTSNTSTTVPPGMSRPKTSR*
>EED79875
MDNHIIHTNDVAHTLAAEHRSREMSIPTLSDDTASGSESSGESSPPATPICRLSRAPYIYFVDDPEHITTCDDTNITIIEAGAVSSPLEDSIRPLKRRRAVDTKADEVHEKRPLPPDTWSHATKADYGVSFGLEYTPVGDCGDGEPDPVDLALEYVSRSLKRKRCADTRTVEVSTKRARMSGTARDTTMAEDRTTFGIGYIPTHDCTKIRVVDSESVHAVSPRIDPYVPLEATARPPKRILCADPTTDEVRINRRRLSDSPSDASKLKDGDLFGPHPRCMITGCVSAEVEACYILPPDTPQPLHACKHHIPPHAVRRDLRLLWKTNRLLMIPHPDHINHPDTRPVYTYHVIAEDEHPADSGNPRDDTTTSPVATATSSYRSLGWHDLSANLHLMTVRVGREFIKRPLHYQHLLPTDALVQIPIIRLVHPDAVEPASLHIEQESPVEDIPRPPKRKRCPDTETDEVPAKRVRTASEASKDGIPFGPHPRCMITGHASAIVRRDLRELWETNRLLMIPHPQHLEDFDYCTVYKYYVIAEDEHPPDSCATMGHPITPSVMTAPCSYRSLGLHELDADLYSMIFRAGRKLSKRPLHYQHILQELLPHKEINHAYSIISQYVLWTEPLSSEMLPNRRLWATGKLSACPDDYYLRPWNEYCFLPSDDDIFYFPRRPRPIVSGMQRRRSGDTRVDSEACTMEEDAQQVARVRPLAEPEYPELLVYRQKEVRDVVPFVEALWWKSSSRCPPGMAEPKRWPPCGPEGEYLVMVVAYRSSSVALWPGHARDDQVPARKLSNNKAEDLYIEMQRGYKPTAYSVNPSTLALTMSHDSEMNLAELHQLLTQRHQRSMLNPARKTRLRCIVMCKAQLGLKAGATAWLEGAQACQDARPGPSTRLKPGHGSAQAQGRGSLIVKIIFRAAWLASTACR*
>EED79876
MFNLRVSAKTGANKDLERDVLMARQEVEMYRFCQQMKGEARLTHGEGWVRPYVDAIQGKIDSHLERVNKGLAGLGSRARQTTLIHTHRRLIAVLHEEMALSIQGQSAHEQAHEDGALVVLDRGIAILRGRGGEQHWVTSLAAIIYKAKERRRVRPLGWRDWVQRMRRVRQVARAWAVDGRSYIWQIYAQLAGYSVEDSQHELIWEPGRGGAADEHLDARWAGHASVVGSETSWTNCCESDEGRMKEGAAMCEEGQGIWPLRYGATMSRNLIAGICQMGKKLAEEMGR*
>EED79877
MCEVDDVSASTSVKHLTSGNSRAPGVVGLTEDPDPYCNAMEENAKTYSITARLDEQLSLEKSGPNMIALLRGAKTREDLEQGMTNMTNGKDFATFIRASFHGWRNAYYGTTDAPKTFQYIFGNPLVAETMAKHDMFTALNVPLRLLVTEKADRSGTQLVYLQPSTIVAVPVDGEVNEDLKAAAEALDAKVEKLIRTVTSP*
>EED79878
MTDATQSSTAHPASSSQSTRPDGPTGQGSEMVLLFHIDLLLLCLFATCILLAVPRMLIHLGHKSEWSDGIFFRRYAGDPARQQESPARNRPSIFSDRSRWNHTTRQDTSQAESIEAHPMRNYSRQTLLIPAQHPPKHVPTLSTMSPAVSRLLSFTVTPGYTVGKLVLMLAYLGLMLYGDLYGSNPFTNPARAGLVATSQIPLVIMMATKNNVITYLLGLGYEKASALTQCILNWIHRFVGRFIIFAVNCHALGFSTWNEATTLSPIRFGLLALTCMDILFLLSISTLRQICYPVLYASHVVAAIVMLAAVYKHVPENYGWQYAVTGMALYAVDRCWRILQTRVAMANLQLMPELRVVRLSIPRINGGWRAGQHVRIKVPSTGMGLIGWAESHPFTIASVSKDPEGDGLVLMCKKAGDWTNKLYGLAQHMSGGKVTQGRRVRVIVDGPYGGPGHAMVTSYSAAMLVAGGSGVTYVLSTVSELLRKATEGTCGVKFIELVWSVQDPASLGPLLPLFTTLLARAELLCVYLNISVSYTRATQSDDALETFRTLPFGFSLSAGRPHLWYILESVVNCTLEQPDDKDSNKLNGVMVGVCGPLALGEEVANTVRAFPRDKFKSVGGIEVHEEYVYHHDIGAELTVVPRSFGW*
>EED79879
MASTSASPRPSSSSPPAPPGANLTVQLLFHVDILLLSFLGLCFLIAVPRMLARLTHMSEWTDVLYLRSYRDGSSGRREFSEDTLRRPRIDKTNSELTVNKRESQAEVIEVQPMGSSRQTLSLPVQTPPKHVPTLSTIFPGISWLLSMSVRPGYTVGKLVLMLAYFGVMLYAGIYKSNPFTNPTRAGLVATSQVPLVFVVATKNNIVSALTGYGYEKLNWIHRFVGRFIVLAVNVHALSFMYELATAGTWKEDTQHPLIRWGLLALVCMDLLFLFSISVFRQMFYNGFYITHVISAVVMLAAVWKHAPVNIGWPYAAAAVAVYGLDRGLRVVRTRVAVAHLQPISEMRMVRLSIPKIGAGWRAGDHVRIKVLSTGMGPFGWAESHPFTIASTSKDSEGDGLVLLCKQAGDWTAKLYDLAQSDSDGKPTAGRRVHVLVDGPYGGPGLAMINGFSAAMIVAGGSGVSYGLGTVGELLQKTADGHSAVRLIELAWSIQDPASLGPFLPVLTAFLVRAESLGVTLRVSVSYTRAPRSDAAFFALKALPEGLTLLPGRPGIAGILEGLVNRTLDLHDSKADKGLTGVLVGVCGPVALGESVSRTVRTIPRDKIKAVGGIEIHEESVYGYLSWRQRADGLLQELRMVDRFIDCRGGE*
>EED79880
MDPYTPRTHGRKKNLGLSTREYTKACNWNNRVMHWGDRKTNRIRPGGYDEPSFIAETSFVRAPLNSRVASGVGVPKDRSKGKGKARDTLDGVPVEIQEALILEDLLFVLMGIEGTHITYHPDHLPEDDDPLQGVRFVVSPSLDPSLRDLVERILPLATYYTAITSFIELRSHLDFGLVNHALCAAMRDMLKDYQTLLSQLEHAFSTSPQFTLQKLWFYVHPTLHTLSLLYLLITELATADDPSAAMSDSDSDSTPDPEEEARNEALGLGGAKLKAVLSDINKGSTLGVDDSASGIVKGGEVLAILHERMQNMSGDPAARALYGALSRATGKPYVEMVQVWIRTGRLVDPYEELCVKESKFIDRGTLEMDYVDEYWERRYTLRDGTAVGGSSKQHQAGVPSPRTVDGRLPGGACIPPPLERWKKKVLLAGKYLNVIRECGIEISRDPDSTDDEEFSMEDDKFYKSIDEAYAHANRTLLQLLLRDQQLMPRLRSLKRFFFLSQSSFLTHLLDLSQSELRKQAKSASLVKLQSLLDLALNTDTQGEDAMFREDVRVTMASSGLYEWLLKVVTVNGVIGGEDGDRGQAYEESKKEREKEKDDKKQLLGKNLRLRTACNANVSATAIDALALDYNVKFPLSLVISRRTILRYQLIFRFLLHLKHVEQSLSSMLWSD*
>EED79881
MPKEIRDIKQFIDIARRKDAVEARIKKIAPRVPGAKTRTKFKIRCSRYLYTLALEDPEKADKLQQSLPPGLTVTEVKKTPKKK*
>EED79882
MAFLPYLSHLPPPSTSHWSKSSARNTPADPMPEPEPEESASEEGVSKSESADSAWSALPTALTPASAVLDKTLKLLLPLRYDGKTIIECNRFLLQLCIYWLINTSLTIIELKVQVALSLFDGDARAWATPYFAQLVLVQVGMVGTQGATTPFANEAAFATAFKARFGNLDDKAAAEVELAKLCTNKSVRKKCTAAEFSTLFKGPADCSGYGDLELCDKYLSGIPSRIYCKIELKTFIMWEDTDKYITEVEQILDISQACRPELNNFFSA*
>EED79883
MPFLVERAPSSVAAAAAKSAATTAAAKVVSAAAKATATAKAAAAAPAINQAMLVYRLDIGLICFAGLIILFALPRMLARLSRLSAWAEGTIFRRVTIASPLYFSKRFDPSKFVSTDEINAEKDFVNIDVTSSETHSDTAVNWSTSTLNVDAVNGSSSPPVYMPSWSTLFPWANFILSSKVRPGYSLGYCIILLAYTAVVSYVSLVDVDLFTSPSRLGWVATSQIPIIFILATKNNVLGTLIGMGYEKVVFGLLHIA*
>EED79884
MSEQVRGSELVARAGPAPDGHTKRTANVTSDKISFSPVPDNPYLYPPRRCQRAQPRHAALSWQVEVFTGNGPARTVVRKSLVKSRPTVFTASARLQLVKRPASTESAPISPPTPPPKQHWSRFLQITGGFTLVTVGTLGVLFYLAKKEKTPGAQLPHDPEKKTLVVLGSGWGATSLLKSLDTTDYNVIVISPKNYFLFTPLLPSVAVGTLNPRSIIQPTRYVTRHKARQVSVIEASATEVDPINKTVTFAARYAVNRVNRDDTLGRAVAASATAEFLTCRRDPCFQPAPLYCSQFIMDFL*
>EED79885
MSDNAEYKEAFALFDKKGTGAVQREVLGDLLRALGQNPTQAEVAEIIAGAPREVDYKTFLTILNRPDGFKPAGTPEEFIRGFQVFDKEGNGFIGAGELRYVLTQLGEKMSDEEVDELLKGVPVGPDGNVNYESFVRTILSQ*
>EED79886
MGLKKSLTRTFTFRSRTEKPQAVTAPTVSEPSEKVFVEYIEKILASEPQIATPVLQLPDLGDLLGKGGQGRVVLVKHVITGAGYALKIIKKNNGPLNSSRRVFEEMDIIRRSALADEPWFVGLRGSFHDSDYFFLLTEYAPKGDLSMQMARGKMIPPDLVLHYSAEIIQILTVLHDSYHIIHRDFKPENLLISSTGHLILADFGISRLFRLTQSDLQRPWASSCQSTTDETVGRDTSDAERRLERERLQVTRKICGSPGYMAPELFTGPSYSYQVDVWAAGVMLYKMMVGKLPFGLDRKQSTKELYRRTTTLPLEFLLDKKHPGLSPDARDLIGKMLQRDPFQRPPARDLRAHPYFQSIDWDTIESMDNPGPGMNARVPTRKNKQPVAIPRGKAYEAADDPFPWFTWVAPNLENVADLEGLGADNDLDPNGWPAGLVQKVRNWGTTRKLI*
>EED79887
MDVETLSQSQREAVSQLQALMNGADADVAVDVLESVDWDVQRAANMILDTGVPEAASATTTNTRMESFEIDDSEQQGLLRPNNSGRQFRPVNECVKNAQQSNAVTVRPLRAIIAFFALPFRLITSVLRFVFRLLRIPIPQFVPFTWSNLTYRPLGSSSGHEARAMDPKSVAERWVRALEEETGAVCISRSGRRGDSVSSSNGHGISSGADVAGPSVLTSRSGAHDASADADSGSKRLPGFFLGSYEHFARMCEKEAKVGCIIIVSDEHDDVAEFKSLWVVRSTLTDPTFVKLIQENDILVWGGDIRDKDAWSAAQKLQTTTYPFVAFIALQPRRSAASGASSTPTLTILSRHQGPSIPSITAPTAAQTLVTHLNEQIFPRVKPFLARIHAQTAERERERALRAEQDRAFEESRRRDKERVERRMKEEREAEEERLRHAQAEERAKQDAHRLEEVRKDWEARRMVWRRYERKALVMREPRPGGEAGRGRTMRVGLRMPDGRRIVRFFGEADSMTALYAYVDSQFIPAELSQASDPKEPPSGLAPGEEGLAEEIAASDRSPSQWWGFQLMLAYPRKEISWEPARKLGDIEALKNGGGQLVVEMVANASAAKGKQKQTEVDDEDGYETEED*
>EED79888
MYILDDVAEERDYDAIARCARVCQFFRYMCEKHLWGRLTFGSEEDVERIKIDMAAKDIGGWRGPNRVLIAEKMTRKLAGRWNQIETLYIEKASWPSSLRAANDAVFQNLSRFASITDLSLYNVTFPSIVTFGALVSALPRLNKLFLRDVKLTRPSFPLDPRTLADFRLLLQLKCLQEIDLAIDRHKPFTPTAWPCYTELLDFMTSVSNPCGKFPRVYPWGSVRRLQLNESVWWRFSSSSITRLLRALPSLEFFAFISARGRFGELNIIGVPTYPGLNPIHILVECGIPSSQHELDIVRSLIKMNYPLRITDIHTPIFPGSQETDTVSNAIKDLVTHAGPSLEHLDFVDWGADVSEHYPEVRTSADQSKWTPVVNSSVGVTGSFLAVDDDMY*
>EED79889
MPSMMETPLPSPPPFDKARLKQWAELVANRFQLKADQYSDLQQVVDVCAIFHTLFYHAHARFITQLGQDLDVGDLRIRVYQQATLYRVLNTVETQLIDYGKFQAILQAIEALLEQKWDLTKDQKKQVSIYARHKIIEPGRVEFMTLALGVEDQLRRDKDVLGFTNVYGNPVRENMLMAICRDRASSARNQYRKMLLKTVAPGAAQCSLEQATWLIASKFKKGGPGTTLAADYQIHIAILRRWCWENSGTLDVSDLDTGDGDESSNEDDLEGATSTKKRKVTRSSATVGKSIKGQDFWSRVDAWWMGRITEWGTNIKNECWRPYGCTHPERILIADIWSSIRFISKTIRLDKARFGQTLQPCLEPLPTGRLKRRLGAAAVGLSGGASPLPLEGVARVEAELWVEDQLGGMTRHTCAYLSGGGGLPFVWDPTEAKVLGGCTGTARVTICRTGWLWVRGKEWAAIEVEACFLWACWGDSQNFHAIQHFADTFELVIMWDKIQRIPGPLWVQHYNNRASDLKDHSVETVSLRYEDMVMPCIMVSHGPSLPLELWEQILHNLAEERNYDVIATCATVCRVFQHVCKKHLKDYLNFSSEEDVDSLKTNVATKEIGGWRGPERVSIIGEKGSHAIPHVATIASRFAGRWTRTERLYIAEASWPASLRAADAAVFTDLSRFTSITELDLYDVTFPSVVTFGALVSTLSSLEGLSLRDVKFAMSSFLFDPRMLSNFRLLPQPKRLQRIKLDLPGEFTPTVWLRYTEFLEFMIAVSNPHGKPPRVCPWGSVRRLEINESIWWRFSPSSMTRLLRILPSLEFLEFDNARRESSVMRITGFPAYPMLKPIDIRVETRTPQHVVTIVRSLIKMDYPLRITEIHALTFPISRETDSLAAAMNELVRHAGPSLEYLYLCIKGHEFDWAFKAFSDQYHHSDLSDSGNTPFESIRINHLRLFCIDACEILSHVASRRISSVSIDFDWFRWSDQGGLNDVFSQLDAALSLPVFDNLVHVSISVLLDQDEPDFHEKEMKDWVFLMKSCLASLDERGILGLKLSYGIKDEIYDQVLRPDVRLGLIWIHGIKDWKRFDSKRDEKGNIEIVEVAAFKGGAYSEAMAAHTAWKRSNSVGLASIFLTVADVCVGCLDANPQAQCPTGAACRRGAAINTTTAAAHHAPCCPRAMQRIGAAWRTDGTDIWVNVCGKACRECRCSLRVKCIALCCIPVTAVNTHPCTRSGADHVERERFAAFAHLAAPGTSSGVAIAYKPISS*
>EED79890
MQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEIFAATADKLEPVFARMEKEEGNLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGVVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPNTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDNTPPSTKAMSANTARIEEIVEVEESTLAGNDEPQLSAKTEPTAATSDFWKKYM*
>EED79891
MRLARFTVAREGDASNLASRWWTPGTGENARLWTCVACRDQRWLDLRHAKRHEATRLHQRAVQYRIQEHQAESSSSAAQRVAGPLYELLHDVSHAASSTQREPLSVDNADALVLDWETISSQISGDLAPSTAQSAVVGLTTSLMDWLATDPDAQHDLSDSEPDERSNDGEPLLSEAPAQGMFPFMTANCVLTPHSIDDLLSGIPRMYGDYEPDPEWFPWPDKATCVLDILRHLPCSLFSDAQIETIMWSLDVLGLDNRPSLSVLKTVDQMLQRQCGIESIRFKGPLGHVYYVNDLAAIIAQEMANPLIRKHLHFYPEDAGSRLREAWQGRRWLHELSPHIASQMIRVDHQDYYIHEPAKLRDGMVVVPMRWYMRKSSSGEQLLYGEGRQLVPVGIPQGRQSYVVLDSSVVHFAATDLSLCLSNLIATYQSDGLPDPRSLIGIVRADGGVHPWTRTDPLLGNPWCARAKGQQVVTFMMWLYCDDTSGNVSKKWNKHNSWLFTAAGLPCELGQQESNVHFLTTSNLAPPLEMLDGIVSQLEAGQRNSIWAWDVEAKECVLVIPAVLALLGDNPMQSELACHVGLAGKFFCRHCWVKGRDAHEEANIPEARAASAAGSDSDHSNTSASSVGSQGKPSKKGRRAETLQKLVDHARRFMGDTFQDHFITRIAEFARKLRGSHDEKQAAVDHMIAHEFPANITSPIFRIHDLDANRDTPVEILHVVLLGFVKYFWRDTIAHILKEKKPLLKTRLSSLDVSALGIPPLVGETLVTYAGSLTGRDFRTISQVAPFVLYDLVPYECYEAWLALCTLVPLIWQPEIDDIEAHLVKMEAAINHFLRCTARWTPRWFNKPKFHIIRHLVAHVRRFGPAILFATEGFESFNAIVRSKSVHSNRHAPSRDIAQAFARANRIRHLLSGGRFLKEGSLPRSHEPLGLPTDSQAWVSAGHYPLALATPRANMKNIITHYYGLNKAIHAPPPHALGLCLLEPSPSLSWHNTLVAPHLPMTLADPQARLRRCKSVQYRTNETYTVGAWVLFQQATLEANYPSHTQHQHRNLCAIRRVMEIIQVCNSPHARTGHADWTLIQTFVIAGPAKVYGFPQLRPGHSVTVKPLDVICTVNVQHMDVVLNLAQMHDAQHIQGFRIPPIELDLETAIVTRPRQHAVHGPAVHGPVSFCCILAKSSTGPHVNCEPQGLLGRRSYAHLPVLPPSNVAACQGQVHAFDSQDLIDVYLPDGPEPALYRCEQQPCPNRTPRSIAEDYPRYKAIRRAQHPLGPRSTLVSRSASWHSRPVSPSSRLPQTVVASPSQVQGDLPPDPAPEPEPEEGAGEEGVSEPESADSAQSTSPTALAPASAIPDVRDPPTELPSAPSPPTPLRGRSSTCSSRSSTSGRPPQPPPPPQRPSSPPTPIMSSPATAPDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLTSVQMGVQGVTTPFQNEAAFTAAFKARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRDAEKRATEVEQILDISQARRPELNNFFSTRGRGRGGARGGAPQSHAASASINAAVGKGDFPGSCFGGGKQGYRRFEYPNCKDKPYTKRADARATLADAAPGAVDSDASISGM*
>EED79892
MQHSKGPSLPADIWLKLFDGLAEEGDYETIAACAKVCRGIWKWSNRYFRRGSWGRSWTFNDEEDVSRARKSLARMGRRAWPPLRSVVVVGEQDSKAIPHMAMLASTLAAGNWARIAELRIENAVWPSSHGAADASIFRDLSCLGWVATLVLDNVRFPSIVAFGALVTALPRLKTLCIHNVTFPRSSNLFGPRTFSDFRLLPKLELDTIDLGHVAKKTSDFTDDAWSHHVELLAFMTAVSSGSAPRTLARPGLRSIVVTLDRPSDTNCLYFPRVIHCLIDLDHHLRITKVSISAELFVSASDTPQILLNKVLKHAGPSLDHVTLHNMSAKAYHLSLLRKTLFCHLNLSENTNLKSLELMCSLRTTWCYDYVLELLSSVNSQCMSCIKLDFSPWKGRRLRDILPNFIAVLSLLPVFEHLKNIVISLRSIVRTSRGRMEKRDADLTEELWPLLTRAARPNIFGITKGRARIGSFWDDEANSWKYYDIKTDESDNSVVIEVPRFENIGLSLDFADVHTVVASSAFANMEDNMGKQQRLDRKLSLLAESSKESDYSPEYVVPKPPTDGLPEITSRPPPNLDRFGFGSLRRFTGLPFTASRQRRRQLKCYCRRRKPTFLFSLRDIGVRRRVCIDDDTK*
>EED79893
MSGSISHILQVRVSAFLALLMILDGNSYLYYMGARRPTQSSSSLQTYASDVNLHVLYQDLASVLQTSWSLNLYAMLCARRERRRGGGASARRPNCREEAQSSGRIRQIRLDRVSLGNELGQGLDEERAGVASEGRGEGRCAALVVQMHMEAGACDTTGHLCQE*
>EED79894
MDEDDMNVDLDPELSQAFYSWLPSMRDSHPDRDVVRWEPTQPPTFVALLDDLKVNRTSQIVSERHKGLVDQTVSPLSSNSSASSEHRPGVSLIRTHSRQTRPRLATASDVSTASTSHIEVDSNEWNRLLRKASMDTLRTARQRVEYMERQAARVRAREEASRPSRSGSADRRVSGSASSVRNDPGEASTSSHAHANQRDTKTASAVSHKNADRQGHGSGSRRKSSMDSRGHSHQRRWSLSSSDSDDDLAAVQQNTTVVMNDLDILSYITPPAAYNAEPSVHFTAVPHSTPIERSRSEGSPNLSPPLERRERPPKSSRRESSHKRPSPLAPIVTTTSLSGALSTTKCIPDTKRTSETTNASASTSPTTSAAPRSRVLGMRPISYSVASSTQLTPSQELPTKRKPFKPPFTRPPPATMDTPITPAVTPSSPLLQNPAAPSRPPQKIPTRRRSPSPPAEADSSFGDLEMPFTYEEIEKAMSAYD*
>EED79895
MLLLRLFLRCYRP*
>EED79896
MVNLNPVLNPLKNTIDFVAESDAPVDQDGGHPLPPLLARKITDVEQEAIRGPGFTPADLPAYINAVKTLNGPGLDDRKFLLEKVLTLMARAPDDSAFAATLQKFAIDILYKDLPHPPSGYLALPSGAPPAASPITKGVKYAYRSADGSDYHVLIPTLGMAGTPYARSVPSTTRIPPQYLPDPGLVFDTLLKREKFVEHPGGISSLFFAFADLVIHSIFNTNTRDWTINDASSYLDLSPLYGSSQAQVDSVRRKDGTGRLYEDVFADPRLLFMPPASCALLVLFCRNHNYVAERILAINELGSYRAPSTLGAQERAAQDEELFQRARLVNSALFMQVILGDYVGAILGLTRDGLAWRLDPLAAMRELNHAFSPQGEGNVVAVEFNLMYRWHATSSVSDTQWIENLFGELFPGKSWSDISVNDFRTAIIKYVMGTPQDVKEWSFDNLKRGPDGRYDDVELANILQSATEAPASAFGARGTPEVLRVIEILGIMQARSWGTCSLNEFRSFMGLKPYSTFAEWNPDPKIYAGLQAEETKVPMPGAGLCPGYTISRAILADAVCLTRGDRFLTVDLTPFNLTTWGYQDCQYKKDDGSIGGILSKLLFRTLPNQYPADSAYAFFPFMVPKTMKGHVAADAVNKYSWDRPALAAPVTSLLGPSVSAPVSEYEKRLKAILGGVVPDRAAVRQVLFSDASIQQWAQSFQEITQQLLKTKSVDHVDSKAKYVNIVRDVINVLPVVWISNFIVGLPLKTETNPHGVYREHDLLTKWSDIGNYVFLDQSPEGQFALRGKCTETAKDVTGFVKGHCAELSGHSLSGFADSVVDYVTGGNEHERSFIKSLLAGRHGSHDALAAGVIAEVVATAPLYSRILAEVVDYYLDDGKRARLVSVLTGESVNRDEKLLALIREALPTGDVQKLDAARSVDFGLLDHRFLAKTAFTVLRTIFSLKDLKRAPGSSGSLNRFADDTNGELRPVYLTSQGSVTPWPVSMLVQYNL*
>EED79897
MATWPQEQWNKRSVARALLWSDCSAIDTTMWAHGEDEGIRTVWDVDVDKVLSVESVNLALTGSHVGWREDGEEPRLRVREDKYRPLKCRKGEGKPKRAGGIRLVFTEAMHADTAPGAIDSNASISGM*
>EED79898
MPPPPPPPPPPLCFPFSRGKSRRRLTFSEHDDAGSTRSSVARLTQHPSGEYSPTATLTSRAEKLTFSSETGSYESARNQRNEAIEVKPAVLRRNTTKGRSTIAPSREAPAPAAKVQRKWTYGYGWGTGKKEKERQRQQEIEQEEIYDEPEASLDLTRNPSQDSGLPLYQSPRPSTRSKGSSGIEYLNPLPPQSNPASRSNTYKSTSTGRSVPRSGGSGSRRPRFNAADSVDTLVGSAYERKVNDLDPIPERFDTSGRLNALRELMKKDDLDYYVIPTEDAHGSEYVAVSDKRREWISGYAPPHSVHSFCD*
>EED79899
MSAIQPLSVLILRLWFSMASRTLEAYNLLGVLPDIVSRDMELRPMRFYKLPGGVEPLKMFDMMPLEEPTPSTPYINARMLGQAKAEQALREHLATYGCHVERGTELCSFEQNTGHVVAHLIKRNGDQKIAETIVCRWLVGTDGAKGIVRKRLGLTFLGEALAGKFVFGEIIVKNLTRDYWHCWGDFGSKMAILRPTEDDGIYNFTVSGDVGLEKIYEDYDTLVQTVKEISNRQDLIFGEIKFKSNYRCINKFCCLTSD*
>EED79900
MRTFQVLLLFLSTLAATVLADSYAREERMVARDVWDNDALFARDEDALYAHDDELYARDLEEYKDHPLVREIVNVLWPERGATGSRRATTVVYISPWNSEKRAWILREDEEPPG*
>EED79901
MAPTYQDEEMNSSGLCYEGVPVKCARLECRADSRTRDGQHQPVERIKSQREACASAATRPSFLLSALQCLASERGESSWPPRCDMLGLPSDPWGARPHPKLARPWPRPPIRAVVAFLIRMRLPARVVSGTDGKESQSGDLAASPPRGWTTMEIATPIMVGFFVAAIAATVFVYYRHRLRHPPSIHRPAPVTVSCWDRFRLRMPGWVFGVLPGTHAVRPGNTHKPSTWSIDDADNIPLHAPQASSSTSSSGSGPGSGSGSLSRSGFASVPQFEPGGGPPDEFHPQFDDDDAEAEPAHRAVYGAPLHAHERNYSSASLLPHVDISFPRAAAVFGRFTDGARIGKSPGYRAGPVLQCVPHDGFKIDGVDSPTRPHAEYAREAQPPGTPPRGTDERSVLLISRVPGQDFVIEESEIGA*
>EED79902
MGQYWEMHCEPRQARDSFERRLGKLGAFFFSDFSSLIQALVVPFPKPSPYCRMQKPGPFIRTEALGHMNLPAELLYIIYSYIDNLRDAISLTITNEHLASVGHSRVYELICALHSSWAGDRIVCLGDYMTDDDLPSGMISQDELKSLYAAANPKYDDRSLYDVAVNNFAPVSLDGQRWLHYFHYNPSTRSYLRDHSRHRDYLFRTSSNYASSSPEEDKWSLCNLTKHEYVRADIIAMNLGVATRGPFIEGRLIRLEQILFSLICWSCDEGVNMKYEADLHRGAWAGDRIAINTLSRLSPPLDSGVWKDITIPVFKRLVDIYDLDCTDEEWYTFRSIENQDQSEDEERPEGEETKIRTRAMTAKVSIWKKSMKTKGGKLAEITAKTTKSTMATIKTVKPTTKPTKTMKAAMILHNEDKVEKTAIISRPALKRRATKPPNIRKHRPDA*
>EED79903
MKQHWRIIQGTLQKLYTRGWEDLVERLAIPFATPAPNSVLNEHQPFERTAELGRLDLPAELLIEIFGNLDDISDALFLTLTNMHLWAIGHERIYEILCSSVGTWAGHRIMCIDDYTKFDDMPEGVLSEEEPGVLKELAWQIEQRPASSRSNHSEDGCDVEDEDSSDELEREHVFDELGPGDDHNASEDIPISGEISSEPELAAPEDDVRIESANSEDGGELQSTELVGDDWDISLPDVVDRVFTDSNPDTVVYIERILDRLLEVDIPPAEERRCRLVAQECPNWTEGPETDRHKWALCNFSKHEYVRADATAALNDQSNMGPFIEADPGLGGVLLSLIYWSSDSSTATDEQADLHRGPWAGDRLAITALPMESEEWKDITNPVLRQLAAIYDADDPDEDDRPDNYVGIFYGRPVYYGDDLELALRRVYAYALLMWGWLQTSCAGSRRDHMRWLAYNFQRVHPVEYLSQCSIIVLEPTVHLAGDYAAHPNDTGRPHARRIFKPYIRTYINPWATGKD*
>EED79904
MALLSACIESHQRAPQYDRIGAEKRARDIAPTIEFIERIMQHNFKGFPVLLGKLPNRSDAILRESAVCEVSVPPGLISALSPRTSLRNVSGNPFGLDIEWATCIGYDPVTDRRRRTNQLQGQGYIFKYSSLSTQMCTPFLESKGLFSGVYTLFSFLRERFDSLDGYLVLNALLYYIPVKHYHNDKALPVDGNLFHILAITDLGSLRLELAQNAALQARTEFPAATLIAWLSTPDLEAAGSGRCAEIGAFSAEGTRYTFQSLLFPHILPITMTLEFDTRTKFKIGDEVRFRPRYDNDEVVGKVVAIHMTTDSHVSYALAIDGSSITRMGLTKVPEEKIRGLSNNMPFFVRLRHHLHIR*
>EED79905
MARGNNHRGRGNFRGSPRGIPSSGRVRFFISSTNDYPNFQPMVPSWYCNTNRVADAPPTRGVNSPRARGRGRPNYSSPISGIASPREGAETPRGRGRGRGRGRGDTGAFSPFESDRGRGRGGRDGRGGKNFDVRLRTGAPLSKLLYEDRPLLKPITFVRSVFTAVLFKEEEDILQPIVEPVADDEKSHVPTADQISRVFSEAQDEASAGDESGEEEQIEEIDFADVGKIQAEVDATAALLAAGQQPRAPAEASEVAVVEEKFTGFWVDTTPTPVARQTTSETVLVDRVNGVLGECPPDDEEIIVYVAPHPRTGRITPAPQQSLTHPIEEMQTTSVITGVEISETRREDIEISETREDVELVEPPASTAESPPGESSVAPPPPDFEAVSFSFEQTPRKRQTRKVFPVGGPRSLLQRSKKARRRSLRGFGAFGAMREEARLRERDPRESEQRRGDSDVNWGDSSDEGIEELSNGIGTMELDEGISLNAMRSFVQSMSADGSRHLTMDDVADMERIKAENEEAAGKDEDSTAEDGQEDFSSSSEKEDDDDELERAVDAEERHLVGEDAGDAGDSEDEDEEESSDDEDTPRTSFQARLKKVRDRAYKRKADAEDGDSSDEAMSVQMTRRDEDDAFFAEIEELLDQNDAILTGKDRKARSDLFRAVANGDFESEDEFESMMRPAARKKDKHLPSELQAQWDNDRAKKAENKRKRAEARLAAAADPLAVHKGGKKGLKAMLAAARLDASIEVPNRITDLASLEQQIRRFIADIGGKPTMALPPADKETRKKIHELAGAFNLNSKSKGTGSMRYTTLTKTTRSGIRTNERKVNAILKRSAGTWGAPGKGKGQNISLAKHREGEEVGKAAPKIGESNIGFKMLAAMGWAEGDRIGLSGGLEAPLTAIMKKTKLGLGATLVEK*
>EED79906
MQSL*
>EED79907
MVASTNGEVYAPYSRVPVVVEEGFQSSEDGSEMHSPVYDGPYSPHGQTLPCAQLFVANWDGHMGASDVASQVRDTRTFDGFVLARPPNTHDLAQHLSQELAIHEQPVLDFESTGNRFQSTVLAPSADYTFNLPQSRITDSHFRPQEVFHDSSSSYSSRHTSSISSEPDAQQIALMSSTTKTSSLNGNTNGHSSSTRPPRREASSVVIACRQCRARKIRCDSTRPVCHNCTRRNNECEYDAVPKRRGPDKRPGTRQRSCKKRPLDSTTLPAKKKRKVSEDSVSVVDVKIKESEDDKLHALSLSHPSLPQSASVSLSASHSPQHAATEALYSREPYSPPSRRYASSIEYPESKSFVRPLNLPINRHHRHDEQHKASPLPLSPSVEYSRKSWWDNLLHEHSLREIVGDLNFLLSSSGHWLSFIHAPTFLRDLQDEHLRVRMQPALIMSALAMATLMKSSQIELGSSGRNRALYFRDNAQSLLEAACSSQSVDYTLAEAALLLALFETSSHPQYNADRSTCSLQFLDRIIQVLSLCSVDARDPDVTLFSADAVPVVYMPDNYRPPKKCCCVIPSHNGVGIDDYRSYSSMNPPWDRSWSDAEIRKEECRRLCWTALALVSRYTMQCSLSHQEPLRFALSEPSNFALLFPGEAYERALGHEQLYGQSPKESVWAVYCRSMLLWSSSTRRVKETWSVDHRARFASDIFAETHAVQCALDMHHCNINGTFAYMCKELLYNTRLVVTHEISRLHDVDRAAIFDRRHAEEWLFYQDQISKNLKAAMLQVTEAPGQQLSRRPFQVNWVSSQVMICLALWEFDHAFVHALEQAKTFLTPLDVLNVLWPSPEWMDSAAGDHGSRIGDLDCSEPNNTHPSQSSITERGVIGAGWQHALCNLADLIIDSAGSWATIHRSGPNPSALRSQAVTVQPRGRVGGLLHARSGMVGRKHHIKHFRADQVDRSQSRTRVDVTLPGSVGSLPSKKTSRVACTSAVPHLIVQ*
>EED79908
MSVTDPLGDPPPDSYVTPIVILSTSPPPDEPPPPYPSQDRRTRTPRSTRRRRTLEQPSENASHTLSIVSGGGTEYEAPVSSAQHSYDADYRDLDATEATPLLNPAVSSPRSVARPPGFITRQRTLSISSTVRSTVSVAPSFAQTVLSAFNPERDPDVDPECAEPLGGSDDDSDGESPLGSPSLRRTGSMDEQQRAFVADLASQRLTRQRGPPWRTRWLRYFRPITKRAYYSSLFHLLVLNFPYALIAWVYLFVFTVAGTTTLMALPLGAVLCFCDLIGARALSRGELALQTKFHGPLAYPIPGPPLPIFVRHRAPNAHELEAGLGTVEERSFYRNTYAMFTDPTSYQALFYFIVIKPGITIVLSLLLIVLVPLSIVFVWPAPAVLRLARRLGIWQANIAVEGLCLAVRYLIRELASTIASRSACISTDMFFAFKHVPCSALDWDAHQGSESTSLERELKRLVCRAVPYRGGLTSLQRQALLGRSQRIVRPSTATHRLLVTACTCLENEVPERDIAVTTWKAYKYYRALELEDVRVISRNCNIRADRHTEEDVAVDIAHAPSCISDE*
>EED79909
MSYISAASLCVALPTLGDKNATTKILWSELLAAQDRENTSHQEYGLCEYVCVDVRSSVTDNISGMVESLHIEVDQSGVATNFLATRRVILSTRIECAALFVDQNKTFHWMLALPAAGTGVKAMHLYAINSDLE*
>EED79910
MSSLVQRSYDIRGHHPWYPEARKARVAVDWAIGGALTMGREKVPQETYKGQDTSFISCNAPAVYPSTEGALGLGIPLTGCLTPASMSPCDMQAQALQDLPTKTTKISMCINILSAYKGYQTGQYTVKNTKGIISKAKLAEIVALEVQTIIRDGAVADPLWKLGDDGIQIEDIYLTEVRHVSKARLQPHFEIVRRA*
>EED79911
MLSFLSLGLLAVPAVHASGSTFFARDDSANATNATIVDMVSSSWYAGWHADNFTLQNVSWDKYTHLIYSFAATTPLVSNITLNGSDADLLPQFVSMAKQNNVSAMVSVGGWAGSQYFSTNVASEENRTAFVKTITDFVQTYELDGIDFDWEYPGNQGIGCNVVSANDTNNFLSFLQALRADPVGSNLTLTASAPLKPYEGITNGSAFADVFDWINVMAYDVWGSWSPSVGPNAPLNDTCALLADQQGSAVSAVAAWTEAGVPAHQIALGVASYGRSFLVESALAYLEDNTVLAAFPPFNSTPLGDAWDNATNTDVCGNISGPSGVFDFWGMIDEGFLFANGTPAAAIDYRYDECSQTPYVYNKSTGVMISYDNAESFIAKGSYIANNNLRGFAMWEAGGDYDDILLDAIRQGAGFEDDC*
>EED79912
MSELPSGFSLVPRRTWTFRYTYLLCSRVGRVKRVARRDPEARITPSHPRERAVKAGIPGLAILGGWPPTRGCDACSRRCASIAASGWSPRVQVHHGPGIREEAGVVGTSVNGLHGGYDRREVRTATALPSARWQPAVGSGLEKAARVHKRGRRRVKHVELRSLHADAEREKRTQRKGSARNGKEVHGLGTRMGVRGRTAGTPLGRASQCREEIERGPFDRRGRGDQQLTPTASRTLVRMRMGDRDRCQRATSFRSAIQHRRRAAREGRWTCLMNSDAGYCEKPRSYDLRKEAQGELVEGLSQAPTMVGQMRSGAINVAGTKRSAAVGGAEGALWGDARSDGRTDGREQQTTEAPRERCDGSKPACQQCVRAKKGDACEYDDGKGKTRTQLMREHIARLEMRIKELENLDQTSPSITLFDPHAPSPYYSGSSSSSSHGSPSALSLPASVSPTPFPQEDYTPTNNITEAYSPSEEPPFELAQVLLEIFLPHRHQCGLDIHVGRLRESLALPPSERRHPVLMHAIYLWACFLSRPGPLAEQEPHFLARTLAAMPDALGSPAHAVDLVRATCLLALYYLANGRLFEGSHHAGVAGAMAVQFGLHQIDADDGAGLGDEWEAGARLPPPQDAVERGERILAFWQAYNLDRCWSVALRRPSAIPDSDHPWAAITTPWPQRMDEYECGLGLGWARWRWRLIWAIHFIQGEGAAATGSPTVRGFFAHRDQTAGFSIAAMRAKASALFEAANRLSSSWTSRTSRAYAFRSRAESADVLSRPVSRTEAPSASLVEHFGALEHTIAGFAATLLPLHQLSAAAPEDRFALLVVHSLAHAAVVRLHVPFMDGDPRSRDKCLRAARALALVTKHVADVDYDFLDPLLGPCWSSAAKVLEAEHACLQASWPPLNALEVRAELGAILFALTKLSARFPLVGYQAAKIQNFLESK*
>EED79913
MCHTNATPMAQERATTCLPARTLPELSACPASRKRTLSQTTAPYQKQTRKH*
>EED79914
MKAVIYVGLGKVEIQERPMPKILEPTDAIVKLSKTTLCGTDLHILKGDVPTVSFGRIMGHEGVGTIEAVGPAVSAFAPGDRVVISSVSKCGLCTFCRRGIFSHCARGGWQLGNTLDGTQAEFVRIPYADGSLHRASPAVPDAALLMLSDAVPTGLECGTLDARVRPGCSVAIVGAGPVGLCALMTARLYSPAMVIVLDLDSARLEVARRLGATHVVRAGTGAVEEVMRLTGGEGVDAVIEAVGVPASFELCQELVAVGGTIANLGVHGASATIHLEKLWNRNITVTTRQVDNGSTADLMELIARGQIDAGALATHYFRFDEIQEAYACFSNAAANKALKVIVDFEGTGGAAARL*
>EED79915
MVPSSRQDRDSGPS*
>EED79916
MSTAVANRSRLSTADVRPLLGSEPFFSQGQVEGEHAPSDVLVLESARLRGPPLVFLGLHEPQAVGGEADALPSSEFSAKSDAETVIANLTGTPYFSLDVTQVEQTVLDKTLLASEPAKAGAELTFFEPRGAMAYMDAFEKGLHNFAHPRTDAVVIMAIIDEAGEKVLLGRNRKWPEKFYSALAGFMEPGESFEDAVKREIWEEVGVRVWNVQYHSTQPWPYPASLMVGFYATADSSQPLRKDLDNELEGEITCPILASRIVYLVADAQWWTREQVLQVLSNAAGTNLTDKDHSRISEAQEQQEQHDHEALKSSTANARAGEALPKDGKAQVENSSASGLDEVPFRIPPLTAVAGVLVNEWAYRRAGPGSGVAGQSK*
>EED79917
MPNISGHAITAIRSRTAPHVRDGYPEPAEVFSQETNPHGRGSHDTRSISDDENIRKHTLFSLNVLDRTAVGLAEHILTMVRQVPPEIWWLVIDCLGEAREYKTLINCMLVNRRWHERSTKYLSHVIFDSQNSVASLALSRGQYIGRRWAGPSRVSIVGEGARVDQRTLIQHLGTFAQMLAGRWTQVNSLVIERAKLSVSMLPSICLNFAAWDSISTLVLRNVEFPTAAMLTSLLNSLPGLCDLTCGDVLFAEQPRRSPRELHAQIGTLTMSSIQLDPDDHCANHCLMYLSRAATFLSGVTSDDISLITLDFHLPDTGTPEQIRAIAEGLVINGFPKIDEVLSRPIFATLQRVFMSAIQPTRTRMPTEYRPNWELYLPNLVERDLVWYG*
>EED79918
MSNKIVAEFEEYGVSEDVLAELHNKWQSKVLSSHVADFESLDRPSTTSHPQHPPYPPHPIHAHPPHPIHQAHYQAHNPYATPHPVQVPPPPPGPQIKAEPVDSRFILSGQMQPHYGMPPLAGPQIPTARAPNGMAYPGHPPNMGSRPQSNAPAPPAPTARYPPSQQRIPQVDGPSSSSSDSPSPPPSQGYAPRSTHPSLPQPVQHAAASVDDEEAINSELDDSDSDNDGDREEFQSLRVLDIRKLKLEDQDSFPTSAASQTCLSSVVEVLLPTCPAVLRFAGWLSLPRLRSVVLDAANVRRSATSSVISAIDMFLAAHGAKITTLELLPMTSLSYKPSPISITRLLQPDVCPALDTFVFDCREKIVSAASSPYSSPMRKPAYTPSLLAAAVPPSLLRFLDGAAAPVLSEPHRTLRRIGIRGMGISRLYPSRPTHAQLHLHAFLAHRALFPALETVRTVGLLVDASTDPYARDIFIWWTEKYEERGLDLQDGEGVVWVYTDPVEGKEAAAEEQLDSFGPTILVHKSDRRELRAAKGSV*
>EED79919
MGTLSSAALLLTIACIYVAIRRLRRTSVSGIPGPQPESFLMGNLGELHQGQAGEADFKWQSVYGGITRIKAPFGEDMLWISDPKALQYIFQTSGYNFPKQPERRALSRLLGDHGLTWADGMTHKRQRKVMLPAFGGPESRALLPIFEHYAEQVTLRWKEMVETAPGRSAVLNVIKYIAPATLDAIGEAAFDYKLGCLENSDDELAKAYQNLLADVFARPSKAKIFFTSIAHYIPMPLAEFMYDHLPGQGLEKARFNRDVAHSVAEGLLKSKSHDLMLGKGNRDVMSILVKANASENDRARLTHDEMISQMRTIMLAGQETTSNTLSFALMELARYPKYQSRLRAEIRVAEQTVRGRGDVSLSVQDMEAMPFLQAVVREVLRFHPVVPHNYRQAGQDDVLPLSKPLTLRSGEVVTEVAIPAGMRLVLSIAGYNRDKDIWGGDAHAFNPERFLDHSGKRGPTVGVFGNVLTFSGGIRACIGWRFALYELQAFIVQLISNFEFALTDDIKRLRRENALVMVPTLEGEAEKGVQVPLRVSLAGDLDD*
>EED79920
MGQYWKLANLDKRETFGVWGKLGEFFYNDFETLIEYILTPFPHPAPDSVLAKHKPYVRTMETGKALGRLDLPGEILHFIFDNITSFQDALFLTLATPLLEPFGHQRMYELICLCQQRWKGDRIICLGDYARDDDLPEGLLSETELQELQDKQLDIYGLITETYQEVAYSPKAYWLPPYDVWSCLPKRELKFYLSISNEEPNCHYLGKAKVHYWVLCNLTKQEYVREDSIAAHSNETPDGPLPPRSIGLGNVLLSLICWSWDDSIAMRYDGDLHRDRLSPPLASGGWRDISEPVVARLVANWEWE*
>EED79921
MAQWSTEEASADPRETSLKPEFGRPQPPSYFGNELSALRRGPNGSMFKRQAPETLAAVQYYLQRVHALTLIIWVVVLTLDYAKKGDTRTLLIGAGQYALQILIYNYVYKDTPLLGSRRHLGLQSLSALERGEAEPSLSAKGDEEK*
>EED79922
MLRLTSDHFPVFVPFGVIGWVVPEFTFYRYLWYLIRVAAAIAYRPVPLPENPTYLAEEDVTIIVPTIDAGEEFKEAAHSWLAGRPKEILIITEEKMRGPLQELADAVDPSRIRVLTVPYANKRLQMAHGIRHTTTDIIVFADDDAIWPPTLLPYVLACFEDQRVGGVGTSQRVQSVGERMSVWEILAAFRLSIRNIEIAASTHIDGGIPCLSGRTAAYRTVILKDPEFLHGFTHDYWLGKYQLNSGDDKFLTRWMVSHGWSTYVQCCKEAELLSTMKPNWRFLKQVLRWTRNTWRSDMRSLFMERHVWTAHPYVAYTMVDKLFNPFTLLAGPGFVAYVVYKSTIPVSDGGYHLPSWNVVVSYFAWLLATRTLKLLPHLWHRPQDIIYVPAFILFGYYFAIMKIYALLTLHETGWGTRAGIGDPTAATAAADTDGDNKTPGQMEKACTPSGYPFPEVRPYGQLDSTEPRREYV*
>EED79923
MSVPPHYTSEIDYFLSLLEDDDSAAYPETSGHDLNQDGNDVHDGGANFASPGDVFGEGHRDPFSPPSPATLYRPPFDTLGFNDGLPGIGAFNLRPALQVPFTAVDTSIFQDTTGFQNGPPSSSIPSANFEFLTTTLIAPQNTDVRQCPLDYADTYAGPGQWPDMMATDPALASGVWTSPPLAAHGSSSPEAQVYNTSAPARRKAKTSPRKTKTQLAHKDIYLKTPPEYRFKCLFYRCSSDFGEAKVRANHMGKHFGLFWTCPRLACSISFCRKDNAWAHFKRFPECMKHVPRDDAGRVMYEAFQSRENEPWLDLDDLFKTEYPENADQSVTACRIRERWDQLPMLLEPSCFHDV*
>EED79924
MQLVRNLYVSLFVLGTFDLFLANNSGARFVALWRTNLTSWYEVTLCDIVRAENYAEQRRLLVVTITVFYTSDLPNPFTPLAFHPQAVATGIEVGRYVTVTTAGALLWDVLSNIFSDYDILFNHRLPFTTLVYAMARLSTVLFVFLSAVFQTAPVKHCSIFQQVRMATVVATISTTTLLFHIRLRGIYQMHRFIIGAFFIIWLCVVAGAATCPFGETGENLGLTDYCIEGPSKPYVMLAIFVPIFYDTLVFIAISYQLSANILRELPNGQLFYACYQEQIIKLDEIILVGINTEVAKICGLHLQTVQILREGIQSRINLNRLVRRLEKAIADEDWNEDSQLPPRATWIKTRTTLQKLKHARKLLHNVEIHEHDPFAAQRYEDLRHTLDRLETVVLEVDKRAAPQPKRPAPILPTLPIPFPPKSAQPDPLRPQRSEEATEAELASPAETTHIAAQDLLLSATDTEPALRIAPINPGATLLPPNRPSSPGTSKLAGTPAFLQNSAALQEELSAQLAQMATQLKRNAVHFAGTLEKDKTLLIETQDKLERNHDVMKAERLRVRDHRSKSWGTTWIVMLSIIVAAVGFVLTFMVIRIT*
>EED79925
MFVYQHTPIARVPADVGRLLFEECFHAHCHEERITSALILASVCRAWRAIAFDLSVLWSAVHIDLRRLHEEPDEHWKAILRRSRRHPLDVQIVGFYDEDKLELVRMRNAVLMLLPHLDRWRSMSIGVVTIESLTAILPLWVDEAPLLETLSFSNVAHTHRVSRSTSTLSFDPRFSAPRLSTLELLSTRLSHQHRIFCGRFPGIRKLTLECSLDSGTFLDGRFLAQCLGPLKSLQRLELVASGYVEFAQLLEDGYTHGLIELPMLKELALSYKLAEYTVQDILMCIKAPQLTTLIITPAVALSWDDPLPFMLKTRFPSLRTVQLGFDHNMYDPFCCTDFFQIFGSFEHLELLNLQLVRINQEPLEFVDVLSKCIENTSCWNLPRLISVQVRDEGDVVAASRRLVEARLAASSGDGPHSEEKRPSCLEKLSVESIEAISEEDRVWFESHLQFFEWNIVPDTGETMENKYLKLTSRPLTNGSGCTQYVSI*
>EED79926
MSGKGTFTLMVMQLIEIGLFVVGTIQTHVPAQETEMRRDISWGDMSRLRRYPYVSPFGSVFRELADGEIITFPRFWRRDCSPTGSESYLSTMFLSSISCSMTSKTFGMPYSVPARHSDWPHLTTIFDDRHHESQRSVMARYGLIPRSARIFRDRDNKSHARDNCSVHYGTRCAYLQRLPLRHLWDALHVRLTYIGGVFRIHGTHRDVLQGLRSTLWDVDVHMVMRPSRRAPGGVDANTPTWICAAAAGTPSDRAAQFGRVSGGMDAAIPGEASGYYVQYLLLIENTCADEVHYACCYPETSPPAGAPPLASSAVTYTAKRVDDERVMSIPRANQVKKSILQKGLEGRYIARIGDVDYLRQRRAQIFAYHGKAQYLVMYIAGSHDMGLTDEAQRPGAGLDVPTETGQADHAAEHHAVIDARRPLRHCCFPPSWASRAEATRDRLRKQQRSYWPSTRASPRQFSIGKKPSTHRHYRDEIVLCNGMAAMCCAPSPFTGVGSPVTRRTRLPELGFLHPEISADASGKSCAHVERLCSGDVDITRSFPLGRCFVATLSHVFEESEDTNIFSLRGYVERWQHSCIAKLAVFLVHNGFPKIRESAPSSASSSH*
>EED79927
MFEPVRGGTRGGQAEFKWSDVSQDKDRENYLGHSINAPTGRWQKNKDIHWYNRDLPQTQAERDEEIRKVKEAEAEALAAALGFATATKPGAPSAASGPGTGSNAIGVAPKPEAAPPAPDAEKEERRRRKEERRREKEEKRARKEKRRAERHRSHSDDDAEDNMRHTHRHRSRSRSPRGVDRSHGRPERDRSPHAHNRSRTPPARRHADEHTHGYGERAREQERERDRRRWEDNARRERPGTRVGESLRRSFRPRITVKPVVGVGPHPERMGPQRDLQPVGDVSFSLLARLVPRILDFAQYINCMVRGVANVHPITSLSLHEETPFWRQTRLFPRMSIVPLLFNEDYANPQPFHAAGLLLFRIHPPLQTVIPLVHSGAFLSRNTLIWTLSLLRVRQNFGESVPGEHRGAASTGRCDKPTGFMSVRGAPAAAGGVHMKFAAAPATRCAPRANPRDDVGMALQIAHETLQGRRKRGCGGRDETGMKRARAPLAGRNPGLDPVSKVFGRAAAAGSTGARTRCGGPLPNGLVNNVPACWSRHAVGRDSRAAGGPGPGRWGTTGVQSGTSPIRRGRVAGDAQWADGQIGAWIWRHGGQGSERRLPYAASGRLRGVRPAECGLPFFLEDKTGELTGSEFLDVHDRARFAYRCTLRDTHHTAYMVYEVSPAARSTPVACLNFGGGNALGTVKIGQGEDISMSKYLSKVGTFGSTTRKFTASDGQEYQWTRDTDDGSDAEWTCLNAKGYHVASYSLKLNGEQYETSSGCMLTVEESYESLIGALRIYAHQPPPAPPTRPDSPAGPRPILRSGKAVHGRRSALPQCIQSRPIDRALPDLDRRFRYALMRRPAFCVLRRKGIIIIRRKHTGSAPSA*
>EED79928
MAGPHGNSDAPPPSYADTSSSSNAVKLRESFATLVNDQLEIQNLFKSVACQLETTPQLGEHHPLAEEWNTLRQSSMAIKDKKIMIDKFLEAITVHQDTARRVATKFHELGKDVERFQLKVASALRCQAEPSGFLQSIWSGLEEICMTIWQTLHKLLRAMIDTFRSMLSRIRTIRVHCVVRVDIEFSQYSHLPSDMQDDSPRATAARVMADCKELTDQLSGFEDAWHTVRLSCADLLINLAMAKSMTSVPAVFDANVRSAGIIYTPLVECLRAYSLGRAPGP*
>EED79929
MFETVNLLKILIIQRKDHTFTLNHKKCTSVVEPPIALSSRLRALGRKHSDGSAAQGVGTLQVLGGQGAFHKHRGARAISQAASRVRSPKVGEQGGVRRGTYHPPAWPDGGIELEKARVVDSPALLHRYGRAHRRLAPINYREIEIVQSFLEKILVESRACKYIRPQMTEENVLDIKQGRSFDATVFAFTGEWLAIFVIQISQNGCKAALGRRYINQSCCFVDTNTIGRDMILLRKTEFIKAWTHNPISEMTRHTGTDRRTTKTYRVFTGAPSAKDVSQPTSDYHWRTVSSKTPAYGFPPATLGAASLRISLLYQNIIFGESDEHPDDAVDDDIPLSGANRTDIRDFASLPTSDQTTAITWPATTLGGAASQSMLDSKVSFLRPSLGASRLRSQPETQETQGSASYNYSNESSIARFPSFHFNLHAVTSLSALFTEAQQHLARSGPVQKGSRKVMALAAVLEAEGPDTIRVKRGVDAGKEVSLLKLIIGDDDGAICKLTAWREVAESWGGADPDVPTSIKRGDVVLFENVLASWAPGSGVEPEREGAVPVSLSASPNLHSTLQICYRTMPCMPEDSRLRPDLRLGSSDAAVRRVTALVDWVEHTAGLSVK*
>EED79930
MNDRHAGQAQSEWQTHAEGSIHISPGGRKVLSITSGWRPYRRPALLTGGTFKDGRLFQEDQARYAFWSGEETDRHHGALTAIIQVAVCTLSSALMDELPSTIRDYITYLIGNDPLVSVSLSFIGNAHVNLRHAAVCELCKLLEEVCRERDVAMIPATAQDASPEIWWQEVQWDPVIAAIVTSFAFRWQDALRVLVEGTNALVWLQKEISPPVTWSIKQQRRYRDQLLVPIIEGANEALSHLDDYMYNPALENRDRADNALWSRIPPCSGDTLEGILARLEMLAVYDE*
>EED79931
MFSRRSNTPEQEPSTNESTRTGSTRTGFFGRRRSSSSDHDDDPRNDPSILAARQKVAEAEAAEREADRALMQARASARSAAEHVRILEREAKEESRRAKVKQSESKIVSKSARALGRFG*
>EED79932
MYFENVKKTKGRGGQRAKASENMIVRPGEHDQFIKQTYSVYRNDPEGDPQADSPDEKPKEPRKWHLNAYFTKLTEGQLQTIDDIPELRNLVVPDGAFRSARTSKAGKKGEPSKPSGSGTKRTFAPFPSNYRAHQQQPSAASYEPQPGPSTAVDPFSPSTSAMAYPQPAWPDASAIAIAPAHTAAPVQPSALYTQPLQMPPALRPVVLPDAGDAVQSPPPPEVLNVYTPAPISAQLGYSSEPNYEYISASTPVTRATHSEYSLSPSLSDHSSSSWRSVASPMSPSPFSSSSNCSLSPMISSIEFPLLDNGVMGPPCAPDGACAFGPKWPRARALDQRAGQGSQTRLDSHDPQDPGGRYCILFALGLAEGLGVHEDGQKIRPGSTPWRDRHEGRGTQETAAASASQRPLYLLHPHHSVPEIVSESGLRQERHSGPDPKIPSAIHQKEPCRPMQPSPQMRLAGTYAMLPAFLARSGEVAGLYGGPTEDQLLSTFHIRRRYAGRHRGLAHHYYVRL*
>EED79933
MHFYGWKKGLKTGMYYLRTRPAAQAIQFTVDQSVLKGAKKNEAAQRNAAKANGVASLAPAASAAVPLDTSFPVLSDPPTPITTVDDTTHSDPPTPSTTATTASSQPEEILTLDERTQKAAEQDPEFAAALRRQRQRELEQEKLMCSLENKEACLMCSS*
>EED79934
MVNGVRVNAAEGKKSNTTETTIVLSSGDENTQGKGSAQLPKAAHLAKLRRTVSQVTNNEALAEVVDEFVQVLQTHRSRTLTKEAFAFLDALYKQLADPSVYVRPMRTSLPRDSAEARENAPVQRRYAKQNKLWLLRRDVARARNNQAIAQMVVEFGATINKSSGRTITKDAFAFLDGGSGRIHELPQHAIGGEE*
>EED79935
MNDIRLILSRTASKCRIPVFRRPMMRPNSHNPLWLPQELWYRIIENFDNDLCTLSNCSLTCRAWAAAFRPLLFRRLRVTDRNLRRVHSLLLANKQIGTHVRHLTFMDFQSWSGQWQQEQRKTLAFILSQFPQVTNLTLGVLVLTPSMLDTLAPLSPPVQFLTVGTLVGTTPDAFTRFIRAFSNLHMLSVEEDLYIHPEGKGLSSASVMERLMRTLGIAFTPPAQREPDWYQQAISSLFWNLSHRTIRGDPRALAALLQDAAPSLTCLRLDFRCLYDDTVVFGKMDNTSITCLEFWGVSPYDKYWIPQFLSQVRTASIREVKFRDFPSGSSTRTTDLLRALEPVLSRAALPALQKVTFSVGVSSSATEHSLGCTSIQALLPHLDAEGLLEFED*
>EED79936
MHSPSTRKQRAASWTNSACADRSVDDSTTGLLTITEAQYESLESLYFVIGGTTFEFTANAQIWPRALNSAIGGQEGTIYLIASDIGSNSGSGLDFINGFGWLQRFYSVFDTTNTQVGIATTPYTDATTN*
>EED79937
MESLRVAANVLSGVLLLFTHLLLLLVTFGPPYLALAVSLVLPQKYQGTSAPAILGVYIYYIPMMAFNGVLEAFFASVSSPEDLGTQSKWMFGFSFGYIFGAITLAKGLGLGDTGLVWANVVNLLFRAIYAWMYARRFFAARGGRSFLDWQRVVPPLPVLVTFVASAVITRWSEMRSRDIPLQLGAQAGHVALGMCGVVACVVTCLTRVRNADLTANTTFDQNRRYPREATANIDKVDSTTQSSWWFSPSFRTVAKHYLVASANSTPQVVVVQQRKPSFKEEVILRKPETKEHGEKILSGEASFNPKQRE*
>EED79938
MLMKKGGIVESDVFAGATKTQPIVLDQAEGLSKKQKITLGKSVLMKQNGTLKMFANWYILMVRILPAEEAHVGSMDQHCLEEKHDLIYLMDGWEDALKQSVYGYLIAQLGEHPIVLCFEDMSGEHATAPLACFMHGINTIMGKIMVHPLIKAVITKNTCIVTFFNASVTSWSGTNSSVSALQWAVLVLTDAWIRSRAPPLPLPITVADEFFDCSRLEHAFDTQEQIDVSRDDPESDGNQAPFFICDRQPCPNRTPRSITTDEGRYVPIRRVQHPCGPALRYAGTSRSTSRHVTPVPSRPASPGTRIPQPVTSTGQARGDPSLPRGVEAVAQSTATPQTIPQSSLGSRLERNPKPEPSNSPAVTWASSSSAISSSTPVPVVRHPATGLPPSPPPPSPPRGRSSTRSSRSSPGGQSQQPSSPVGSPPSPSSSVMSSPVSPPDKDTLKLLLPLRYDGKTVIECDRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDARTWATPYFAQLVSVQLSVQGVTTPFANEAAFATAFKACFGNLDDEAAA*
>EED79939
MMNVNNEPMATQGAMNLNFIFSQPHCQHKMIAANPMFMSFTEPSSGSNTSLNFNYLNNFDMTPFKSWSLPLEARVDKLFKGSFIGMQNSVNFLVANETGGAMIMCKDSSFPLTEKSAKNVEVLTVWQSITSNLQLMPNPPWICSHAVGSCKHLEQDLDIQPNLPTPPGYLEPTTN*
>EED79940
MSATRAQSEKDKGNAAFKAGHYSAAVVADPQSPTYPLNRAAAYLKLGKNEDAERDCTTVLRLDAKNVKAMFRRGQARVALERLKEARDGPANGYPLTTDAPEKAKAPITLFAFTKQWEKTRSSEERWRLLNAVPPAALPALFQASLDAALLAGVLSTLRDALRAAPEEEMQARVRAYMQALPRVPRFGTVVLFMSDAERGVARDVWELLGGGDERAWGRG*
>EED79941
MAWSVRPQAEMGPASASAAGCSTVSVGCHLGPSYMVVHQRRELGAVWPERTVRNASAAQCVDHIVFGEAYIGQLWDRVRSRDRQAHQMRAIVMTGGAGRQHGDWTRAHRAAWLHCQPGQPRAASRAPQHERERECAQWERAALRTWSGCARTQDGDGTMAGEERAMGGIAETLLDKIVWVAVSL*
>EED79942
MPSIPPQSLIVVTGITGYIASHVGLAALQAGHRVRGTVRDLKRAEELRNAYAKQGVDITKLEFIVVDDITSGTQLANAIKGVDGVAHVALPGDLLDTSDDMPHRAVKAVVALLQASANEPSIKRIVFTSSSVASYEPPALFPEPVTDKNWNDVAFQAWENATAEDKTKPAWVWIRYAATKILSEKAAWKWVEENKPPFDIVTILPNANFGPVLYGGARSTVAWIHSFLKGDNEFTQLVGPQWFIDVRDDGRLHVAALTNPSLSGKRIWGVAEPTGWNQILAILRKNFPDANVAPDLVGEPGEPTKQKIENTVATEALGGWIGLEQSLVDTGKSLGF*
>EED79943
MFRLPSLLEAHCVRQLGFFGGRRRVLTWIDSLVLPTTKLVRGKLPVWYPVARILGPAHGESGITRPDDKKAVPGIAGILGARADTYWPSGITGGLFIPIATLSLSVTRIMVDIFVNTAVWEFALNLVHSSGPRVFEALSSRIVTIHAQNQVEILSIIQVGGSINRGLMIIYLKLLWVWAWKLHGDTSIPSLLC*
>EED79944
MSNPDFHYSKLQHIAKRAILPLLPSDPASSQKPDHELPDPKNVQGDIYLLFPKDHENFIFFAIRDVDQFRRDLANYTPTTSDDVLDNLRQITDAKASAASPSQVTRVRFGQTQIAFSRSGLNLLGQTQQTKDTHFDQGSMRNEIKALGDGGPWDPLFASGTIHGVIIVAASPMIVDADECQTATQNVKDAFKQSIYNVSEMDGNTRPGAQRGHEHFGYKDGVSQPAPRGLVKAHTGQLQCDAGVIICGYKGDPVFDNPYLSASEKRPDWTKDGTFMVFRKLEQDVIGFSNYLKSAGPQWRRFLPQDEMNKISPPLTDNEGAELFGARLVGRWKSGAPLATAPYRDDASIPLDEDKVNNFDYTVKGQFGPSDSVCPFTAHTRKTAPRNLDPYLQKQFLESMLVIRAGLPYGPEVAPGEVQKDTSPRGLLFLCYQSSTENGFWQQTRSAVNEYFPTTSLVPVRQGQDPIIGGATYPSFTVTATGSVPSRGEVTINVTDSSGETQSVTGFVNTPNLSAEAIAPKYFVTSRGGEYFFVPSVSILRALAAGGTPGPFTSTPPTQEGVYRIKLYGQTPEQVWEYIPDSVPWVKLCPLDQSNDYQKWRITPLGNGDYYIKSAKTDYGLVHSSYGYWNYGFPIGSAGGSIVWQINERTAGSDNFLKIREKGSNSLDSQSPQVVHFYKDDIVLNCCPDTMPKGNRKSEADVSMAGPSDRSNIRMSRYERNLSAISSNTGILGL*
>EED79945
MASASSQNELSQATFNEYNTALQGAVLKATKHAVQLPADIAFHRSVDRAFARDVEACSSRVLDLTNRLLSLVSTADTPASSRRKGKARVENEEDVLDNFHALVVDSMDQLLERADICLDEFLGRSKPPAIAVNLTQPTSHKAKRTTVPQGRLDPALQHASHLAKPQLRFTRKVDNSSRTIWQPTLQHKFNAQVPLGHNLRDEDSDEGLPGPSALHPYRYEIKHITYPDRMFASAPPMSPRSFAETPFTWVADSTAFSAMLNKLRSAQEIAIDLEYHSYRTFGGFVCLMQLSTREEDWVVDTLAVRDEMEALNEVFTDSQIVKVLHGAESDIVWLQQDFNLYIVNLFDTYHASKVLDFPRHSLATLLEMYCDFTADKRYQLADWRIRPLPEEMLAYARSDTHFLLYIYDNLRNALLDRAQSRTQSRAQSPSASAPASKPGSPLPTSGNPAHSLVRLVLSRSEETALRVYEKETYDAEGSGPGGWDTLARKWNKGALIASAQEPTSGPLAMQRAVYRCVHAWRDRIAREEDESTRYILPNHYLFILAERPPADMAALLSTFQPVPPVVRRRGRELLDAIRDAVKRTLGPELSAGPSAAASTAQVAQADVAETTAESKAMQVDGHVLPVVEGCGTGTSGAAVTSLWSHVARPASATLSSALFGSPEVIKVDVKETDAVPYAASRSALFGERVTVSSSLTSTSSVKPRFIEVLTRIHSTLTVAPSLPKSSSVIKSAASGNALLAQDAEASAPEDGDTLMLPGTVELPFVPASERRQKARTAGEADAIVVVGQRQRKRKRVKGAGAKGKDGEAAAVDSRDQEEAEPFDYNAVSNILDEGSEPEVEESSSRKKKHKTKGPAPYQYGNFGAAPKAHSQPKSGNVSRTFR*
>EED79946
MLWLQLATKLGIALLTFVTHPQTYGNPYLPLTIGAFIEAAVPNILFIAENAAHSFITSIPSLPAPPTRQAISGPQATVPSTDLILWTPIGLPVSAGLHLPTLVATPISSLSAATAERNHALAPQLEYPTKELIVWEGRTGESVDAFGSTNFVASAIVAIVIACYVYIVANVLRACTLYTFAAVAPSADAGTPPPFDVELALNCEGVSADFSNQELVSGIATESDAHVHHNVAKMWLLGFKQLVHANEISWQNPRQKFDSRIKRTDSTLQPCIALGGIAEPTYMWRLPDTFRPPLTQTTTRCNLEEDSTSNALTGAATIWSPQDDNYSSRTMASDTSTRLCDFHKRSTHTNLEEGTKFTAILVHHKDAPEGHEELEGVFPDCNWTVPEHPGSTCLSPESPTLGIRPMDTPWLQPSTSSEHRHRLVHEDGQFVQYALPQAENLFAIPFNYAPYGAQFPTIRPWATPVDLHQTHSTWLSQCYAAYLSHVAPHNVTSAPAPGLQYAGQASEFTSYINYDDNVDAPVPVPRAPRVKKPRSHTRTDVIRLCVHRRSNLREPAAYNYKCGHCEAWFSRACQRQRRMRTGCANGEQKEWQCPLCLKMYSRTDSRARHCRNLHHISYEDALVLVRKRMADIVTSAKEGSFEPPAED*
>EED79947
MTLRPAADYIALAPLSGGNGTSLTASRAASHTLAYLLRRRVLYVVLFGSALLSLFVILRGALHEIDMDIDLDEDLLDIDLMDLLPPNTFESLRTAYLPFRAPRTQVSHNVTLLPVRELSGECVEAYFSEGAPCGVSGGQPKLDFLWTWVNGSDPLEDQAKKAAQAAFGPTDPWRPAASGTQARMYRDHDELRHSLRSVLANFRGYAGRFFLLTADFPLPTTTPNLTQALPPGWRLGQIPQWLDIEARTAWVDGDVGLQVVHHAQVFQPYLGTNFNSLAIESQLGHVDAISDHFPLNPPRKERRPVHDVSHEPCQLLYPCGSPVTAYGVVIYLQSDLMVAPTRPNAKTQGEWRSMGESNYILSALLFFAPFSLLTALSVGERFGQRHRPYVVHEAKSVSRPLLAEAAAIWPTAFARSATHAFRETAGPGTPSDVNTMFLHAHMLVERAREALLWTWVVAHVGTLDDGWGDAEARQAWAELGGAWDVPEGGKREVEVRAGRRTTLERERVMTMLAQAGVPEGLGKTAYVFSSSDGYAYATLGTRGQQKWPAFTPDAPEDKLPSCRISFRQCFPGHSRASEVFKHIAFRELQCGDCIISALMRTSGPLGLSTFLPSPDRALGSLAGKLPSLPFGLSFPGAGSASPGDELTVVPHLPLVDKWENGAFALRDVMGATGERNVRRWTLSLLQRYRYVIATTPAIFERLQSPRQAASMLARVDRNKEAALLCINDDVVSGDAEVAKTFREWQDKHWGTRAKWEKS*
>EED79948
MPQIAFGTGSKWKGQDVTDYVTNAIETGFSHIDTAQFYANEESVAAAIRETGLDRSDVFITTKWSSQAVDLYLIHNPALVKDYESDWRDFEAVREAGLTKSIGVSNFNLEQMQKLWKIAKVKPAANQIQFHPYNYAVNKDLLAFCQQHGVVVEAYSSLSPITRYPGGPVDRPVNAAAKRLGATPTQVILSWVKAKGVVIVTTSSSREHMQEYLDVGDLPALTEDEIAAIDAAGARGPPSSAHRRIRDAAILLSTTALLGWRLYRFLH*
>EED79949
MPRPLSRSPGAATYVLRARTLVRMPLAVYTVADAYRDVRSVDVRASPYRSSHLAIRAAVRTIRVLVAALKHWWREDAVANRGHSLDAGASGGERGGACGTRVTRDDQWLDSGGATAGGRISEIARDRGGTHEGAIGAGRREEQGPSRKWCEYENVHAHAWARARVRHRLCGDAVCDAGVATVAIRATDDVGALRPLDRKGAYVGECVRGRERGGAAVMILGE*
>EED79950
MYFQAVASCLALTSAVTAQQIYDVWSTTWDRSDLFTYTNLSPNPIDFVTPGATGSADIVVNDGTVYQDMIGFGASLTDASAQVLSELKSTNSDNYWDILNYMFDPTDGADAAGLTYIRVPLGASDFSANTYSFDDTSGDTNLDDFNINNAPSYLYDTLKDIVGINSLLKIHILPWSPPGWMKDSGTMLGGSFLSQYTDTYASYLLKSLQGYQSLGFSVYAIGIQNEPQNSDTTYPTCSISASQEAAIGTQLRSLMDSNGFSDTIIIGYEHNWNDAGEYPVTLMQDAESAFAGVSFHCYAGSVSDQQTFYNAYPNKNIYFTECTGEFGSDWWSDIKWYMDNIFIGSPNYYSQSGAMWNLALNGLGEPKLPGTDSCGTPCRPIVTVNSNGTYNYNQEFYSAAQASKAILPKDSGGPFGQRIEVTVNGDLNWGLIVSGFVTNRVNSSDWPRYSLVVLNWDDQPNGSWDPTPITATIEFRGMQATYTFPVGVTTLWWYGSPQ*
>EED79951
MSSYRIQETLKRVVSVRVLLTTAIEYGIKLSEFAGCGALGSTFGQASTFQNLPATAKKAAACARILSNISVPYSQVYGIAFDGLPPIVSLAKLRPIAAPGVAGCRSSSVPCVFLPAVVHSAAQITMDDIRLILSRTASKCRIRVFRRPVTRPSSHSPLWLPQELWEEIAKYIDSRHTLSNCSLTCRAWEAAFRPMLFRWFCVNNRNLRRAHSLLLANKQIGTYVRYLTFNDFESWSAHWQQQQHKTLVFILAQFPQVTNLCLKVHVLTPSTLDALALLSPSVQFLAVGTLMGATPDAFTRLIRAFSNLRTLSIEEELFIHPEGKEISSASAMQRIMRPLRITFTPPAQRERDWYHRAIDTVSWNKRDRTIRGDPRALAALLQDAAPSLTCLRLCHRYWYDGNTAFGKKDNPSITSMEFWTYDKYWTPRFLSQVRPAKIRVIKFMDFSTSPSEDTTELLRALEPLLSRAVFPALQRVTFGVRFSSSVSLGCTYIQALLPHLDAEGLLEFKRHRVVFHLHPSEDLAWDCGWMEQAATGLTQSPLVGGVRGLRSESPISFQMHRVLLIDEIVARICQYLVKNDRKEAAALARSCRALHIPAIEAMWETSNVVHIVKLLPADAGAWDALTDSETVRLYHLQRPLVPNDFAAVMKYAPLVKHLEKSWLYFSFGTRPENLAVSSETLKAIAEQCPSIVLFPALIALDWPLHSCSSTCAKDCNDWVDMPLFIGPKLWRVVITELDEDHRPLIGILNKVKADCPLIEELVFENSDQKRTEDVSEHVSSVIGELKSLRILRCGGEHSYSPATLSYGGISLTSSALSRLGKWSYLHDLSLKFSKTRPVPPMCDTDFVALRTLAIHHDNLAGYVMSASSVKLPYVRSVRITWGSLPENRDIRELFQAIRSQFSPLQLSSLTIDSDLTVLGDVYRQRITLRSTYIKPLLDFHKLEEVLIVACWIAEYDDEILIDMASSWPNLRRLILIPEDADDADFIIRATLRPLQAFAFHCPHLECLKLVFHADGNKIPAVLPNTKRECLPQNLDYINIGPSTTSGSLSEIAAFLAKIFPALCEIDSDVILSEDWKIINGFLTVHRGVREEGRQEARDEMPAAAAGTD*
>EED79952
MECKKCGADMRKGRVVVDTQSSRGTSTSGRPVPICKLAQLSTSSFKWKVPTGDDAKDTAKGQKPRVKQVKTAIAKKPKVIHNKNLRQHHRETKSFLLYTGRMNSWRLHIANPDTCEKAEKEKQLSEQEKKGKQKGGIRN*
>EED79953
MSSQPIAHDELAGHLGHLSADQQQAFNVFKSILHAANLYTPSAHTDNGPKPASHDEPTLLRFLRARRFDPNKALKQFQDAEAWRTRHAVNALYASFDPVEFEDARRFYPRWTGRRDKTGRPLYVYRLASIGPLKRELEAVPPERRYQRM*
>EED79954
MRNKASTPPSSSSSS*
>EED79955
MPRCVRAPSPAPADSCLTHVPCPQADPSETIDIETFHQILDLDEDDTHDFSKGMAWAYFTQASTTFTEMDEAYTKKDLAKLSSLGHFLKGSSAALGVAKVQATCEQIQHYGQLRDEESGTDLTEDVALERIGALLARVKKDYVVAEAWLKKWYAENSVPGEDDEDA*
>EED79956
MSLVVACSLRLSAPQAENCLSNTVRTGYRVRRPPKTARRTLFGLLLKNTA*
>EED79957
MPVVTLGTVTDIIELARVLKDLYTALDEIRGSTAEYQDVAADLRRFSVHMQAVEEVIASFPETASPHAVALKQDIKGWTELARKWQLKFGKYEKTLGTEKGKILSKRTYHKVVWYLRGRPRVAKFRTELEAAQGGALLQFMAIIGDMLLWSFKDTTNRMDTHTRELIRTVQQIAPIVVRGEGNSFGVTDALGKRLVLPTEHFKNWGLFHHLLQVHFRGKNTREAMIGHSFVQRGDYIMSLVLTRDQGDELERIIRPPQWTLTVTDGAEFTMTIAMNVPTGDVCPRTDEIVPDNTRPSAG*
>EED79958
MFSITPLINFLLLFTNALLVRGLDASLTLADVRQAFYEADIPQDANLTFNPSVFLQVIFPQESSSLSIVLYTPGTNLSVAAVALEPVFALVGPALSKDFVVIMVDLDVPSPSDPYLGPYRHFLGGDYVPLDFTPLGAFLLGNLTKPVSSWVSPAPDSVAPHRYVLLVYEQPTDFSGQTLITTNTSRYNWDMSAFVRAIGMGDPIGGTYMLVGA*
>EED79959
MIPLYAAGASLALLLIQLFLLFKALSNRPLASQSESGAQRAPVSTSHRCDSRNVFASYVARHGGVGILSFNIARMISCVSLVGLSAIPIIQDLSKGSHALLHITVCLTYLTARLPQIYASFLATISVFAKSGVAVVAIRHLDFVLLSVWGVYIYRDVWPLATFALSPLDAAEGVILWFQLADLTFAAVFVPLSIPRRYTPVDPKHPSSEPHPEQTASVLSRIVFAWLDKTVYKAYRVPHLPIEELPPLADYDQAHNLMQGSFKELDPFQVTKGRHVFWGLVKVFRE*
>EED79960
MAMAVLPLPILGVFHYFAVPAYLSRSLRDKIGLNVLALDWSDVQSQGAVRREAIGKKRRKREIPTPAQDESSVPHPNDNDPTPAKQQLSALTYMTLRITTDTLLSSVDEWFHRGYQFTTSEERESLYGKPTPALFVALHACGSLTPNILRAFLARLKSSDGNQKWSPQAAIVVGCCYNLLEASGRPPTYLTNNHLQLAAQVPSQWMRSEVASTATRLAIRKVVWRALLAGILARVPNLPANDNKQADDDDYCPVHLRRLGKLNDSVYDNWDAFLARAGEKLGVDLMQGHPRDREMESRLEVMHVLRCILGPVVESFILLDRLQWLKEELQGTGMDVELINLFDQASGSGRNVAIAISPYLRETNSR*
>EED79961
MLCTIFSFLGALSVATSAATNDWSTPCTDGHCFYDIGGPVAGTLHIAGDSNAISDITAAAGWTILDCDPTSTNQTIRAVCSTPSAGCDHLFQGGAVGTICGSMPFARVVDVWDHENQTISSSSALRRMFPRDGTPTVLGLTVDTDFSAVDPQEKGSVAVYISASYAGGPSAGVSARDISERAEFGTNTPYLTLDEHGSLFNETLTCISPNVKGATVDCDISLGVSVQANVTALFGVELNGTIIPPNVTDFSMYAGLDGYLDGAMLVNASAEATFSTGSVLLLEVGLAGLDVPDILTLGPSIQVSTEAIAVLDVDFSAAVGLNYTFDKAMFYFNAPPNHTSSGEFGSAIPIVNISAVEASTNASVTVKLVPSLLFGLTALGDKVESAINFDMETFATLELSLGTESISGCGNSANKTSKGCLDVLGGVDVSANVEGNFFDLFQDQAGVSLYSKTFDAYQRWMSRRHWTARRSLPLLPQAHPEARASRQGARKAAQSHFGYLDPSSLLFACYLPCFEGSDITAHKDSIFPWCFEKLPETIAM*
>EED79962
MYKGTSKGLTSCILDMGSYKPNPKIYLSALTHLNLEPHQCAMVAAHIYDRRASATHGMKTMFVHQPREPNAPNDVKSNSSGGEVDVYVESFVDIANLLAEAKGATA*
>EED79963
MADDLHKQDNGAVDGAQSDTEPQLLNVPDSQISMDLNVQSLQGSSEQSPMPLPLQQQNVVPYETFQHQIEDQIVELDGQMNGLSVQPTDGEDQKNQAGGAMSSSTSVTQNQQMIMMLGSQQLDQEQNRQDIPQTQPSIQQERYSQLRSRAQVWAANIRQLEQDLALHNQQHGAMPEPTHQLKAEQYSDQIDRFKDDLHTMFNLIKEMARGDVSTQQRLPSDPGDTNGEPPSDYPTQNNPQQGPSALQSSQPPMIPDQVVNTLEGAPAPAPLKMRSADQIKPYVGLEAGRYPTEAEIQQARLYKELLLEQLILPGIGHMKPQQIPPEKESEYAAFFQELCGYASRVYPAFELMICGYPENVVKKVIAATMTAFRQKELSEEKGSPYYLIGLENIQSLNNTMKAAAQAIPYGARSEMTLYYALSLLLPLPSHYYRPIYCSSPKDVAAIPTSMFLPAPVPTHTANDVYLVILILVISFVITIFLVYSAF*
>EED79964
MPLVVPFVSAREVDTTGVSKMKRQARFGQEFQGCLANARIVLVFSRAKATARRGAKIIADQAEPSIDDPELSIAEELSKVRVQTPVREYPAAWELQLLSEPCMSDAIEALMDKEDIYSIQNRRKYPCKAGAPPEGPRLGLKQIDRLLRRHPSYLAPRTTHPAMSPSHVGVPGNEAVDTRRRQLLSSSLTHRT*
>EED79965
MSGSSLYYGTAGDVDIHYDVELDDDPHMSLTSQTLNADGTPKRPMNAFMIFARKRRPEISAANQMMRTGDVSKILSKEWNAMSMSEKKFYLDQAKKLKDNFNSKYPDYVYRRRPNNSRKKRKSDAGHEAESPEYSPGVDKADPSIDEISPISSDELTIRSPVHAHHSQSQSPDHSISFSSGTEGSVSPRSSLQYPHNMPSGVGRYEDALSYPDYPAAASPVTTYPSHTQHAHHLANSSWANRVEQSRPDWPSVPGLDSEATRQRISDACYPSDFRSEAYTSQLGQRSWSTVGQPISSTSAPAMTSRYANADFPTLTSAFTFDQPSSQRTSSMLSSSTPVPVTQHEYTSSMRMDRAHDQNDRRGDMYRGPTHSNTLPLPGNGHYVASPSAPYQWQQQNRSVPTTQSLQTGGTVLASSETAYLPQPGSNPHAYWDRGRFDAR*
>EED79966
MAKIALTFIYLGYTLTTLTKTSTGLTANLNLAGDACNAYGLDIANLSLHVNYDSNERLHVHIYDTANSQFTIPSSIIPLPSPPAEGYADSSDLVFNYESFPFAFWITRRSDPDAMPLFDTRIIFEDQYLQLTSALPYGANIYGLGEVVASSGFRRDVGTDGGVGTIQTMWARDDADPIDQNIYGSHPIYLEHRYNTTTQKSQSHGVFLFSSSGSDTLLLTPPRSPVSLIQYRLIGGTLDFYFFSGPTPQRVIEQYGELIGLPTWQPIFGFGFHLCRWGYSNVSETREQVQRMRDADIPLEVMWNDIDLYHAVRDFTTDPVSFPAAEMRAFTQELAANHQHYIPIVDAAVAKQVNATDITFLQYDPYTRGVELDVWLKNPDGSQYVGQVWPGYTVFPDWFANNTQAFWTEALRNWSQGGVGFSGIWLDMNEASSFCDSSCGTGADLATPPPTTLPGDPDDPVTEYPEGCVLPYNATIWGPSGNITINGTLTYGAGESASTLAKRGVGAGEQPYVNVNSPPYAIHNGFGPLNVHTLATNATHAGGYVDLDVHNMFGLMEEKTTHIAVKEILGIRPFLISRSTFPSSGKWSGHWLGDNWSLWTYLHYNIQGVLQFQLFQIPMVGADTCGFSGNTDEELCNRWMQLSAFMPFFRNHNQRGALSQEPYRWDSVVNASRTAIATRYSLLPYWYTLFANASSYGTPVIHALFYEFPDEPELFAIDRQYMIGRDILVTPVLTPNVSTVDGILPGRGKTIWRDWYTHRVVNATIGGNTTLDAPLGHINVHVRGGSAILLHAQPAYTIWETRQGPYELLVSLSADGSAFGTAYIDDGESIPPTPNRTLTFSVTDGSFSITSSGDFNVAQKLEAVTVLGISKPTTVVVQGEQDGVTWEFIEGQQELRVQGLGWDLNNAAFIFWG*
>EED79967
MICIIFILLPLLAVARVLAHGFLSQVVIDGTSYSGNIPGKYEGASPIRMISTIDPVLGLTNSNLNCGQDAQLADIVASASPGSNVTFQWTGGADGGEHWPHETGPLMTYMASCGSTSCAQFNGSSANWFKIDELGQMSNGSWYQLDIMNGDSYTITLPKSIKAGGYLIRHEIISLQQAVNEGGAEFYPSCTQVQIGGSGTGAPDITVTFPGGYNETGTYVPDIYDPGFQYVFPGPPISNLAAPGDGAMAAALSSAAPFAASSESVPIGGGGAFPSGTASAGMSAASASAGTSSGSNSGATSPASAALRCRVKKPNTSSTMLGAAGLTRRFGHAIMSSWRHST*
>EED79968
MAPRSHSRASRARSLRKSNNATSSRQVIAPAAASQSDISFPGQSPFLTEDEIALKEEYADPQSTTSSARSPYRERTDTMVPIEHTATRSRKSSLSFEDKVIQPPRMERSNAAVPVLAHASADESQNEEWEDEDEELMDSTRSPLADAGQSSNPFQSAAKPRARGLQRTPSVYNFSASDAEVGDEFYYSADSVSVTVAPDDRFDAWLKTRLAMRDSNSDCAVIRAEEEQRLSKRFEVTIIPDKRRTILWDGLPKAVHIWKATLLVKDNMQHFSRLVPDVPDHNIRIEWSKTVNQNGTTTARAENDAKRFARYSKVFEGKTRARYCTNNKKPTEQAVDIQTDSKWIRQTDLGCAWTLRFQVPVPLEAFIGCEYRKFCVEAKLSSIYEHDDNAFTHTLGRDSEIAIENLLSSDILKNTRHH*
>EED79969
MQRTPFLAIRYFISRTIRPERREQLAALLDSAGATTVSLNDPTLTHFITMSLPLDDSLEVIQTESQAVLVTPTWVERSRILGSPQIAEYYSPDPALLFSGVTATANDLSAQDCELLSAAISALGGQWRMALTKDVTHVFTLTTGSVKYATAMAHREMTGMVVVVPHWFEDSVRLGVRGLPTKGYEWPEPAVFRGHADLDKEGTAYERNRKIPAEKKAYFETALVEGHDLPPTRAPPHNVWEGRRVLLSTSLGLSEGQRKAHEADIQREGGIVVELETLQSGSAKEIAYEEAEKVAECDILVTRYRTGKAFVEAFKAKKTIGSLTWLCGGRLLQKIITVTNYSGKHRDYLKKLILTMGAEFTPSIKQLVLCTDLTRTKPTSSLSGTKTQKATSWSIPVVNHKWLEDCFAQWRNLTPAQEKYIKFPPRVDFSELLADRRSGGRTGYEPDELAAMAMDDGLEGETQDEASPDSRIAGAELVGTANSARDAREVEDAVALEDEVGEISIGGLQDVDIRMEDEEEADPEGESMDVDEKPQAKPSPLKKLPSAKKVSLQIQRDEDEDTFRPRASSSRSPVKRYSAAKSKSNSRRVPTTLSAESEDDERSMRPPARTSSPKKRSKPAKVRSDSESDDIGRHAKTGPAFHKSKLLSEDEDEEMEVYDEPKGPPRRNSKPGPLVKGKAKAGVPSEDDTADDQEDEDEESLPPRRPTRRTAKHSRSEIPASLEPSSSRPLESPLSSPNPVKRQVSVVLPTVTAVYSPKKTGSSPTKPLVKTESVRAQAAEASSRSPGKRGQLSAPSSKRDEVDTRPASPPPAKPSRRSDISASTEPSRSEISVAPPARTPSRRSAANKATQKLRDVIMPDVMNFQKELKRGNVRPAWEDTKGKGKDAHKEDDAAETKSAKSRGKKRSSAAHEGTPASEDELPEKKRQRTTSTSKKNKAVIGNGRQSRQDQEESGEESVEEEDSVVNDSKSRRRKSSTRDNATASAANKSIEVQSSRHNPKAVRIMTTQVTVSDEVTRILTKLGVKMTSKPSECTHLVARNLVRTEKFLCAMAVAPFVVNEKWLLASAAAKQILRA*
>EED79970
MCVPSSSRSCNPCDWGILHSKRVEAQLKDLDEKSEKKKAEEGAARWIHRVMRTPERVATARVLWGSKGEQTMARRLHMVSTAIDVNSRCSMWGICWREACDACAVVPQHYARTFRGGTGKTSVRVARLLQDAKIPFVLASRRGEAAAPSGMPAVKFDWLDSSTFATPFQHDFPGDESISAIYLVAPEAADPISPMNAFVDYAVKEHGVIRFVLIAGSSTEPGGHRLGKVWQHLIDMGVEYCVLRPTWFMAVAFHTLTDEKPHNADYMVLGPELLTHDEIAAKLSSCLGREITHVNLTVEHRAQRLVSVGVPEYFAKRLASVEVAVANVSENRMNGVVERVTGRPPQTFDEFAQKCKSAWE*
>EED79971
MSGAERSIEEFSNLMQVKTSHPTYSMRLTFVLLTSFLANCLTCASTALLVYYVALNLPHDIRRLWGRRSIATLLSVMNWLAIAEYCQSGSSVWFPWAPIYHTSSVKTQLVREVWEARPNLTTVMFRDGTLYFLMSSILISRFLICIREAAERSTHAFSSQSLSFIDSQGDSNPQPWLSSAEFIADIANPAAGDDSHADAFPDLEDDLDPRSQDDASEGRDDGIELEEYEVSAQRAAMHARRTLYAVRQHARSFSVAPSRSAVYVLPVDPRAPPRHTSVGVDAESLWSEIPAAAAPKPTKTGTTHVFYVTPSGNGAHNVTALTSLGDKFAEKAEDERRKVVRQAVGTAVKQTTPLSPFDSNLKEVIPEKLTFQFLSEHEGWKAGTVYARAPNLARTAFVERIEKEFSAWAAKQGMNAFLSVTKGTSEPAKLLEMKGVTFDTGRISLKPSAWMKLMRGDMGRAAAVCSAALAVAQLGLVYAMNGKSVDNTNAGARLVLAGADRGSRAAKGEAAADNSDSLWRKLGRAGAREQDRFWRMPLDDEYGPQIHSSNADLCNIGGRAAGSCTAALFLKAFVDGVDPAEDGATAAVRWAHLDIAGTIETTRGYAYQDRGLTGRPTLADRDRVLLQFYSVISSLARIILASWIQVIFEIGESVRMTFIPCRRIGDVGCELHTREPWPWVRVALRIDERQRLGAECLC*
>EED79972
MARHVTELTSPRVARQVGALASYDVDVYALYNGSVQYTEATEPTVQNFARNHSLGSSLAYWGFSLVFTARIDSFMSYAMDQTTLPSSSGRDAYSRLKHSVIPRDGIVQASSCMRLWLPAILGRRASVPVPAARPKSTLGLGTEGGGRTAYAGGDALWESGGQDDGADGRRAGDAAKHAGPSANKPGDIVYAMNGKSVEIDNADRKSTRRTLIYAMSAVGMWEAARLF*
>EED79973
MPVGTKIDCNTTVVHELAFYRSQYYTMVAALRVHAVSGRNWRLVLPVWLLGMVPVATNIWNLTQETFGIFSQIGCEGITSYSDATYNMDILVVAATWYYISDTSSVKTQLVRDVWNAMPNLTTVMFRDGTLYFLMSCILISRFLICIREAAERSTQQFSSQSLSFIDSQGDSSPQPRLSSMEFAADIANPCAGDNSHADAFSDLDDDLDPRGQDASEGDDGIELEEYAVSVRSVDAHTP*
>EED79974
MYPVVVAALGIALGLSIDIVSAFDVRYAASNAVFVIKEADVSLAADIGTLARLPKIAANQSLVHELAYTARNFTAAEAERMGFVSRVVPERDVVVRAAHALSVVIAQKSPVAVLATKHLLVHARVHSLVADLAYTVTWNSTMFQTADMKESLRAVKAKARPQYAKL
>EED79975
MSLMEMQLIRLVAALRVHVVSGRNWCLVLPVWFLGMVPVGTNIWGATRETFSILPQLGCMSTISLPGATYSTYVDFADSVGFYALIELCRMVIISRTSVVVSDILVVGATWYYISYTSSVRTQLLCSEMVHYTSYLLIDTVALSDATGALDITHLTTASVKLHAPWFW*
>EED79976
MWHFSASAACALPLRRMSTPATAQRKPAPRLPRPAARYWKGKAPKGAGEALSSDDSDYDAEQEQEPEEEGDVLIQDVQQDDDEDALDVQKPQKAAAAKGRAMNVALKDVNISKEGRVIVAGKEEVGRTGAELEEEEEEEEEAEAEEEEEEESSEEESEEEKPKLQFRPVFIPKRARATIAEKEAMAEDTEEAVRKKELEAEERKKQSHDMVAESIRRELAEKEKEIETPDVDDTDGLDPTTEFEAWRLRELARIKRDKEAALARELEREEVERRRALPEEQRLREDLERAEQSRKDKPKGQQKFLQKYWHKGAFHQDEEILRRHDFTEATESTMDVSLLPQVMQVKNFGKRGRTKYTHLLDQDTTVASGGFGGTAPVKAGGTSTAGGGCFVCGGPHLKKDCPQAKDLPPPGRIGTGANSTATGSRQWGARPDKDKEDGGSWRNRDRDNDRRPNGDDRDRRRGDDREGYRDRDRQEAAVAFTVEVTFATATRAVAG*
>EED79977
MQQVP*
>EED79978
MSTSGEDEFTHHLAIKLSRALNIVNPNDLLARRVQDIAKTNTLEGFTNAAKSFGKFKDSFLAELHSEIISHEKQEAAGLTPQPVQGIVVYDSEVLEPDPVRQGGLMRSDAKHTFRQPAKPIEPPTPRASILGLDRLAQEKRAAAAANGEGSRKKPRLDDGEEPFFKANIRQRGEETPSHPGGLSDTARNRLEEYRRRRERVIEGIKAENERRDDGPRGLGDFQRRLNRDRPNDYRRNDRDRGYNHDRDRRGWDATPRSERGGRGDDAPSVRVPNIGWDATPRRQSSPSATPMRNRRWDAPTPRRRSPVDGENGDGLAGMGLDAHEWEEEQIRLDRDWYMGAEEGGVAGDEEFNPLAQYEDLGAKRQAEAAAKQTKKISAKQAQYNADNDLWEANRMLTSGVATRRTIDLSFEDDSESSVHVIVHDLKPPFLDGRTVFTRQLEPINPVRDPTSDMAVFSRKGSTLVKEKREQAERAKAAAKLAELGGTALGNIMG
>EED79979
MFNLRGQHREVENALVSRLATIEADMQNLKLQYESELRAARAQIADAEMARTQLQCDLSCTQLELQTKDVHLEFEKERCEAQLAHIAELEAGYMHQHDEAEARVRQLDEVAAEAIRMHDEADTRALEAEALRTELTRVEAGINDREAELRRALAAREAEAAEAEARERKLEARVGEMNAEIERLNKDAESARGEARMLADQLQLQLQSQDAQKHVDMDTSEATEEPDQRSDAMMKNLSRYYDLSSPWAPREQPGSIYVERSTDDIIELCEVNNSIQSPTEAEETGAGETEAEQSVVA
>EED79980
MTKLDQRGDIAVAEIVVYIPILILSVILVIRNGFTRQAGWIYLVILSLIRIVGSCAAIASEYNTSNENLEITALSLESAGVSPLLLATLGFLRTICQDTLDRDPLIAKGLKLLPLAGTIALILAIVGGINSGTGSTQSSINQGLTLRHVGDIMFAVIFVLVVLLNGLCWANRGSIAYNRRVLLAGITGALPFVFVRVLYAVLSGFAPSLRGVNTDGQVVYVPSTSPLNTFNSLTGSWVAYLVMGVVPEFATMLVYITVGTRVRLERDSADAAYMLGRPSIQSDRESQEALARFQPRFEQPPNAYYAK*
>EED79981
MASFLAAFNASLIRRPMLTQCAASGVMFGIGDVLAQQAFEKKGRDHDFVRTARTAFYGGCLFGPLLTKWLGLLNRIQVKSPVKSVIYKVYLDQTVFTPAVIGFFFGSMTLMEGKSIAAAQERIAQSYVPTLLRNWCVFVPTQVINFAFVPAHLRFFTIGVVALFWNAYLSAVNAKSAPAAESLVANVNDTMTTAELKAF*
>EED79982
MDTSVEYPQNAPQTSPMPHQQAPAQMTDGRNNSAVDHLQLQFEGRPIDLHALRSEGNIVGGPEAVDRDDLWPVIGAKLDFIQFLATENEPEKSGPGVAVHLQNVYKKYLLAFNTAYLASWRKQQLNVRHADPTRPPQPMMDRNDLPAPSTASAQNGPILIGINDPHTLNTLMQYTPNIVSVVETNRTLLQQSLQRQAESRATNNAPSV*
>EED79983
MTMAVRLSKMDNGALNDAQSDAEPQLLNTPDSQISMDLSTSHQETQRQIELQVTQCLAESTIQPTPRSLQQGVPKGMSQLDQNQQSQKHNCPQQQGPPQTQIIFRSDQYIQLCKRSKIWGDIIRQLEQDLAHHNKQEGMLPEKTHRLQAKEYTEQINRFSGEYYDMCDLIDAVLADSMVSDTGDTNDEPASDYPTQNNPRQGSSSSQPSQPPTMLRQVGIALQGYPAPEQSKKPSTEDVKPYVGLDAGRFPTEAEIQQANLYKELLVEQLIRPGINNMKPQHVPPEYESEYAAVFQELCDYASRVYPALELIICAYHDHIVKKIIAATMTALRQQELSEQKGSPHYLLQFESIRNIADTMRSVVQANPYSGMAQQVFPGSTSAVAST*
>EED79984
MISSQTLLGSKNMAEDPQGTLWDTRDIPVYIAAISLVVLLLENLYRSQIAKRLYSNLIALGAPSLSSGSVVFDSDFAYPRELRAPPSLSRRFICVNAEHEDRTESVRQPASLEIALCGTYAYVSLLSLATLVARPKTSGRVTRYLVLILLSAWVVYAYRDIWPYATFDLTPLDASEGIYLWTKITFLTLAAVLVPLFSPRRIPSSSLLMHTGTPLTKQTASLASLVFFTWIDPIVFKAYRVPHLSVDELPPLADYDDSESLVNSYMKELDPLLARKKGQHVGWGLVKVFRNDFIVMGIMLTLHVIARFANPMGVRNLLAYLEGSSDTTVRPWVWVAWLFVGPIFTVIFISMYIFYGTRMLVRLEALVTQLVFSHALRMRVKSDVAEMPAPKASAASTVAPGGEGTSSAPGVQNSSIDSAKGKQRASSDAPKQAQLGTGSQKSKNLVGKINNLITTDLQSLATGRDILRLFVMVPVQTLLCIWFLYSVLGWSAFVGMAVIVLLLPVPGSVAKMIRGVQVEKMKKTDARVQGVTEAMNVIRMIKLFGWERKITDQLTEKREVELKSIKRAKLLQMISSSLTIEGVLIDDRLTSPVFEMFTMQLNEVFSMLPLFIRAKVSLDRLNEFLQTTELLDEFAEQQEAHASASMLKNDVPSDVIGFRDATFTWTAEDSLSSSTGTHKPHHWTYWVGEDFTPNGTVSHDLFDGAGKENILFGAALDEERYNKGIINIIKQCCLERDLELFDAGDLTEVGEKGITLSGGQKARVTLARAVYSKAEILLLDDILAALDVHTARHIMEHCFKGDLIRGRTILLVTHNVALATPVAGFIVAMGIDGRVSSQGSLSDALEDVTQLAKDIVEERQAAETAEDVTDKIEVSAKASKSGKLVVAEEIAIGHVGLKSMKLYFSNLGGKHYVMFWLLAPSILVFSETIRNLQVWWLGYWARQYEERAAEEVSAPFYLSIYGLLLSTTVLLITAFNIVWIFATLRASRAIHKSLIASVFTTTLRLDGLIKHPPHVSSRGALKTWMPLGAVVITSPLFLTPGIIIALAGGYIGHIFMKAQLSVKREMSNARALVLGHIGSAFSGLVSIRAFGAQQAYKKASWVCIRLEALSALFTASLAAYLVYASHDNASNIGFALNMAVGFSSLMLSWVRMLNETEVNGRVYEAWNAFTNTWKLNTSQHLPKTDNHQLTGQPVAISRSSNCLLYDDAVMNNALRSAGLFSLQKDMTEGQITLESPIASGGGNLSVGQKQILALARAILRQSKLLILDEDYETDAVIQASLRTELDKSVTILTVAHRLQTIMDSDRIMVLDAGRIVEFGKPSDLLSIEGGLLRALVDESGDKDQLYAMAVGDGPH*
>EED79985
MSSPLSEQLVEDFLESNIHASRNQYFTSMKHPFRERCTAFGYANQMLYIVTVAISPLVAALRVHAVSGRNWYLVLPVWLLGIVPVGATIVVVIISRTSVIVSDILVVGATWYYISHTSSVKTQLVRDVWDAKPNLTTVMFRDGTLYFLYSVISLLNIVELLVNIIGFNSPYRNNRSRI*
>EED79986
MVPVGTNIVGTWRITKFVPLNQDYQSGAQPKIPSLYFLHLDVNAYVDLIDSGGFYALIELCRMVIISRTSIVVSDILVVGATWYYISHTSSVKTQLVRDVWDARPNLTTVMFRDGTLYFLMSSILISRFLICIREAAERPTQAFSSQSLSFIDSQGDSNPQPWLSSAEFATDIANPSAGDNGRADAFSDLEDDLDPRDDAGEGRDGGIELEEYAASVCSVDAHTP*
>EED79987
MSELTLSTLSSQHINVSEPIPGVALVELSRLWTDFGRTFDSIAREPSVRAVVLASALPKGFSAGIDLNALGTLDGPGAEPARRALQLREHIRAFQHSIAAVERCPYPVVAAAHGIALGLSIDIMSACDVRYAASDAVFAIKEADVGLAADIGTLARLPKIAGNQSLVHELAYTARNFSAADAERMGFVSRVVLGGRDDVVRAALELASVIAQKSPVAVLGTKHLLVHARDHSVQENLDYTVTWNSAMLQTADMKESLRAVKAKERPQYAKLLPKL*
>EED79988
MQKEDEKPSRSGLTLPDGRPTRLSPDTRSQLSSTINGIMQDRPQAKRVKIQKTETLETLETLAVNPIHIPQSPECPFGGARSAMLSPPRSASLSPPCSTTLSPRPACTHAEILNTEEGHTVPIEVEFLHEPDLDVDGASLQSDGFDVETHKESPSVTLLAELSEDLRLEAAPLATEVLNNMVFDPELLCDTSSVEPVMPLAMGVLDKMDFAIEVPSDTSSTERGDSFALTAFGIPLTTEILDNLVFDPESSCDTSNVERDMPLAIEVLNNMVFDPEPLRGTPSAELAMPLALEILDNIDLATDVQMESLGDDTNISLNYVTPVMEVFDQMDIDQDWQATAFLHETQCCATCYAERHVPDFNFALLDTITFEDVEMDSSAMQGPMNNVAKQVAGDPMDVLQVPVNDHLIAEWAAAPSMEDIKPDPVSNTAIYKLWNSKQKFAFTNKGLIRQAFKAHLFTLRAQYIKQQCLNQEQTPQESEKNQDAKRAQWRKNTKAHRTVWRRNLDLASFLEFTFGLAEKGA*
>EED79989
MNGKSIEIDNTDAEGRLVLADALYYGSTTFKPHTIVDVATLTGAMSVALGEAFTGVFTNSDSLWQELDRAGAREHDRFWRMPLDDEYGPQIHSSNADLCNIGGRAAGSCTAALFLKAFVDGVDPAEDGATAAVRWAHLDIAGTMETTRGYAYQDKGLTGRPTRALIEFVKAAAS*
>EED79990
MAILLTGGTGKTSVRIARLLQDAKIPFLLASRRGEAAAPSGMPATHFNWLDSSTFANPFQHRFPGGEGISAIYLITPQVADPTSVMTAFVDYAVKEHGVSRFVLMAGSTTEPGELQVGRVWQHLVDIGVEYCVLRPTWFMENLSEGIHRATVRDEGNIYTACGDGKISFVSASDIAAVAFRALTDEKPHNTDYRVLGPELLTYDEIAANLSSCLGREITHVNLTGEHRAQQLMSLGVPEYFAKRLASLEVSAAKGGENRMNDVVERVTGRPPQTFDAFVQQCKT
>EED79991
MPPRKKAATATVATDASDASKPPSRATRASTRARAPSSKAQAVDATSAAASTDKPATKAKGKRGRAAAHDSDADEPDAKKVKTDTAPATDASQGVASVSPDASQDAPPAKMVTVLKRGAAPVDPCSGMVDTHQVLVTADGIWDATLNQTDVGKNANKFYVVQVLHTVGNTENCTLFTRWGRVGENGQQQKKVGRGPFGSLSAVSAFKAQFKAKAGVDWSQRHGMVAKKGKYQWIERVFEDEEETKNDNASSSKKEEEPEKIPDCTLPTEIQLLSEVIDQPNGATAQEYGGFRSAVDELTGRYYSIIPHVFGRNRPTVIDSSVLLKRELELVDALGDMEVASKLIASSTPKDEEGRPINPLDANFRSLHLTSMDPVAPGSKEFTALEMYTRDTHGATHQHYQVQVQNAFRVERQEETDAWMKAGHDKVADGDRLLLWHGSRTTNFAGILSQGLRIAPPEGYMFGKGVYFADSANYCHAYLSDNTGIMLLCEVAAKPVLEQQHANYHADVDCKAANKMCTKGLGRTQPAEWQDAGNVLENDALKGCHMPKGPGKDVADANVSLQYNEIRVRYLLMVKMG*
>EED79992
MSKKSIDPEFEDYARRFTSIEDATEKLIKDTKAYTESVSKLFTHGAQYAQHFATVFHPMPSEYNLEGKHPEAEHTIQNVDAYEAALQELKSALAPELELIESRILGPAKELQGVMKMIRKTITKREHKASLHTYSPRHHGSARQIVLCSCFPYGSNILYVMEQRVQLLCWNHTPWP*
>EED79993
MPSERRRSASFKESADGRTVTATFDVPGVRKEDMHVSYCGRHLVVSWRTSRVTERREGDVLVREREVVGNNQIISLADGTQFADIWALKDSKRLALTYPNPRRAPRNAPRPKTAMSGITEYHSCVPADDSTIV*
>EED79994
MAGQAQLEPMNASPHHAKVKVSLQLADSMYVSGNAITGKVQLECKADKGLGIGVVMVELYAVEARSWPTELTSRDHSATSTFLHTRRFFQGPGLPPSNAVQPHPLPGDPPVPTHYHTARRGITTFLFRLPLPASSPSAIDFGSGLAQVRYEVRATVGVAWKGENRLVFDKKPIDVVETFEEDLRGGDPEAVVVGENGRIWVQGRVIGGFMIAGQPGCVELQVKNHSSKKNSGLSVALTRELYLPNQPSGSTQPLQINDTLTSVTFRGPEYIIQPGAEGVATLSIYHRTREPYEVAAVYDPSPGAIHSPPPLSPPPILERPLSPYAYAPPPPMSPTLLPYVDHGQPHSLPVPYIPQARPSSTEPVPSQPLYSAPVSPLTSTQQPLLPMPMSSNSAAVREEGKGERASRIASHLRMSSRHRSVSPPAHRYALPTAPEAHAPVAPPPASSSIPVPVQAPADLSPSSSPLTQRRLPTLNLSVSPAPSQGSVVSPRPMLSPKHSFSLDPSMQVTQVEQLERIAALADSENPGMSASGASPRADAGMMDKTLPRVPDVEKGTFRAAAPRVDTLFPESAARPEETPPTPTLAAVTSLKVPRALDAEAGGGGLSGLDALEAKLLAQVGTRKIEKATRPDVRTVLPIAIPRPTEGDPANDSAISSLTLPGLDSDAKTLKVGQPNPGPELEPDADDQDDDRALTERWRDRESKKASSIGARKSKDKERHGGPKSGEGKAQAASVLAAAPLRVHHRLVYATVCLACGLRVGLREGIVGRCNGIFGDEFIEIPPMETQCRQRQTLANAH*
>EED79995
MRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWEPGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKSGRTTRGWEAEPGRIWEGRLPRQNQDTLR*
>EED79996
MLPARRRHLSDAWQAVLAVVLSSVFLLGIATHYSIIPRMRRPGLRKGKPDYEFPDFDKIVPLRTLDAHDVGLDDPARRFLVIGDVHGMNQSLQRDEPRLAPAAAAPGHRRGRAMRESRFAARIGRASLAKLLAAVSYRPATDTLAFAGDIMAKSTHAGSLAVLDFVTQHACGGAPGRVHAVRGNHDQMVVQWRAWRDWFAALHLDPTAAPAPWVPALAPARRALSRSRADPEKKDPPNVTRERLRAAQERAILHDVPDNRDPWVLLNMRGVRKSGKVTRQNGKGTPWSKVWNREIARCRGFEAGLDGEDGDDGDDGEDGGGGAALSLPCEPASIVYGHAATRGLDVKRWSVGVDTGCLYGRRLTALVLQRQGEDGGLPPWDDDDEDNDDDDEDDEDNDEEEECDDGEEEDDDDDFALQRVPRPTFRAKKPCRPSKAPRKPPKAKTRRITFGDDDARFGAHLYFVLSRVPSRRVAGLVIIHFVAYATAVHVDAGHQCLNVAEIVSHIVSYLSWDPASRSYRDARSLGRLARTCKTLSAPSLDGLWIAQAGVENIVKAMPPDFWSERRETWRWFNREIRPQDWVRFRFYAQRVRIMLLNYSWGSHISDGVLDHEHMTMLGMFAISSPSFPNLRELETAQSTLPVELIPKLLGSKITHFKMALCDSEKMTYAILAHLPVLVPNLRALQLDHSCAQPEDRNGPFSFAGGAIQGLSRLESFKLSAGSTLCFQSFRELISSPRLISLEWTCNRVIDQLITSAPLVSVAFGDQQTLMSSVQDIKIGCNRLTASLRVLGAVKWVNLQYLTVNAVHAGREDLLDLCQRLGDQCSTASLKCIKIQVNEAESVNDLPGSFLRPLYAFRQLESITTNMEYNVMLRDADLMEMAVAWPRLRHLVIDHELFTDTRPCRPATTLSGLLHLVRHCPASVTSP*
>EED79997
MAAPTQMLPSWLTLATTVVTAPDGAVTTSLVTLQLPLTYYGPSIPLGTDGSWTYGGLTPPASITVSATTTTASTSIVSSSSRSLSSPLSTSVATSSLSSSFTSSASSSAASSSAAGVVAANHHTISAATLGAILGAILGTLLLVVLVLIVLLLRRHHGGARGAGPQSKSSSSFWNRQTTLFSRRGSPRQTPIWTEWQMVNPDDFNEDGGAEERTPGDGSPRGSGEEHDPFLTRRSVYSDSKELTQTTTGTKTLVSVPAAAAIAGGTTSTSRTGTKVGGHIIPRDELLARMNEDQGGLPPRVNVTEASPEHTSPLLPPPPIGSDRRARGVETKSTRSLGSQVLSTTSEKSSGSIAEHEPAELLTARRVKVVNLDQAEDEAGPSAWHKPSGLETLANLPRLSWFRRMSWLGAPTGSLSPDAESAGQDAYTRTPPRSHSRQGSRSRPVSWAPLPTHEPGSPESSFGRRPRSQSGLGLGLLDNGERPHSSVSAKSKASAASGNTVYLDAHSTPASSAVDVTSPVGAMGPAGSGVPSVPALPQQRSRQVSPSSGDIPTMTTSGGYLSVPGEPPSYEESRRTTDQSPGETSSESVDVLDIPAPRPASPFTAASSRPGFPPGLISLPAPRVWRDSHISGDSAGIQIDVLEEAPPTAQDGWRNLSGIGRAPGEDRRTTFGMVHSTQPEVVHPRAALNSEQGSLHSMRSHLSPHSPLNTSGSAPASSLHTHHSSSSRPSMHSQGLTGSSGGSLAHSNSISEDDRRPRRRGAVGEVSSPALSAVFSREGPWERPTSHLAARPMTPIRQSPSSSAGDVPNFATPATVTGTVTSSGTTRTDDTNTNSSVTTALTDPVTGAVLHFPALPWRHVPDRSWNSRDEEHMCTTAVWPPRKMVVLRRVIKVSIPPRTVPERECIYRALGSTSAWQMDFPVDLQINPIRLHHTLLELPSSIHIINILTLHPALVAYYMILTLADEVRLMWGRKSISTILYFVNRLVMLGTVVMNAPLPTNTLLSVQLVDKIVALVAMTVLAVVAALRALAVSKRNWYITLPILALGLVPVGANLRVVAVINVATLLVDTIEQIDVNIAALLSALSSILVSQFLMHLREAADRSTGELGTRSSSRTNSTRESATQSWLSSAEFAATIGDHSDHSDHFDNIDAFSDVEDDYALSRDDETEGQHQQRGIQLRVLASAGQQ*
>EED79998
MFSQLVNASLPSLAPECHPSPALEVNLHHYRNRFAPPTPRKHNWAWCEEMHVWIPDTLPYFTTEIEPGPRPATIPIMRPKPPVQRKWWQARKAARPSQLPEIRLYSGDCEANGVTFAHMKLEPVAVAQEREELRRVYASWIFAGPQPNTRAHIVRIPQDRHRKALCIERQTLGEESWPDDPSCIFQISHATHMLILPSPESPRFPDTGLHQPQASRAYRPSSRPQDLGIALCIGGCQARGHGPDDPGVHLMPGQCRSPKHGPVPNCNCKNPARAPSGGHKPSRPGNIPVYRQALGEEAWPDDPGVLSGTWAGSRLPECVFSTAAASHDACCPHHNGESQGICGGEPPGRMRPILPDVFNSRIARGDASAIAGCSRRRRGLANYRVVLTPQGKPVSLADPQRRWKGQGWRNVGTAVAGAMTPALGTASSSEGGESLLCEPDVSPA*
>EED79999
MSSDSVAFYGFTPVPRDPEVLFRDHPTASGPNPKQDLFSASDFVLPDSPVAQEVKAFVQKELDEQTYNHSHRVYIYGTALVKTHFPSWTYDNETYYLACLLHDIGTAERFLASTKLSFEFKGAIVARDLILQQGGAEDQADSVCDAIIRHQDIFVNGGNITMIGQILQLATILDNVGLRANLIHPHLIKTTTAAYPRKGWSEHFARVIEKELKLKPWCHTSTFEQPGWKEGVRSNFATDVRGNEVMKAYD*
>EED80000
MQTVYHRHTPDIAGKILRVKELVQVENVPASAVRNKTEQRRERKAPRGYAV
>EED80001
MSILYSIQAGASAPYSIKRPDHIEPLYIRLTVAASTAMIPLADLAYLYSPKYLAALLAFIIVPDITSRTAGIICAASQFPELVFLVLGPSLTSKFKLGLTDFLAALVLLETKLRALTWLIKLQKRHTSWQYNWIADACLVIEVLFALTFITAGRRYMTSTFGNESEKPAFPEADNAHEQPPTQMTDHVSRQGTNQVAAQNGNQVASRPSELTPESVSLSTATVVALQLPRRATCPSSECTLRQSALMRLFKEYFETMKRNTNCLRENLRLSEEALQLRREMREVDEEMTQLKANCLEAVKGTKELAYELSQENKALRDLLGFYQCKIEELQDIKSQALISRDPEPERYPSFISLS*
>EED80002
MATRANSVPHPTLVEGIHNRWHPDIPPFATVKPGEVFKVQCVDWTGAQIGNNDYSDDIKNVDLTKIHNLSGPIAVEGAEPGDCLVVDILDVTPFDKMPWGYTGIFELENGGGLFAREFKSKAAKAIWDFKGVYATSRHIPGVRFAGVTHPGLIGTAPSPELLATWNQREGELVAANPNAVPPVALLPVSTGAYVGQDLPDDVRAKIYREGARTVPGREHGGNCDIKNLSKGSRCYFPVFVKGANLSVGDLHFSQGDISFCGAIEMAGIITFSTSIIKGGVEKFAMKQPIFLPSPVDPLYSAKLIFEGISVDLHGDGKQYDMDATVAYKQAALNAIAYLMKLGYTREQSYLLLSAAPVESHVGAIVDSPNACVTLALPLGIFEHDILPKDEGLTKHDYGQCAIRSDGVV*
>EED80003
MFTGRLRASLCNGGSLEQDASSIDVSRHRRNLLCESREQNLVELRSPVYGLCYLKHPHSLRQWANYSAQHSQAHIDMQRELLQTIGLLASFKNELLPVAQDDELPDNDFASTPNETVALHGTPVDRGLEICLWDLRGLCETRVGIVEFADVKRSN*
>EED80004
MHVRLPSDSTVEFYTLRGPASPARYRTESGEHTPVSDPIARLTRECSALRLRLATAERRERLSLYGSSTAPSSARTPPSARLDLAIDTAFTYRDTARTQLQTEVMKLAERYKALEKTLREMQETLRTQDKEIEALRQERDRLIAERDQARSERDQERAKSQTVTDESRSDNNVVQTSNTGERKNRHRSRSRSRPQVHRLEPIPPVPATPRVSADAEQFARARSIDIFLTKTDSWSGAQVIQAVEDLNTEINQFAASATEACVFAKRMKSRNTPPNEDPSAMQEEENAPWLGEAFARVLGTRDHAQDPILVQLALQASIATCCARSLSLFCVGFPSKLDALLSRVLTYMQSAEPQATSARWRALTHRTIRMLYPGLEEYAITELVATMLRWSATVFALAGSSPAGEHTPPLVLSTQLRRIADAVYKLARVTREEILSTGFEVVLVESGEEFEDGKMTNKMRDYEEYLADDHGHAGARPDGYAGGDRKVNGDQGQVVLCTTELGLRCITRKTSKGAASDEDAQDDLFENRMLLLPKVVLNSGVDAIGRA*
>EED80005
MSPTTKPVRNIKVKVPRHNSQRLGPAVTAQVENVARQQRVRVDVRQTAFESSSALAQAIDSASEWNSLLLTARANREDEDSPSTSTNADSKPVQEIPSTPKHQSLRGVHLPLGSQGSFHHPQQFFSGNTPTNMASPRHPFPNSGQGMGGQGMPGQSMGFGGMNMSPVSPAQFYGGGTPGMSQGMGNMGMNMPGGMVGGMGMGMGGDGMGGLGMNSPDPRRRLTRGMSGEDGYGSMH*
>EED80006
MSPLDTELNNTLGCSFIGILFGILLYGLTSAQTLYYFQRYPIDGVRLKLFVVTLWLLDTSRTILGSWCNWTWVITNHANLLALFKIPRCGQADFFIEGLTVFIVQISSSRIATTLGSYFVRCIWRTVEHKWYQLPLAAIVVGVKIYAAGKLDRWFTQGLICLGAFGDLYSVSNAELYSFVNFGNFGAVFGIVTIYNMNRTLDMTIALQESTASGCAHVLMAGVADIYIAISLVVILRRQRTGFHRTENTHRTNHLVTGLMLYTIHRGIIVAYVLSVAQLLEFSLFVGTLHANPFKLYWLIFHYPGSKLYVNSLLALGGSLIAHAEDNVLLSIQGEKKESDRHVAYPLTVRSPPGELDDIAY*
>EED80007
MLIPIQLILTGLLALLAALHGPDLLDSVDACGQQSLVLSSPSTPSNLVEDIQVSSSPIVQDDIPTTVPNTTSPAPLTALSPLLPAFSLSPLPGSKSPIAQPSTRSSKSWACAIDLYLSIRSSQKMQDSEPAQSASLDPTRYRPMPYFKNGTLQEGIVDTHVGWNEPLVFPDAAEENDPVPRSVARDQLYDVMNSGIARKTSGASIERMHNPRTRRRKRRRKGDAPDVAESSGTTADSDAPAASAFALEVAQPVAREPLRQRPVIARDGLAAIRGVLAPSPRRPRASVIIPVSPVAPPPPPPRITSFVVHNASPSSKPGMLPPRSPILSPSDDLWKPLIRPDGSSAPSSKLPAARVRTLPPPSRQTPNTSRGPAMTVEQYLFNVPVRVVSGKRGKGKQVSQPMLFHDVLDSLQEPPEDSVAPATM*
>EED80008
MDPEKDVKTDSEVSSVVEATQVLEKDITEIDLDEKVADQPQKTSPQQAVHQSRKLEFDTGEEHLNFRHHWWQLWLPKDPPPPAPKSLADAQVIPMVYASIFSILTYTWINPLMTLGYQRTLQATDLWKVDESRESGNLGSQLDAAWARRVKEADEWNARLASGEIKPSTWTRAKWFLACAGFGRRRAERERQWREKDGRKEASLAWALNDVFGWTFWTGGAFKVIGDTSQLMGPLIVRAIINFSDARVAAQARGEPLPHIGRGVGMAIGIFCTTVTASVCQHQFFWRSMTTGLLARAALISSIYKRGVSLTGRARTNLPNAKLVTHISTDVSRVDACAQWFVITICLIILLVELGPSALAGFSLFLLIIPVQERVMSFQFGIGKKTLKWTDKRSKLILEVLGAMRVVKFFSYEAPFLKRIYGMRGAELEGIRKIQFARSANIASAYSVPVLAATLSFVTYTSTSHSFNVAIIFSSLSLFNLLRQPLMFLPRALSATTDAQNALERLKDLFHAELMDGEPFAVNPVQEPALLVEDATFEWEESLGNREMIENAKVTEKAAAIAQAGAPFQVRDINMSVPRGSLVAVVGSVGSLLQGLIGEMRKIRGNVTFGGKVAYCSQTAWIQNATMQENILFGQPFEEERYWKAVENASLLPDLEVLPDGDLTEIGEKGINLSGGQKQRVNIARALYYNADTVIFDDPLSAVDAHVGKALFADAILGALRSNGKTIILDGRIEEQGTFNELISNDRVFSRLIGEFGGTASKDEDSEAPQGQEIQAVDEDKIKAESAKRAVAGTGKLEGRLIVPEKRTTGSVSWKVYGDYLKAGRGYITFPTLLILIVFMQGCTIMNSYTLIWWEANRWDSPNSVYQIMYACLGIGQAFFTFAVGATMDVMGFFVSHNLHHQSIRNIFYAPMSYFDTTPTGRILSIFGKDIENIDNQLPVILTIANVIGSVTIITVLEHYFIIAVVAIACGYNYFALFYRASARELKRIDSMLRSILYAHFAESLSGLPTIRSYGEIHRFLKDNEYYVDLEDRAAFLTVTNQRWLAIRLDFMGGLLIFIVAMLSVSDVSGINPAQIGLVLTYSTALVQLCGMVTRQSAEVENYMSSVERVLEYSRGDRIDQEAPHEIKDHKPVAEWPDRGAIEFNNVVMRYRPGLPFVLKGLSLSIKGGEKIGVVGRTGAGKSTLMLALFRIVELTSGSITVDGIDISKIGLKDLRSKISIIPQDCWTIRSNLDPFDQYNDARLWDALRRSFLVESAKGGLGNDGIETPSAGGRFNLETVIEPEGANLSVGERSLLSLARATASVDLETDAKIQQTIQTQFSHKTLLCIAHRLRTIISYDRILVLDSGNIAEFDTPLNLFHTPGSIFRGMCERSGITAGEIEKALLRSQVL*
>EED80009
MASGSLQSVLSDRNEIHKSCKTLESVVNVLNDYCEAANAILTLEKKLAKALREAAGVKCVAEIPANALNISAVMFETLSDVDSRFIKLADKECDNISSEVKKWFKKLAKEEKAHDERLANANAKIKQAGQLYEKKARKNPQDAAEEHTRYVNVLNGEWVRSCDGVRQFAPTVGQLGQWRAFCEGSWAGPVPKGPHNSDLQEVQIDSAQRAATPSMQDEPRELGLPTSYNTAREDAPSRASEQEVGVSSRAITPSGEQATPQYFPDPREQSLQGRPPEASPRELPDRKSHSTASLASLASFPAPPTHFPLPPVTLRESRHSEKATNEPSEEKRRVSSDVSFPRNTESPAPLVEDSGQREEAVTSRMASLDNAHTIAAPAGSTQTNEEVIDSRTVPMRTDKNDHSGVQSPLPVTVQPTERPSGAITGPPSTVSATSTAGGASDIGESEGHSNSDGAQGHRSDTVKTSTPPTVERSDTGKSNAGPSSPPRKEVPRLPTSVSHLANKYESTVPTQAPTSPRLTPTSPFHDRRRLSVDISRQGSQQPVAEPALPATRQAPAASPNDVMVDNIALRRRRLEELEDLELREQELELRIKEREIARRSKELEFERARLYNAQSPDSGYGSDSSPGSIMNRLAQRPYGDRSPPSPVVPRPRHPSHSYSSGNLQPPAMQPSSSQTSSQPSSPLYQPNDHAPYCGCETCSASKYRMRDSSPSARDGRPLQPPLTLRPEKPKGWIRRLSMPVMGNAFSLDSKKNLSSAGIAGGPGVRSSLALADEDGPQHGYNWQAARHLRDQVVQKDEEALRQRETQTPHVEWKDAQTGWGSLREGSMQTDCTEGVREQDVQFGPVDYQVQTAREDDLHHLEAQIEQADHLCGRDVQAQQEELPNGGDQSENNWYQDGQPSQTECNALDSQDSGSVEQVELVAQPVQYEQAQPDVDSGYGFPVQYTPVQPLPRDPSAILVPLHEYQQPFQVPQSQPAAQIPAASSSNMPLAGQPSFSCPITAADTVEPCAVEAKEVAKILLDLLLSDPQQVQGEQNVQMVDDDLNNRRSRLGQTNQANMNASSREGTDPISTTHIYQSMPPQSWQGTTGPYGRRLRNGQV*
>EED80010
MSSLFNYHRKPAGNGNAMRKHSRHSLRLSALFHSRHSTGDSEVLCDSPASAGTNIVFCEVQSNAPLPILHSSPQNDRAFSNTDTESTDPLPATEGEDCVVADAMNAVSSVMSHHPRLNTGSLSLDIAATALKVGQDVGNTLSSSQNTNTHHNEVVQKVHDIFDHIPVFVKLLEEVAKIHPFIHVGVLTFKAFYVLEMKRRSNEEKVVAVLVEVRDMLDVLVHLKKVDPGTLDGEGVTIEARMKILLGATAKEIKQCANVCDAYSKTKTVVKVVKGPLWEGTFINYVKLFAKRRDEFKFMLSTYIAVKVNEIDQKMDNLTRSVAEKTDVIINLLQNFIPAEQQAMRDKVEQKGGASAVLHDEAVLHELITTEAQSGPAGNNPKQAAGRIRDSIEEVKRQLDDPVVVIERNLQSFERKFEMQQRQIMEEMEKVIHRESDRVIESVRSGPHDKIVDKDLHAIWQDMGWRGNAKARNLVLAIRDFYHERAEDKHRADSPHESPQDSWALPWIAVKRLQPIVEAFDDDASGYVTIAKVNNFTKSRPVDWSLLRWLAFWAVGWQSSVTAYRDKIHEQFSAMFALKTSVHTANRRIVERYLDQVWQPIILLTSSFQSYTTTGKMHSRFKDYIEAEETRVKRNLEATRYHIDDLTTLSLVTGPGRIEQYLFQLIYLIIKRDLDVMQLCRTRVISERELEDSIRSLWLVMAAVDDRHKELEETFRHQNLDPGQQFKITYCKLFDHYHNPSSLFSRKGLGDIGHSKNSHHNITDVPGIDAGTVLNHSHQDTDEWEVSLDRAAYDMDDVPHVDCTEASESLKPALGTWRCHMYTTDDHLIAPMFLLSIRVSPTDLGKFAASGVIPGSSMFANFKLEGAYELQEGGRPGYSFVMECSAPFESKRFNGCVSDDGMTFSGTWGSSWKVVGRFVFSRLPPEIMRYRPSPIDLQRNRTKALWRFVLTATMGEVVRKKWSWDFFRQRRDFRRRYLDLLFRTSSGRPLDADEEQELLDIKRVLTPSDARFYQSLCDMRHQTACHHGVTCDSCGGIISGARVVCLDCDTHEKSFSTIDLCEDQRCMAAQVGLDRRSDLPTPHLPSHNVLKTRTMIHLRDFGKVERQALAALQRATASSAEVEEQKHELITHRLAGDRGQTRNINSGEQVCTACKGALIRPCWYCIECEKQATDKEESLEHRLDFWESKLIVMDSRIDEQGSEMNSRLVQLEMRLSKVDNRLAQVDERLVHMERLLEAVASRLD*
>EED80011
MSRFAVLAIALSIVVAAVFGTPVADVDLEKRVDHTGQATWYDVGLGACGYTDVASSPVVAISHDIYGSGGNCNQWMQITNKANGKVEYGKTRDECEGCDATSIDLSSSLFESLGAPLSEGVLQVEWHFMAKGWSP*
>EED80012
MSRRRSNKSAKVPNVKLRPARPEERATSSNLSTRKSWLAGLDLDADEASQDSIVDLCPRPFRGVNMCATGISDKTTLFKQALELGAVCLSDLTDRVTHLLAVEPGSAKYRCALENKITIMHPSWITESHEIWLRGDDVDLQESIERHRLPVFSGVVLTCSGIEDVARRTEINRLITRNGGTLDASGEEGEDGRPVTDKMRYAEKFNQRGEANIQIVWEEWFWDCLTFRGRFSEEPYKVSNPPPKRKKLPEATPPPPSSSPAPEAPDAQEDEPKRPPPPDLLAATTNDDEEIASARRVPAEMLQIWGSLLGSRGFEVSNGRLVRSPSKSQSANNGARTGIQREPDASPLKNKIARAATMGKLDGAGVGAVPVSALSKFKRTNSFAPAVKEASTSRQPFQRAPIAGPSSSFLGQRKTGQDVDVLHPSSAVVHAEVEEVKPQVVSSNRTSDLFTGMKFRTLGEARGPSVKAALEECGGRVLSEGDDDEADFIIVRLVSGSTFYRKETDEEERKKYRTECWLERCIYEERVCSLEENVVFRPLKIVLPVPGAELIVLSFSGLDQSEACWVTRLMRAIGAHVAPNFSRRSTHLLCPSAMGPKAEKAREWCIPIVDMAWIAAIAKHGQIPREESQLSPLPGMPQTEHKPEPEEAMDVDVPKVDHKGKGKETDVDVTMVDITNDPEAAHEPDSESTFGQPTVLLNGPTRQTLPRSTPPPPSLKRSSTLEPSSSNGSAPPFGRVLVKNSRASSMAAVDESKPIERIPSSESPSPLKMPEVTEKNPTPPVKITTEGTKALHETITSLLGKRPSEEEDAAQGRSSRTGKRARPPSRQKSTSEPADPEVLPVYAPPAPLDPNVLGDDDLDMLADGPAGMSVRVMYEDPKQYDEKKKLMRILSSQKADLSEKLDAPQPKGTKKGRGSKRKGGRAAGA*
>EED80013
MASLNAFEIPVKSVTLYQSSAAEVLRVFSVDLKAWTGDLARVLDVVYAVASGAPTAVQPASESLRGLVAQKRALEDEKDTQQHRLELLSSYLRSLTVAQVPPGDVVSLFDDIIEVRRVVMSTIRQIEEQIVDIERAIDDERVPRPHIGATTGHATVVIAASQECSVEMKLTYLVTDVSWKPFYELYVTTENGQPAKSVTLHRRAHIVQRTGEDWRDAALTLCTSPAPAHCTSNIPDVGERKIVVVETSSSEPSDPPVKSAFTGPSGFFQPAPATQSRFSTQSVFPQINSAPLAPRGLSASQRMAAPPAPLPEAAFNHSSLLTGHHGCSGIIFGNHASQKQSVFDVAPRYALFGSPSTIPSNGSMFPAVQDTRQSAEQAATGDASAATTVKALGTSVHSETLDVPADGAPPVPSDGLPHAVSLSVATLKAEFAWVCVPDARAAAYVECRMTNDGAAAVRLLAGPVNAYIDDEFVAKGELKDVPSGGSFACSLGADNAVEVSYSRTMRQGPEPPRFGAFGTVAGPERTHCADLTTVVNRHMFAIALLVRHAVPATSDLRLTVSADSVLVTLSGGDDGHGEKNKYWERRYEVEAGRSVVCSFGWEVSAPAGITWKEEDE*
>EED80014
MERQFRNLFSTQIAVHSDVLRNPTSAPWLRPSRTVLHPATRGLSECT*
>EED80015
MATFSISTMNMSRRAPTPLRSTPSSAPLEAMVAKKQAHIEELIAQNRSLEHTIMKLRETINDEQARGRDAVAMVQQKWKQERTEWLEGCDSLQAAHRIAHLRTALELDRERAIILKVREDMRLERLARLQRDNRLVAFQRRESELEARVEELSKELLDQQEVLANDQETLNQELEQCNVELADIKGQLRDAQEELVDVEKQKQKAEDALAKLRAEHTTLVASSGTTAINLERTTLQLDGLKSSLSELETKHADAERTIADLRRQLEKWRSLESREGAEMETLRREKIDLEIRVKTLEASLKEAETSIKGREEVVEKAQTKLEKYKHSIDEHKKAIVEAHEAADRAEAEAEEVKEQLAEAESKIKTLQEQLKAEKSRADEVAAQAQARSSATTKKSKTPASLSDARRSSENEVEVIVEAPSPPPSPPPPQKPKPRPRPRSKVHAPVQDSDIEEIESPVQPPEKPAKKSAKSRGAPVAALDDQASGPSRPKTRNEKSQPAPSAIADADDDDDDEEVMISEVTPKAKAKAKGKGKEKEKTNGKEKAKPKAKPKGRDKDKDEDEDSANAQTAAKEKGKRKAIALDSDAEDVLDSSAPKKGSRKKKARDEDENDGGVSQKPKPRAKPSKLPAKAPSYEASKGGSLDGFIVVAYILSFLPFVKVIALPIRIVFRPVSTLTISLPPLMYSAPPA*
>EED80016
MSDPATLLNNTVGAYFIGCIISSMLRAAPKIAFLYITIFHQVSWVEDVTRHEDLLRNEAITPKSWKRTVVWALGGFIITVVQQSINNNIIVSDNENKCAGSYTERNR*
>EED80017
MKIGSVRNVYSLNFSDNTLKTELAEARANAQVRLQELQEQLPQMMDDIVAAQREELQRDEELLVEHADSSLEDPYDDADMEAADDFDALWSEESSVVVEVAPKYGPRVRHRGMSSVTIDVPPGTVQIVLKFQSEETEQLRRRLRAAEGWSKDCNASLAAEVARLTRESANAQTLIQQWEGSFTQTSQLPQNEVETCESIGAGDRRQAPLGPDTPLFGSRIPPGTSTQSPNTSISPLTLFDIFDVPLGRTQIFGSVKHVFVNNEAVWESPYDFGYLVKPTRMRSKKGNWREAQDNKLTGSHNELSAIVSAMRCYLGTYERVSAVVMTKGEFDSLPTQVREAVIQASSNPKHHSETRRLYETGQVLPRKINFRRVGFNHAFAQALLDAAHVCGLLFGTSLNQHEVQKCSPWIVLNIQNGELDRLRRRLEAAENVNALGSGLQPVQTTGSLFPSANPQDGPVDDAPITFCLERNPKEYLKAAKVSCGAMTIVKLRDDVVWGEFPSSGFLVRPTRIRTKKGNWNKVQNKKLLRDKMEFAAYNGFEWKYLGTFASANTDSEELSREAFIALPDQTREQLIRLSGHKRHRTEVKPMYESGEMVGMKFSFRRIGFNHALGEMLRGVGKESKGGKTLKPEIGYSIIFFFREVVELELVDRGHNLDEEAAKDIAQKQLDYERRVHQEYSDYPEHDAAQFAHKSRIDSDISEEKLSTFDGVMSRDLPTAIVKILFTGYEHRGTKGKLESFEVFAFNADSIRQGYATSTLRNLIGSIIGTFLYGVTCAQTLYYMRRYSLDRIWLKLFCIWIWNVTNHANPLALLTVERYFIYCIWRVVEHRQYQLLLTMTVAFVSEENRIPWFLGTLHASPFELIWLAFHYPGSKLYVNSLFAFRIDSLDTLETMYYLVSIKEDKQDTVRIHTLCRFKSRQLRQPKRTKKMIAIETTPGIVTYFASSK*
>EED80018
MASILDTSNTERVPTSPDANTYTYAASELTELDSVATIDDANTSNYDILQQIVASFRKVSHHPTRTHVVLNYHQPIPTTASSRDLRLAGYLAPVHRPQPLPPITPRLSPRGLDDEVESPGPQTPQDIPEELKNTSYLLASVLQKQDEAPDYDHYFPFRIDGPNALGLDIGHELSNESDPEPKSSVFWVLNERYRPRWEEQQAQRAGKSTHL*
>EED80019
MTDPATSLNNTVGAYFIGCTISSMLRAAPKIAFLCGIVGGKRSTPRRLAAQCGNLSAEHSKRLSGLLDQYEVMTASINNNIIVSDNENKGPIPKEIGNTGMIVTLDDLWWAMLYNPASNPLLNVRNRFRKRHYHEGNILVSINSECQEMPPDLHAEREIELENIPHLAVDLPARRQTAA*
>EED80020
MPAPGPLPTLPSSCRYR*
>EED80021
MLEMQSGLEPSLGYVDEGLDGFPQVGDVDRRIPNGPLFLGCFVGDLTPGEQCLEVLEVTPKRTDFRRVEVVVGAGLEEDVVVVEGIVGAGGVELEFEAVFEGANGGGGARSDDEEERRLEDERRAQEAADEEMARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMSEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSGGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED80022
MQGDGKNACSLWLEVQKQFHTTAPIEDAENFLGFAKQWLELHHQIEEEIQFLHLNPPLDTSTSHDQRAALLIPLHVYHGYLVSVSTGNELWSASKAETLAQVFLPILMHHFVEELHMLDPENLRASGIPADTLEAMRVSVAARAKEIADPTADIPPLIMHNGGALDWPPVPWVLSETFKMPMELYLPHKGWWKYAALPLNL*
>EED80023
MEIAIHPSTAGLSAIWDNAVAKFKLNTEIDLYDPRAIVQPHSTTLEDVEGPNSLLTAFQHRREHSAKLKYQRALLLVKLMPVLGDVEWLLRTEDRDEEDLADEERICIAINALFDRLPTIPRPDVQLTLTARNALDNILISYIDLMGVKAPPEKSSSMLDRVLQDHTEIITMTTNATGEQMLISFREELLSQLRAYWKEVEPQPDERARIQKLIVDLSDRIMRLQENRRIGKQVAARGKHAAHDIVVELLDELSSITGRIKPKLTTSVDTRSIIRIKVQILMIVGIGVKVLLAHKTVLHITTMIRSASSLFAWHEGLQSAIEELKWLVDRALPREPLPDRAENPHLRFQKLWQDALERYAVITESDVQVLEAFTRVDTLPLLEAALAEKNHVYRRGANKTREARHALKQIGKFIKIFMRPAAKLAEPHFAGAKVVASALSKVVEAVDRSEHIYQPMLDLLNKLSSFVNRLQVFLRNDIPEEMNEPLVRILCEILVIFGLLTKHLKKGFMARIFAEDEEVKRALHSLQGLIEDEALMTATLAFSETQKMRQRMSIFVSRGMRPVWETTDAIEGQTEESAPNVDETYRRRGFPERPSLFVGRGRDKKKIIAAILECVPITVLGAAGIGKTTLVLEALHDNRVCECFTARYFVSCDNIKTVEELRIQIASVLGIPAQFGDEQLSRSILIELGQEPAILFLDNFEALFDIPTSLKKVTRELDTLAGVETLSLVVAMRGTEAPAESRLRWTRHLLQPMTLPEGARLFRKVAGIVNIDDPNVNPLVRLVDSVPLAVVLLAHEANQSGRTDTQALWDRLQADGIASLQKHDDAHDESFDLFSSIDVSVNSPRMNQNAKVVMALLAILPNGLPHSSDLLEELQSAIPHHIDLVDSLTTLCRVALAYIDDAGGPQRYRMLSPIREYCHDNPELQVSGELQKGLVQFYLNFLNARDTTTIAGREVVPPELPNIEYILLSSVPSAPEAVINLSPHFPKRSGTPLAPLSEFFRRLFSPASLRHTRSTNIDSSIIRAAVLYTDWMLHLGTPSPSILEKWIPLLSEESLSYQNDLSSSLLGNCLSTLAKTYVFLDQRSQAEWYFLFASRLHEARGDLREMGNDISALGVLCLRTAVEARAEKFFQEALQLYTMCGDERGCAGALRSLAEVSLMKGDVDDAEQKLFKALRIDHNAQSCLGLASDLVYLGEVSLRRERLDDAMQSFQSSLTFFQASGSVLGEASVHQRLGSLWQRMDTVDGAMTDGLTRGRTPADLAMQSFKTALSLHKAVRDRLGEADVHVEIGYLHRSEGRLNRAEIAFLKAGKLHHQAQKRLDEAHDIRNLAQIYMDMGSYDTRAEWLFLEARQMYRTIRISRTDADTSTRSRLDIRRGYLFSAETCFQVSASRRRILEDRLGEIRTLFCLGEYYTREGRFDEAEENLSEAIALCGSVNSRHAEMRGQQLIYNLWVKDVMAAQENDPDRATEIILQFENSYSSNDDGDAHFLDYGRLSHTISIAARAALIGTTVEKTREKGCRQHVFGREGRRVRRQGAKLSQLRQRRQLPADIPKLAVERVAVRRRRCGAGHVYEEIDWGGAFVLGRDIRASRNRFGEADSLAHLGKLNLRRDDLNGAEQSFLDALALHRIVQNYIGYANNLSNLGKVYLRKDDVYGAERAFLDALPLHRAVKDRLGEVNDLRNLGELHL*
>EED80024
MTGSGGGAVINTEACHYPMGPLVRANAYLVPSSGSVSPSRIHTHEKTLSITGRGGDANPHLDQQTLFVIIDRPASLKASYDVGNRASMPSVPPIRIRAPAIASALSNSKNCTHIYLDPTTYIPVVNTLAQVATTADPLSPVLVRKDRSLVFWQYEHHSIAQDVTETYHKIRHYARTYDCDKVTPRSVSSPYALSYVGKQYASGSNTQVAQRQVLNIPRTPSVPHATSSGPPMVGQNSGTNRYGIGYSSDNTTSVSSSVSAQHITWFDDETVTVDEIDGSSPHRTKRSRTSASVTPFSTSFCSATLPVTSPTSIWPPSTSPAAWQPAPRPDKGKQRATPREDESGTSSPSPSLRRGPQHVQPSRGRKRKTRHDDDADTSGPSHKRIAVSLSYTESSASPTPSQESAASPAASQGSAASSTSSQGSIADDLMCSACSKTFGRKDTKTRHLKRVLSCPLSQKLTVPCPHCGTHLTRDLPRHNCDEKKAYMEDQLQHKRA*
>EED80025
MPATLSTMPEEILERILELVVTSATLGTNYRPAWHPAPAAPSSSTHLAPLLVSHTWLRIATPLHYRHIVLRSAQHTVLLARTLRANPELGRWVRSVRVEGTFAALPDVVRCCPQLEGFDMTVDNGSDSDADSADEAEARIADAKVVRFCSAFAHMRQIKHLVIRKNAYLTQPRPTLIFEELGKAISRWTHLETVNIAFRFSPSPASASFAASLAVAPRLRHVRALLPAVWNNTLLEISANPALERIQLTPDTELIGAHLFLSEARKHTRLIELIRAGTPIMRMRARTTTAVPMSAPVSVSPTSQAPYQQRTNARRTKAEQTIYSLSYRPGESNCGAEVRRRDGKICAAGTAGSLQGDSGSGEMCHDGLADARKQIASRRGVAAVSPASLDSTVRITHAAIVNLVWRGAGGRD*
>EED80026
MAPCTSLGRLFVIAGAALCALVLAPAAHATQVMQRPADYKEPPREFATGDPTPNVVRKRADDGYIQAAYFTNWGIYGANFQPTNITPSDLTHILYAFADVSPDTGNISLTDTYADEQKHFPGDSWDETGNNLFGCLKQMYLLKLANRNLKVLLSVGGYTYSQDGHFSFVTDSSLRATFVTSAVSYIENFGLDGIDIDFEYPDTPALAQGFADLLTELRTAFDALATSKGDTVPYQLTAAVSAGWDNYQYMLVPQMDAALSYWNLMAYDYAGSWLNYTANQANLYDASLTNVSTDKAIKWYLGQGATPSKINMGIPLYGRAFEDTLGIGDSYDGVGPGTTQAGIYSYSDLPLSGAQVYENYTDVASYSYDSSKEELVSYDTPDIVAKKAQYVISNGLAGSMFWDLSTDKTGSESLVYTTSQTYGSLDQTQNHIDYPDSEWNNIANNMGESSSTTTTATATATATSSAASATGSSAACSTVSAWSASATYVDGDDVSYNGDLWTAKWWTNGDTPGGSAGVWTDDGAC*
>EED80027
MPSKQVFSTQDNAVYTTSVGAPVAQPYAAQRIGSVGPLLLQDFHHIDLLAHFDRERIPERVVHAKGAGAHGYFEVTHDITDLSCASIFSKVGNKARATVRFSTVGGESGSPDTARDPRGFAIKIKTDEGNLDWVFNNTPVFFIRDPAKFPHFIHTQKRDPQTHLKDADMFWDYLSLNPESIHQVMILFSDRGHPDGYHNMHAYSGHTFKFVNKDGKFHYVQIHFLKDGGAKSLTEPEAGRLAGENPDYGIQSLFEAIEEGNYPSWTGYVQTMTAEQAEKFRYNVLDLTKVWPHKEFPLRPFGKLVLNENPQNYFAEIEQAAFSPSHTVPGIEPSADPVLQSRLFSYPDTHRHRLGTNYNQLPVNAPIAPVANFQRDGAMTFVSQGARPNYQSSLVPLKYKPKAYEEVKHETWLGHANADLSFVTELDFEQPRALYQKVFSDTDRDHLVHNIKVHLRNAKSIEVKNRTISVWAAVDQSLGDRIAAAIGVAPVPALKVAPASEAIRFKTFA*
>EED80028
MPEAYPLRGSDRLRSLSVGLSAHGTYPQQALEQDTFHHPRPEQRRQHQKINMYKGFQREQGENREIWTSPPEPDTGHFIGKDTPFTSHRLYPISTCAILAHQHWTASGVATIMSLGLHPGGNVPHASPDTARSYLPSKLQKSTFVIHHLIILLPKAGGGGGWGVFEHKMPGSSGTWDAFHSRHASLRMTKISGQILVTRRIVSTNCHRSYLSSVLFNSVDSVESLLAFRYFFRQSTCSSLISNKEV*
>EED80029
MTPTALVNHSCEQQAVAAMQNANVSESTQYRTTFLDLNDDVLTTIVSDFTTKDSLQLSLVARRVHLLAKRQALSSVTMKSMQAVLRICTYMLDDVVGRLQWIRRLSVSVGLPYQGSGIFTQHDYPQKLEEALRALELLTSLFKHASGLRHLRISPLEDLLVFHPPLLDAIYARANLAELELLQCGGCKTIKLLDELQSQPRSLVLEVRTQDADKDAIISRIGRFHELRALSLYGLYTPRRSVRMDTEHFMRSLHHSWPKVTDLTLAHCEIQLPAILRAFPNLRALSFTERGSLHDSALNRLGYSVPLQGTAGLEYVKGSGHLFENWPTARPVYHILIQSILAIPSRSAMVGPTRCGDSEIPILLQMVRNAEPVVLTFRIMAFESLRESFWRSLAAEATRLRCLEVELCLFRQTENLTAAFLQWMTNIPPSLSGVMSLTYLDIGINVGISRFISCVITMRPKPVDLETSQEYAEQLASLIIAQISSLRYISLGFSSPGPRSSWMWKVDDDEGERTLRAVPPNIAQRLKVVLKSPNHDLQRESEEERASAIKIEHDRQARSSSDREQAPKTGSAIVGEPQRN*
>EED80030
MATHSEPGQASACYRALNIDELYTRIVSHVDSHNPRSLANLALTCRSYSEIPLRTLWYELRDLAPLLKLLPCHKWREVSDVHGTKRLDITASGLEDDCFTRMDYYSHFVKKLSLPFELMEVLASIKHRCLLPQVRNFQWQPRSSVFVECIAPLLGPSLETLMINSATVGLDLSAVLQTLPERAPRLTKLYIREIGRHETTATSVEELLLAQTASLTTFSFTGTLTSNSILALAKMPNLVAAVVGTSADQLSKVSFPVGSFPALETLDIKLDKLDRSISPLLNAIDSTSFTHFRLTVADPGSPGLLTSHMRALSRFHLVFLQVLLGRIDATGSSYLGSSEDVVSLGTLRPLLDIQSLQYLGVRAPRLEVDEHMLDAISQSLPHIKALSLENMSGELSPGLGVLLPLAQQCRELCHVRMDFNVSIVPPIPTGICSNNTICRLSVAQA*
>EED80031
MSHLDHECTRNFRSNVSPSWNQKHSLLRRRQQDQKAGSFAPSPFLEQRRIGVGISVSDVELGEEGLSYWHFCGAKILPRHLVSCLTAQRAGALSNIPTYMTSRPPGSAGVISIPRCIAFDDGPEGDEEYTERGVRAREAPARSIVILIPRINLISAHVGVIIGDVFIIHVPVHVDAFPMLFLDMALQSVLARQHPGAAQAGKSLVCRSWAGSAETQVAIQILRASAPSWATCAEEGSYFGGVLAWLALSAFVGIRASYLRAIHPLAGSCCEGLCCAVNGAAALGSPWVEYADSAGKPWWSAATRTTRMVDLAGVTLISGAAPFAVVHKRDGVERIDRLGQHGREPFEWFKFERLKSTTGISASELELTAVGHLH*
>EED80032
MPNAEMKSNIWPLRTGSRREGMPRGSIPNRERPATSVWLGAKRALGRAASSGPIVRRMRKTFRDLCARHRDDRAELQLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDSEAAKRAKAAEDRRLEDERRRKDEEDRLRQAEDERRAQEAADEELARIATAEGLLPDLAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTLECPFAMTEVNMATVALEKRQSGQKCDCCAGYRSAPVDCVWAENAMTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVVDASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIACRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED80033
MPSLHVGSLLSRMFARTLFSIDHGPYVGLWLSAYGGHLHYCALEGRHLTVATCARKLAAAAAAELFAVAEMPATVRMRKRLGRVASENAECVLYELDSQFLQMWPMTSSGTGDHAFQLLVVLERFKEDTDLDLLSTDGIHDSLTEKLKAVNDASSLWTVVHAQYEGNTRMRSALDSVFTSSQHLFLDVTWIVDQLRDVDRANARRKITFRALAALVIGSEDINALKAISHLFRGVPQVAHEVLGSLSLAAEADIDAVLMSYVKLVGTARRIIHIDRKHSFEAQFARGWSHTLAGHPSVAHIGASPSDFPIRITTTQKLLADVRSACIKLKLQSKDITKLRESLRGLADSITSIKVNADACGDVACGSKHNLIVLILDLLENMTKVLRRLQCTETAPPVEIPLIRIKAQTVTIAGEATRILREANSTQSWNLKLRVSSSLFAKNEVLQSAIQEFAHIVQNAIVAVNTDAAVSASQFTTMWENAKQRYMASSGIEMFSYESLEHVDSLAALDTALDSRRYKFQQERMKERDVERVIRPIIGFIKTFVDPAADLVEPHFACAKFVAAALKKLLDAADKYGSTYEPITCLFSTLSDFLDRMRVHHKHEPDGEIVEIQVEVLCEMLVIFGLITGRINKGYFGRLLVHNERDSTDGNVERLVSGSDEVQAALRRVQTLVDKEDKMTNALVLDVVHRIETTIAPPSSTAATVANAVEILQPKFPPKPVFFIGRQEQRDAIVNAILERKSVVVLGAGGMGKTTVVTEALYDDRLISESQPRYFVTCENIVTLDGLRNGVANALAIPAELRNEQLHTKVLHELGRRPSTLFLDNFETLFDVAVGRSGVEMELEAYAGVTELALVVTMRGAEAPATGRIQWAKLLLTPLSREDGIALFRKTAMIADDINDPFIDKLVDAVDSLPLAVTLLAYQVQPEFGTTARSLWSRWGRKHVGMVTRSDGARDRLLDLSTSIELSINSPRMQYEPSAKAVMALLAQLPSGLPFGTDTSEQMQDTLEDTIDLSLSLSTLCRVGLAYTDTNGAHPRYRMLAPIREYCRSHHELQPSSTLWESLTNFYITFIEKNWDYTNAVTLSVVPPELQNIRQVLALRNWEMEATSFTITAAMRYTEWMCFLGAPSVDLLQLCIAYVHDNELLGVCYNTIGVVYCHLTRWDDAEMALHQALEHHKASGSQQGEAKDLRDLAWIYQYRDDLNRARDTLEEALSLCRAIEDHLGEASALESLGDLFYRQDDIDNAETSLNAALSLYGAIDDRLGEANTLQSLGDVYCRRNDYDKAERTYKKAASLHHSLQDRLGEATDHRGLAMMYTERGDLDKAEASSRAALDLYHAIHDQLGEANSLQSLGRVYLYREDLVNAEESLKNALALHQAVQDQMGEAIDLRFLGEVYVNSDRATEAQKVLCDAVDLWRTIHEPLGEGNALRRLGEVYTKTEHFDEAETALSRAVELHRGIGADVNVRFDEATLEELRKAREESARELPARRALHPPKQAVWDLFMQLDGSRNTTLEAGQLETSVVVPLRGFYQRPLGRLCSEPVSWPPSLRVGGRGAEEYQGRRMTHAPEGGASSYGLERDEERLLPGKRRMTAGSITETEAAANSTQAGDSNLDHVTQTYVIRSGRLSICLSLSFAPSRLCLSHTCNAFCLPSAFIHKSTPAITPTLCYALSSQPLPRATIPAGCTRECLMPTLEGGLPALCITPPPALRQGYSQRRAEAATGDIAYLRPPNDACSCNHAALSVCAKGYDSNIVRTPMKRLLFACLICIKPPPPDLHSQPTRIYLSPRRLATLNGPVYVAADPNRPPSEFCAGGSDLAESSAPLCSVGRHGSHGRWALPVRNPFGSLFENMPPQVFYGLVSIREFSTCSQLYTRLHPMRHLHGTHGGSGEMKSETLDSRFKRAVGAMTRGQRVATSGLHTLSIVLSLPYCQKLALTLNILSAKRTVPSSACPSCPHYPSIRSNVLTAAEMPPFA*
>EED80034
MAEVVKVVLRFQYRGARAGQWASVKNGDRPTAEYMKSLLDDLQVLDVPRPMDLEPMWQSGVVGCIWHTPKGGELYKRIHRGYCRRKFLSENVEKNISWIKFDEYSTKRQVLGDQPLALRGRRRSISPRDRRRSISPRDRRCSISSGTRRTPGEVERRPRSRRPSPSRRPMSARSLQYLDETQRMLRRLSDSVSKGASVPFELELPEELRKEQDLPSDTPTRSRTESSPAPIVSSTSTDVSAQTNVSEVHPNSVGNSCSERSSRSEGLAAPAIPNVMQATASPEPRSLEGDIHDLSNMRLDLQKQSSGFREHEIGLEQHLVEHAQPPGAEEGESVDQGIMTEPFVDKDVSALREMLERETAARQAAETELQEERRKRVDAEAILEDMRRERSVPFIVPGMADAFIKLARLTEEALSSR*
>EED80035
MRVSLKVQYKGEKAREFNQHRNDASNKQEALDAVPFLRKDLEHFRFPEPDSIVSIWASAFAFCNWNTEQGMELYDNVRAAFNTVMKEKQSLHEMSMTQTLTTKFKPRMSAVLPEHLPYGESPSGGKAARPPTSIKQARELSSPMAGNRAPHGALQRSATYTDPEHIKPEPMEDTLVSDSPPPQQALPAIQLSETAMQAVQQLSQSSAVLSLLQKMKENTVPEPPPLEIPQARNVVQNTYNHSPNPAFRPSSDEFVPQTASHMQREPSQQSAPAPPTAPRSSAYRKYEQARMLNQPSSDRVVESSPQYLQMHDIPPSGPRALSRRLQDSSFDSDVSRGGYRHRESGTDKESARAAYRHQEGRPQQSGAASPSTSRLKANTPPQSNSSYTRPGPQRRISDPTDAFASNAPLANIPRAPSRGAAASPASTSASLARSRSAAVHPDSHALNRELWDVRRQLTALHAREEAIAQKLRQLGALPQSDASVPSAKELPRELGALEDELIATRAQLQRESEARQVAEATLEGERLRRVYTEGVLDDARRECMKPFIVPSLLDAFKQLAQLTEDALMGTGTVGSGW*
>EED80036
MSRSSCSARTRARSPGRRCTAACTRRASRRRSQRSAPAAL*
>EED80037
MPPKRKSDADSSAPAKKARASTAHASAAALVHTILADPDAFPIPDDAAAVRASFGALAEYARSLEGAGAAAISNAGSSTVRAKTKEELETAAEKIRKAAHSGIRKQMTRCSGSSPARPVPRSAAHERLMPDEACRLTSAGMIWIGTTPWASRVPTSTSAGQTRAILTSAGPMRQAWTSSSAPTVLCASDHYSLQDAGRADSRWQFFRTAAEKGSGQIWASAVVFSRGPNKTPSLDFIPSNRRNIW*
>EED80038
MSKRGSGASGNKFRLTLGLPTGAVLNCADNSGAKSLFVIEAFGTGSHLNRLPDAGVGDMVVASVKKGKPELRKKTMPVVVVRQRKAWRRREGLVLYFEDNAGVIVNPKGEMKGSAITGPVAKECADLWPRIASNAGTVV*
>EED80039
MSHIISHLTTSLRNALLCSDPHLDPQSLFVIIDRPASLKASYDVGNRASMPSVPPIRIRAPAIASALSNSKGCTHIYLDPTTYIPVVSTLAQVATIADPLSPVLVRKDRSLVFWQYEYESIVQDVAETYHKLKHYARTYDCDKVTPRSASSPYTLFIGEQHAAGSNTRTARRQRRDVIAPLQPQRADFASGTAPVHNVQFSGNMATTVPFTAWAPSVAVTAQPARERKRQHGQLSISAADAPAAPPLANRDMLPLPRRVSHATGSGPPMVGQNTETLRYGSGYSSNNTTSVSSSVTTQPITRLDEATAVDDSDGYSPRPIKRARSSGSTAPSSTSHYSTSVSPTSTPSTSPPSTPASAPHPATRRNKGKERALPYDGDSDSSSSGTSAIAGPQFIQPSAGISLAPPAEGGVCCKGCGQSFARSDSIPRHLRESTVCPLAEPVVFKCPHCGSGNTRETSLTRHFNTCRVLKAQQEAAGQT*
>EED80040
MVSKLQTYYAESLRKAVHVETRLPPLAALLFDWTSYLGLAGEHLSDTTKSLHDPHSTCIVFRPHMGLIYSGGKQFRKLRAPLRYPPASDYVSNPVESASRRALRIDEILRMVVAFLHGHPKKPDTCSVLQFAVTCRTFLEPSLSILWETQHGLDNILRLLPCDTWRDTVTPDGKKIIANNFPMQELVTDVPLDAHLPRFVAYTSFVKNLFWRKEDEERLGIASLTQFLTPPGRFNLTTFSSTMEIDGEGVLLLAQMRNLKALELSLNHKSLAGAKFQSDSFPSLEVFDLGLDEMDESTLRLFKSITSTVLVGIQLLVVSDYTIDTVYAHLVVLARFPLKFFKLSLDSQSFDVDDEFPGDKIVPCHILRTLLKTPTIMFIQLDAPCLWLDEDTLQDMHDAWPRLLFLSLIADLEVTVYTSISDPSTPLAQATVSLQCVALHVDYRSLRRLLSEKSFPNGHILELHTHSQTEVARAVAVAQHMHATFPQLRLVDPNNPNTGPWNEISRTLPGYCDSYLVKFGGLSLADVLPTPEDDDRAYDEETGIIRIMYNPRLSVSG*
>EED80041
MSALEQKILGDIVDSLLDSCSELDSTVSAASMLTHDAVYVLPRTCDPTFPDGAARLGYRFTVKATSTAVRRILNMPGYLRVPVLDTFADLKMARFHSAALVRQEQVLVMWSEREHDIIDDFRDVEHQLRHFARTCTCGDVSTSPSHGIKGAASTPTGLQSPELGSSFMSFDVKSSKQTEYNGLLGAPAATSFLLEDYMQPSTLAEGVPATICPIQTLLDPGLPFWDGSTWRRYTDPSSVTTSTLGLTLDWTGTPALTNASTASTASTARSLPEVDSDGVAEVLSSDAEVGEPAPIEPHQSTSPKSITSITSVTTPPLLANSPIEVLKCKRSRPQAPGSSPTTRELCSLMPAAPTPASRSRPLAGPSRLHNPSPASTVAEGSDTKAASVSTSRARPSRVPPVFPAHLLLADKKQECGRHADGGLFDENIDRSRGDDGDGDNGADEGCVSAAKRSRTKRARSTSPSVARSRGPTSRDTKTRKTAHPQGSGTSRSHRYARPSDGDHAADSLDDDGSTYGGESSCSPRKSNSRAQPPREQKRKASDDEYLDDARPAKKSKRGHKKTRSTKATKKYACTRPGCTRTYTRRGDMKRHRDGCGKKPKPAYFCDTCGKGFLRKDAMMRHSRSENGCNKYRRRLKKQASKRKRAGLRDDDEVGTSSVASGSRGGSSRQVVDWDEIEDDDSETDSDTDTEDED*
>EED80042
MRLHDNAILIQPDLSHHSVSEGALLELWRETSPYCVEAIKADQPSYFSFIDQCIFFAAITPLPSQTALDTAEEKDRSEDIASIHANLARLALDEGRTPTENDNHVDADLGPHISSLINHTSPYSVSRDDIWSEPPPSGEGWWIESQNLAGASSCTFEDQTTRYPRDIFDHRRDPMPRGAGSPLGFVFSVPTDMPGEVSIGIALRKPWRRRGFATRALTLFLPIIFEELGYHRAQALLLDTPELSAARTLFISLGFTIEGTHRRALMTPALPGWRNVTYLGMLDTEWVMRPRGRAQDKMAALWDEMFARQQHERELLLQLEARRQALRKTASMETIRDKDAAISSEAGAVVALFPGILGDGRSEVASCCSSADPFASPPSSPKSTYSWSNAESGSEMSAPNSPLLKGKGKARQSLPSGLDGVPGEKYASDSGSDWSLI*
>EED80043
MPAAHDRLDEVMDEPSRWTAELALLKQATDGHLRLLDDSRAGTSPMTPADSAREPVFEPYPDMLRPVQPTDLWITATPSTSSNEANTQYEDNNAVDELATMTGNVGRLHPQYTELGSTSSSSHPAEMEDEHQELHFPQEQQSVRQRVQSAEQNLVRASDYTGVDGAIAEFHQETSRQHEQETGHSIAHREVDATADGPLPAAIDPRVRWTDRSHNNRRAQPTRNCKSANAVVERKERGANTRKGRTVIDAGSSRGTVGRPAPREPAQPSTSRSVEQWGPLPADAPGSSTSTHVCAIRQYVQPPRGCKRKAPAGDDAVDGAEGEEPRAKRVKAVVAKKPMVVQVKAATAKKPRKKQVKVASAKKPRAKQVKAATAKKPRVPCPHPACKATFGRDGDLRRHHRETRSCPLYTGEYESICTECRKGFAREYAWRRHIENPSACEKEKARTEKAEREKTKKEKAKKGKYQSE*
>EED80044
MGLGTRQISTRMPSEYFVANDASAFTVLENKENLPWSTYVGVCGMPGQTAHHAWREFAHPQKGDVAFVITGAGPVGATVIQLAKKDGLKVIASAGSDEKVEFMKSLGADVVFNYKTEKTADVLQREGPINIYWDNVGGETFEAAVARGEIKYLEDRKLGLEHAGEAIVDVQTGRNKGKSVIVVAQDMLLEALVKFKPARCKVETNIGMLSGSGDDVWRSDTNPGPRVSRASMGCTRIPFCSIVIRRCPANVSSRRGVEGISLLSTQKAEGTHDTVPWRSDRALTSELPEAADSWMAPLTNARVLFNEIPSGLPVPGQTTVYDASQTIDPDTVPLDGGFLVKTLVLSIDPYMRGRMRDTSIKSYANLDITSPRIQNYGVGLVLRWGNDGFKVGDHIYWHSFPFQEYWVARDTSEMRVLKNTENLPWSTYVGVCGMAGQTAHHGWAEYAHPKKGDVVFVSAAAGPVGATVIQLAKADGCKVIASAGTDEKVDFVRSIGADIAFNYKKESTAKCRACFIYWDGVSGEILEAAIGAAAVGARFITLTLSGFIVLYLHEKHIDELYPTIPTKVACGEIKYKEDAKHGLEAVGEAIVDVQIGKNKGKSVIVVAEE*
>EED80045
MAPVTNARLIFNEIPSVAVYSPPSESSGLPEPGKTTIYDTSETIDLENVPLHGGALIKTLVLSVDPYLRGRMRDPSIESYVPAFTKGKPLDNFGVGVVLRSEDPAIKPGDHLYGYFPFQQYLLSQDLSIPSMTTKLPDDKLPWSVYLGVCGMPGQTAYYGWKEHAKPNPGDVVFVSTGAGVVGSVVIQLAKAEGLKVIASAGSDEKVAFMKELGADVAFNYKTEKTSDVLAREGPINIYWDNVGGETLEAAIAAAADNAQFIECGYITSYNGEDYHIKNLQLIFAKQLTLSGFLINRLRHKYQDAFYSEMPARVARGEIKYTEDITRGLEKAGEAIVDVQTGKNKGKSVILVAEE*
>EED80046
MDELADYQRELMPAAHDRLDEVMDEPSRWTAELALLKQATDGHLRLLDDSRAGTSPMTPADSAREPVFEPYPDILRPVQPTDLWITATPSTSSNEANTEYEDNNAVDELATMTGNVGRLHPQYTELGSTSSSSHPAEMEDEHQELHFPQEQQSVRQNIQSAEQNLVRASDYTGVDGAIAEFHQETSRQHEQETGHQIDHRVGDATVNISLPAAIDPRVGWSSHSIQRTQPTRNCKSANTVVERKERGAGARKGRAVINAGSPRESGGRPTPAREPAQPSTSRATRQNMQPPHGCKRKAPTGDNAEDVAEGKEPKEKRVKTAVQRSRRWCRSRLPLPKKPKWQP*
>EED80047
MCSTQAFLDKLDVPLASLMATSGDKQLVGIAVDGSCWKPGMLRICAETESMDTVSPMDTLLDPTRLLNMRRTATVLSWAWMSPSTLAMHNGILPWPGCKRLPAQPQRYLTNQTPETRKNQQLLSLLVKATAAAYLSYLIPLYCDARNQSTKISHQRMAIPNLLVSVPRRLDEHHSGSRLLTEAEPSESPLRRMTTLNVLLRRQMRIKQD*
>EED80048
MSLTAQEALLLLDIDRALQRTDTGNFYDFTGVYLRTNDRGHHIYPPGCNPSFGVALATLGYFVAVKARSLAIWGVCETLPANTHVDVDASLRVPMVDTYEDLVGAAYFHNAALVSSMIHAEHPGSENGQPRADNTPKAAVDMHRREKSLSPTPTARRSFRPSPMQQPHIPPAMSALGIPIPTLNPPPGRNIASNNGIAPSFSLSFNGTEHVPRATTSGLRLLSRPPVSTEHGNTCQRYSDENKGDMDEVMSGSSLGEEDDEDDGEYDDDDDYVEREKATQQKRKEQQGQAVSTATSTELHTASTSSTAESSTITTPGFKRKASDNGDENSGRDGDAASSPGPSKGRPRKRRKTRRTKPRHRCERCRATFVRSTDRRRHSQTACPAATNLQPHVCSVCGHRLSRYDALQRHMRTRHRGWQGIDSGDEDWDD*
>EED80049
MPVPGPLPTLPSSHRCRWVCKGPRPRSQTKRPLPPPSRPASVISTMRQQPR*
>EED80050
MAICAPEWQRPNERVSKQVRMTTHIDSGDEKRLWGCVTTPAPSISLRQPLSFPIDAVIASLRVAETGRCAFPKPHIPNSFHMEQDELFKVIQGRMCYVCNGKEGVAHTGAVVELPKGAVHTACTTWAALSFFQFWCDPTSEEDVIVEFTARPGRGMDERNINSAYGIFNSYFVAQKPVPFLQDMVIWYEAASAPGHFPKPFAIMAAYMFGGVIGRLAGYRGTYPLYTEQEAGKE*
>EED80051
MPPTDYHGPVPDPTYIATQWLEGLAAAANDADIDAFAGSLVVAGWMRDLLCFSWDYRCLNGNENLTTFLSESIRGQTRLSAAGLHSFQIQATSTLGPPALVSSPLDPSAQAIQAVFEYSISSPPGRGRGFVRLVEEKTHEWKALTILFTLHEIKGHEEPRVRPTGLFPDFVTWDEIERERKGAIEGDPTVLIANVKLVVGGSLTGLICAARLTKLNVRALVIEKDARIGDVWRNRKLFPKYIPRVKLADFLEAYATGEDLVVWTSCELLPIPKYDSASKRWSVTVHRGGERQTLRPKHIIMATGNGKAYKPEFPGIEKFIGPVYHSDDHRGATPFKGKRAVVVGACNAAADMCIDFFAKGAHSVTMVQRSATCVISANAADTLVYDRVYNERFAVEDADFGSLSMPTRLGLKLAAAGSTKVAKAFDKELFDGLERAGFALTWELTPGGGEVGAVGFVAERAGAGSMMDLGCGRLIIDGNVEVKRGSIARLEADSVIFEDESRVGADVLVLATGYKPVIDNIKELFGPSITDTIGFRLGGIDEEGEHMRAYRPSGHAGLWFALGLLPQIRAFSKYLILAEELGLKETEPV*
>EED80052
MMESLPIDTSHPAVRDYLALIRLQVLTPLSLLINIATVIVCAFVLHPSLRDISREYPSTIAPSNSMIAVFITLIYIAQVGYCVLLVLARKPETKASLVKGVGYPLVVANCVMAAWAIAWVLQAFFASTVLLGILLVLLIYANLNLLIYHTCCTTDFYGALKASADGFLGSVTLGWTFSPGEPQHYSPHQWAGFGVVLGVNILGLLVVIVRQDIVWCLSASWLSASLWSRTPKPMPIWLTAVLFTIAHPLALVVSWLWMRFRAHRQGAIQLAPDNEEGVGEGQGRQGGPREVDVDALWG*
>EED80053
MYTTRKTRPRAAPLPRSTIPVPTRGVNGLEAVKEPLLEPPLLLSPSSTTLAHAHDNHDVPLALLTPSPTALGAGEVPRVRARLQLRGARGVRGNMRGLGEGLCGLFLGSKFRHVGPQALHTPECDCPLILMRPMMATINKNEQKPEHVGVLEDEIAAEAIKDNLEITDAIQQREDLDELLAECLEEDFPEDDESQLAQDAAKIARANITEQTRTGHMRIIKAFILFTWKQVSATWDPKAVTSQTPYEIRNFILQKCGEHMKGYEGRKFSTAVSTRAALTLWYRSVRPYESVAEWRVDADGNCYGLPTRSRAVSEFMIGLEKTKAKAGEVSQSARALSLEDILHLHDHCIARTDLQPTEQRWGVMRYTAYLFAWLMLLRIEEALTLQFESVDIVPGERCYVDVQLDVRKSAQTGTNHVWRLWANETNLKICPMRALIRLSAMYGKDVQPLGPLFRKVSVHDIGYSQPCLDQGPARSWLQVMDLV*
>EED80054
MSVLWETMAGLAPFIRTLPPNHVYDYEDDKYDDYESDDPGYHKSTFVIGVNRHHLTSNVHFALNTQRLDHYARFIKHVTVHDKDAYYATLHDLSIHMPSGYRLLPEVRTLDIHLDAVGGPEGMDMLFGPRVDAISVRIERTDTGFRWTTVFLNKMFAICRNLRTLKIDFDLCDPEEICVILDIMRAARPSLRALHLTFKAWIYGFKVMGTPRDSMERLLRVISGTVHDFSSTIRIEPLAMQAFGLFRNLQNLMIHLSPQAMSHLTSKGPNLLFPSLRSLEIIADNIFLDIPPFMRHLQATHLHTLALLFIRSPSASCEDSMSDFNQSFLTCSFSGTLSVVAMHPRQRDRNMWRPPYSMMTTLLALPKLSALYLPRQWARDDVLETIAKNRPEIQLLEHCPLHPRAFPDIPDVPLFHSQLL*
>EED80055
MPHRQEMGARALYLNGDGKRSVANVAVTCRSLHVPAMSVLWETMSGLAPLICTLLPDYVDEYEEVPENYESTTIIGVSRHRLDSNARLALNTQRLDHHGRFIKHVTIHNEDAHYATSHDLSIHIHKVSGYHLLPEVRTLDVHLDAVGGPEGIYMLLGPQVDTIYIFAICRNLRTLKVDFDDCDEEGIDSMERLLRVISGTVRDFCSTILVDHLAVPTSRHFRNLQQLADTFSLPSLRSFEIITHCTFLGMAPFLRHLQAEHLHTLAIFPLISQNADFVIDVCILGETFNCRYASSPIRL*
>EED80056
MSVLWETMSGLAPLIRTLPRNYVCNYENVDDKPEDHMRTFVVGVNRSPLDSNDRLALNTQRLDHYARFIKHVTIHKEDAYYATLHDLSIHTPSDYRLLPEVRTLDIHLDAVNGPEGVDMLLGPRLDAISVRITGMDAGLRWTMVLFSQMFAVCRGLRTLKADLGDCHAEMMCVVLDALRAARPPLTTLHLGVQNRIYAYGFSDSCMTTPNESMERLLRAVSGTVHDFSTTVMISPFILPSFSLFRNLQNLVVYVRPPEPSSRSTRLSLPSLRSLEIIADHIFRDTPPWLRWLEAEHLHTLAIFSRERLDDSCGKGLRDFNVSLLMCSLRKTLQVVSIHTLLQCRHGCILHCNAREFAAHNAKGARTRGPAARSLAPAKSLFDNEGAVTNGHTRRYARARAQGNLAGERGRRLRHWWYASRASTSEPAVAQTGEAPLCLRVGDARPEWAALW*
>EED80057
MGRFFTFEIAMRNDHRLVTTGPYAYVRHPSYTGWLVAMVGPGICCASPGSWFRECRVYDTAWGKFGAALYVFFCLLSLIPAVVRPPTEDRLLREQFCEEWDAWARKVPYRLIPYVY
>EED80058
MSSRSATPASTPSLVNCRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDTEVERRVEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTVERPFAMTEVDMAAAAIVKRQAGQKVRSTLRRSGSMLTLR*
>EED80059
MIQIDTSRLEHYSCFLRELSIIEDYIELSTLEYLAPHLPSDFCFLPRTRVLKLHLSGDMEGFDMLIGPELVDVHIEIDSDWTSMRCGITFLARMCCVCTNLEVLRLDIRFEGEAEAELSSHVGTFVQMVQSTYPRLRILHLLAIHDYDYRCDPEFTSRPIIDLLRTTCARAQEFSSVHIPVPENGVIKLARNPNLRDVWICLDETPLERRIFEGIHRPFSALHAMRFSVKHMDESSLSFLGSVSSAVLTRLIIDVEDDSENLDPTMLCAHIQNHPTYSLYETNVFGVVVGVLCSWDRNPGVVDKPSHEVVEYMSISRGVPGKNNTVAQLHAIAGYVRGVSVTILDSIYERVAPQQAEEA*
>EED80060
MPLRTWTPIHIRGYDSAEPSARHDWTVRIRAPPELHKYHCILRRDGCLPG*
>EED80061
MLVGDIHPDSHRQYLKCIGFYALEDEFLCEDGTDASWYGIDEEDDGTDASWCGIDEEDDGTDTSSYGLHEEDDVTLAEPNMANEGAERPSLARSSFKFTYTPGSDCITHPFVTYAFAHWLDHVRDVEIARPELAQDVLTFLTDSRFDQIRSKCLLDFVSRHSLYYIPLSCGPIALLLRHGLVHTIRRLGDTRPHLLEELDSDISDYGSFLMLAVFSANLTMAESLLNLGVDCDKVTSKHHIAGDPISALWLAIEYGHDEMFTLLVRAGARPELPGGRFGDSLIFQMAFNNRSSMLSQVLDRMNVNARSRDGSTAMHYAAQARSLAIVRTLLETGCDPN
>EED80062
MSASDNVNLLAGPQLLGILFDWGLQGVLTVQICKCLNPTTTNSLTAFPQPDLYHIYFPGDRLMLKCLVYGMAIFATVQTGLITAYAFDIYVYNNGNVASMMATHDAWFAVPVMSAMVSCTAQIYFAWRIWVLARSHVLAGIIIVLSLVQAGAGFATGIKVSSGVVASIPHSTKVNCDFRERYKNNMTYELNYCLLQENNAADLHALVGNGALILVDVKPYLCHDLGSSDWETQTNDAGGVRLGLTEVMLVCAQHADAAPGVVDSDVSISGM*
>EED80063
MFHDRRSFTSLLGLYVFLLVLLVVVEFAISATTFLVYDTVINFADEVEYIWWSVVPLKIAMRRPIFDIHGSRRGLWMKFVYVFLRHITYLITASIVALSIFGVQGKTWDSRQCIGWTLLQLVSNQVITIVVEGILVFRICAMYNRNKWILIVISILYAGVAVTMAVACFLSVPNAKWTPQCLVTDVPPIYGSYWCYISSQNLGQYSILFSLMRDGTWAYVMMFG*
>EED80064
MSPPSRPM*
>EED80065
MPSMQRVIDNEALCFKVLTNIYADGRGAGTLASLCATCRLLQKRGMPILWKSMKSLVPLLKLLPHKNWYEAQEDDGRNVLETYNDSNITLAIFATFIGKTLVTSTIRHYVQCVVGPFIDHLQLELVDHDPETVHGIVAGFARLATRTPLFHVDVSLESSTPGPHALRAISLLSPIACVTSAGPGFRNLHTWKLSIPTVNDANIFLKSISWKCLTELDIELQEESDLFPIFDTLSERHIGLQHLRLEIHTGKRKDDQGKRKDDQGQRKDDQLRCSVDRYSLEPLRNLCDLLTLSICGGHIDLDPIAIKEIAENLPKLQVLRLLPRHPGSPPLPLSAMHYLAEHCPELTRIGLRVQACFFEHLVVDPLLAKHPDICSFRSKSKVTALRFDDSPIDSQACMIETVFELLIKWFPCLTCVFADYGVVPRESTNGWSKVTNLVVKSIPERQKALMTADSSARLA*
>EED80066
MDSIVPLIKTLPDGFWGLHEIPHSLPISYHTGVHDALRYASPVTTQLDISRLEHYSRFVRELSITENNVKPATLEYLAPHVPSDFYLLPRARILKLHPSSDLDGFDMLFGPALVEIDIQVGRGNWESIGHSMSLLTRMCTVCTNLEALHMDMYHNGSSFRVGTFMQVVQSMYPRLRTLHLSADHDSEGDRCDPEFTSKPISDLLRTTCSRAQEFSSVKIPVPADGIIQLATNPNLRDVTICLDATELEPRLFKGIHRPFCALRAMRFSVEHLDERSLSFVGNVSSRILTRLIIDVEDADVELDSTMLHAHILKLQQVPFRDTLTLFGLDFEHAELSKAVGYEALEPLFDLPHISRLFLRHPSDELVRTIAEKQPHIKLVDQVS*
>EED80067
MCSKAIRDTLRYRNPAAIQLDTSRLEYYSRFVKELSTAQEDFDPTTLEYLAPHLPSDFLLLPQTRVLKIPRCPDHMQGYDMLLGPALVEIDIEIYFEDFEVFDQWKPLRYGMSLLTRMCTMCPNLEVLRMTLENGRSIHIGSFIQVVQSRYTCLRVLHLSAYNCFIDDRRDAEFTSKPITDLLRTTCSRVQEFSSVEIPVPADSVIKLATNPNLRDVRICLDATALELSLFKGIHRPFSALRSMRFSVEHLDERSLSFLDSVSSGVLTRLVIDLEGFQLTSTMLRAHTKKLQQSPFRNTLTLFGLTFYESLAEHVTYKALKPLFDMPRLSRLFLQYPSDKVAQTIAQKKPHIEVVEKEDW*
>EED80068
MTTFTQADIDQRIAAALDTYRLQQSTANRPLRLDIPTPEPFSGKAEDLRCFLQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFIKGLPKELYFALATRVARDRPNTMKAWYDEVRNADAAKQGALVVTDTRDYGEPMDIDAAAVALTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHKSGRTTRGWEAEPGRIWEG*
>EED80069
MAPYIPFVPTAAFVIATEEEWHDAIFQNVNVSDEQANLLQTSLLREVESLHIMVQNQQALVDSYKRQVDALPVSTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIYMKSYYVKMREGKDLGTWKAFVAELAQIYGQHDDKERAKKEITALFINKDLASKDFVKYAKRFRTLGHLTKYDDSLLIDKLREVIPRDMQLVLAGKDESTLPKDWTLFLNILLNINKIVNPEKVRGSVFKNSGSDNSSAVPMDINSAEKSKSKGKKYCIICKSKTHKTDDCYKLAENADKRLNTQGDGTKKVQGGSGNPAAKKAKKMRVIQVELMDSEDDTPPSAKAVSANTARIEEIANIKESTLAGKDEPQLSAKTEPIAATSDFWKKYM*
>EED80070
MPKAKPFIVTAKHEPTGLLERIAIHNTHNFDDVGKPRRTAGPSYEYKPPKPLPDIHFQRTKILLRTSKYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYKV*
>EED80071
MLFEIDDRSTVQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTKSAEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPAPSSLPPTQSTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNNKGKEPAYKTIVPIIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIDQELQDDFDEEPIPSTVEERTSSPELLGLTTSDYDISTPDLFERSGSSPEPEDPFPSTSNLELPTPSSFRAHAQSPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNPAPPAPTIPSTTTTSSSSPAPTNTTNMNQNANTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSINVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRNSSENALVSGFTTRQT*
>EED80072
MAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHSHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSRAVAAPSSTSTSTAPPPTIPAAAPAPSSSPPTQSTSRPTTTSAPPAPPVHPFANARDATYAPPNPSNDKGKEPAYKTIVPVIQPKLTEEIFQRSMKSPFVTLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLAEHAIGEWRGGPVAGTHSKCSLPHR*
>EED80073
MFGQVLYTEAHGAQSGEWAMNPFEEAQLESRLVEVEPLIAEVRIGRELDDTLEDAVSWEEVEVAGKMRHQIPQCIEFCLVLDGVEFSYEARVVLEARCVNLNSGRTRVSQGIVYTLWSNRLSTVGYRKTCTSFTATKPVYIADDLIEYLSDEQATMTYKGGWPMRAGNLRRKTNADHLDAAARHINRAYAGDDGCHSLLAIQPDSMSVLWETMSGLAPLIYTLPPNNVCLFEDEVPKDDKNTRIMVNHNVRLALNTQRLDHYARFIKHVTIHSEDAYYATLHDLSIHKVSEYHLLPEVRTLDLHFDAVGGPEGVDMLLGPRVDTISVRISRTDVVLRWNAVFFIKMFAICRNLRTLDVDFDDCDKDVICVILDTIRAARPALTTLHLRLKYWLYTSLMMDLLRYQMKRLLRVISRTVRDFSSTILIHHVAVQSFGLFRNLQNLVIHLNENALRKRESLWACQIPTLRSPEIITDQSSPDTTVFMRSIRAEHLHTLVIFLTRSQAAECEEHLRDLNQALSNVMSPLLVLHKLSALYLPRHISVHCIWLYLGQNIFKENQTRKSWIEHPVGTTVRRMTGAFVYGRSDARRGQSRAVVRYIYREQLPLRGGPPAPATRAAELSSSFLNTRFNTPRISFKAACMGLFVPTHLTRRTARRPFPNMSYTPDIPGTPIPDWRNSSMYHCTRVPSCCY*
>EED80074
MSRIAAALIVYEHILTSGDEIRLKWGYRRLIVAICFYLNRLTLLALGITGLLLVLPWKHKMRVSVEFSVDHGIAYCEGNFKLSTTEEDSYYCNTSMCDSVRCDGNPGDMEQHVQYRSLYFIALLLLNVIDMVTYYLNHLIGNLTAFILPLSSVLISRLLFNLRDATHRYEEGNTPSLACTISDTAYPSFDAERHAWHIKIEDAEQRYDSDDELFDDDEFMDDDATLCDTTRQRVCDPLNTV*
>EED80075
MSSPAAVLDKETLKLLLPLRYDGKTVIDCDRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFANKAAFAAAFKARFGNLNDEAAAQVELAKLCADKTVREKHRCGILRAVQGSGGPFWVWGPGAPRQVPEWHPLPHVPKDRARDFHHVARR*
>EED80076
MQKRQRASHERIPILQVIRIVGQKVVHEDRIVNDGPINAILCDIFDIVFWWTHHCVKPTGSILQHAKGEDVMRTALTFTTDLELVGVPGLRKCLSICIDGIQKQEVQKPKVRWQPGVMSMVGFEINEEVFPLLAKHMRNVIPQTLNAGIVHAPSHIVNPVPLGLPALAGSPLLQYDRANCCFVTSTKRAPIYPRGGTWCRATGPNPQVVAHQELVSYYQRHPPAHPEDVFTILRIDIEPIQTAESAQSPIYEQPLELPEVQYVPIKIPDIEPPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVTTNNTRLSDEAKIAFTVALMRKDLGKTWVDAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPEQQRDRKMALSLSNYVTRFEQLASKAQLKDVEINGTNRVENDYHTLHANFVKGLPKELNPTDVFNKLKTHNPKATNATDRAALEVYLSARHEYDEVVKAADEAIDHHKRLLRQQDDHVLTELIRLDNLKVAHRFQLLLPRNIWAQHNKFIPRAIPNAYLPLPAPLPTSAFRQPPIPSPFLQATPRSTTIPADWQPNPGWTLKGSCRRCGSSQHWVRDCPDVQCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARCVGVVIDNMFLEGIINEAKERKEREKQTKAVPIPPPRSANPEPPTSPVAGPSRLRPDTPVVFRKVDPDWTPNTTQWTWDSSWPRQKHLSGEEWKNLGRNARNEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVLGIVPLRFFLH*
>EED80077
MDDQLELPPAPSSPHLSLPGLADDDLPSSDDAYPHISLDTIAPSELSPLCPSDEGLGLFLQPISIDPPLARSPSPDDDDLQFLDVQLDPVSSSLDSDEFLELRRIRRRALNAERAAREAEAEYTERITAVASSLLPPNHSSPNAEQSECDPDLATAPLSADEKRARQRELHIAMDMRADARRRRKREKQRSKEVGALMDYKMHRVESPEHGLATLIASMVLRRSDVFRPLASRRADFPRRVYAPSPLSQCVSLEDVVADEMDMDMDVDVDANENFDADADEDAVMVDA*
>EED80078
MSRRQSRVSVDSRQNDTLLEFENFLGYSQEEVPPSQQAYYEWTVVNRLNSTLSVRIEELNAQISTLYVENLRLRASEIALASQLKRERERSRKIITDAEAATHSLIKHLGHIRKSFNGPHGRESSSDEQLPPLPRAKRPVLDTNATPLPNRIARAPTVPGIFEDDEMNVSSPEDPDADVDDGEKSPTRVRHRTTKSRSVDSRIPTRVSPTPAEQTVEIDFEDQLDRIGKRKPTRRRSGLLTSMSITTVIPNGISTEVIHPRPPSPAFGSPLRRQAGLAEEEEEVIVENGEEQEVEVILQSAARRERKERRRERESASSDRTRERKRPRDLDEPPPLVGGSKSKLKDVTNSQVSHPTSSLADAGNDLERQHTPDDDVPLALGSSRASSSLIAARNFLSTPAPKSSSSLQQTHLLTPRSSSPLPQPPAPVPEAEPTGGRERRTRKSVNYAEPKLNTKMRKPDPPPSVTATKRSSITSSDSDPSRRASPETEASHPLSGHDAGTTSQSAAGMKRKKSRVHVLPDDEEESEGTQADAEYGGRTGTGWISAEGRRRSVHSGSSLRRLEGDDFRRHSMAV*
>EED80079
MLWLFAPLLLGIILARHMFLRQAAFVATSAPGRIMERQDEEEESGELFNAPSTSNHSSRPTHDRREAKQNDKLFTIGGRPAYFHMHSSGYGSLTPDQVEILTAKVTAHGGAVIDSEQDADTIVTQYAAVELLRRKYWDSQTVFVEDAQFVQMCINTGRYEELLHKPMRKGMGGQSGGVSRVAFTAEDDMHLCEYIASVIPDQEADGGRFGREIYQRLVRSAEFFAQRKWALRHPWSSWQQRYKSRRQYLDPVIDRLVEENPPRADGKGLYERSRAFNPKRKLHMVGSDEDEEEGSEDVELQEFGPSVQGRPEGHSARALSLPPKRARHTDVGPARPRVSAVEQGRTMQRSKSFTQHDIVRRNPLDSDSEPFELPSDPTGPAHDVLNVFEDASGDILILNDEPPSPQLAGPSGRIPASSQVTLVGTGPTQTRREATHSRDNVGGRPTNLSHISNQNGSATQHASAPRIRLTGVNPHSSTGNQQEHIQPGPAVLPEPPARKRAHRSKRIMVVDMPPIPELFPRAQPEPRDVHTSISHPGEQFVPRAPRPASPSVDLDEQDRGDVVPAGEDILPQVDEDMQAGAEDDDIDVPEGTALPMGETYEDEEVEDLLKISKGSTYPSAASSTLNERHPFEIDTGDELDSDDQRTRSMLLSASLNVSQNVESIPRISARSMLSSRAPVSVHSDESDEEPPVPSFVSSAQRASVHKTPAAQPRLSAAPVIRPPRTSGASAGSVRTPANLSRRNVQRTPSSDTDSVPMAGTRAREVKTLREEELKRTPYTPPMGTRAATLRLRNREVLRTGPSIPRQR*
>EED80080
MMREDSPPAQLWLSIIPLGFGNAVVLQTMLKSSMAVGTGFGQLFRGIGQVGGVAISAALFQSVLNSELHKRIQRPDADQLITKIRHSATLVAKLPPDLQRAARDSYAVSLRAVFLMAAGSTFLAYCARLAIPDKTLDSTRPRGRSVSAAAAAEPRTASPVTNESPLDSDDEHSAEADDDMPVLRTADWRPRRLSTYESSESYMDLESDVIGGSARKGRNE*
>EED80081
MFWLRMRPRLNGLHTGGLVLFGLWSATYALTSRLHLDSDEETERKARLGTVRSTQASALAKEKSLDQFSLARNELHTALRNDDSNNPIEDDHAEAILPVPSGFWSFFAVLDGHSGWETSAWLRENLIPATSGALADIYQAHRPPAPSDALPLPASVEIDQSIKDTFKRLDDDIVHRAVEQVFAAGTRTAAARLLAPAYAGSCALLAFYDSHTRVLRAALAGDSRAVLGRRTVDARGRPAYAVHVLTVEQDGHNPAEEYRLNAQHPGEAVVVNGRVLGMGPSRAFGDALFKWTRDVQWKLKQSFLGRTPRANVKTPPYLTAEPEVTSFEVEPGDFLILATDGLWECLSSREAVGLVGLWLESQKGPLPQSDTSSVMPKDLPVLIEDDEDAPVEESTVRHRQWGAEKRFANADGNAATHLLRNALGGADQDLTAAILSLRSPRSRTFIDDITAVVVFFGDNPGPLSKD*
>EED80082
MGVYVKAELHDRTYRDYPGYKPQDLPMDRTYTLPFNAVNGYAVSTKGAAYVHFVEIMTSLAGQVYSVSPTDDPATTDPSSAARVPISSLFVHLKDNAVNSSCADDPGAGCETARRNREWLLAYGEVKKTCIRKTVYEADMPIDITRLHEHISRQHDPVVGAPQETKATHGVAGPNRKRKDSLNAETNRLHKKICLDVDRLSPAPAAQIEMKRGRLTRQEAQIGSCATELMSHNIRCYGTGIMIEDFMVKLWYADRHGVVVSRPFDMFVECDKPLLAVATIAGASVAKMGVCPFLRFPSNRSRLAKKPQYLRHIVDMKCWISRTIEEIRLPRAFMALHNFGYERREFQMIVMKKYEKLEQVESVDEFTRVFCDVVRAHHWVWETSSILHRDISSNNIMFYRDDGRVAGVLYPEKHEFGHLPEWEDSTMFTIGFNKREFLYVDGVRAQISSRVHPVYRPLWNSWVNPLAAMVGRYAHDRDGVQLLRTSLLRTGRGEHSVTELEEVREDIETPTARMLRRFTYPKLMSVLGEPLDIDS*
>EED80083
MSTNAVNPVPLGLPALAGSPLLQYDRAGRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPTHPEDIFAILRINIEPAQTTKNLQSPVNKQPFKLPDIQYVPIEAPDTEPPPVSRLDTASSHFFNFFLFVGSNPRPLYYMPDTDTSYLLSLLDLKSQQPASSAPTNALVEPPMTTFTQADIDQRIAAAFDTYRLQQSTANRPLHLDIPAPEPFSRKAEDLRCFLQCVLSYFVTTNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFSEHGMCIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNCTENNYHTLHANLIKGLPKELYISLTTRVARDRPNTMKAWDYGEPMDIDATTVAATFASTLGGRKWKLGAILNEADRKLHRDGNLCFYCHIKGHSAKDCHKKAAARQGGGRPNQGGSGKDDFCARIKALSADTTYETLTPNLGAT*
>EED80084
MNPLPAHEDIITAIAFSRDGTLVATGSRDKRIIVHHVPSLEREITLEGHTNLIKDVAFSPDKELLVSGSVDFTVRLWSLTNGRKLAEGHHDAMVMKVGFSPDGTRFVSASADSTVCIWSTEDGAALSVLHGHMGVIHTMSFSSDGRRIVTGSDDGKAKVWSAVSGDCFVTIDEKAGVVRQAMFSPDDQYVLTAGTDMMARVNDSYTGERVRVIEGHHDAMVMKVGFSPDGTRFVSASADSTVCIWSTEDGAALSVLHGHMGVIHAMSFSSDGRRIVTGSDDGKAKVWS
>EED80085
MALSMQISVHRTGLQAQHIEGHEAALRTALLPTIVRGTDVGRAMLRPLPVEIWLLIVDELCAAREYDALEECAWSSRGLLRETAWRYIPKEMAFRTREEVLSFNLKQRWQGPGEVHIEGGLRDGTLKIERAEWRVDDFHLRSLFLDLGCFPITDLHLYDVTFPSVLTFWRLVCTFPELKLLYLDGVKIVETAVDARTLSALRLLSAPSLTKVMPGLDPRPATDSAGLLHVFLAQTVPPLEASPWRNIRFLDLWDVTLPTAAAFGRLLCALPTLIALEIKGPCTFSGHGLNPTDVTPHPGILSRFRSIRLGKDFSLCSDPQSVRDLVDVLIQSGASRHLDHITAWLSTSLHVATGINAPFNRLVKDAGESLLSLDLRVLPQDSLPLLNEASTYAAARTACCFDISANTHLSSLVCPVDITHEGSSWLALLLELLRQVTSQPARIFWIEVAFNVKDDADLAELWPDLPSLDAALSETSFDKLRHVRIIFHNANESIDISRAAAMADACLPKLAARGIGQIWEGHKRHVRAISGEFPSDEDADKDKDEDEDKDKDEDEDDEDKF*
>EED80086
MPKASSAYGYPSTRPAKQSAAWLPIYQTTHNYLVPYYLSRPVVSSRGDTLLTLGDREGSPRLAETSARNFQERSDYTSNGVLPSQPRCSSHTQEVADHPKAQYCHERDYVSAQLARVPQRTFDPCYVVRKKKLQMHIEPRGITKADIGRVTFDLLGRKPGTGIMMSLLERSDIDTWIVDGLRPLDWRDIQTRSRKLPNSISLSINFATQEKQRFEIWGAEIGLDDMWLVSLYQMSGRVWFAEICVH*
>EED80087
MVGGFKPRRSNRLKHLPKVDYCENKTADGKRKRGALDDEDEYTPSREGTPENQKTPTRTPEDQRTPSRRVRRKTAITKDARQRAVDASQNEGACIISGMKDKSVQQCHVLPRATKPDVLTALEWWWDIKELSVDSRHNQVFRASSFQEACRYAWAYLHTVRADLHALWDRGYIAIIPMPDVTKAYLAKWQDGGRHKVLEDSDENKIHEYCVIPHPDLVAGAPPPGNSPIREGFAYRFDKVGIIRSHAKPHFMVLNAAMKLKENKELWVEALTAFCKIIELEVDASSFVEDILNLSDGWTAPPPGEAELIMKKEKEQAGEEASSLLVTVSTGEAVTPKHPKAALMGSGGFEMDKRSKSKARKPEGELCGSNLKLCAHYRAPTGSRCLLSLQDDKSIQGCHVVPRRTDDDMCAQVAAWWGLDEFDVDSPVNIFLLRADIHCLWDQGHLMFVPEPHIIKDYLARSVVPIGEASSLAELFEAPDVPVYRYGVVAHRDLPETEENAAFQRDIRTLAYVESPVPPQFVIYNVGLMLSKSGPEGFEMALDAFYKRHGVDYEAIDVLRNMLALFKRYTTNDPADGISHPNI*
>EED80088
MKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGKLNQGGSGKDNFRARIKALSADEKRELSYHFWSPPRGDLRQEFAAFGFPKTSPVVTRSQAHEAASRSAAENLDSSSRMQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDIPTPDLFERSGSSPEPEDPIPSTSNFVLPTPSSFCAHAQPPIASSSRLSVIPSSDLAPSPPLAPSNAASNSNAAPPAPTIPSTTTASSSTPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTX
>EED80089
MTFFDITVHFLSASGIPKMDVVGTADPYFVANLDDRLKYVSTVQDNILTPTWDEVWRVKNVPQEATLAVEVLDKDSNSLTDGYIGQFSIPVYSGEQQLRIEDKALKRNRGTFRLSIDIVPSEDPEASHHPYTFDGPIRFSHHCSPTVGRIANTDSRLYSTWKVYIKGVRLFFGDQVQTWNQDYAKARSIFQGPTSMAVRSVIHTGHRMLYARTAANGFGVLDTPDDFFHLLHGHADPKDAQGGLFVHRIKPALYTYIIAVDDESLRFSETGARFLVNMASKHALHSNCAEAVRYSGEF
>EED80090
MRQYAHIAAVVTTLASVWNARGQPYDVNICPGYTASNVVTSGPTLVANLTLAGAACNAYGPDIEQLFLEVTYETESRIHVKISDPAHSRYEVPESVLPRPQADPSTSPETTAIRFNYTSSPFTFTIYRASTSEVLFSTASYPLVYEPQYLRVKTSLPLNANIYGLGEHTEDFRLPTDDLVRTLWSRDAYGVPNGTNLYGNHPIYFEHRVTGTHGVFLLSSNGMDIKINTTTEEGTTLEYNVIGGVLDLYFLAGSETDPTEVARQYAEVVGTPAEVPYWAFGLHQCRFGYTDFVDIADVIQNYSLAGIPLETMWTDIDYMYNRRIFTLDPDYFPLTRMRQIIDYLHSHDQHYILMTDPAVAYAPGEGYGTYDRGTAADVWLKAANGSFFLGAVWPGVTVFPDWFNPGVQDFWTNEFRLFYNPVNGLDIDGAWIDMNEPSSFCTYPCTDPFQQAAEQDLPPPRTTIPPDPNAPIFVNSTDSNVVLSLQKRQSDSGEDVLNPPYAIDNAAGALSNRTSYTNAVHANGLLDYDTHNLFGTMMSTVTHYAMLARRPGLRTLVVTRSTFAGAGAHVQKWLGDNMADWEHYRNSISGILSMASVFHVPMVGADICGYAGDTTETLCARWAMLGAFYPFMRDHNDDTSISQEFYRWPTVAQAAKNALDMRYRLMDYIYTAFHQASVDGTPVLNPLWYKYPQDQSTFAIDLQFFFGPSILVSPVTEENATSVSVYFPNDIFYDFATLAPVQGSGGFVEMTDVNLTSIPIHIRGGAILPLRSQGAMTTTALRQQPFELVIAPNATGEATGSLYMDDGVSITPASTTQVEMTYRAGVLTVTGSFGYPVGVNLSRVLLLNADEATESVQLNGQEVATIPTYDSTTKVLSVTIDRLLDEGFSLRLQ*
>EED80091
MWHNIIRLELRDAVTNPVAFARYAVSELHSHSQIDQPATSSAAGAAAAPFCFKNSQTSHSQIFAALLDLEMPVPSQSRTRVEFTETDADYIIQYLAKYTPDGRDRSGNQIWKALGENAKQKWAWSSRHTWQAWRNHYVKNREYYDHKVSRLLKKQRKLEEDPSYIPAPSNPKPTPSGSTRTAFTADDDKHLVAYLAKHSITTNGRLGNSLYLKLMEDEEGKWPWSQRHTSHSWRERYKTQRAEFDSYIEEWQRAHPTEEILETPGPRSRKKRVGNGTANGRASPSSRVPVVVEEDEEVKEDAVANGKHREEQMIHGKGKRKAKHTAEDDPPSTRRKRRRKGDSADSILAAEADFPPIREAEESKPIIGPSGDTRHSSEEEAAGVEAQLTSEIGEEQAADNHDQELLPSDDYSGEIFDPPREKVVVEEEEEEDVEDGNTCDDGQEAGEVAQEEEEDDELISSTDGVDNDQDQRTGFQVADPAAPHEEDTRSVSSRDDTPTPVSRAKPDIAQEGRLYPDISSFAPPPDNSGSFPGAFPVTSTPRGQPGDHSGSLQIVSSLKAIQSEQQKSAHGPTKIIQGPTPPTSVARSPSSIITDVAVSNQRTAVYRAGSAQSQVSVPADQGQPNSKSPTDDRLETPDMPSHKVADHHTNVEAGPSREFLKSSAKPKKSSHRPFMLKSADDITFASEPPMPVAASRESTPQPRKRPREPPRLDEGAFSNAFTDAKGRRRVSRDGFGPRVSGVEDTDDDEGDDESDEGTTDVGDWPPARRKGKDKEETAQRATSKHTAAETKREPSNSQPFGGGKGADTYASTSRTSQVNRQIAQRPHHPFSQPTQDPGTQAITQSQGQSQHHPFSQPTQQVDSIPKPSDFMTSRVDRSSTAQPSKVALPPVNHPPTRPFASGFGEFMRRQDTVSQAAHAQSKVAPDRAVASTAHICPSTSAQKVHHEAYEEEAGGSRSVHFEGGLSDVKGKRREMPPPTPYPNPRRNTLNGQRTDIAPQIYPQEKAPRVRMSEPVLPTARAIAGSLDDSLLGTSLRHRRSSLMNRPRSRATSLDPDLHLSEADESVIVQIGIDSAIQTMSENHGFNPEIVRRVWSQTGSLKETDVTLAKMREAAERAALQHLEALQDGDEQAAPRPARGRSSDARRSRSSVGGAPSRSSHVGTSSVLQIEPIIDHAQEGSDDEYSPPRRSRAGQYARLVKEGREDEALAREYSRLGGISPFQTPDKREAVDDGDEDEDEDEDEGSDDGNALDEPWGGDDRMDVDPHQLASEAAGDGSEQTGLLQLENKMGQNSLRLMLAKQLGSFLASGTTA*
>EED80092
MLVESVLDFSPSRGGVLNFTRELVATAFGSCNMTGRRLSLSSSSSSSSYVSETPLGYTERLTQAFSDLDGLPDILHPASRPSSPRADILVQRIGDAITDAINDAAADPTHPKWGHVARVVKLGCTSRGYKGAEPGVVVGDMTKLVVRDVPLTLPDTEEEWWEYEKKWGHKFRPTGLVSKYWSDSLEISRPQRVQQHTKKLQTVQAKVTAWQGLVPPIDGGSIQDVRSQNVTEKAKGISANVIVTQARSSSADGTAKEKSKTKPLEATASQGSLGFSVVKRTVAKSGKPEKSGGPQLRQRKSAVQCNPTPPNAPIASISVDKGPVPMPSPNDQIHEGGIAAISEMYKSAVTSAYKAANGFVTALFAAADAATCANLSSSAPPPPSTPPAAPSSSANASVGPSFPFRVSNTVGLANANIPPVPTTPNKPGPLPDLKDLLASSRRTKPRPRPVSRKAKASNHPQDKGKRPVTTPQPQVLPDLDEDPVPESEPERAKTYFSSPASGSSSSPQSLRFRPRSPVSPMFTQNPAAFAPGFVSSQQPGSHGSGSGGRGGGGFVAVGGYGQMQGSSSRPLDRGSSGFFAMGYSSQFDVEGRVGRVDEILGQDVDFDGWLRDAREVEDEEIAQSQGPNNGSGIETDRHDLSSSACVTMQLLAHPKGSVERCSKDSGFHGTSQAGNACAACELVSLVAPGTEVHLGWLDAVVNNAGHALEGENEMTPETKHGKTWSNLKLAYYCAGKSAASTEVFVAEMFAQWNVRVVVVESGGLRTEWKDSIEQLPAFIGNTAKAAKALMKIADMPDPPLRIQLGTECLLAVRNKAKRTVRDGENREELAHPTLTRAWISAVQSYIYETRIYGRIVAERCAMIALITAFSSSLTRDCGGGRLLDGHYTWTCGVVHLPGPVSRIAAGAPVISSSKAPVQSAVLHGEAALHPSNTFYNALKATARAIVANVARVYKPFPPVNTGTPLQVNTISLVASHGQCGVGPVILPLFGPAIACALGLRLSVARRSPPSIASLWSTSLYSYVTSSSPSSNAAQDTPSIVFNIRTRTARPDGGFVLVVRVNRFRKLEQSESCSTAVASDEGTGKAELFKRFLDDISPPLGVDFHNESIEVKLSFGEDKVEEVGSATSDEHLPDNLDHPTLPESETPADPASLVDAEIEPEVVLFSGTATYRVLSRIAQGGGGRVVAAETDDGYYVAIKVIHKWQQYRLSTGRQMLLTEKAIMAKAATLGHKFLTSLLESWEDEENVYFAMEWRPSDLRSHLKDKILNLDEAKLRSAEMVFALDELHKMNVMHRDLKPENFLVDRTGHIYLSDFGLCYVPKKGRSLRKCTAFDVVGTPGYFAPEALSPYVRIEGYKSSADVYSLGLVFLEVMTDMPQPYFNAKDMQENALLMARDRQEWRRLVKDADAYDLLDRMLRLRATERPTTQQIKEHPYFKEIDWDVLARRGYLHVDTPPSKSLSRSDVDLTFSTFHRGKDSKYAELERTDAGRILPNTIMMDQLLAGEKDDFRFTRAQEHIARHTSTF*
>EED80093
MKKSKIIFARNTFRTLMLWARAQIGPGVISTNHSKMEKLYHGFRIKFGQGASLVAMRYEDYEELVSNHIEDTDADKMQADQPPVECQDLAFVLADLARLVTIHVISLNRHWTEEDVSPGAEHWHDIWSPQQGPDWIDETSAAIEEVHRWRAEVLDGSGSGHLPIVKHLCDEQRAFNGFGRHLSHDVVYSLGIHPGMPASDVCRDDALFSQWTSQQYLIRVANIPNSENPFAFNDISNQRYLSESVLVYHKQCVYVPAELNDRFARAGMYDPSHIIEDIRHAGDAPTLGFAQVDGSLQNKPDPKAPGRPGRRKKGRTGLPGRPAKQPRVQAPQDRSELGLWGVIQEPWSAQVLIVSIKAGCRTQQAPHALELHAKGILRMTYDSELLHQEKQNERWIRLYTKMQIRYGDLASSSTTWESALGTYVRSSPGILSRLDNGRPHRRLSRTLATSRPLASGAGFSTTIGGSKQGGGAVARDMCSMPGRGVDSWMRPGVGISCAGIVCAMTRVREAMSERGTYEDSGARNCICSSSGPSAKGSSPARGDVTDAMRRRDGGGGGARRLLVRYCRSTGEDADTDAGVVRAASAARLCAEAAAAERFLLGMRDQDDWADTMGDGCRVRKWREGAAAMLLRFEWVYDGAGAQILRVSAGAQARGRVEGLLSCYCLAVKTESGTFIQSATLRSSGRGDDET*
>EED80094
MTSAPLEASTTLRKRARVDSDVSAPRRNDFPKVEEIEHHGSFWFDDGDVILVSGTTAFRVHASVLSRQSNILRDLLTRNRDTEMMGGCAVVRLSDSVGDLTNLMSTFYESKHFLAVNDDVSRNLVLSLGRVGHKYNIEYLRAYAIDKLKAYFPSTFDEFIESDFEDDPETLIGAVNLARSMGVVSVLPAALYQCTVLRVKELLDGVRRDDGTVDRLSSEDLSRCLNGRRQLRHANNIGTAWLFNLKTGKECSSKDICLRILRRLPEQWHTLGWFTTSAVLSVAEGTFLTLLNDLCAYCKAQVEENERDGRRKIWVSLPQYMGVKVEGWET*
>EED80095
MNFLGSYQSAESSSASYTVVELDGGSYDPSDPGDEADLDIQYTVGLALGVNVTFFSVGEDTSDGVFGFLDTANYWLGQSTAPSVITTSYGSDESDISVGVFNSLCNAYASLGARGTSVLFASGDGGVSGSQSGSCTDFVPTFPSGCPYVTSVGATQNTGPETAADFSSGGFSNVFGTPSFQSSDVSSYLSYLGTTNQGLYNASGRGFPDVSAQGVDFIIGYEGEFYTVSGTSCASPTFASVIGLVNDRLVAAGKSPLGWLNPFLYSTGKSALTDITSGDNPGCNTNGFSATTGWDPVTGLGTPVFSALLTAAGL*
>EED80096
MAPSDFAKADLAEVVEQLTTDEAILLTAGVGFWHTHAVPRLGIPALKTSDGPNGIRGNHFFMGTPAKCLPSATALGATFDPELIREVGRKLLAEEAKLKAASVWLGPTCNTQRNPLGGRSFESFSEDPHLAGMIAAAYIGGVQEGGIAACIKHFVGNDKENDRMAYDSIMSERALREIYLMPFMLAQKYAQPWCYMTAYNRVNGTHVSENPKIIGDILRKEWGSDALVMSDWFGVYSIDHAINAGLDLEMPGTNKWRTLDLMNRSIQSRKIMKRTVKERAAKVLELVQKCATSAPEILDGDGLEHTRDTPDEKALMRQLAAASIEQKIKKVAIVGGNAKAIVLSGGGSAALKPSYFTNPYEGIVQALGEVDKDVEITYSEGARAYMLTPSLDYDIFTETGQRGWMGSWYSHESDESMTPVAEPLKTQYIDETRMFFSTSYPAELTKRWTLRLKGQLKPRAYDSQFEFGLISAGRAKLYVDGKLVVDNWTRQTRGDAFFGSGSTEEKGVFPLKAGVAHAIYVEYCNVRAPAPNDLDEAVMDSNPGVRLGGAEVQDPDELMETAVRLASEADAVIAVVGLNADWETEGYDRTTLALPQRTDELVSRVAKANRRTIVVTQAGSSITMPWADEVPAIVHAWYLGNATGEAIGDVVTGKVNPSGRLSLTFAKRLEDFASHGHFHSENGKVRYGEDLFVGYKHFHHRKISPQFHFGYGLSYTTFKYSDLTLSAPSVSKDEFSLTAKVTVSNTGPVSGSEIVQLYVTLPSTSELTHPPLMLKAFAKVKDLLPGTSQVVTLSLDKYAVSYWEERISRWVVESGEYLVRVGKSSAPEDLTLGTTFTIAKGFEWNGL*
>EED80097
MSDHPVPSSSKQQLSTNSSHKERAHDYEYYQPPRELEQFAPYTYYSQLGHTRYPPPPAQRYMVAYDTDDESVAAAGGGHDQPKSIQSPRPGPSLGPVTSWAPTTSFYGPPIEQKIEFSIERLARQVGVPMAGIHDPLMPIMMLLPDTPVASYLRLPNGRPRESISFRIAWQAYPEIEFAREIPLAKFDGPDKVSITRLDLARAVVDTYRDFQAACQTQRPKPGLEPWSFAPDGLTYKELYLVSIYSPDGDCFVANINFVADPDNTASYLIHFGHYAQAFPGLGADALPVPALMRFDLAGRFQTPQKVLQWSKVSHISFWAHRSKPCSARIKLGISSCSVLTFQRDLSSHIRLLLSCHDMSHATQLDKYYIRGDTNLELRPIEDIEYRTLHGCNEEHLRHQESLPRLMMLPNLRVDPMVRVPQPTMDPARGVPLENFASMRSDSIPKGLTWLDNQRVEFDLHGLPRGTGICLGLLQDKTVPEIAPHVVDVQKPVILPTHTGANYQQIVITFWWPAYPRTSRNFRAPVTIRRNNSAMHTITRAGLLLQLVGVFNAFVELTKTEIPYPAAREWALLGRNGLTLDQLWIVSLYQMSGPVFRLEIHAARPPVHPS*
>EED80098
MSTALTVDNTSTGILARAMLRRLPVEIWLQIIDELGAAREYDTLEACAEVSEGLLKETAEKYIPNEMTLRTREEILSISLKQRWQGPRKVRIERGLQHGERLPIPHLAPFAARLAGKWSRVHLLTIERAEWRVDDFHLRSLLLDLGCFRITNLKLYDVTFPSIPTFWRVVCVFPELSALYLDGVKIVETAVDARTLSALRLLRAPRLQWIEPDRHSHPATDLAGLLHVFLAQTVPSPKALPWRHVGHLRLSDVTLPTAAAFGRLLGAFPGLKELIINGPCTFAAHGLNPSHAPRRPHMPLVSGILELGKDFSLCSDPQSVRDLVDLLIQSGVSRRLGRIIVWLSLSMRVATNIDLSLNRLVKDAGKSLKYLSLRVLPQDSLPLFNEASTLSTDTRLSHLACSVNITHEDGSWMALLLELLRQVTSKPEDFGYLEVAFNVMDDADLTKIVSGPKLWWSHAYQSWLHGMFCNIRYYAHRVRTDDTITDGGCLQDAPPMSSPDATPGGCWPLSVLIDMPGPSSLLRRSVRQEAPQSSIAQAWGSEKTETDVANEAARLEALSRSTALAHDPVQNAQGGPGPTISSVGQFHAKRQHAIWRSAVGHDGLSGLALDQAQERYWEDLLQTFLGFERRERVSPPCMIDISYEENGTSSTSRAYGPELQNPRHFLKHQSNDMRPDSLGDPDQQPVSTAKSGIGMMDFLRNLGLALVSGIESDERNVSSNSTFITLAPGVKIMDIPSLPIEIWEHIIDYMSGDYHSLTSCSMKGTMWKGPEQVCIHALHHGHDRRIPHFALFSIMLAGRWKRTRQLSIHSADWRAQDMLPNVFLGLATFEFVTHLSLHNVSLPSIVIFRRLVCSFPMLQHLSCQKLVFSVEHRVDCLRSSKYTVSKKLTRLYIGGGASCVGIMNTLTAANACSSLQDVDFQYGDRSLPGDRQVLLCIQRILRHASSLAYFKLTLILGLLQQAEIPAYLRQLDLSKNTSLKTVDLNLLITDPAVDYEWIFRSLANLSAKGLQRFFITFDLEPLQDNQQAIAVLETLGAEILPELDRTLEAHDFMSLLDVAIRVTLRNETKLGKDFSSKALLCRAPIMESRGILRVEVMEIPWIILPS*
>EED80099
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVIDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWLNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED80100
MFLFISAFGMTSLYRWTWIEALERELLQLRKRREVFDGVEILQRKKPTTLAVPKSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAAAPAPSSSSPTQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGTTLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETEDREAGSILSGVANRIF*
>EED80101
MTRRPELLQCEASNAASRYHWVFFVYGPQLHSGYSSIQWAARPEGYYVRKGPFGWRPSFNWRSRRATRKWLVGFYLDVTSPALVGCRRDGAPGYSGGRMCGSVSAPPRGPRSPRPRGRLLAPKTAESANSQCSKSRYLVVMFKKWFHDTITQASSPMRAQRGGVVLPETGIHTLQRTLQRRISLLLQRLYPIELLARHTFLILVNATYIVDTSVGGHARKITTAARPADRLAGNSASITSVRDLVPSLVYPVWRNALGHVHIINVQSYVVQSVHAFPVISHVRNHSDAITPVRLRRLEEINLQSHDTSKRLLTLRCGHIFTVETLDGHCNMSEYYDVDSTGHFVATKAPPGPITALRYGRVTKRATFDILEQNVASSMSHALDGVSSRICAFIPQVENMQAAASGLRSFVYTTSEPSAERSTEGRPTEPLSPSLLMPAAMQSIHGLSRFEAEAWHTIIAGLEDVYRQTVEVATTRGAHAKAYEAAWTTLYRLELDIIARNPGSTWDAPEHRAMEGVKTKIGQPPYKADTRFQVEAYILSLEVRFMLAQIATSRVEALRLSAASQEAVKHYRLWLSFVEFVLNSCSADARKAVGIARKSSASRQLARCTVYVIRSVFELFRIRLLEERGRLLRLGSYAQPERHNLANTVRINATKMETALEKHRQEYIRSRPSQNMEELKKEGIWFQDNCARKVHCWLQECKQLEEYLLVDSPYQLLSLQEKEDIVRAFNFSHRGHFYNCENGHTFVITEASNTRATDFETIAGQQGALPGAWGWTRDA*
>EED80102
MNGLNIVIPDMFYMIAAEHPPRENTPALSDDTGSSSSRESSLPATPVSGLSRAPSISFDDESKHESPGDGTYMRIVEPDADSSPPEDITRPLKRRRLADTRAYVFRSERALPPNNSNDMTNTDDGALFDLGHILIGGCTSVGDVKPDAADPLLEYVFRPLKRKRFVDASTDDACAKEAQTSDISSGAAKVEDSASFDLGHIPFHDCTKIWVAEPDDVHPVSPHIDLHVSLKAIARSPKRKRCADTTADEVCVKRRRLSDSPSNASKLTDGGPYGPHPRCMITGCVSAEVEACYILPPDMPQSLVYKYYVVAEDEHSPDLGTPQDYTIIPLATTAACSYRSLGWHELSANLHLMTTRVGREFMKRPLHYEHYLSKDALAHIPTITPVYPDMIEPVFSHITRDSPVEVIPRLPKRKRSPEIEIDEVSAKRMRTSGSARNTSMIEDSVPFGPHPRCMITGCVSAEVEACYILPPDMPQLLVNWKMDYITFNMRTNYNFLRCHAPGNIIFLRRDLRELWETNRLLIIPHPDHLQKLKHCNVYKYCIIAEEEHPPDSYATMNNIITPSVMTAPCSYRSLGWHELNAHLHLMIFRAGQKLSKRPLHYQHVLRDLLPYKELIVRGLQYEVSNEQMAPMN*
>EED80103
MAPYIPFVPTAAFAIATEEEWHDAIFQNVNVSDEQANLLQTVTANAAESTTGRVRDWVGHLTLEISRHYDGYLQSLLREVESLHIMVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEVKKYCVICKSKTHNTDDCYKLAKNADKRPSTQGDGARKAQGGSGNPAAKKVKKTQVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPIAATSDFWKKYM*
>EED80104
MDDATLVSLSLDDIESYESVNKLFVKPSLARKLSLLSVSSSDSTDTLLPQPVASSIGTETLHTRTRSILKRLASYIRRSPGMAVSLLDVVDPFIVLLFGIIPALFGFIILGGYEPYHSADGGIWAASAAGGAVLGLVSGILVGTVGLRTETRDRNVWLAIAGLAILAGVFGQALGVIMLPAGKSGGLDVVHALKAICHDELHQTSKSATTVGLVARYYFLHSCFPNQTTYSNAEALTCAWRASGSCIVRVEVITPNDSQDDRVLPRV*
>EED80105
MDGATLVSLSLDDIEAYESASKPSIKQSLARKRSISSISSFSSSDSTDPLLPEPVTSSIGAETLNARTKGIVRRIASYIRPQPVVSSTDGKTMYAKTKATLKRLTSYIRLPDEDDAYFLLFCAIFPCGIGILLSMFSAFFGAGFLSGHEPYRSADGGLWAAGAAGGAVLGLVSGILLFIVGVRMEIPRRFEWLVIGALAALVGIFGQALGVIMLPAGESGGLDVRHALIASVTGFPVAGPWVLSLFYQRDD*
>EED80106
MSLAAPPASHEHGLVYPHPFLDALPVLQPRPAPHPPVRALSATQFAQMHLDYLTSHAPDSVLFPFLHGLEGDNDAQNAFFVASPQAHTVPRFRGLVWVAADDEHPGAASSPASTPDIDADLDDDLDDDDDDSLTDSLESIPVEMPDMMDIDVPTDLDSPSCAPAEITAKPLPTLDAPSPLLHRISPRTPPTVLTSSFRPRELLELRTGEDGTASCAFVEPRVPEGISLRNFGIQVRRTGVAHLGGAGGRCSTGYVLACFGPGQVPKDDIVVYSPKGDIRAALSLAEKFKHAVEAKRAERCERYPDVADDLITYNVFVLDASPAEIFKTLPHLVMRTEDVPAAHTDSTDAHAQGEAHPAHPSVEEIAARLHRANSVNFACREKEEMRDLTQASEIVSVADPVAHLSDPDALAGSTTATRWEPSVGQVFLGNTNDVPLPPDNHIHPRRGASAPAEDEDEEENAFDWRTNDPVQGFGYDICIECHDFAPFPTSAHVRAAEDHLGALERRWAERCAADLAGVGDADAARMCVPPRPPPSAAAVIHLPFPSSPPSTVGTMNSLLPFLAFLESLLQPPAPLTLAAARAQLAPPAPSTESQRATGERRRAESAAGFMPSSLPPPSAFPTSFFPAQGGAPPAGAYTRTRSTSATFLPSPSTSSVSSSSSSATSPNGSPTSSNGSMTSSNGSSATSQTSVTGSGADGTEGEWEERIALRTRPTKILVYSADGYTESSVLALSLLMYLREMALPEAYLTLQLEKRRSFFVYPADLPVLRRLEGKIERERERERRGSEGTRVRRGRGVVLGLGREREGEGALKGEEVESPTEIARDVHAAAEAAQGQKPFARPAARSVSFAVPPAIQRPDGPAMRPLRSQSEADSGAAQLWGAGMGRPRASTLPAPVAVRPSIGDHQMWFNDPRFDGSFPSRVLPFLYLGNLNHASNAYMLHALGITHVVSVGECALVPPPALEASSSLPSCTSNPGARFVPGKGPGGHGSLFIEEREGRIKVLDIKGVCDDGIDTLEPQLEPICEWIDKARQEGGKVLVHCRVGVSRSATVTIAYVMKHLGLPLVDAYLIVRSRRLSVLIQPNMRLLYNLLGWEVKLAKQRAGGSEDLLKAELARSLNWPTLAKEVHALNEKYLH*
>EED80107
MPVAVPELGSFNFVPETKEDLEWAELVTLDFALLDTPEGRQQLIQTLIKAVREAGFFYVKNFNISQERVNRQFALGKRFYELPLEEKLKIMNNKSSVLILISLDPVSGLRDRVEMYNIPKFDGYFTHNHPVLIQEHLAEIEEFSKAKVLDPLHVLLANALELPEDYFLKLHKYEEKSEDHLRYMKYSKYTPEENLKHGKLWVQGHTACCSFANQESHNRRVEVGKASGRYLDC*
>EED80108
MPFQAGDANKGAGLFKTRCAQCHTVGAGEPNKVGPNLHGLFGRKSGQVEGFSYTAANVNKGVVWEEETLFEYLENPKKYIPGTKMAFAGLKKEKDRNDLITWLKDSTA*
>EED80109
MPRRRPYVAGTTPIDFVPMDIWSMIFKELQYASPAEVATVQSWMEDDAIPQQTFLPYLLASVCPLWRDTLYCQTTFWRRLVLDISSTVPSPTCLDIARIYAHQSGGQPLYIAITRNEKAHISAILVHLLPHASRWQTLRIDATDQDTLPTQLQALSGIAPLLAGLRLESDNRNAPLQLPLLFNDFQRAVPVDLPLLEILHLDGPSFLDTYLLSANARSLTSVTSLTIAGYKSSVCTAFKMIDLVAFLVQVPRLSRLKLADIEFHEDQPFEMAQSRRIAGGLRLQSLILDSIGQMALATLFTAIHDYRCIWLTFIRCYPHVHLNISSTEHLRIEHAPPRMFFGAPLATAANFDGINSRDLTFSHCNIRHEIEAMSMPMYGNTWSCSHVTKLRLHNCVCDHRSIFRVLWARRVAHTQTAFAEHDSPSFVVASIKWLSVLMIGEELSDKEKEWLNANVEYVEWNVWVGGYGSHAR*
>EED80110
MASTAAHALVLVAAGVLDVNYATLPCPACLDAPPPAQPGRPICGVGQTSDCAFRYGNTRGAIVVFYLVVGLYGIVSAEFRLKAVDLVDKQRHSAPSAAWRFKVDVGLIPLQATGHVPVQAVNTLADARHTAFNGLLEHIGALRTILAESTEAAGRFLARAAKALVEVIKWASNIVATTIEAIVRVILPFLKWELGHVCIGAFWSLACGHTGRWMLTRALRHSEATSIGISRASAAGGAGLGAVLGVGYFIPDALHGLWSWFSLIIAGIFGQAFGNSMLHGKDSGTLDVHYALLAGVCGEVLLLVLMFIIDLAIESFD*
>EED80111
MPTSTITESNPSETVLSEEFIQDAFHSYLKSSLTQAKIEGLLPTNVLSSAEGDLMITGPALCLYFAALRSTTEPPSVPLPKRSKGSSPPLQLSQDNCPPTFRPFWIVWSHTVPEIQALVPEYQHDLARVICGLPPIASPLNPRLNGIAADLRAVAIEISMRRSFQDRYANDLQAALDAGSGGRKKVKASFVPPPVYDSPPATRPSPSPSPSTASFSPIPPSLHSPTPTILTPDSPAIEFIRETLYAALADVIERMPPLRRLLRSDPSRAYFASVAFAILDVATTSVTHPELQTKGLADVLPSSESGDHEATIYGVLEQTLTLSKCPPDLRPFMKELCAIGQAARDMEEEDSVATVHALEREREPPRPRLERVRDILEGGVGHAFNSGSTHRHSSRRSSAQGHDSRSTDPRRRTTSTENRAVAFANRINALALGMTKLRAFRERQDVVFKFCVLYTTWLLLIEEMHRAGDPPNGLPGIIRENCALHARAAEEGMQMLVAQQTAPLLFIVTVSSVRRHVGCIFIERFLKVRYVVGVLDVHRKYAHTDVTTVAGVGYEHMIDSPQHLSHSFTPPRLGPRELCILAASGTPTPLRAAHRVSETTTYSTTPTLLESNPYHDSVMAKAKILKEYTRISGVQLDDERRHALGGAILRTAWSRCSVCIEYPRIVYDEWAAMHAGALGGALLGVFIGAATVIYAVYVRRRRREPPAEDGFSTVQHVLKMLPKIARPFVIRTSLFLAELATHAAFGVAAGALGSAIWTCEPTNQANMGPEISRAAKAGLVGTLLVGLVVEVAVAFARILADIV*
>EED80112
MPNQQKALVLPAKQGLFEIQSVPIPTPGPGQLLIKVHSTALNPVDWNIQVHGFSTEGILTFSRAGFQQYTISNADVTMKIPEHLTFDQAATIPAGLATAALGLYLPRSPTNAHFGSAQLIAPWDADGRGKYKGKPMVRVLVVWS*
>EED80113
MSEPWRAASTPCITTEPNANPTAVPTLHQESQLATLQSATSTPHAPLLNETLEAIPHPHAYFCKEHNGWVLLLCQNSSVLPKLARKLDRLPDPDRRTRNGSCVGQGEQPFGQVNATHHWHRYERAVDARDIDPPFMLSDLIPGVIPRQLVDEYSHVRLTRLPTEKTSTASVLIGWKTLISIIEKRLWQDEQRILPVARVRFQQKVGWSQTAKQIFEVLGFPMSIVPGTEEPGVCPPVIDLSNLEGTALWCSNVTKLATVPRSLTLVGVNALDFAWKELGMTPAAYSQKTLVFAYFAQCRCDPVNTPHYFTALCQIAQVLGDIGAAAPELQQIIIKESCHRYAPEKITNAIEVLGLSRERGPDTDVDDQGILDAWWSARCRLDDALRILADRRGSMLLWNAWVEKSMTL*
>EED80114
MRFATLERMLPASRSSSRVRRNRDGFAGVRTEDCNCLVLVGEILDDALREHVEGSAEENVVCCVSNDVSLKIQYDLTDAERNISNESQRSVHLAVRRLHVQLDSRIEEEVEAQTDLFRHGDDLRTGVDDAFDLLLVVDQRDDRTNRVRIAGDGELRGVLAVRDVLQIGFIWVGDDCSTGFQRCSRTRELVDGRDLVGADFYDVXRRDGFRRDSLRGDGVAVLVSNVGRD*
>EED80115
METQTLEDAGGVRLGLTEAMGQEVETEVPRAAEASLYTWGDKGRLCALVRAQLVRAQHADAAPGXVDSDASISGPRGVVTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSSTSTTVPPGMIKTEDISMIIESLSRTIATLIQLTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCKFAEIDIRDGKCKRNTEGKIILQWKKPTTPAVPKSTEASGSGTSKGVAAPSSTSTSTAPPPTIPAAAPAPSSSSPTQSTSRPIATSAPPAPPVHPFANARDATYAPLNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSITPDVRTKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGAMLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRTGEIVLYRQAHVI*
>EED80116
MNDFAFIGRTVFDGLRDRREKRYSGKLFIAPTDYMTAPKPDPCLRYLAIRPSPRELKLKSELTRCFEKEWVLDAANKQPERALAILYSSWVGPVSYPNRYKLQLLANSMACRPSSPTVDALHEVLAASSNASFGGSIYLDDEAVELLGDMRIRENYLTWDQRYSTRCTDNLTGACTAYMGGHPVKNIGQSCRLGGPAIDSYSVSERWDSEAFFKLDAQWIARRQRPLARKTLLTLAWSLEASEDESPAPAPSVPAVKKPVKSKWEGEDEEDKGPVSDWEASSDEEEKPKPSAAPVAAPKKKGTLKAKLAEKEALKAAQNDDDSDDDDYDEDAVLDPREKARRDKERELNADLSNAADLLGAAALGGSKPLYAAFLEHHVRELAMPLRDVEVRKTASVLTTLANEKQKEQRDKASX
>EED80117
MIISKPHRRIIYESLFKEGVLVAKKDYNAPKHEELDVPNLEVIKAMQSLTSKGLVKTQFSWQWYYYALTAEGVDYLREWLNLPAEIVPSTHKKAVRPPRPATVRPGGGDGAYRAPRGDRDDYRKKEDAPGEFRPRFAGVGRGGPRE*
>EED80118
MPMPSSTYPTRQLGRSGPHVSVIGFGAMGLGAFYGKRADEKQAFDTLSYAADRGVTFWDTADIYGNSEETLGKWFAQTGRRSEIFLATKFGSRDLTPGAANPDVPNSKPSYIKRQIENSLKALQTDWIDLYYQHRVDPEVPVEVVMETLRPYLDSGKIKYIGLSECSAEVLRRAKSVPGVGEKLIACQMEYSPFEVEIEKDGFLSTARELGVSVVAYSPLGRDRKLTIRRFKSAKDFGPDDIRSWFPRYQGENFAKNLELADKFAAVGKKVGATPGQVALAWILAENPDFVPIPGTKTIPRLEENAKAAEVKLSAEDVKEVREAVDAADVVGPRYPAKFMEMLAKPNLPLDQWKGEQ*
>EED80119
MSQTIDDYTDTIDLTFDANLRTPAEARMFCDDMKREGYTRTPYLKELRLSADACWNIDVGLLSDLLFSTCNLRLLRLAHSEALFQKDLSLSKNIASLHTLTTLELYDGGLHTIEMFTTMRSKLLRVVHITDRNDPTHRVYNYAPFFSCGAIRGLKTLVLDSLKCDDKTFGSEGRKLKFKSVESLTLSRSKVPMNVFVKAFPCIKSLILAEVTPSIEYRWPYLCSLAVDLLSCWPIAFNVDHLMITRLDTAESRGLIEPILQAVKHMMPHVLTLSPQSDIEDEFWNGLKVSVPSVVALQIRFDDVLAVNARTLGNRLVWAAQAPHPSVHARATGSRVFTRIHEHALSLEYLVFEVFEGRYMNTGVNSAIFTHRLQVTRDISGGKQITELTSANDSVLLQEVLRDVDCDAPEW*
>EED80120
MGSSLFVVTVRDTSSETCYCSNQIPLMEVIWSVLTMTLAASKNFSTLVAIRFFVGLAESTFYPSIQYVIGSWYKPEELVQQRWQSRILNDFLAHVNYVRRLKSFNTPEKTVYTVAQINTYPIPMYAVQVVTTLTWAWWSDAVKARWPPMVLAGLWNMVNCIVLAATPLYTHIARRWVFYYFTIVTGGLSGLILAWANELTGHDSEKRAFVVGCCNTFAYVVQTWLPIVLFPQVEQPRVFKGNVATAGINFAMVCTALTVLFLSRRDERRAAKKQGFGGEATSSDIMLEGDENADPTKVKTQESDLVVEIEELRA*
>EED80121
MPSPVLYSPKPSPTPTLVNIPVTPQQLAYDYRTSSSATSLSPTLVAIPPQASAAMSTDEDSAEAIPQSGDSIYLPPPATAMRPSPLQTADVAEGTPIVFDTLIALLIIPFVVAACFLVLLVFIGAAVLLVAVMVVIAVVDWVGAALTCALSYRGSRVVQLSLHTCVGAAWSPASAVLAHAILSRIPLESSATAAVGVGHTSAAGGALLGAVFGIYTPFGRSKEEPAPARLRSEWLYSVLCFIGIFGQVLGVVLLRWREPSILDEFGTSIFGKLLVYKY*
>EED80122
MGQFPTPDNPARSRLQMSLDDDFGSILNDLGSDIDAASLINFLRDVCKRVGIDELPLPVAVNKQHIYELLLSVTESTEVISWMKGLKGTDAVVTTEAGATGHRFRCLLDIIAGLCTQMETLPPLYCSVPINVTDKDCIDLSAGSMVYKGSYNSANVSVKVLLIPDKSRIQKRGEHIEVRATSDLTTFGQSFKVEKDLRASLISPWVDNGNLYDYTYNFRDANRLRLLVDIASGLEYLHSLDLVHGDLKFSSILVTKDHRVCLTSTSLTRSTSNLLKSTPESCGNNMCMVVPELYDPKQFGEVDTWVTKECDICLRLRDNFSRC*
>EED80123
MSSYPVPGKKVILVIGATGAQGQAIIDKLLAPAEDGSLSPYAVRALTRDPDSSRATELAAKGVECVKGEHHSPVSVRGGEALRSTPLGRFDHFDSVAAALRGVYGAWVNTDGFTAGEPWETYAGMRIFELAKQAGVQHYIGGYDEKYRCEHYDAKGRVGEWMQAQASDVHGAGMTWSIVTSCPYMDMLHNPGVSSHPHLSQLMFGPIAERADGTVVFATPVGAGQVPMIALSDLGFFARYTFDNRRATSGVDLRVASDLVGWERLRATFERVSGRRAVVVHMSLAEWFEVFEGVDGPLATGGTAGVDTTTWRRNFEGWWALWRDGVITRDIEWIRKVHPRGHTLESWMKEQDYGEHLWENVAFLKNSEDGRTPTLKIEKSIALSKL*
>EED80124
MSVLQNALVLTARQGAFAISPISIPTPDRGQLLIKVQAAALNPVDWKVQANGIIVTDYPAILGTDIAGVVEELGDGVEGFRKGDRVLTQGYFHNTHAGFQQFTLANADVTAKIPSHLTFEQAATIPVGLGTAALGLYTQNVPPGVDFGSARLIPPWDPLGRGRYVGKPFMVLGASSSVGQYVLQLARLSGFSPIIATASLHNATHLQSLGATHVLDRQLSAFDLRTEVAKITSKPFDVIFDAVSLPATQNAAYEILAYGGCLIVVLYPRININPSTQAPGREKRVEKAFGQVNFPPENRAVGATLYRNLTALLEEGLIRPNRVEILPGGLAAAVAGLDRLRNGTVSGVKLVLRPQETT*
>EED80125
MFAPVSQREDGTVIFATPMGDRSMPMVALSDIGFFARHVFDNRATTSRAELKIASDMVSWEYLRKNFEKVTGKNAEVLYLSIEEWFDNLGTDWRQDQPIAAAGKVGVDTISWKDNMRAWWTMYHDGILKRDLDWIRRVNPNGHTLESWMREHKYGERLWERGTVLKNVEERMAQMTPKESKLEEMSILEKVDYRLNQSYLVERAQKEFGVSYMSDSMINGRIKEATGIAEFVASTFVCGSRERRCRPRQIDKYRDIGVDYILYMAAVNNIEILKG*
>EED80126
MGGVHVAPIHLAAKYTLRRNGRCVCSLDRSMKLSHPLSNQLELIQNIQGKLEDAHMELELDDILMADAFADDDGLPLEENGCAPWGGDVRLDALDTMSLDPPDVTSPTVPPVAKTPPRHASMSDMPFDILVLILKQLHQLSRRSLFLLQDSDWDEWDDYAAFDPLRAFPAAPAAVSPAWRAAMASVSAFWTRVVVLVDDPPTPSRALADALEWSANRPLDVRVCRRVDAYDDASDPRERARVCAALALLTPHMHRWRSFSVDVLHAASLPRPYADLVGRAPLLISLLLDSVDGRAPDPEPDAPSHTDRMLYTPALRFLWLDGNTLRDAYVRPERAGALVRVMRLTVSQYRRDGVPFSVRALVYFLYALPALQSVKLHDLALDVCGSGAPLLDYQLNRLSCDRLAAPVLAEFWRLIDGYSPNHLSLKRCTFEPASMDLGSTMCLSLSDIDGDQDLAGLLDRWEGVIYPELRVRRCPGFDDALLNAMATQHNAFGRWLCAGLKSLEVYECEGYSDASLQRMIDARTMVHARSGFAAVGDEAFKVAAIEDFDSDRLRASDDGDDW*
>EED80127
MVSPDDPSLTPLLLTLPSLTPSLALEVLPLGLTLHRLFVQADAKAYTDKVTPINLTQHWGFNLDASLQDGQSVKDHTLTIRSSNTLELAPDANSAGSLAPVAGTHHAHAEKATRSIGERFPAQGYDEFYLLDKRDAPAAPVRVPAASLTGAGDLVRAALEDNGEPDVELASAKSGLKLRFFSNQSGVQFYSNNFASPDKTARKKIHGGSGAVGDGYEPGSAAFLEFHEPLAAWLHPATVGRTGNDTLLAPDEVYNNYLSKGQHAEVCQEGEGHHGKMAERSKACDSSESLPASAGLLIWVSRRGFKSHSCHFFKVYVLTLDDILMADDNDLPLEDNGWGRCLDTFDAMSLNPPDVTVPAVLPATTYTPPRRACMSDMPFDILVLIFKQLHQLSRRSLFLLQDSDWDEWDDYAAFDPLRAFPAAPAAVSPAWRAAMASVSAFWTRVVVLVDDPPTPSRALADALEWSANRPLDVRVCRRVDAYDDASDPRERARVCAALALLTPHMHRWRSFSVDVLHAASLPRPYADLVGRAPLLISLLLDSVDGRAPDPEPDAPSHTDRMLYTPALRFLWLDGNTLRDAYVRPERAGALVRVMRLTVSQYRRDGVPFSVRALVYFLYALPALQSVKLHDLALDVCGSGAPLLDYQLNRLSCDRLAAPVLAEFWRLIDGYSPNHLSLKRCTFEPASMDLSSTMCLSLSDIDGDQDLAGLLDRWEGVISRFRRCTTGSDGDAA*
>EED80128
MSTETQDGRALKTCAKSRGWTTGSRKAASHVLDVQEWRVEDTNSSAGLSLATSVVGTLTSGASVLATVREASRTMIDADAIAHGVELPPDPDEGLEVQLDLKDPEVRDIGWNRDLAQLPPTAVNGLSNEDLFALIRCFHMVSNHLCEGDPILRVVSNSTSTSQKMNSAPRTRFVHALLTAIVGMAASGKHIARIRSWDEPLRTAFFCTVPCAGEIGSKDSLSGAPEAHKGETIEQEATNFVFGFASLAVGAATGQKEAPHVEQGGADGETVAEEKEQDEAAVGVDAALPDPTRQHGQAAIYVGALCEMFVKAGSFGLSFVLFGQPLISRGAHWLTHRFPNWREMLQLRASRNRGLGTGVPTNAQLALKLLRIAEESKTPLPPPTGGIIEESETVSRLQSVKTATWSLTHVELRCQRRGWEAEALTGQQARPRAQGHGHPCISVNEDTVLSVERIHVSARRSLSESRPSIRACGRARVVVEFATVVWAVCQETDDTSDGPQPYGMENVPSCVVD*
>EED80129
MPVRDNRVRGLRPPATRAPELASSFLTARVSAFQHEAQGRASRREDREQQRAGCPDTGVGKTRGIQARGRESKDESSDSCQVRSALYCAVHSHAKAEGCPDGEKPTV*
>EED80130
MATPAPGPPPTFPSSRQYKWGYKGPRPHSGMKQPLLPPSRLALAISTMQRQHK*
>EED80131
MSRDLHARHRDDRAELRLAYRKALGAIRDEEMELRVAAAVKQLAEQASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRIEEAAKRAKAAEDRRLEDERRRKDEEDRLRQAAEDERRAQEAADEELARIAAAEGLLEKGKGRAIVDEEVAELSDDPSIISKTPRTVERPFAMTEVDMAAAAIEKRQAGQKFQQGCYFDKVSILGKTKKTRGGGSTTKKRIRPPSPGPSVADSSGLKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLNDESRGIICVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDED*
>EED80132
MPTRPRGLIAMRPYRVAPSLGVRVSQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDNSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDTSTPELFERSVIPTSDLAPPPPLAPSNATSNSNLAPPAPAIPSTTTTSSSSPTPTTTTNMSQNTTAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTTAVPKSAEASGSGTSKGVVAPSSTSTSTAPPTTIPAAAPAPSSSSPTQSTSHPITTSAPPVPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPSSPRRSSSVR*
>EED80133
MWKLQARYCIYNCPKYTYSETISNFIPKSLLDACNIELLLHSFAFIQGEYRHLESHATDLAQRWNQLEGDPNAQLQFIETQKAKVDEINRFVPLWKEWANARAKARKSELKRMREETLRSAVERLRLMGWKDELNRLAQTDYAPLRQHDALRNRFKMTDCIWDNISDKLVELLQDIQDTRLRDDRRRVLRVRLVALNDLISSYYTKPLRTAETEYKPHFCDFVFMPEIREVIESSNEAELGPHCENALRVLLPTLIARWEAQTRRKLTRLLQPSARTSKGTDVLEMAVTHFTCTECHCLLPYPEVLAHPCLRQLRPQPRPRDKSQCSHPFPKEDMVYEISTWEIGILREPWSSSALRVPDAPFEEHLRNVIALSGRDPASVTRRELDALDVHLVHRSKKQSRLIPLRAVINFEWERFKATEPSHWVPKDSRQYPTIVHREIEQGSTMYLMYVHAYCLKSFLIDNNTYFPLSSEYSHQGPKESKGAKIVQGCAHTIRSVELHKRRSQHECPPMAPLTAGAMPDVTTTQIGSTMHAFES*
>EED80134
MVYEISTWEIGILREPWSSSALRVPDAPFEEHLRNVIALSGRDPASVTRRELDALDVHLVHRSKKQSRLIPLRAVINFEWERFKATEPSHWVPKYEGHTASEAELTKGKLKKASQVSFVERHKAHRYWCCSLCMPYDDNDPANSRLTTLSNVQTHMQMTHSNENASVETGDIYCHPQIMTQPLRKQGTYPMV*
>EED80135
MIQLLGFSAWITCPDGEIVEYDTMVNTQGDVMYCWIPSEIDKPFSVHWRDHGGGIDTATYIKLDGETAPGQFLMGEGEAERSAIRVAENAERPFLFSEVKGVAVSIPFYNLIVLNVVAEPKQQDSSQPQERLPREAEVGTIVVKIKRVKRMQRRAANKPLIPPSEVRGRPGQPCITYGPPRPIAGHHSSTWDIQPYDPEKAGSFVKFVFRYRSKGELPISPPLLHGLILCTSEFLISQGIMRRGAPLYRPLSPPRVLIPAHYASPPTTPSVYSTPSPAFSVSKLRPEADVAGGQRVAGGRSVSNPVMRVQGPITAENFPPCQIRRFSATLIVRDETQNVIDRHQRRGLPTYSSTSAQRLKALYSDFSLQKQSNPTSYSSYVEWWRRTLEAVVLKGCLHHGDENLTKPERLVLHANGSTLADDFRFEGVGKPLGLPTVIVRETFGSRIQSELCETKSYFRLSDFLTSSKSIYDPGWLPYRVASYVVGKPLWWALQQLSIVSPDDTLGHSNYTERWKKVKGDYVVISLLERAADSVIARQRERSGYNLADSLYNFDGFRKAFADHALEGVVLSDLDLRVLIRYLQRDKKVLAVQKDMIKFVETDESPSFEFSAVDIGILELKSAVENLQGQVDSLQSKISERLELISAALRHKRKEVAMSHLRARKQLEDLLGKRLNSLDTLQSTLLRVEASAGDVEIMKSYESSTATLRAILAHPSLQREKIDETMDAMASANADAKETDDAIRMGMEMAQADAGIDEAELEDELKALLAESERETAEKARHEEELKVKQRLADSTIRVPSSIPAVEIADKTTAPVERDDAVAE*
>EED80136
MAPSTTVPSVADVEVPETLLKKRKQNEKAREERLAAATAARKAAKAKRKVIFKRAEAYVKEYLSQEKEEIRLKRAARSTGDFYVPAQAKVYFVIRIRGINEIAPKPRKILQLLRLLQINNGVFVKVTKATEQMLRLVEPYVAYGEPNLKSVRELIYKRGYGKVDKQRIPLTNNGVIEETLSKYDILSVEDLVHEIFTAGPNFKQASNFLWPFKLSNPTGGWRIRKFKHFVQGGDFGNREENINKLIRQMN*
>EED80137
MQGCSYAAKIKTKEETLRMSLCAYCRIFVSSTRRVTRYNLLGRSTMKPKIII*
>EED80138
MASIALEDIWDAPAEPSYPHASVKTSRTEEDDDTTTLPPSKRPKSTLFLDSDDSDDVSRPATRKVIPPATNRSEIDAMFDALEEEPDEAFQDLPPSLNLDALRKEADAKNAKAHAKANPLDLTGESSQNASGAARGNSKDDKDTTEKKRKPLPKLDEARLLGPDGFPALVKQTKNFKPKGKGHEFWTHRMYPKTQFRDTVQRVEKLCHSKRMHVALSVWRDESKGLINGRKVDAALSDSDNSSDDDNGGMPRNSNAASIAQDIVTAPTASSSRAPSRPPSSSEPPSSDGFDDFDIDAIIREEEEQQRVASEMSRQVSSPDTNPQYRSKPTVAPSPNVDDEAMWEQLIDELPDAALPPVSPPSIAPASSGNFNNDMDEDEDMWDAVREIEAARDSISTSSIHMQDASLVQNLDPPTNEEGWDEMYA*
>EED80139
MAAAAIEKRQAGQKCDRCAGYRSAPVECAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDNE*
>EED80140
MASIALEDIWDAPAEPSYPHASVKTSRTEEDDDATTLPPSKRPKSTLFLDSDSEDDVSRPATRKVIPPAANRSEIDAMFDALEEEPDEAFQDLPPSLNLDALRKEADAKNAKAHAKANPLDLTEESSQNASGAARDNSKDDKDTTEKKRKPLPKLDEARLLGPDGFPALVKQTKNFKPKGKGHEFWTHRMYPKTQFRDTVQRVEKLCHSKRMHVALSVWRDESKGLINGRKVDAALSDSDNSSDDDNGSMPRNSNAASIAQDIVTAPTASSSRAPSRPPSSSEPPSSDGFDDFDIDAIIREEEEQQRVASEMSRQMSSPDTKPQYRSKPTVAPSPNVDDEAMWEQLMDELPEAASPPVNPPSIVPASSGNINNDMDEDEDMWDAVREIEAARDSISTSSIHMQDASLVQNLDTPTNEEGWDEMYA*
>EED80141
MHRQAQVGKMGEDRGTGLHLGGTVPEAEESVGSTGFGPEVKRGRWRPEGEQWGSGQECGRGQIEHPADLTHRLKHSVLLLVATSSAHPSNCQRGGCFVMRQLAPAVTNMVYSLRRPEYPKPTRESVRHSMLLANVRTIPRTHLVAAGQRRSVLTPPVHRCISFVSPAHVAVLDEWIRADKRLTLADALHAEHLSDLYVTLPTRDGSLRSPEQPKEGTPLGYGHHLVFFHPRNPEHALRPDGTDQDFCPPEPWTRRMWAGGRMEWKGPLLVGQKATATSTISSVAKKGFEKGNPMVFVQQNITCRAEGNAAVAIEEERSHVYLAAPGNRRTTKTVPDLPVKADLYLRYLPSATTLFRFSALTFNGHYIHLDREYAQTSEGYPERLVHGPLTALMLLEAYATQWPSVAIKSFTYRAINPLIVNKPISIYGAEQGNATVYVWAEDAETKVVGMVGTITT*
>EED80142
MSSTNLSALEAAIVDTCEKHQEVHWEDSEYRPCVSIDGKYFVKFKNTRFLWPEIATHMYIFEYAQSQPETPGMPRISKVIHHFTDQNMMYMVMEHITLTDTSPDPTKIAEALRWLSEVPAPPDHVFGPLGGGVIRHSFFKDYEAPLVFSSIEALERYIEKLISNMGQAGTYLSKLRKTPLERVSLSGKRLLFMQPDMHLSNFGVDEHGNTVRGDSDVAGILRSVYAGFKRGPSRYRHCQVYWLVAQF*
>EED80143
MSVQNLNTFDPFADEGDPLGASADVGTQQNYIHIRIQQRNGRKTLTTLQGLPKEYDAKKLLKAFKKEFACNGTLVEDEEAGQVIQLQGDQRIKISNFLVEEGVAKNSIKIHGF*
>EED80144
MNSTKASSTTPTSQTLSSSASNILSRRRSSIPYLPKRNDTGTPTNTKWRAGAVTDIAEQPAILEIHKTYGKTIHTWPVDISPDLPLGPPNLMVSYTGEGQGPPPEMIKARDEKCGMDTQAKRKLRASYLPEYEVRAEADQWEKTGKGIVFEAKEVPFKPYDGPGSTQV*
>EED80145
MKDSELESPYNVGWEDALDEDQIRSRSEPLMRGHVLHPLLIWRTPAEELSKPDFWTQYDIARIVGDCTMLDVRNTALGMQQRTPTVLSTDPEPASAPLSSSALATPLGPQSLGTSTVSSPTVRPVDLSSGKPQVSLQDMIETSIALRSGLDVEIVQPAPAWSSGAVASSSNVRPPSVFTMDTAAPEHRSTSPPTSIGDAASVRPGSIGGVSVAGAGGDHVPVQMVQALAGLQREVLLLRNELNFELWNARENVKHIGRLYQDRVLSKTAEMERQGLHNKLREYKQEIGRLQRELKEHKNHATHTKNQYEDWNRKMQDKLKESREERKKREANTTKLSAEKKDAAFVEQGKQLAESVQKVFLLETQIKENAHKVDRLRDYEKQIDQLIKLQQLWEMDVQKLNDQAEYLQVVTSKYKKMEIRLETYEKTHTEMDTAMRNYQHEIEASRAHLALAQREGARKASIIAKQSTAGAEIAKLTRDNQRLRDENSELRDEIEKLKEMVEILKARSERGRAVARTGSLPVGMIGSSAAET*
>EED80146
MYEEVCLLCGGPLSVEGRAYCSDECESLDANSPSISTTSSAYPSPYLHSNNGPGSLADVPALVASTMGRSLTATSPYKTHKNRHSISSSSASSAVCSVFADEDEEDFANPNLAIGSEGEFSLSREMLSVDSMPKASSFSQYYHLRGSGLSYARRPSGTNNRSTITTLNRRVSSINNSSPAEAGSVGSAPAPYDTYSDDYSDDPSVSPSPASSTRPQHGRTPSDALSRTSSEQDADPETVTAKRRRNRASLPAYFSLLTTATSSQASPRSHRVPSQLQTLTTFTRSLQSSPPTPRVANPVVNPITAYSYDHSKAQLVETTPRGRGRQRDPEMRSTSSRRSAARSPPRQSARPRDSPPPCLHHHAHVGPQSRARLDSIEKVSGWVASSPVVTRGRTLTRRNSSPPAKPRFGMMVGAGSQDELDAVREVLARSLHIHREAPGEDDHSHLGRRESDLSRGRRRVDELDEPPVGVDSRIAPGFGNGRSGLRARERERGRPLAR*
>EED80147
MFSHFSVALALLSPLVMGTPLRRAMQVHEALQSTPSGYTLTGAASPDTVLSLRVALVQNNIDGLIEALYDVSTPSSSNYGKWLSKSDVEAYTAPESETVDAVNSWLSENGLNATVLTPAGDWLGIDVPVSKANEILATDFSVFTSSVTGATTIRTLSYSLPSNLIGHVALVHPTITFPNPSGIKPPIAREVSTPAASASDLSDPCAIVKLNGTAMTPACLQELYGIPATPATVASNGLAVAEYEYEYAEESDLHTFLQTYRPDVNPNTNFTVLSIDNGTNPQSPDDAGLEASLDLQYTVGIATNVNTTFITVGYNGDFLTNLLDTANTLIALDSPPQVVSTSYGEDEQYVSASFATTLCNAYAQLGARGVSLIFSSGDGGVSGNHFEECTTFNPTFPSVCPHITTVGATTLIPEVAVDFSGGGFSNIFPRPSYQDAAVSAYLTLLGSNDTGLYNASGRGYPDVSAQGVDFEVVNAGEVLQVSGTSCSAPTFASVVALLNDRLLASGKPTLGFLNPFLYSTGASAFNDITSGNNTACGTNGTLGFFTAPGWDPVSGLGTPDFAKLLTAVGL*
>EED80148
MGSTNSKSAHKSNKLNPQPTLASNNYEAQPEKEDSSSEKHGTKSTSAKQKDAEPSHKPQSAIVADVNADAALGTGLSAANPSASVISVYSGLLPEIPPSTLRGTPTPTPGFSPLPPFASFAASTVISSLSVVSSRTFPTPSLPILQDVFPARNPKDDEESLFGGKERLSGNNGLWTWTTYSRPASAKPELAAPEGQNYAFTNTSEKGWQQLKEPPMGANEKTMQANMGSRVPPRSVPSVQEATGTIPRGARRVSAMSMSIYPGSPQSTQGIGIAIGGASPLTADGMPVLQRNVSKASTRRVSTRRSVRYSVQGKRPGIDSGDVDVYDGLRITSPVTASPATVHKKSSSVQGRARVKAPYAPAALLRTSTSAAAYSGVSNPFDDSQYVLPAFSPALKSDALRERDTRALTTALGLASPVPPSPQPTLYPDDSITLAGGRSENHPASKPRPQSQSLSPGMEASARLGNLMLAEFSSMQSLPSARASGDGTVGVLRKTSSKKRAEDKPPRVPSPPPLPSLAQMALSHTNPDAYTDYRSPTYSIYGLYEADRKSRAPGEGGY*
>EED80149
MEARPTRKRAAGSTDDVPSRASTKKTRFVEPADDPVNFAEEVDAQLETRNHRGRVKTEGYDSDSSDDGESVVKSRKPGVDGAVEDEDDDMFAMGEKEAVKAEESGKKKEEFLRLGDIEGQEFEDQSGSEKSESEGEAEDEDDAERRKKAGMGYELSSFNMREEMEEGKFAADGTYIRTFDPHAAHDKWMEGLDEKEIKKARRSHRQREKEQKAKQKAEEQEMKRLGGKDEVERELVGMLKKGETVLEALQRLGAQSKKAGGAKNMKPNNRRKGDLNGNAMQVDDASRDVAATKAPSEIDHITHLASTLMSLGDTDIYSRTYEELVRSIRSAGKVDQSWVPPSADVKYEYKWAVPDASAQEGQIFGPYSEDEIQAWYKAGYFGPSGEKVVVRKMGRDWGGWSDVVT*
>EED80150
MEACKMEK*
>EED80151
MRIDKCYFCSKSVYPGHGTAFVRNDAKVFRFCTSKCHKNFKMKRNPRKVRWTKAFRKAAGKEMTIDSTIEFEKRRNVPVRYDRELVQTTVKAMKRISEIKQRREHAFWKNR
>EED80152
MSMSVAVQIRQRWPGLEGMRHLVIFGDSYSQVGYNSTAPHPTHDNPLGIKFPGSTYNERGQPNWVGHFITKYVFQRLLVYDYAQGGHTLKGVRTQIDREFTPHLATKPEWAPWSEADSLFVTWVGINDCAFTNNESAPGLVDTLFELQDSLYAAGARNFLLIDLPPLERSPAARFAESHESATVLMFSAWDTFRSVLDRPSAFGFKQKDISKAGGGIWHDRLHPTSKMHDVIARDLKDFLAKVPAHTDSSSPKTEPFVTKPRGEEGEDASGCTCTLS*
>EED80153
MSAFRRPPIPSPFLQATPQSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEEIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPITGPSRPRPDTPVVFHKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEKDDGVDWELYGDASFVSSDGPWSAIRAQLLITDEEWSLGSVILWVVVGDVNLFLGVECMLELRAVEEFISHDGGGRKGRKCEVNAGSEGSFDLMSRLGVK*
>EED80154
MSNISSTITSNNAVNPVPLGLPALAGSLLLQYDRADHCFVTSTKRAPIYPRGGTWCHATGPNPQVIAHQELVSYYQRHPPAHPEDVFTTLRIDVEPTQTTESVQSPINEQPLELPEVQYIPIEIPDIELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWAGAYYKKSAGGVQRDRKTALSLGNYVTRFEQLASKAQLKDTEVNGTNRVENDYHTLHANFVKGLLKELYFALATRVARDRPNTMKAWYDEVRNADAAKQGALAVTDTRDYGEPMDIDAAAVASTFASTSGGKKWELGAVLNEADWKLHRDGNLCFYCHIKGHSAKDCRKKAAA*
>EED80155
MSPTATPARPIAGNAR*
>EED80156
MVYQPSFISVNLATVCLESLLYGIFLTLYVSSTFLLLSQGHRKLVAAGAASYSILRAPMFIAAHVIFCTVTGHWICTVLRLFDAFVNYQGGSNPLYIYANLALPSEVVKSALLVGTLATSDAMIVLNAKHRFTVFTGAGVAWQFSLYTFSEDVWTSLAGRWITIFISWKVWRTTVRTKSYGGGNILGALAIIVESAFISTAWNLVFLITYQTHNNVQFTAIDLWSSISGIAFMLINLRVSLGWAQQAILSSHSASYSGGGAVLTAPHSPRPFSYQSRFSQLPSPGGRGDPFAPQYPLRVLTVNVTQVVDRVRDSTPASAASEKYMVGDDGYGEAV*
>EED80157
MVMA*
>EED80158
MCPHIVALEGVVRGVSEAVDAPDSLETTEGARDARETREAARDGARDEGVSIVCVARLVVVSEVVASTLLEGALGVASTDGAPRTRGSDSGVLSPEETLAELSELSERCSGRGHRTATGASVGVAGLVEVALEIDFNDVDRMLILLAGRLKRTSEPVDARSTGEAGKDWVSDVDGRDWMEMRRRSALEDVPLPYAVNKLYSIMKPYLFVFPVKRQVARHTAYEALRSRFGGLREAAPPLSREEHRVHDEDPTCVRVQQVCRLMSLYGKRCMKVDTEHHLIWIKPDDDQELEPEKTIAS*
>EED80159
MADSLELRRSDRLKDLDPVDYDEGSVSGSQPESEPKPAYGKRKREISDDGSDYRPSREGTPVNDTPKTPKHRVSRKSGITNGARQRAVKASRNGGACIISGLKDKSVQQCHVLPRATDARILTSLEWWWGIKKEGLNVDSSRNMVFRASSFSEACRYAWAYLHTVRGDLHVLWDRGDILIAPMPDVVNKYMDKYKDGERHDILEVIGKKKIHRYCVIPHPSLSGGARSRAKRAIRQGFTNGFHKLNFVPSHAHPHFMIVNAAMKMMENKELWVKSLQEFYERIHLKVDASRVVENFLTLNALWTAPPPGEAQLRRKREHMLPINIPTGVQRTPERFKDRKTEARKSNGEPRVNTLKSQVAQLAPTGPRCLLTHQDDKSIQGCHVVPRRTDDDLRVQLAAWWGLEDFDIDTPFNLFLLRADLHSLWDKGLIIFVPEPQIVDEYPAESVVPINVGVPLNEPFRVCEGPLYKYCVIAHRDLPRSEKDSDFSRALDTVGWMFSRVPPHFVIYNVGLALSKGDGPGAFEAALDAFYKEHKVEYEAIKVLAGIKRICAEWLRGMPDDGSMDSTTEEVLSMDSTTEEVFSMDSTTEEAFSMDSATEAAFFMDSDTEEACPTDSATKVAFSWDPAAEEAFWLD*
>EED80160
MAPLLPGKLRVTQNSRVCEINPNVSVDDSASRITTTCSLVKESGSSHRLANGSEMQDVTRSYCNEHVEGLLACKCNVYCVPSEEPGAYRQEYPAPYPNNLRLKTLIGTGLDWTETELQHWVAVIDLCMQTSDVDYCGSGRTPTHVHVGLYTIEMTIREVRPPELPGSHSSRESSPPTSPNPLADYIPSVRFEDHSTHKVSHDHETIKVHPDAVDPVFVHIERNSLPEGSTCYLQRIRCMITGFVSAEVEACYILPPDTPQPLAYKYCVIAEDEHPPDSCATMGNPITPSVMEAPCSYRSLGWHKLKADLRLMVFRAGQKLSKRPFHYQRILRQLLPHKEINHTHTIVSRYSSWTLPLNLERVRGRRLWATGELTPFPDGYFRSPRKQYCPPLSDDDTARLRCPRRPIASGIKRKRSGDARVDSEVYTTEENVQQKISIRLWRRYCDQARDEWTMGPPAEPEDADLLAYRQEKAGNVLTAAQEPWFAEWHSSNSWAIGCISEFPTGRRGNHTRGPCETPNSVYQPSVHTTLTPRPDLLRFSNPEMVAHVVQPFPRIWPSRGSSDSLNDKTDDVFERILAEHTASIMMHP*
>EED80161
MSPVVTCSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEECTSSPELLGLTTSDYDTSTPDLFEQSASNSNSALPAPTTPSTTTSSSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTQCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLVDMDQLIGKRARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDLYTMAEIDAAATFILHGTSSTPTTAANQATASTSNTSTTVPPRMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAGIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTTAVPKSAEASGSGTSKGVAAPSSTSTNTAPPPTIPAAAPASSSSSPTQSTSRPTTSAPPAPPVHPFANARDATYAPPNVRNFATLPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIIEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEEDEIDQELQDDFDEEPIPSTAEECTSSPELLGLTTSDYDTSTPDLFEQSASNSNSALPAPTTPSTTTSSSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTQCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLVDMDQLIGKRARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDLYTMAEIDAAATFILHGTSSTPTTAANQATASTSNTSTTVPPRMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAGIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTTAVPKSAEASGSGTSKGVAAPSSTSTNTAPPPTIPAAAPASSSSSPTQSTSRPTTSAPPAPPVHPFANARDATYAPPNVRNFATLPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIIEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEHTTSSSADPSTCSRKASSRTSPTRTRPLRSSV*
>EED80162
MTEVDMAAAAIEKRQAGQKCDCCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTCGGGSTTKKRIRPTSPLPSVAESSGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDKSRGIIRIIRKERAHIARC*
>EED80163
MSSPAPLLDKETLKLLLPLHYDRKLVVECNRFVSQLLIYWAINTALSTIELKLATVQIGTQGATTPFTKETAFLTAFKARFDNLDNATAAQIELTKLCANKTMREKHTAAEFSAMFKGLADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRAAEKRATEVEQILDISRARRPELNNFFSARGRGRGGARGGAPTTHAASASINAAIGKGNFPRSCFSCGKQGY*
>EED80164
MAFRASSFSEARRYARAYLHTVRGDLHILWDRGDILIAPMPDVVDAYVEQYKDGERHDILEVIGKKKIHRYCVIPHPSLSGGARSRAKRAIRQGFTNGFHKLKFVPSHAHPHFMIVNAAMKMMENKELWVRCLQEFYKRIHLKVDASRVVENFLRLNALWTAPPPGEARLRRKQEHMLPMNIPTGVQRTPERSKDRKPEARKSNGEAHVNTLKSQVAQLAPTGPRDVTSFREEPTKIDFDIDTPFNLFLLRADLHSLWDKGLIIFVPEPQIVDEYPAESVVPIDVGVPLDGPFRVCNGPLYKYCVVAHRDLPRSKEDSDFPRALDTVGWVFSRVPYHFVIYNVGLALSKGDGPRGFETALDAFYKEHNIEYEAIKVLAGIKRICTEWLRGMPYGGSTDSDIEAVFSIDSTTEEAVSMDSTTEEAFFMDSDTEAAFFMDSNTEEVFSMDPAAEEACRTDSATKVAFSWDSDAEEAFWLD*
>EED80165
MITGFVSAEVEACYILPPETPQPLVYKYYVIAEDEQPPDSGTPQDHTIIPLATTAECSYRSLGWHELSANLHLMTIRVGREFIKRPLPYEHALPKVVLVHIPIIRLAQPDALEPVSPNIERKSPVEGIPRLPKRKRNPVTDIDEVFVKRMRTSVTECNASNVEDAVRFGPHPRCMITGCVSADVEACYILPPDMPQRFIDNVMQNVPGSYNSARCRAPGNIIFLRRDLRELWETDRLLAIPHPDHLMGKIYRTVLKYGVIAEDEHPPGSCATMGHPIMPAVMAAPCSYRSLGWHESKADRRLMMLRAGQKLSKRPLHYQHILRDLLPDKENNHAYTTIRWHGSWTRTFPFERVPGRRLWATSELAPFPDGYFRKFRRQYCSPLSDDDAVRFPRPFRPILSGMKRKCSGDTIVSSQAYTADEHAQREVSIRQWCLDCDQVRDEWTMGPPAELEDATLLAYQQEEAGDVLPIVMNIQNGLLGNLVDPSSRIEVLSSRYLADPNGLILTPPIRAALGLSAAFQSVLPAAPMTCAVLARRHMASSSRRYIPLCMLEEDGLRYITIVSSTRTYDPNVFSDFVVRQAERLHTDTTDEWRQTPTPSSDWSIDEWHTGVIALYPTASSLDKLNDDTAALCCSASNSKYPTYWAPERLKSSPHERGRGPCAENAASTPPRMIGATRIEDRSTVPFMSLVSQNTILVIPQGTSKYMLSLAFARRGDVGVFEVLEGSSRTELRRRRIRIDTRHKDQGQRPRSSSSENGTRHHEPSNKRVSSVSH*
>EED80166
MTLSLNIIVTLLIVGRLLFYRQRIMHALGAEDVSHYANVAGILIESASLYSAFAILFLVPFGLNYPLAQI
>EED80167
MSVTTGNVDYVVGSETFQTWYKVVGDLKCGARPLVALHGGPGIAHHYMLPHAELWASHGIPVVLYDQIGIGESSHLRGKPKEFFTVELFVEELENLLQKLDIIDNFDVVGHSWGGILATSWVCSRHPLGLKRMVLVGTPAAMHLWEMGARSLVDELPEDVRETVKKSEREGNTDSDEYKNVMGVFYVNFVCKVQPRPEDLRKAKEAQVADMTVHTAMCGTTSFYDLMGNLKTWSPTLILNGVDDEAGDICVSPLFWKIPKAKWVKFAHSSHMPFFEEKEKYLEVVAQFLTQ*
>EED80168
MHCLLQIQLSLTMPVESTTPPHIQHCLNYLRQTFLCAGLDSLEEGDFMERDFERDRQGGDMVCNDWTQVYGALDENYEQWLSWSSTYN
>EED80169
MDGSPVLGIDKRDSTSPDRLHNCQYLSFSKVCVTTSAPTIHLQQPLHLPIGAVFASLRAVKGECLLDGDARAWATPTFFQLTSVQIGIQGATTPFADEKAFLKAFKAHFGNLDNAAAAQGPVDRSGYGNLELHDKYLSSIPSHVYHKLELETFATWQDADKRATEVEQILDVSRARWPELNNFFSAQGRGRGGARGGAPSSHGASASINAAVGKGNFPGTCFGCGKQGYRRFECPNYKKKIQGMLTDLGTRGQN*
>EED80170
MTRNLFSVPIFFIVFRETLEAAIIVSVLLGLVEQIVHEDPVALSAAPTRPALSPRYTDDNKENSPDASSASGFPDSTGEEDAHAPARLVRKLRVQIFLGSALGLFVALAVGAAFIAVWFTQAADLWAKSGELWEGIFEVIASLLIFVMGVTMLKMDRAKAKWRVKLQRAFSGKQVDRETRAGKWVLFILPFITVLREGMEAVVFVGGVSLGQPAVSIPIAAVVGLVCGLVCGYLIYAFASRTTLTVFMAVMTNFILLIGAGLFSKAVWAFEQQRFNNIVGVDVDDTRGDGPGSFNVLGMVWHLNCCNPENNFDSDGWTIFSAIFGWTNTATVGSVVSYIAYWVVVMAVLVYLKFKEGRTTLFGMESAAGIRRREHREREERKKHAEIEEREREQAVSVEEKSRPATPEVA*
>EED80171
MNGLYILIPDTSHMLAAEHPPREMSTPALSDDTGSSSSRESSRPATPTSGHTPSGEGTDIRIVEADADSSPLEDINRPLKRRRLADTRADEVRAERALLHDIWSDATRVDDGAFFGLGHTPISDCTSVGDVEPDAVDPSLEYVSRPLKRKRSADTSTYDVRAKRARTSGTSNDATKAEDRACFGLGHIPIHDYTKIRVVEPDADPPVAPGIDIHVPLDAIARPPKRKRCADTTVDEVCVKRRRLSDSPSDASQLKDGIPFGPHPRCMITGFVSAEVEACYILPPATPQALGDCATLRTPTGSNAVCCSTPENIIFLRRDLRILWETNRLLMIPHPGHIDHPDTRPVYTYHVITEDEHPLDSGTPQDHTIIPLATTAECSYRSLGWHELSANLHLMIIRVGREFIKRPLHYEHVFPMDALVHIPIIRLAQPDAAEPVSSHIERESPVESIPRPRKRKRCPETETGEVPAKRMRTSVTGCNASNIKDATCLSDCFTLDPSFVASHTAYKYCVIAEDEHPPDSCATVGNPITPAVMAAPCSYRSLGWHKLKADLRLMIFRAGQKLSKRPFHYRHILRELLPHKEVNHTYTIFSRYATWTIPLYLEIVPGRRLWATGELAPFPDGYFRSPRQQYCPPLSDDDAFRFPRLFRPIVSRTKRKRSGDTGADIKVYTAQEDAQCEVSVRKWRWDCDQARDEWTMGLPAQPEDAELLAYRQEEAGDVLPAAQRPWFAEWHSSKYALL*
>EED80172
MPSTMDTGPKWPYVALHLRIRHDVTLLMDLVAPRLGVRVSQEFAAFGFPKTSPVVTRSQAREAASRSTAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEYPIPSTSNLVLPTPSSFHAHAQPPIASSSRLSIIPSSDPAPPPPLTPSSAASNSNPALPAPTIPSTTTTSSSSMSQNTTAPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLVDMDQLIRKRARIGIHNVADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDLYTMAEIDAAATFILHGTSSTPTTAANQATASTSNTSTTVPPRMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAGIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSATSKGVAAPPSTSTSTAPPSTIPAASPAPPSPPAQSTSQPIATSAPPAPPVHPFANTRDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLVEEIFQRSMKSPFVTLTPEELLSIAPDIRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIASSPCRKRSVWASTSSSILQSS*
>EED80173
MSARSATPASTPSLVNRHLASLLMVLEAPPTADAALDVVEEWAQDLLPLVLAYRKALGAIRDEETELRVAAAIKQLTERASESWVEWARGDWPELATTINAEVERRLEEQKRLAKEEARRVEEAAKCAKATEDCRLEDEWCRKDKEDRRKQAKDERRAQEATDEELARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAAAIEKRQAGQKTTSEDLWTCDCCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTCGGGSTTKKRIRPTSPLPSVAESSGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDKSRGIIRIIRKERAHIARC*
>EED80174
MSPVVTCSQAHEAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDTSTPDLFERSSSSPEPEYPIPSTSNLPPIASSLRLSIIPSSDPAPPPPLAPSNAASNSNSALPAPTTPSTTTSSSSSPAPTNTTNMSQNMNTPLMPPCGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPNHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSSTSTTVPPGMIKTEDISMIIESLSRTITTLIQPTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTDGKIVLPNGSFCPRTIPGLTIQDRIYEWHRRNPAAPAPSSSPPTQATSRPTTSAPPAPPVHPFANARDATYALPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVGIGADEVTAINQLSCSGATLEPSATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRLIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSIVKNFADENQTITILYHPLYEYLRFNSSCDIVQSSTNASIRPLRGGSP*
>EED80175
MVMPAPGPLPTLPSSYRCRNEAAFAAAFKARFGNLDDEAAAQVELAKLCADKSVRKKCTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFITWRAAEKRATEVEQILDISRARRPELNNFFSARGRGRGGARGGAPTTHAASASINAAIGKGNFPRSCFSCGKQGY*
>EED80176
MNGLYILIPDTSHTFVAEHPPREMSTPALSDDTDRSSRESSPPATPTSGVSRAPSISFCDYSEPTLSGEGADIRIVEADADCSPPEDITRPLKRRRLADTRADKVRAERALPPDIWSDATMVDNGAFFGLCHTPISDCTSVGDVEPDAVDPLPEYVSRPLKRKRSADTSTYDARTKRARTSGTSNDATKAEDRACSGLGHIPIHGYTKSRVIEPDADPPVAPGIDIHVPLETIARPPKRKRCADTTADEVCVKRRRLSDGIPFGPHPRCMITGCLSAEVDACYILPPDTPQPLGNCTTLSMHTESNIVHCNAPENIIFLRRDLRILWEMNRLLMIPHPGHIDHPDTRPVYTYHVIVEDEQPPESDTPQDHTIIPLATTAACSYRSLGWHELSASLHLMTIRVGREIVKRPLHYEHLLPIDTLVHIPIIRLAQPDALEPVSPNLERESPVEGIPRLPKRKRNSDTDIDLVPPAKRMRMSVPTTETSKVEDGALFGPHPRCMITGCVSADVEGCYIWPLDMPQRLMNRKFDTLMAVRRDLRELWETNRLLMIPHPVHLKSFKKYGTAYKYYVIAEDEHPPDSCATMGNPITPAVMAAPCSYRSLGWHKLKADLRLMIFRAGQKLSKRPFHYRHILRELLPHKEVNHTYTIFSRYASWTVPLYLERVPGRRLWATGELAPFPDGYFRSPRQQYCVPLSDDDAFRFPRLIRPIVSGIKRKRSGDTRADIGIYTAQEDAQREVSVRKWRWDCDQARDEWTVGPPVEPEDADLLAYRQEEAGDMLPAAQEPWFAEWHSSKYALL*
>EED80177
MVSKGDSDTHLTVCSSPASSTQGEVRRKARITRSRAKEWDAEQGEAARRAGHLLRGSLTSGHGTQLDASMGGKFTWVCYVNTNETTELVWCQATRDKIGVPKQAQSREREGKGKEERQDTNEGGQGAIKNLGYVSEEGGVVDAARRKDDVGGDERARRLKRGKLLARPDAGAGLSWLREGSTGPGH*
>EED80178
MAPYIPFVPTEAFAITTEEEWRNAIFQNVNISDEQAALLQTVTANAAESTTGRVRDWIGCLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKQQVDALPASTGSGHSCQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQHDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGCLMEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAIPMDIDSAEKSKSKGKGKGKAKNAEAASTEAKKYCVICKSKTHNTDDVTSWYGA*
>EED80179
MPKAKPFIVTAKHEPTGLLERIAIHTTHKFNDVGKPRRIVRPTIKPLIRRPFNPERAKKAKHNIEELALRAHFFKKQQLLDRISDPAPPLIDRIDMQAGPSYKYEPPKPLPDIHFQRTKILLRTSEYNKMFAATANRLEPVFARMEKEEGSLEPEVVVRVRRMGDGFDELYHELEKKAHRLTNRHWRVIKCDLKRIGHVSFEDLSSHLPEICNELASLNITFKYEV*
>EED80180
MGVTDSVHYQLSTEVGADEFAKLLPPGGHVVYIGSIIVDEDGQHEVVSRPYTVTLFHQLKCLDVIRHELVNVSGPVSSLTRHCMNYLRQSILCRPNLCLESAKNAGGTAARTYNAICRDWTSIYEEAERNQQSFSAWRMEPREGDR
>EED80181
MSTSQTALRLSSTVVSNSRAQIGHPDRSRKITLVTKQSDERNTCSDPEAPSHPDLPRGTLALSPLPPAFPKLSPSPVKLEEISLQTLRQSLSLRRVQVKKESRSPSLRILLGPPRQQRSPPRQQSLTPLEHSLLSKFNQRRATTATSAPAVSSIPPNTECDRFLSQLHIYWLINTSLTTIKLKVQVALSLLDGDAHAWATPYFAQLVSVQMGVQVVTTPFRDEAAFTAAFKARFGNLDDEAAAQVELAKLCADKSVREKCTAVEFSALFKGPADRSGYGDLELRNKYLSGIPSWVYCKIELKTFTTWRAAEKRATEVEQILDISQAHRPELNNFFSARGRGCGGARGGAPSMHAASASINAAVGKGKFPGTCFGCGKQGY*
>EED80182
MFNLQRIAAALDTYRLQQSTANRPLCLDIPAPEPFSGKAEDLRCFLQCVLSYFVATNNTRLSNEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSMWANFVAALEEDRKTALSLGNYVTCFEQLASKAQLKDAEVNGTNRVENNYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWGLSSSQTPGTMANQWILMPLLSHQLSPPH*
>EED80183
MVWRIRRGCAAEMAKKINGHRFYRGIFGAVSEDSSIEHPNNRTVTSQDDSRSIWAGLQLGTARHAVRPDIFLLHFLFQRYNYSEDIRLVGALFLAEWLQTIFTTIGILHDVSLSFFMWFLWCPIIATAVQIYYAWRISVLSDWKSFRYLAAMITLVAVGSLVTCITATVLGLDVVTLVVSPQMPVYKYLASISPVLVWLSLTAFVDIVIAILMTILLYRIQSHSVATAGLKTSLIQYTITSGAITASVALVAFALYMTDVLASDLAFRGVNNLGPYNSWAVNYPGPLSICPYANTALFGLNNRSLLRQKYNDSEQTIMLPTIDSSVPSDTQESHRSILAFRSSHQGELAANTEQDIQGPW*
>EED80184
MENRQQHSVGGVLQHLLDANPQIERAFQRLIHGLSQPTQPPSLPEDDPDLADVPPSEQVNSSSTPRQSPEDRETTPVEAVARSVGTLSLTSSSPDPDPTPSQDQDQAAPTVSSLSAVPLVPARSRQVPPSDDTDGSMPSLQTVSDIDDDVDMYDVQSSAGRSRESQAATSSEPQPLRSARRARVDDDVDEGDVRETNRQRMHPPTANEQRAASEPLPPHPGAQHAGHTDHQPPPPYSRLVYTFDFFAGPEFGPGTQAGDAQPPPQVHPEDRPEDHPEGHHHHHHHGQPHPHPHPMFNFTFEIPLGGVPDTEGAPHGFAHPGFFPFFPFNAPREEQDDPERAGRLIRGLEEVPSGLVERIERVGGDGGESVCSICWEKLSSDGGGFDAAQSAETGSSSNARSAEATMDTDNPTATDSSTRSSSPDGRSEDSEYKPPSDLPKVVALPCSHVFHTACLLPWFTKPHRTTCPSCRFDIDPDSLTYMPPRQRTARRANSADDPPAAPAASQPPQPQADTQPAQATPQPAPEAPPAQDQARPARPAVPPLMPFEFSMLFPVMGPPGAGARAHEPAYVPLDPQMTQHLFQRMFGGAQPPAPQPQQPQPQAQAPPQGNPPAPGAERRAPPGYMRLDDQTTRNLFENLFGAHPFPPQPQPAPHAPEAAPAGATPQHPPTAAPTGARAQPRPRPPEKRQWTLPMPPGPTLRQRIERQEREMGLRCSDISCGLGPSDDDPTPIIDPSVMRQIAIRPVDSSGEKVCEHTFHPSCLVSAERVAGWGNEDKKEEKEGDEVQVSCPVCRAVGVIPRPDWDEGACALV*
>EED80185
MMQL*
>EED80186
MAMQEAQEILAGGTHIAEMLEIIGRLRPAVDNDPLRAETLLEGLEEVSHDLVKRYEKLRGGLDDDNEGCAICRDEFVDDVAADPLEPAKLSDAVLTSVLFEALPFHPPPCTILAFPCLGRHLFHSNCLAPWLARKTTCPSCRFDIDPDSLTLRTVTASSQLIDEQFDTRKLWEPPRVSSFATWIEQAELAREREAKGIPIVKAVPVDREVDDCPADDEREDMFHPPLAVPNQHNADASRHSGDVSSGRQPPSTPSAPTTDAAAFPGFLPSIRQGRMEHIMAMLGVETPSSRTAMDLHDSMLEDVMRALRMGRASVAGMRPPQARPQEDPRTDDLLSQAPVDID*
>EED80187
MPSTQDDRGPRSLLNSVGTGTGSISSSIASEEPRISFRHQHIEDKNGHHLIVGREGKLSRCEDEPIRTPGAVQGFGVLIAVEENEETGNLEVRQVSENSTELLGLSPPHLFSLECFSQTLPEHQSDVLFDNIQYLNDTTLSAEEQAENVHVFMLSGWGEPGTVLSESMSAAPEGRRSWTCCRAKPLLALERLRRTRRSILDNVLPPASSSSGARRGGPSRRRRGTGAMGMMDVFAVMAQINEQLGAAPDLDAFLKVVAGFDELWNGQVVAELVDWKQTHDLFGGLHFPASDIPAQARHLYALNKVRLLYDRGQPTARLVVRSKQDLQTPLINTMPSDQHPSGYIVSNADDLLGLFDADHGVLVIGEGAKILGPNQHGQEILIVAEYLRLKQFNTIQVSQAVTQDYPDLQLTTGLEVIAGLLYVPLSAGGRDFIAFLRKGQPKQVRWAGRPYKDGEQSVLEPRASFRTWSETVAGRSRAWSDEHLETAGVLALVYGKFIEVWRQKENALQSTKLTNLLLSNASHEGDIPDPVRTPLNHIINYLELALNGSLDTETRENLSQSHAASKSLLFTINDLLDLTRLESGNATFFNEVFNLHRAIEDAVVLYRNEAVRRGLGFRLDVANSPRMVVGDARKIRTVVANLTANALKYTEHGYISVECRAFDEPMGLRTAENVAVEIIVSDTGCGIPAERLECIFREFEQVESTEQRTPTQGLGLGLAVVARIVEQLGGQLRVDSKTNEGSRFSFLIPFSTEVEGTAPSSPSESRSAGGSHRESRDSSAAAREDQIDNLVEALSSHHLSIQQHGPPRPQDNDNASLHESDKMQMTGFNTPSLSITGDDVSVTSMISRRSSQASPHGKPPNGRRSGASGRSATSRSSRSDSGYSAKLRLLIVEDNDINRMILAKRLSLDGHNVVNTTNGQEGVEMLESDWEFDCVLMDLQMPLLNGFEATQRIRQIELERQVELHRVSHKLNGRIPIFAVSASLFEDQREELFKLGFDGWILKPIDFKRLKIILRGVVDAAQRGRDVYNPAGNWEVGGWLKAHEKVPGDVS*
>EED80188
MSTEKKAEQQLPETPERPYAIVRIKRKRNEEPLDGLVVDPEAVPSRKRSRGALNFFKFAETARLSTLAKESPRKENLATSVSAPAVVEQAVSPAVSIPRPQLDDSNRRYTIVKRERPGTERVQRRVPTAPPKVWSTKELQALRESQSSLAMYDAIPSSSALSSKSEVNPEVAKFLPLLRDYLKLDDPSMATPPGSMSTLSSSPSVTHTTDDSDYVYDVFYQRLTTLAELYEPGMPVWNIGTLTDIPDELMLYDSDDDSEVYDTDDEDSNAEDFYKNDYPDGNPDEMSDGSEGSGDPDVFHEDSDYDDMIHGDT*
>EED80189
MFTTAIKSFASQTPRSVLRLPYPSTPTPAVVSKNHAKPGRRTRSKKEGTVSIFWDMENCGLRLRSKDGYTIEELRRSAEGLGRVKTLKAYLDKSHHATSKSLSAFHSQGFHIVDCPHNGERNVVDRRMRGDKHYKETISILSKRGYNVIVIAPPKALTCMRAAQPAKVLPWRTSLGE*
>EED80190
MIKIVSATFRTYILTNAGSEVDAEFVINGRDQEIRKTRLRAGDQKESTVKTTMCSIEATDLWPFQDSEDKFQNPHHSICWSLGYEIARILLIDSKLLRLGMQEVLSIPLPLEAASLSPMKVYVHQVTDFFDTLAFPTYAGCVSLVVFLLQLLYMSLWRNRSARKSAPEDISTNSADSDTVFPEEETTEVDTQSRIARHDTPQGGSTIFAFNFGKLVACAVLLTLSLISWVVSLSDDDSSAFGLSQTWVLGGLCLTHAYVLILSFVPLLAKPAWGSIASGHATLVLLCTFAVYLYRDVWPYATFTQKPLDTSEGVMLWVKIADLIVAALIIPLLAPRIYEPFDAKVEIPNSINPWPHPHPEQTAPIPSLMLFSWLDKLVMKAYRMPHLPVDELPPLADTDSAGNLVKRAFKVFSQFASPVAIKYLLAYVESGGADADVRPWVWITWLFIGPMAGVIVSSLYYMASMRVAVQLEVVVTELVFTHALRIRMKAETSEDAPTAPVTPDNASIVRSSAAQSEDEATVREEETFADSTTASTQSAKAKQKSKSTPEQAVVLTQPTADETKDKDKGKSLVGKINNLITSDLANITKGVEYAQIFVRIPVQLVLCVWFLYTILGWSATPCSWNAYENATRNTNYTIEEGVIRMVKLFGWESHLMRQISKKREDELAWLRQSRLLNLFNSMVGYFIPLSTMMITYWTYMGVALSMRTSQIHVCFGLLPEFIQGITELLDDFSENTSPNPDERRIHSSATDLDVIGFRDATFTWANEEQAPPDGRSWQRFMLRIENELTFKQGCINLIVGPTGSGKTSMLMALLGTMAPESRIRNEELNMAMLSELMRRISKTSCLVQRTTKHGTTKCGLKRDWELFDAGDMTEVGERGITLSGGQKVIHLGTPDARVTLARAVYSTAEILLLDDILAALDVHTARWIVEKYLKGDLIRGRTVLLVTHNIAMASPVSQFVVSLGVDGRVLSQGSLSSALAHDKTLAAETEKDRQAIEKVEIHAIAEDVYESAKKASGKLILEEEIAEGHVGWSAVKLYLTNLGGRHQIVLWIGCLGALFVSECIETSQVWYLGYWARQYEEHPANEVKVSLYLFGYATLLLVSLAFYASHYTVYTFGSIRASKIIHNSLFACVLGTTLRVLGNIMNTSDAMIVKLAVVVLMSPFFLIPGVFIVALVALGGWIGQLYMKVQLSVKREKSTAQAPVLGLFGAAFAGLALAAYLVYFNKGLENRVLIEHGRGSANSLERIKQYMDIEQEPKSTDSGVPPAYWPSSGDLKVENPSARYSPKWQDGPLVLQDISFEVKSGERVGIVGRTGSGKSSLTLALLRCIVTEGKVYYDGLPTDNLNLEALRSRITVIPQMPELLSGTLRQNLDPFEDYDDAVLNDALRSAGLFILQQDMDEGRITLDTQISSGGSNLSVGQRQILALARAIVRQSKLLILDEDYDTDAVIQESLRKELENGVTVLTVAHRLQTIMDADKIMVLDAGRIVEYGKPSELLKNENGHLHALVKESGDVEKLYAMAAGAGAST*
>EED80191
MAPTKTTKTRKEPAKASAKEAKAKAARKAALAGTNSHIARKVRYSVSFRRPKTLRLARDPKYPRKSIPHVPRMDQFRTIVSPLNTESAMKKIEENNTLVFIVDLRANKRQIKDAVKKLYDVQAAKINTLIRPDGKKKAYVRLTPDHDALDVANKIGFI*
>EED80192
MFCYSLNATSSASASANASSSVIISFPSSASSSSSFSFSSLSTITSSSASFSKFTATPSFESQFSYVTESTLILASPTSTXSSSSNYTAVNILFQNTLNWALVANNTESSGQIFEWMPTLVASSLGISTLDVMQYALQVYQPDTYTGPDDASQLLTLWVAYIPTNQVSSLAQQLKDKNSQFYTGFNPPYSTLAAQVDAAFSVNSGSAPPAGGSGGGGSSNNGGSSGSSASKTREDAIIGVVTSLGAITLIILAFLVARAVKQRRALAHQRLAEPIDPGYDGARPDGQEFDRDSLGGQRRRSFYYAADSLRGFSEMSNAAAATYESSAGPDGGMRERRTVAPGMISTPVLRDNTMNW*
>EED80193
MGPLPELLQFLAGAPRLVQLTLSFLRVSSPAAKIDAPEDATPRKVYLDHLRGLCLTEIAPDIVLLLLAHLVIPASPVAIQLAHIRKATPAFFRALPDLPALRGATRLSVEARRGSLVVAGAGATSAVRMHFVDVAGPGSLAPEWLDALLDVLPAGACCRELWWTDWHRPGAAPWTYGLHRTLERMWAVSALVLCEPPMRCKRQGALFDGLFGVDGGASGCAMLPALRTLHLVTHRFAIPARTNEYAKTRACRGRPLTRVVVQVAVDELDSDDVPDEDLRAGPLGLLDASLDELQETVGAVELRVDHCTPSMPLPLVCHDPFVYWESAGMPRPWFQLAREFFD*
>EED80194
MDVHARKDAGHDWTQPFLQQRGRTNVLLAHQCQQPQRLMFVRKTRSPSDHAIAEQENIHCDGYLDPDTTLSVCSPPSKSSVCSSPGLTAPLVGFPEADSVHCAHGHLAEDIGTPYLTNAFQQSISSTSPSNQSQGTTLASEPASPSSPIRFYMPSPVSPPPMRPYPYQAPRALPLAGARDGAHLLRSGAAPVVSFKVAQAERVSIAPRSPVRGRSPYPGLGLRTRQRRGSSAGSRAPTVVGTGRAIGMRGVRGSSIVLSNEDIERSLEKEDRARERTREREKREGDAEDWDCERGRTRGRPRGRGVGIGIGIAGLRPAPGYL*
>EED80195
MVANGDSPNRGASRRVFSRSHSAKSPRSTERNPNATFLTECSFGVTHDRLVQVITDVEPFEPHWEDLTSVDLSKRNLDGVARLKEFLPRLDSLALNDNHLSWLSGVPGTVRSLSVVSNLSSIFSVYVKLELECLRHLRELRADGNKIDSTDGLHNLDGLVKLSLQGNRIRHLKLHDVRWTRLEMLNLSHNRLGNVEGLASLAALIALNLDNNALGELEPGKPMPRLRILRVSGNRLQQLNASPFPNLRTLYADNNSLGTITKAHRLTKLENLSLRNQNAKPGL*
>EED80196
MSSTLSFLDQFNAPLTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRDYDEAAKAADEAIDHHKQLLRQQDDGVLTELIRLDNLKVAHRFQPLLLHSIRAMRIVSTLGTGLSGRTMRRMRKRSPWTLERECGTRPMKRHISAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKVVPIPPLRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWTNVGRNARKESL*
>EED80197
MFNLQRIAAALDTYRLQQSTANRPLCLDIPAPEPFSGKAEDLRCFLQCVLSYFVATNNTRLSNEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSMWANFVAALEEDRKTALSLGNYVTCFEQLASKAQLKDAEVNGTNRVENNYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWGLSSSQTPGTMANQWILMPLLSHQLSPPH*
>EED80198
MANEPKFTPVKLAIEKGLAKIEKWYKAVSQLEIYFICLALDPTIKLEYAKNSWSDEQFSKSYKALEDVFNEYVKTTPDAGAEESNTPVPDLPKTKKGYGTKWIQDAVRKRVENERKTHNPCKKLKKYVDGHLEVDIDLVKWWGNQTGQYPTLSHIARDYLTIQGSAVSSERVFLSGGRTGTKDRNQLAPATFEALQIVKDAYRTGVLNTKHNV*
>EED80199
MSFESDTQLPPLQFKGEIMDFDLGLDIDHRKRRRNRTTQSCLNCHTSKRKKETMFEVYTAWARMTPTSTRLHVSGIGSRSSKVWFENYGPHPKWAEPNYCDGDSTEKWHSRSSKRLQTQKTRRDLDIDGSHSGSASIHLPSTVKIEQAAELSQQQQLYRVATSSSSSTLHDAHSASESYYRTPPQANSQPFGSPTDDSAAYYSSSSSNSPLGYEHRYTDGSVHAGPDHYAQSYVRPSNNSSSTIQVSCPCLTNPAAGNPLIALTNQLRNTLHQLRQLPEHHSHNDCLVLTRILDLNDTMHGSDPGYHSDTRYDGLPTPTDSELLSPTSSSGHSGLSNNMQDWQAMSHPSGYDHYFQVSSGEHATYQKPYHIVQ*
>EED80200
MRGFLVALVELIVLNVGLSAGILTQRVFSMFVLEALTLTFLTTPTVVTLYPPEMRVRAAVGGPHARGHASGAAVVDAAEKGTQSSTEKSEGVSIRKNPLGDDGDERKTRFTIVLDKVEHLPGMMALTQLIQPPPTESPQVGKRKSLSPSLSTQSALDKEKEKERGPPALARHEPSAQDVSIDALRLIELSDRTSAVMRSSNADTLINTDPLLNIFSTFGDLNGINVSSSLAIVMYDDLASSVVEHAQRHRSQLVLVPWLPPHHTSESAPFDGAETAPVTPRTPTAYMQNPFEALFRATNTSGTQSASALHSHLVRGVFAQARTDVALYIDRHHPGEGRLPMLGSMQHIFLPFFGGPDDRLALQFLVQLCTHPRVTATAVRFIKREFDELRQMGSLEKPQVAHLGDERPNELTVATVSQTTAFPDTVYGAPTTQTRLQSETADNVAWSRYSSYQGPDDGPFAHALSPSWSTPRTLPSARWRDGVGPWLSSVDRGGWLLRITLRS*
>EED80201
MPDCILLDIPRQVYVAGGGVQGTVQLHFPLIQDQQIEEVHVKLRGSAYTWVRLGRSSEFQTVPLVRENISVWQRGSAYPAPGSHDLRIPFQFTLPRSLPPSFEFTCVQGRGNVRYYVEAVGVRTGAFRMNKRTIRPLAVVPHDSGGAQTRDAMKFGWCGRWSSVVTSNRIRKGLWGDHSDVCIEFVRPAVDCLPLFLPIPFTISITTVSKPVKQGDCRPSESIWPAPPLHAKDVTFELKRNVYISTGAYSEQDIQITETTEAEWVPLSGDGARGRWKQKVTFASSFVLRCPPTFKSTTIKNEVYFGSPFHSVKANIPITISSGMVPSTVLEYYAPGDTSAADFMDPAGTSPRTNEEVDYTQPPPELDLPPYVSFRPACYHWNAS*
>EED80202
MSVELTVLWGKVIQAPATNIYYLCFPRDSALQKSAVYAICAYEWVQSVLIAASFSESIVAAISDPIRLFHSIGDGWFSIFFMSAVMSAVVQLFYAWRLLKLTGSRCLTSLIILLWLGGSALADIIIAISMIIAVLNELIAGVAIIALGLFAVPNLVNYLKVAASNDKAPGHQTITALSVTAPTGSCSAVQYHCFSRYERGYMGICARYPILDALSNPNTTLIEGRRELCEGVAHR*
>EED80203
MPIYSPKKVCKRAAAILQLGASSPSPKEKPHSFGQKVPPSLLSLSLESIQLPVLDGSLMRHIALYHAYIDYAKVILAWGQSWQGSDLQPVRVVIEQVSKSLNSPSCVNATVSECSKWDGRVSYPSYPYASFTSYDAWGPVRHEVSPWVIADLDWKIKFRFIHSSSNLDADSGMYQPRTPYGTLQRSARRLPRPPTSVALDDNFDAMTTEAPTEWNFGVIRRGRDYEESISGYQNYVNEMERKLSGEDQREREVAEKKAAAEALKRANRNIYGSELGRGSQRGGRAATPENARKVSGRQGKMELVESRVVEDGPNRTISLWRERVAESSSDGNPLEDDMLSGANPHTHRRVSSENVQTKRIISDGLGGVSSVSRGDSRRGLEMQKAGISSYERSEYMVAYHQPTKGGYPIPMYENTLPMLASGMGYAPMSPKSSQIGSRATLPGRRRASGRLSLDRTEFIMSYPQTPPRSGGSEESLASPKPLQRQMSPANTRLSIPIDMGDVPNGQKTGFTSPAELILSSCEPSLVHLLPILTELGIQRMEHLRAIAKLSEETRNREVKEQALKRGMTVVEWAILLDKLHTL*
>EED80204
MTSTKTFVETYAQVSLVHRTAGITADVLVSLTKQADNLLTLGHLAPSSYYTWFSVCAMCGVLSGAVQIFYAWRILKLSGSRICSGLIVHIAFVQTGFAIVAAVYLSMLTGLQTNTSPWMVGAAYKRAENIPIALWLAGSALADSLIAVFMTISLRKMKNGIMETEILINRLICIVVETGSLAAVVSIVTLTLVLGLPDAVLVQAIFQQRAISLNNRAL*
>EED80205
MRWFKTTHANGPRLVNMLWSL*
>EED80206
MFSQLTARLPEVYASLLATISVFAKSGIATISIRHLDLVLLSVWGVYVYRDVWPLATFALSPHDAAEGVILWVQLADLTFAAVFVPLSIPRRYTPVDPKNPSSEPHPEQTASVISRMIFTWLDKTVLKAYRVPHLPIEELPPLADYDQAHNLVQRSFKELDPFQVTKGRHVAWGLAKTFRRDLSIMALMQFLFVVARFSDPIGIRNLLWYMETGGEGAVVKPWVWISWLFLGPAARVTILSYYFFLSEHILVRIEAILTQLVFDHALRMRIMADVPETSTSTGSTTVASTPDTASFAESGSTRTHTNAANSEDDVTLSGTNTAAGETTTTQGKDKSKVSVQQSQKDSSGPSASSEDTQTEGSNVTGKVNNLITTDLDAIAGARDIFQFGKIRGVHAEKMNKTDVRVQAVTEGSCLAVHHPGDGVLNHRDTVAMNAIRMIKLFGWEPRLSKQLGAKREDELTWIKTSKLLELANNNLNHIIPPITMVITYLIYTLIMKEQLTVFEMVSGLLHMTFGGIPRLMRGMFIARTKTELLDEFSEKQTTHALGLGTPPPLISSDTIGFRNASFTWTKAPPSTPGSSKRNFTLCTDGDLTFESGHINLIVGPTGSGKTSLLMALLGEMHYIPAGPDSFVTLPRSGGIAYAAQESWVLNDTIRNNILFGATFDRERYDKVINQCGLTKDLNLFDAGDHTEILAALDVHTAKWIVEKCLQGDLVRGRTVLLVVSDQETVHNAVSSTNYRRRTTSSAAQTGFTLNMAGNSLERIQQYLEIEQEPKSTESGIPPAYWPSSGNLRVEKLSARYSADGPRVLHEISFELKSGERVGVVGRTGSGKSTLTLALLRCVITEGQVYYDGLSTEKINLDALRSHITIIPQVVNFVHFYGRMLSHSHCIQPELLSGTLRQNLDPFEEFDDAALNDALRSAGLFSLQKDMDEGQITLDTQIANGGNNLSFGQKQILALARAIVRQSKLLILDEATSAIDYETDTVIQASLRKELDKGTTVLTVAHRLQTIMDADKIMVLDAGHIVEFGKPSELLRIQNGLLRALVDESGDRENLISMAAGAGMSM*
>EED80207
MSAFRTTRRMRVRGRPSTESQASPRRPHQSARSTAATIRAATDFSFSSTSHVEDRQSCGRKPNTPQQPLGVLHHASSEHPVLSSDKFIRAFPSGYPDVLTATNRINAFLSHADQVGFFLHKGRFLQKMSVPRSQRHPGLLSSALIHAVYLWGVRFSLSPALLVYEPVFLSRTTQALTGSIAHMPAHNVTHTIQAEVLLAHYYFTSGRLQDAQFHRNAATSLALSCRLYQLRSLESPSVHLDAVHGMDFDLPPTNDPIEEGERIHAFWHVYILDKCWATGRHSTSRFDGSHGPRVDTPWPLAMQEYETNGALSGSWGLRTVGTFLSDAMQNPLEGSSAVALRAKAAVLFERATTLAARWSHNPNEEQFAASFVALDCVIDRFLGSLLPVEMSIDRDAALQLLLCHTLGRAATIQLHKNFKHMDGLTESKDVVASKAASAALDGVDFAQLAFVDPIFAILWSITARALINEMARLRALSIGGEHALYVRGEHHKVATSLTKVTNAMSIMYNPVCSRDRGTSHNIIIDNHEYGVHTEHNVQSDNGNRPRSPYKAISEQGLREAFFALSTDINDAKRINSTERLAKTTSWASAHWQVSGTYAHLPSESLSYAVTEDDDDMEDAVEREAQNGGGKNAVRRMVLRGTDLIKLGEKRRALFATGAEVAAGDQGCRFGSLARWRFLLADAFGEHDVSNLSDGRLALCELRSLPVPKTVSEPDKELGVSLGARRHLALKI*
>EED80208
MPDEVIVLVPFPLADVALELAPDDVKLALLLTVPFPDAVEVPFAEPVADAEADVALEAEVLDEHPLPITPKRQKPELDEVALLEPEDDNALMLDEPDGADVALALLVPVDEAFALPEPLALRVGLALAEPLAETDALTLPDPDRVVLALEIVSVPLALALTLAPEEDALPDVALALLDPDVALTLLLESVDEGKHRRPSKQSLLVALALLEAEAEDVALLESVADVALELPEPVADVALALAESVPEAALAVAESVDEGELLEPEDEGELLEPEADVVALALPESVPEDDTLALLEPVGVEAGALGVELSQPISMPRMSTQAPLDDALAGEAALALLESLGVGVAADDALPDEAEAVEETLAVVLAELPVEEAIELAPAELAAEDTAVDEADDNAIVDDTEDDADAGDDDAEDDAGAEDEDAEDEDATEEDEEEATLDELALELVGELEDEMEVEVAGTAAVVPGS*
>EED80209
MSTLESSKNGGAEESAIASYSMLQPYKPNHLVKHCPLAAPRPASTVNTLSQPASVLHTSILLPPLLDNLNMTTNPGGPEIVPLTLWTENHITAIYKATNRADFDKAFDEFIDKNAQITLNGRKIGREEYKLQLVGEKFFERSADVSFAGSVQKEGKIVDYIEVSGLLRRAVPYLIPYEFQTGDVGVFFKATVFGRLFFRGAPISSTVNSSLNAV*
>EED80210
MSRVVVPTPMCGLGSGLHGCSSVQWQE*
>EED80211
MDDHTPPGDTHGGHVEHAYAVSPPHFAFDDAFSDADDAEERLEFSPEAIREDIAKTFTNLDKHVAQDEAEDFARKIGFAVDSNTSVSTFDIDAAASEPRSPAASPPGMVRSMSTASHVTSLASPGSLYDIPLSESASGLRISDEPSSYFHEHDAAEQVRDAIPERKSSPKPAQEHPPVVVEVKSDPVHETDNYVEVAPRSVSHDEFRARTVSPQPTTPQSAASIVSSLSAASIASTSTSHIPTSASLPSPASNASNVSNMSNMSNMSTTSTTSAPSTFQHRQVRSLGPSALDRFISHTRPSFLPPKPRTEDKKHLADWEAMMKRSRVAEEKRRKALQERRYARERKIEESIGIWERDIVPDWTVVQRNPAMRKLWWGGIPTKLRATMWQNAVGRAGAYKNGLLQNLVHLGHAAIMRPQPLRRNAP*
>EED80212
MPQMGGRAPDTAVGRREGQAWACQAAHPPAKKHALCDRTPPRWALAAALGAMPISCAAVRPAHSRRCPSPSERTASVWRLRVAAGPAIGDMGSHPARTPDIAGKIVRRAHQYLGALWDLVPDALVAAANRRRA*
>EED80213
MSTDTSRLHSKLDTLSDALDDLETKLEPLFAQTLPETVVGLETIQQAKLQVALPYLVYDLIFIYLKTRGIDPKTHPVVAELDRIRQYFDKIKNAEDPAKRTATVDKDAANRFIKHAIAQVKAQRPPGGGEGPSNIRFTDSGEAVRVPVKVTSKMAARAQYEKELAELGSEEEGELEVIDDAGDADDEAASSEPRQDKGKGKAVDSADAGEQMPGRKRRCAPMDPFAGHGDDTTAQTIKKSRASAVAADAEKVNSTPDSSGRSTPSSSAEAAKKERKAAKKARKKAKRGESTD*
>EED80214
MWIAHYASGLIAKPFAPGIPLSVLCLAGAAADALFFLLNFIGLESFNFDASIAGGCFPYTNDYPYSHSLVGMGVSGLLVAAAYKAARPDARVSSTDLLVIVAAGLSHFLLEWPSHRHDVKLTPHDAHAFGVGLFNYPTLNLLAETAIFLAGLWVYATFAPKVTKTGYLTHKNRLGMIVAFMLVQQAHFCYGAAPTAETRWVHAPIFLGEIFGSCWVLGKLEG*
>EED80215
MSFNLWNFTIDDSSSYITYTPHGDGGLGNWTQTGWQPWYSGSGGLSSTVRYVMLVGYPGNAVSLYGTTNGSFDVTLDDDHTTDVTAESDILLFSRGGLTTDIHRVNLTTRLQASSGQQMSFDNAVITIPYTGGAGTPVPLVYENTNTSAFQYTGPWIQTEAVNVPSPTSTAPYHQTSSYGASASMHFEGEAVAVYGSREWGSWLYNISLDGVNSQYNGSTMWEIGNALLFFQAGLNPNETHTLDITAEGERFMLNYVTAFVPNNTNTNQSVTPTDSVTHIPSASVTPSAVGKSSSSSKVNTAVIVGPVVGGVAGLLIIGALLWWFLRRRDRHTTDELFPAPYLETSNATSSVQASSAFSSKAALYGPPPGSEATPASFVAFRAASSPRTTATHTGSHSMPGSSYIDPLTPSAADVSQSHTPSTPTTVAAPPASVEPAQQQVNVDRIIELIAQRIDGFSPGRARDMDPPPQYPVD*
>EED80216
MAPSLARLGSEPWGAYDIPGFSDVLYAKADKEEVLEQPGEHIPEFRLADVPRCSTLLLYLGGSQGVRGAEIFPPTEFSAVDSRPYHVDPEVPMGSTLSVVMKKQRLIKSPEDFEDGDIRKTMPHFSAEHFQNNLMLVNSFKPVAARCRATSSAQIALAWILAEYPDYTNLGRLENVKSAEIMLNDDSDGERYPTWFFALMQDGCIPPRNGLHVTYDK*
>EED80217
MSITQSLEWSSCGNATYAAPFNGGYNAQVHTHYYTTTVALMEDALVNEGYTSTGDAGWAFTTQNPATYDDLFTISETEREEAIANGYVFENIAAYVYATQICSSIPLYRLYQPVLHDHFYTIDPTEVHTTATTQNYVEEGIACYVLPDPTD*
>EED80218
MDQDDRTADGQSQWMKTMVHGLSLRPHGSKRNEAHSTAQVIAIENSPGFLVHGKRGVAITCGFTVRIQRDAADSSFSLSLVITAFFELERGSSEIAWGPRRPEARQQEWSSSPDLKP*
>EED80219
MEEQVRLYGYQSRGHVGGAFMIQVGATVPLYHLKYYSSATGNDNFYTTNATERDEFTTQKGYTDQGVAAYVYETQICGSVPLLRSYNPVVVDHFYTNNRTEDRIVSTTRSYVQEGIQCYVVPDLRVGEDWEGARPGVQKAVEVQSGIA*
>EED80220
MADTPFSPEVFHVLCMYDFDAEDPDQLSFRKNDVLDVVKREETGWWAAARPGDDRVGWIPSSFVEPISETVAHKLRSSCKETGVRDEMLHSPAGLPSGHHMFVASPATEMSYNWLTESDQAPVIKLFTGSDVKEAASIFSPLVPPHEGIDGLLSDSEISPSGGAGPSKIDMQLPQPAREKVVPTPTRKKSSPKLKPSPISERHSVPVDRHLELKETEHIRSYSDPASTPAVSRHLRRRPVLIDDRSSLSRLTVMFESKSVEELDNFLCSPAMSESLDSLASAGAVKSLPGLLEQSEDDENSMQQVISPCSAAAPVTPESLVDIYHELQRRDDGSVIAGSLRALLKHLADDAVDPAVQRRFQRVFLMTFNTFATSDEVFISLLSRFYMSQPEGSRQQALEPSQRRVLEVFRLWYEEYGMLRDDPHIVHRLVDFLASVRSSYPFAYQAHAMLEHLQRQGVVEPSTFNPPSVRRKKRKASKSDFVRMEPTLVARFLCLYEHRLYARIRPRECLNWIKRGVGDAAPNLSAFLATKDRLAAWQSVDGSCVPAIEMYLTDLAQFRDQIPDTVVSSDRSALLINFAKRETWFDTVEAMLRYQVHAYSFEEDQAVADFVETGLASVSDRGLLVSP*
>EED80221
MHAYSHGSLCGFTSTLQKFPRLAKQPPPPSSSILDTSTRAGSGSGPSSLGLGIFTASAQTIPTLGAHAGYGTPGELYTWPTGGAPELVNFDVSDFQGSPTSSITVPGTRTLMSNLSEEPSTVLLPGEINQQAAISRSVGFAEQSTGVALDPDASKENVNPMLALVSQGYLSEAERQAVYNGLSHALGPASGIQESVGTYKAFMMEQRQLYYERLIPVEVASPVSDAVSESGLTVDSPAIMTPGSASTPYVQLQSTLLRSEVSTSSPAVSEYHGWLLGGSRSLPSSIVSSRIHTPDLSSDAGPNTSRFWAPEISDSAYSSPALDLGDILESPVLRSRHPTAEQPKNPPTEHEQQLAEQELHPTWVYAPGCRQFSVPLAPVYRPELLRHGHSWEDPRMAPFAHFSAASVSRWEHSEFSLTLQDAKAHRGLEKMMSDKSKGKARTRTRPGAIRDQADPAGGQLVALVVISPEAPRFYTLCV*
>EED80222
MTSSTDNRKTMADAFKAEGNALYTKGDYKAAYDKYTEAIKSDETNAVLFSNQAACSLAMKEYLNAASDAIQAIRKDPNFSKGWARLGMGRHELGHFDGSIHAWKKALECLPQENLSEVERRLRQQYEAGLKAASDAKARPRQPKVNVGDLTVDDVAQGILPISRALALLNKLPAGKQYNSSAWVIVHAHRAITLLSDGILRDVRASTLDGPILLEMFHTQVALENSVYNAWAHDAPDVVIEKASKRLELHGWDAVMPALSLTVRWIYNVLELKAATFTVFLNRFWILKGHLARNSEPDQSAAMQYYDGAVSVLDWGSKTRDAPKGPGSIFNKAFMRGVVRLRIESYRMAYKYFDKDRYIMLLDVIMTLAKNLIEEIEANPLTEAEESQIHTGFALSFWHYPRASAYATRGLCFLELGLAARRHGQDRVATKSFADAAEMYMTAADCLPEDEELHAWARLRLFFADFLKIAFETYWFRGLPLRETLALCSKINRSLPKVAEIWEYSQFSSRWSEHVDELKRFESEALDGLLEGRYTMETLAAAFVCFIYECYILFLTPPTFDYGIYLDYVLVHTLGIYTSSTKTLIFISVYDCHHNAPSDDVEIALSYAQCGGMILAILIGICNTVRARVTPGNEKKVYPSQEEVDVLADGTCIVHPQPNGPRIRRFGRVRERGGTKTPDRVSKRSFGSVFWNSANRFATNCKTRSTVGPTFGSTGSALAPPDMFDASQPIKTESPPLHLIKTESPPPSTSLWNIVKQYGSYSDCFQSLDWSHSGTIDGRQDAAPFDAYSNLDFGGAIDIQMPGVTFPWNPSHNMSGAHDYSQLSHPDLALHQLLSQFVASGPDGSAFTSSYSIPPQLLPDTTSESSSFITLSHDLPHATVIAQIPIHQPRPRKHEILARRDKERLEKLAAMTIDSLPPTCDFLVAQEPENPDGMQGIDLHDDNVSAHRLDSTPSNVVGPSICLGSGGTLSPSALLLQSVSDSVMHGPLPHAADASSAIEAACNWQLEELFPPSGLVVAWSGNAFLMNFKHDRTYYVSFPHTVSNSSMGFMYMLENEKS*
>EED80223
MCPATEDCVVCGRVPGSGVGGGSSPNVIPSSPIDPSVSARRRPSYSIGPTGYNNPGGSRLGSELLMGIRAYHGLGFQALAQELVYPRTVLNPAHRTGASTGPWPIPIADSPASHFIQHCSWITPIDRIVGIRSRWAVLRLSGPPGDRLWIDATEHSPFIEPKRRQGRSTPVHSPWHKNYRAASSSPDCRSLPSLVLAWRPRQGRTCIGIQHALRLARRACASSCSHRCRALRNAILDVSCTYLSMGRSAYRATQPERRRQHSIRGVTSRTPERHARRKGDRVSHLARAGGHDGTYPVKGQYNPAGCWAASNGNARAPGARVARCCPRASSPRAVHVQITRWLQPTAERGKAEWLLRAGWRNPGGQWQQGAIRVPGRGRITIPGRIPALGSPFCSERAAHDQETERVHVRMPGASPENTEDRRAATGHYFKQYACACSSEHGAGSIPVFSGWLRLAAKMQKHERAC*
>EED80224
MSRYSDLLSPNITPQGTPSKGSKSQRSRQRREPSGVFSLFSPPQIQQFREAFSLIDHDGNGVVSEQDLKHIFTSLGITPSKTMIDDLLADRPGNRRGSGIHSRLPSFETDESGDRGITFPMFLTMMGEHLYDFDTEAELLEAFECFDENDSGLVKCDEIRKWLGDVGERMDQKEIDKLLKGPFTDRQGNFNYREWVKVLRINADNEDADTPL*
>EED80225
MSLRADILQNEGSRESHGAGSHVALGMLHLVTVAAIEHFPPALLKCSLTCATSIFVVSPLHGLQNFIINVVFLLRLIARIDAILRLKGLEEHWRKENKSLQTGFLMGMEEYLGVVERRKWNNSSMTSSAQAHMELGEDAWQADAQVHMDVESKNRARERYQRLFWEPVVEGQDPIMGSNLERARIEAREKVRAEEEYKAAHAGIPPKKHDEHGLHIPGRPSWKFVDVGGKFVDVNDRVRRLKESERRSKLKVRRRQRKEAVAPGDQQVQSLAATA*
>EED80226
MRKHGWVLPVLAEFFPESPNLLDINGGQKILLRLRPAHAPDTFYDEGFVVKTMLHELTHNEHGPHDEKFYKFLSDLEDEYDMLKRSGYAGEGFFSPGKKLGTNVSHNLPPHLARQKALEAAEKRRQVNLIMSGGGRLGGPNVRTRVNKSPRELAAEAAERRARDEKACALGAVAQREADKAAKESVENKVIDLTNESDSEPENGTLGGPSEIAARSNGSRPARSAVGSSSRGAASHAVGSSSATLVKQATPASQQWPCPQCTLLNEPQTQRCEVCDFTRP
>EED80227
MVAQLGPCHHPSGSLLRQMHKLTWTMRERHGQWFCGGIGASVASCQPTPYLLSHFGRAVSACLVHLGLCLLGHKAPRHLRKHGTITAFKAAKFLDQAPVSMAASKRSVDPSTFKLPVTPRRSLDNEFPYRTPHSSAPSPADADSASSLSASLSPAAAGLDNSCHSAYSDLITMRRERHNGSFRALGHFKFSNSSIKLKIVWSGQNPKKGWKPILVEQRTQPGLSSTVSLPRAVSSIRSSADSDAPSLHEDTSFEAGLRRQQGTADGFHNRNRMVTRRGMPHHCHRREEAPYMQSYSRVSLDSELRTYELLRRLNPNCSPSFHNYGKNPPSRVLDLGCGKGYWVLNAARSWKNTKVTGLDLIDVYNMCGKEPYSPESPSEEVHNVEWDRSNFAKDPLPYAEDSFDLVRMANLSLCIPRQRWEFVFSEVWRVLAPGGRLELIDDDLDFPAIAPPPLSVPRLQKSMNRHCVIIGAAPEEVEMAEHGHSTIWPGHTRTVPQAVSEYEENVATCKDLETIFNNMLVERSRFGEGVKKLHEITLAIPSEAYMESADAARPPARRKNSEPVQFVSAPLSPKVTQIFSGNESLRSSRSSKRFQPPGLVLMSTGAFLPFSPSEMEMHVCKNIQTLLSCGDSLTDFMLGKKDTNGQPLLSEDQIQEYLWDYERFRRQRFNLPSDPPGLQMEEEQVEQSTLTSFLRRTANVSPTLSPTRGRSSSAPSFSPQGQLSDDELKELTKVRTFRVWSAVKADHGSPLSMFSS*
>EED80228
MVLAIIVPAGTFTSSKSTRERIMMTSIQDVPVLDDTWNAWPSRTWVGTGLVQWTIATLFSAAEAASTLVAGVALFLKFNDARPARTDDNEPELASPPTMYVEIGYTLPEPIRESGPDAGRTQPAPSEQAQSSATTSTSHRLLAEPLIRFRVGQRLAAACPGRKGTRTYLQNYVAGFRLRWWVPAAALSTVEREDAKAAWVRWRAMRGWRY*
>EED80229
MKISTLSSLSFSSSTASS*
>EED80230
MYHDMETELIRAWQLLHELSEQNAHNHKMSLALHSQAGALKDEAGHAASGFSLRRVNTDISKETFESELERTNAQIIIENHTLLHENRQLSLLLKEYEQTMETIMTKFRSHALASQRHELALTQHYETLILARETSLLQADLSGNTAVADSLQRLSANLRALVRSLQGEDAESSGGGGGGEGSSTSASPPSAEDLLDGLLDRDDWALEREREIARLEKENEQLRTVLGIDRASAEARGWLQDEARELAVLSRPFITLQHAESDFPVRFSEPRQSPDTFDMMALGGGGGGGNGSGNGNGNGNGSGGMALSGNPAQRMSMSEFRQPGAMGAQGRRTAVFNQRGRGASPQLWEGLSHQSLSANPQWQVQSGLF*
>EED80231
MADDERAAKAARARAMLKRRQQHHLGAATAASPTASSPGLPPSRPFSPARSEAPEDKHGQHPVRTASPAPSEATLAQHEDENGRDSGDLFANSQIRGAPPNSSDWLSSLTRVEGGPAQGPGSPPQQAAPSTPSTGKTASPVPSPPSTVQVANLQAQIQDQQRAIASLEAEKASLTIAAEKLGHVEFKVQETNDRLRKEQQKSTDLEKRAQKSEQDVDALRNKNDLQAERQETSSLRRRIQDLESTIEDNSSHLGEQQQTISLLVSEKSSLTSSLQELEGAQNRSQEMERLLDIEKGRVAKLEEAMSRLQEANEQYSAKISALAASEADLTDKCRDQVV*
>EED80232
MSLGLANLAQRCRSHIVVLSQLRCLPYRQFHSSQTVLARRERKVVALTKRQLAAKAKKRALKTRKNIYEHERMTLQDAINVLRSVEVAAPNSTYEIVIKTAMTKGTTIPKGRYSLPREAKTQTRDRILVFAEGKQAEEARQAGADIVGGPELVDGVINGRHQASLFLCTPALIRAITPKLGRVLGPRGLMPSERRGTVTDNVAGYIRKLTGTSEWKGDKAGTIRAPVAKVCISRWKTS*
>EED80233
MSAKDGSGTASIEKEEVEAIEYATAPQDSTNGAQEGRLPLPASLTAEEEKRLWRKVDTRILPILTIMYLCSFLDRGNAKLQGLTTQLDLTGNKYNIALTILVLKKFRPSRWLPGITLVWGAIMTLMGLVKSYPQLVGTRVCLGITEAGLFPGVVYYLTLWYPRHMLQYRIGLFYGGATMAGAFSGLLAYGISFMSGTAGLLGWSWIFILEGLATVIVGAIAFFVLVDFPDTAEFLTPEERAYITWRKNHDTYLCIYITEYDNSSVGEEEHFEVRHLIDAFTDWQVWLQIIVYFSIITPLYGISLFLPFGYNAAISELLTVPPYICATLVLLVFALWSDRIRLRSPFILAGLVMCLIGFAINISDVAVGVKYFGTFFCVSGSYAAFPGIIAWLGNNLSGQYKRGVGMALHIGIGNFGGAIASNIYLSSDEPRYILGHAIELMFVGIGFVFLPITVLAYQRVNARRDVQQREMEKENKFTEEELRKMGDRAPDFRYTL*
>EED80234
MQRPASYKEILDVATKAVSIFAASKELDLLVLTNRYPREKLEKHLVSADPDFYLWSPRDAPAQHRVLCYKLSGAPGEPKRGCKVNVVLPDNIGMPVILPSKVQRIDDLPVMPLLLLLFLKLQCWTDRRVTLRWYMRSKQHDEDVRDIRDLLVLVRSRGECIDRDRLQWLPTPTVEAAIVRITEFVEAFPDTTTNWRVVGYAPR*
>EED80235
MPSLTVPQVPRHVAPPPTKENLDYADLAIIDFAKVHTPEGRAELALEGFFYIINHGLTQHENERIIDIADVPFNSVPNEEKLLYAADMKGAGSYQGYKMRSVLHIDNGVLDQLEHYNINHDIARKKHPKALLPLMPEIESFIKFNHFEVLHNILRLLAIGLELPEETFVDQHKFDVLGESYVRFTRYSYPRTEEDEEKTKNVWLKGHTDLGSVTVLWSQPISALQILCSDGKWRWVKHIDNALVVNSGDAMDFLSGGFYKCTIHRVVQPPPDQRGLTRLGIFYFAMPHDNVKLVPRLDSPVLQRVGVKRRCSDDRAPTMESWRQGRVRMYGFVQLKKREDGNEETIVDGLPVTFFN*
>EED80236
MAETASLDFNNIPGYTTIGTMFGTVFYGIAVAQICYYTHNYTKDPTWLKTLVAFLWITDTFKEISCIVIEQIVDALMSATSLACVSAAMYYLSIEDTVALQNLGKLTVAVNIMTLLMDLYNTISLCVIFRSARMPSQPSLLRNATGRSEGILGRLTKYTANRGILLWCGTCGSSNTVRNFYVELQDGNGCECDCFILAWLPLNVRHHINEAGEPVSILVSSFIVRWSPSPRSVDASTGASLPHCSMEMPTMVYEHRSSYTAKDMGNYNKYRARRDSHKGDLLRPFCAIVDGDVGLS*
>EED80237
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPETTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGALPSRYIPTRTNMSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNTYLPLPAPLPTSAFRRSPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSQHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEANERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED80238
MSKVIDSDLTPRPVKRRQPRFEYLPTADKLPCYTSVQFDPEIPLEGKYTLQEALSTMKGEFPRSWSDKAMSSTKELNKTEKNLSEEQQLRKLVYLPDLVDSILPLAVDFLKNYEMHLQSGKDFYNFLRVHVAVKRKELAKLIWSEKEIEKYADNLLLDRALAALRAILAQMTQKDWYHYDEKDLEANFGNSRPSLPGASYSDIFQRIIGDPDFPYLSSSVGKVLPDRVLISGHTSQDGLLKPTIALTIEVKAPAAMKDDKRHKHIFSEIQDLPSGLVPRGTATEFIYPEDPDTTDGQTRILVQVWTQMINKDSRLGILSSVEDTFFLARDKDTLYLSQSYELDKAPQLRVFAWFAVAVGLIEFDELRLPSPRTDWWKADPQHAVDVAGVNRRHRTAHQADYYRIEPSSAATKGMTNLRIYIAVAAAVEVATETACMQLIEERESAAMPRQMLDEAFLEQLNANMLALLTPRAWEMTKYQDA*
>EED80239
MGSDTLSVQNLNEYLLLTVNNDIRKAKAELASPNQPLTIHAADKLSRLTTEYRPSFYLYDATLLTATKQLVRVVLKISGGDEGRNNMLTREAWAYQKLKNIQGRHVPTCFGLYGRGSDYCLVLEHCGRDIRSLKYDQDPLRGIPWDTKRAIMQSLQAIHREGVLHRNLMPMDPNIVIDEASSSVRFVGFGLACEEECLYDGDIGQEFDQLAKTSIGCDELHRIGKWMLIWLPGSRIASQVVKDEDVEQILKDYPALETLTEDKAREDIRRAIEEYKIQMECRKSGKYQPCAVEDEAGIVIRRPFTTLFSIFLEKREKSTSGDDTPDPMTLPRLAKTETIVAR*
>EED80240
MVIQHDSLIQPAADNVDRDWYNLYTAVDHVKKLKSSEDTEIKRGTSGIEELRDEHDCYVKLRDLQGQEIPKCYGLFKSSGDAYSRDRASRRSTWACLVLAYCGERPPNGDLMCMPWEFKLGVIHRDVCKPGNVIEFNGKPTEAIPFDVIAPYDRLCYEIAEAAKETWLWRARILVGSHGTQIPADLLENEEKTPEEKIEEIVTRYTPQIDSEVPIDRREIARAEVKRYHKLMELRDNCMRPPRDLGI*
>EED80241
MSQYSALDVIWGHQAGYAAGNTLSGDISTDGALNMAGLYSWPPQDEQDFGPYATQWYDTGPESGDLFGNHNGWQSNTEFTFRVPQTFISDSQPQAQQTPPNPYNNYDSVRLSIGSMVQGTNVYDPPLAEGASRYTGYSASATSAMLSRNFHLNQSTSRPSGRQDAARFTGLYGRPKLRTLIAKDPWPPQVIISPETRTVSVFMDPRANATENLHRRPKHHPKKPPKKQPPSAWMMYRSAEGKQERYRGQQQVNVVKSLAGSWRALQDDERKEWEKQAREARERFRQERLQDHAHSERRTPTQISTPSSTRNAETVSEVVQEPEVPWASVIQDLFETNPGQDSNRNPFTDWFG*
>EED80242
MPWGTIQLNDGTSIPSIAYGTWKLGNGQATTDHVNDALSVGFTHIDTAQVYRNESEAGEGLRVSGVSRDKVYITTKYSGRADIETSIKNSLEYRSQLGVDYVDLYLIHGPDLAVPDIPTLWKKMEKIQEDGLAKYATPSSALTSIGVSNFNVKELQTLLASAKIKPVANQILFHPYVLARQAPIVAFGAQHGIVSEAYSVLIPITRHPGGAVDAPLQTIATRHGAQPEQILLAWAKAKGVVVVTSSTKTERMQGYLSAGDLNLSDAEVATIDAAGLAGSRR*
>EED80243
MTSAITNAAKQVFTAATQTAKIADLQRDIIDPSTKPTKGLTNDHGVFVSDTDNWLKAQDHGTVGPSLLEDHMAREKIHRFDHERIPERVVHARGVGAHGYFKVYNDSAAKYTYAPVLTDSSRTTPVFARFSTVQGSRGSADTVRDVRGFAVKFYTQEGNWDIVGNDIPVFFIQDAIKFPDFVHAVKPEPHNEVPQGQSAHNNFWDYVGLQPESAHMIMWVMSDRGIPRSLRMMQGFGVNTYMLMNAKGERYFVKFHFLPELGVHSLVWDEALKLAGQDPDFHRKDLEEAITTGAYPKWKFAIQTIPEAREHDFEFDILDATKVWPEELIPLEIIGEMVLNRTVDEYFPETEQVAFCTSHVVPGIGFSNDPLLQGRNFSYQDTQLTRLGVNWQELPINRPVCPVLNFQRDGQLRHKITKGSINYWPNRQGIGRPVPTSEGGYADFPQAVQGIKLRERGHKFQEYFDQAQLFYNSLAPHEKAHVVAAISFELSHCDDPVVYETYSKILNNIDFDLAREVATNVGGIVPDRPARQNHGKSTPTLSQLYYAPKEPTIVSRRIAILLADGFSMAEVEGLRAAFKAGQATTWIIGPRRGWIHPKGEGSGSSVGVWADHHFEGQRSTMYDAFIIPSGPEHAQNLAQNGRTVHWIREAFGHCKAIGALGEGVTFLREAVQLPGVQFAHDLRSNSVTNSYGVVTAGTYDAGSIVADTLKIEQDSKGFISTFALAVSKHRCYERELEGLTAKVAY*
>EED80244
MSRLFSTLEALYLIRAPPKTTRALSTGSTLYPWILAQPRIEAVLQRLQAIHAMGLLLAGWRALRTIRGMQGKLRNIELMSLVFPLTTEENEPHASSAAMLEALAPHASARSIALVVQDQATSPPDVQLQQVLEPRLGNASAAAPSLDVLVHVFPALRILFLDGPSMTVDKPADNIEYWECLDYVSGTFPALRAWAPKCRVHHLEIRDAEWEGRILAKNERVSDVSRVINGTSPVALTIYRSMAAMYFGLTYADWVGFLYNMPRLRVLAVDLSTGPSWSKTWIVSLNIDLKRPFALTPVSRHARLNEALIGFKVICVMLSVDERVKMPPSILASIPSLKFVALNFGGGPLPTFSAHSGGFNPYPALSWWRVVCDRGERQLQAMSADLGCRIAAYLYSPNYNHEAPIDESMFLPNMLEEYKPGRVTVAQALLTHYHNKGVILYELRQQPLAPRRSGVVATSNACEEPQSRLAQQLFINLPNQVGTSTTGQYERSKTNRQTHELQLRHSAVHDRFSSGVTALKYPEISDQNQVLEQGCEWRGARLSTGVAMPVRDNRVQYIHRAGSLYTMIGASGPGYSRARACELVPDHADQSACLRFYPYQDGPADQQWNGSYTESSWSHWSHDHNLGEGTSSHYTTLVGAYIRIDWTGTGVWIYGTGDQGAYTVEVDAYPEVQGQGDQEGILFSQTNLIYGPHSLKLSVVEPPISISKAEITVGLGAQGTLLQTRTINGVLPGTVTGNPFFHVDNTWSAVNLYGNQSASYPCIATYQSGASLSFTLNETVGFEIYGSDDWMQGLFTVTVTSPGGEFTIASVPDSTIEYSSRSGWTALSQLKYLATGLDRTQTYTVVVKNLGNMFNLASVVVHDAVPSSSSRTSGGPIMTAETIGSRPASLGSAAASSRAPAPSPGTSAEAGIASPVVDIGVHDTAGPNIYEQDAGPLVLPPSICDDLDPDTCVESCAKENGSGKHENNVSSAALYATHAIHTAFGYLAE*
>EED80245
MSARTTLPFNVADVSRIEIYPPIGIARLGDSGFDLVTGHTCGEPQYFFPPEVPGHDQFPPGGLVNGAFRDRWQRIKRQAVVFHVYAFNKNNEILGELLPGSGVTINWTVHVVNSKPSFTTFRGIYANLGVHPRSELYLVQESIGRLLQSYETPMCSLTLIVDPGQLSITVGPTSEMKNSWVPIVGAFYGSQATPTSVSLGQISTDDKGRLIFVAGAGYSRCVSDPKQPHFQPDIISEFDSIDWVDDVCDGWIDVAITYTSKTGQAVRVHAQHKATVMSAPPKFAWGVHAPTTLYDIIEDIYAREKKWTAPNEVPVQFYEHIWPTLGGTYGLSWVNQQAFQGHGPAGMGNFIQFEKDLASKDASHAMHRKEVFERLRKPQYEKHAQASTMYMPRLSGDDGDAIEPGQVIPPTSVPIKRFAALTALQYSRFEKWAAGDFKTSRPRWKDYESIEKVPLKLQPLALTLAALEHSTGDPLYPGLETYWIAKDPSIYSSDVLSLQSATIPPFRIDYTKVKPGHLSRGLSLPWQSDFSLCNTHWWPSGRPDDVINIRDWQRVVETGTSVSMPDFVDKVSPLRKKWSRGLRETPDYPQDYYPGSTDMVHQWQNLGFIKQKKDYKITDGPTSLAVWLEEERCQIKERTEGTTRLAPSFDDDD*
>EED80246
MRRIASDDTQALASPSRGSMILYSLATGHDDADSLPEPPRLSDNHKSSSGEFVITLFYDSKYPAGSSTRQSAFIPYAFDPWADAKNEPEDDDYLYAPGYGDDHASYRVPSWRGLLNVTLLVSVITTLIALFICHPVVSFYRGDSYNRAINSNEAVNGTCQVKSIAKASTLFALRDVIDPDTPQDARTRTGFEGQPYVLVFSDEFNTDGRSFPGDDPYWEAADLWYGATNDLEWYAPEQVTTTGGALHITLEQAEPDAADSHTLGYQSGMLQSWNKFWFSGGYVEVALTLPGPDSDAQGYSIRPPHSASSMLGSPPTFPPLPILPPVPGLSPIALSPRLHVVEGKD*
>EED80247
MPTPKVPSAFLDLVGANRDLWTWEPWIDFTGLSDAPWSGKPGSKPKGWTDDDVVSVRAMVNAYWTVAPKDRMVFFKDRQSGAKGKSKASSPLSAADLGVLHTDARNKWSAWFNELGREHLAKLVDDMLMEEGHHPTQLMKANATLRVRPSFAWTQPPVAERLFFVQHALVSSAIRCVESRLTTFYRGRWPSGRPDDVINIRDWQRVVETGTSVSMPDFVDKVSPLRKKWSRGLRETPDYPQDYYPGSTDMVHQWQNLGFIKQKKDYKITDGPTSLAVWLEEERCQIKERTEGTTRLAPSFDDDD*
>EED80248
MPSLTLPPVPRRVIPSPTKEDLDYADLAIIDFSKVHTEEGRAQLAVEVRDALSVHGFFYIINHGLNQNENDRIFDIADVPFNSVQDEEKRLYASDMKGAGSYQGYKMRSLWHIDNGVRDQLEHYNINHDVSRKRHPKPVEPLIPEIEPFIKFNHFHVLNNILRLLARGMELPEDTFVKEHDFNVQGESYEHDSYPRTDEDEEKSKNVWLKGHTDIGSVTILWSQPVSALQILCKDEKWRWIKHIDNALVINSGDAMDFLSGGFYKGTIHRVVQPPQDQRGLTRLGIFYFAMPHDEVKLVPHLESPVLQRVGVKRRCSDDQAPTMRSWRQGRVRGYGFVPLRKREDGHEEELNRTLTRVEITAIAWGVNIASGIINTVGTKGIGAMSSLNVWWTLGGTFVLVITLLVKAPMKNTADFVFTDYQNFTGWSNRGFVVLLGFLQAVYSLEGCETAAQVAEEAQRAEILAPLAVVGSVVGSWLIGLAYMLALLFSVQSIARVQETTYALPITQLFYDAVGQRLTLMCVSVIAIAQFMAAVTGFTASSRLFYALSRDNAFPMKERFMRLNRFQAPYWGVWLSVLVGCVISCAYIGSVVAFNAILSSAAIAVMLSYLQPILIRVFWPSTSLPELGPFHLGRWSWAVNFASFLFSVFICVLFILPTSYPVNALNMNYAIVAIGGVIILFPSRHLPSTSNKWNQEKLIDLDTLCEAYDVSNTVGFATGPEYSSRPDCALTGMERVEQQRWHLQSESADLMGDHIVLLQAPDGL*
>EED80249
MSHQVSGRIQGYSALAVDAFITLSLTENLISRVIAFVIGRAILILDASLVQLLVIVTYKPNGTQAMDGVYCFAGTSTLNARRPPLNATDIQASGDTSSSPITSFHVRRTSVSTIQSTMTESSEAEKFPVNVSESLVPEKVDDVTFEDACPVNLLESTGKRTRLLRYVQPTFRMPSLTVPQVPRHVAPPPTKENYLGSVTILWSQPISALQILCSDGKWRWVKHIDNALVVNSGDAMDFLSGGFYKCTIHRVVQPPPDQRGLTRLGIFYFAMPHDDVKLVPRLDSPVLQRAGVRRRCSDDRAPTMKSWRQGRVRGYGFVQVKKREDGHEEEIVDGLPVTYFN*
>EED80250
MLCLVLRLGTYIANELLTPYKCRPTNHDHDTFPANHADVTFVGRPFPLEEAHEHFARLRRWGLTFIRFLATWEAVEHAGPGIYDTEYLAYLRSILSLLPQFGLTAFVALHQDVWSRYTGGSGAPAWTLEAVGFDLHGLEEPGAAWLHGVRGGGHTEDERGLWPCGYQKLSAATMATCFWAGDAFAPKLRVRDARGADVSIQTFLQTAFLDMWEVVAKTVGDLEGVLGFEIMNEPHRGYAELQSMYQFDYNTDLHLGHVPTAFQSFTLGAGYPTEVGFWTRTFPMPTRLTGKAVLNTARQKAWREDGPTGGRCLWEMHGVWGWDLKKNGGCCAPRELLQEAPDDGEDGTKGEAFQTDSWIWQTRMMDAMLTALDKSLVGFTLWNYNPDNEDHIGDDWNGENFSWFSRRRALTGDWLDLAQTSPTLDNGARIVRAFVRPYPAKTAGIPVRFDYEMNTGQFTFEWVIPGVDSAPPAEASVASPPLASHPALTSKDTQIFLPSLLAHSRKIVVQGLKPEDRYRYDEARQTLTITTADLVPGERHSVTVSLNPPLKDAFTVNSFWDDFGLHVVAATIVLASILIYVIMSMVS*
>EED80251
MCTDTITASAKPFLDLAITPLGTSALAASTDRTVSQYDLRASSTSAVTPSTATLNHPATPSCIALPPASSSGTGNVMSAHEHQLLTGAYDGIVRLWDLRSVKSAVASFKTFEEKSKMEKKILSVDWASGVVCVGGEGGVEVWRAGEGDRAFLS*
>EED80252
MAETASLDLNNAVGYPMIGITFNTVFYGIAVAQICYYTHNYTKDPTWLKTLVAFLWWVPNSDQSTNPRSGLKMYIPMLRITDTFKEISCIVIEQIVDYVESGTVQWYAEEFFCIALPLTYPQSYYIYIIWTMSLFVFRHKHALAYNILKALMSATSLACVSAAMYYLSIEDTVALQNVDKLTIALDVISLLTDLYITVSLCVIFRSARMPSQPNATGRSEGILGRLTKYTANRGILLCVDQAIQLGTFMLNYKTGTDAGAIVSFSHGSLYFNAFLAM*
>EED80253
MEAHGHDLADGIQRLVRTLTAELGRQDAGYETSPPGSRRSSEQVQINPPAEPEWGEDPKLAAYWQHTTWELPREAVKHERVRESVLDMPYGNELVHDIETREAPAHVCRWRGTPSFKASTASKRRSWNRRICIWIEKRMSERRGGFYGARRPPGGFHECTQKCHEATVLISTLEANPISITHEYYGHFKGHK*
>EED80254
MVATLDEFKQNWALFTEGSLSQLTDWNNVVAAGGAVQACLSPVPASAKVSKRALRKHFHNTAYPTSDVDLFLYGLTPADAEKKIQKIYEAVRDSVPWDVTCYPYRAVQIVLRLYRSPAEILAGFDVDASCCAYDGRRVWASPRAIVAMMRQCNTVDMTRRSPSYEVRLAKYSSRHFEVYVPTFRRDDVDPTIFERAISRIQGLGRLLVLEKIRNGVARDSYVDARRALRGRPDLQRDWKRHGRKYKGDMKTNLDFTGLEMNDYDTGALHIPYGPGWNARRIDKLVYQTDLGVNSSFNPNNEGRRLHRHPAFFGTMSECLEDCCERRDDVASLLLDVGVDVNAPLPITRGYQSEYTPHHTLLDWIESATHKARAAQGKPSERDTADAVLEAARFLSSQSGWKGKAGEIILADVNDRLPAFKASSDAEKARNERAARDAQDYADMLEGYLLSRGAKTGYEGKEKPTGMPQRDRWSNTVSTLPEHLRLDGMFWHVSAPVAMAVRYDELYEACARGDSDKIQQLCLPGADSKSSQPPLQIAAKFGTNNATACTPLSLAISGRHWDTAKLILAIASAQHVPERNKETASGLMASMRGITLDGDDDDNDESDEDDEPGPEPQEKPLDFVDIAKRTSAIQSQASPKDLMDVSLSWFNGDGTAACGSVLSRAIQDDDFEGFVQIVNMCNTFPELASVLDDAMQSVIMMDRPEMLDVLIHRTGAGINVSTGADETEDDKLDGQKKAQKVYLGLSVHGKKRKDLARSLGKKSREPEKTVDMPLLWHAAKANACSVLRYLHGDRPLSAYCYYASTHSDERAQLLRRTHDLPSVLPVWLGWTSNALNESVVTAAVISGEPDAVQTLLELRPTQMTEALHAKIKFSGFNHVLAAANWGADPALFDLLVKKGVPVTDTDHRGWNIFHHFAVHSSEYHAKLIKRALATLPEDVVEKMLMQQSKGFKYTPLALAVKRHHIDMVRILVETKASPYLLRDVHGMTPLHIAIQEGRPKLTQLLIDASPVDALYMEDGVGSTPLEVAEARALRIITREGFPCRMEIPKACEHNASEQLERCNFDLPTQEREVGRLRAVVGELVKEGRLKAGTKLAMALQAFSERMDAKVAKVRAEQDALEEAEKTRKAAEGTKGPDERTPEVEEETDVLATLEVVRRAVVARGGAQPRLLVHILDVHKSVEKSLAAAGKPTWMQVARKPKNELAPEVEEDVKARLTEGSALSFWGEYMFSQVAPFASEDDMLQE*
>EED80255
MPQISHPIGLHTEDNVDMQIYLVPAYRCLEAMTPPNLKRLALGGQPGRPWIRSFLCADTLDATPQPLTLTLRCGDERCTLVRYQGDVGNVVLTSHMSHLYRDVIQRETAMMSSDLLVYALFTSTVFYLIALLRAISDHKLRVIPTVGGSSLPILYHYSIVRNISRIASISREGYNKMTQIVYTMGPEIHHRQWHNALIRGQLTRNINRFFPEMHDELVTALSEGICVEDSCRNADYCDLNERFTMDVVKGALVLKLFLAFLRPVTQRSGTGRRVSGTLSSDFSTAISRPPQYINSITTLDASRLDSRDVITLYGPVSQVWDGKLLARRTGPTVGGSWWNSRVHTPDYQAGNGALTQLLTGSPWLSSATSTGTSQLPHETKGWLYYYRPPSRPPTAGEVRFRLLPPTGKFNFASGTDLSLRSGRLPYAIRLMDIATKINYRMLANVLLRDQLVSQDLLERCKKQTDVHFVRRTSQQFFFHIEDPFILNLSNSTFTPYVWLDEDLVRSPRLRDQWRDSRKHMPSPTYTGQILCRFERSTLPKHAKKRVVVLRVLEIVEPIRPAIPDYDGHFKPPVEGALLQRGRKPVSIDLDGVQFKGASNPLKVLCGLFHYEGRDVHEKQEAMVNAANPPASEDVSMDCFRRKSWDDVADKPAHD*
>EED80256
MLEKACMLYTPLGVASDLLLLVRELELRFSGAVGRRVVFAPQLAVLLISAKNVQHLSLDFAESWIQGSSEVAAALVNIDNLAHVEFHDAGYHTLGVIHHMHSRPLSIGICNQPRTRDVDRPSQGQPISLLQTVKSLAPHEAVHSVRIALNKLAPAGDADAPLPAWRCINVRYLEVDLWRCTRPHAILLQRAFPELRHIHVLTSPWRSVDEPEVDLLETPLPGWPRLDTVSGWPRPLLESGLSCTTHHLQLLHSDDAPCLAEDAVNLLMRTAPAVLTFKYDNPLGHQASFRGSNSWSIFKDVAGRLRVIVFGMTDIVVNPFAWMQHMLKGCAETDVICIMIHGLYPVDVSNFEERYAALIAIHMPSLRFFALSFDRDIEKRPIFPTGGFPCEPSWCWWRMKESKTSGRMVPERVNDFVGERVATYLFSPEYNPDINLDGFGGQVVYFVVQPFVPARIVLPPVNPNLTWDSDNHVRGRSRR*
>EED80257
MSAHSHIGDYDVIIVGGGPAGCAAALSLQKSNPDATSLLLDDADPAAFKIGESLPADAKNLLIYLSSELYTDFTKDVSEGVHTPCSGNASAWETPDLRETYAIANPFGMGLHLDRARFDQCLRDAVAGTTAGSQCTVVHGVFNKVEKTEAPEYQGWIVYVRRYGSGETDTYRAKWLVDASGRKASVARKLGAKTIKTDALLAFHMLFSATASTQHDHDGRTVIEAATAGWWYTTQLPRGRRVVVYHTNDDDASAKLARKQAGFLALLDETKYISRVIAEHGYEAVAEGYGKEPRPGCTAAGSSHLVPPMEDRLTHGWLAVGDAAMAFDPLSSQGMITAMKMGCVVGDALARRLRGEPDVEDLVSQLLKTYIRVRSRYEDEKGYFYDQVSRFTGPFWESKTTA*
>EED80258
MVERDASLASRSSEGILSACFHSAPLCQSVEVVTTRVGELRDLTTTSVKPPPPEHTLGETWSCYGQEVSMHISYTCEVVFALGLDKILGTQAIFDMAAKPRFEFLEDTALSPLRVDIQAPKMTTVPIISCLKLTGNGTFEGKDRLVTMLRDALRIELTTIPVFVVKQEMLHMALAGNLITAIDTSGPTLYAKDYIPTYGADTDTILLTKIPLKLERCKKNALQRFLQIEAPYEPFPTLTSVEESVNVGPGSSPTFYTALAPEYNSIGEFYRDLEDQIKLSKDKIKFSKKEKQFSGADFFDGMLVQITDHATARQAIDTIISQGEGTVGYPEAHYQLFLELYEKRGTWTCWPVTSSPSTEKYKDHKYIYQLSLAFDAAYCYLLVMIEAAWRTADALRRRELIRNIHPIMLDILNPLASELVQQKLTDGENAAPAFNFYETSAGENNTDKAGVELWGAIKTHLDAAIAEASAVQKPGLELVRFNVERASYPPAA*
>EED80259
MRTLRSELKRAEAALKRSKECTEHEIKKAYRGESLKHHPDKGGGEEKFKLVVEAHSVLSDPARRECYNMGEDEDGMGSDMGSMGGMGSMSPMDLSELFAQFHGGSGFGGGVFGDGGSGRRSGFSS*
>EED80260
MSLRVDEFTAKRLVYETSLPFDTVAARLDEQLSLDKSGPNMIALLRGAKTREDLEQGMTNMTNGKDFATFIRASFHGWRNAYYGTTDAPKTFQYIFGNPLVAETMAKHDIFTALNVPLRLLVTEKADRSGTQLVYLQPSTIVAVPVDGEVNEDLKAAAEALDAKVEKLIRTLMLRITGDTVRPDGPTIGAVKDFILFPHVLCWSTSTEMEQDTSTSVSTIRGLPRLDTFVLATSGAIAGEEDWARPPSPHSGLPSPSDSVSSFPSVSSSFLFSSGPGSPPHSHPESRSDAGYDHDLHDSTSGLVIPSLTLPAPAPRPTPYGQTLGDLRLLILAPRRAASSTAALVASLIEDNDDIVEQGSWEELLADTGSAERASVLRASTAWVEQRDAHGLECTEPARNVEIVELSGYDSSDDVQMIVDRTLPIVHAPFYQVLEALNPEYAPSAVLANLLSSSSSPLYTAMILLLTSSPNATEKALLDALSPHIPLIVIPPLPGQPAYPSYTYKYTSYPFSGRSSANDSPVYPAGPSSPILAAPLSAFRPSSPEVLRGGLFRTPETIAHLRAEGSARFLRWREVERAVARISASSGGTIRASGPKASANKGKNTWDKAQWEAQWEGTLSQDIAAGLQRRKRADIAVPPTQPRFNREFYESRPAAFTDSQVDRKYRPCVPPAFDPLHVGSLVAFSLSLLGPLRARIAHSLGVGMAPYMGCANVEKEEAQVKTGAGLGFGLGLALELEQVKQLSIMDHDIAVHLSAQKRAIQSEAKSITRGRRSAESILQAVHPVASARHAEKGQHGAASKGHTDPPHRTTQLIDGVLSMPEESVSEAQYHGVLENLAVDELPPPIDRDTKISGKIASPDT*
>EED80261
MPSLTLPSVPRYVPPPLTKESCMYLFSFSITGYTTVVITVEYADLAFIDFSKLGTPEGRAELATQVRDALSVQGFFYVINHGLTQAQNERIFDIGDVPFTQVSDEEKRAYQSKIRETGSYQGYKFRQYWFTVMSMLMAMGMELPEDAFVNVFGWSAEGETWYYVGMTLLWSQPVAALQILSPDGKWRWVKHVENAIVVNAGDAMEFLSGGFYKATIHRVVQPPEDQRGHTRLGLIYFTVPDEDVKLVPFTESPVLRRAGIKRRFEDGDAPMMRDYRIGRASNFLKAKLQKTGNGTEEGTILNGITVTFYD*
>EED80262
MVSLNFTELPPELLVSILRFMDAQDLAVIKQVCRIFLHLVQDTVELQYKCSLDLAGMIDEPDCRLSYPEKLEKLWSLQRMRSKPALIQGKSISRKLYNPYAVSPGLFAQENENGGIDFLQFPCPLFGTEERAWTIHEDKFDVHIWTPPMDNFAFSVFPETARHTHLPLTVTSQRRADLERGFSCKATWWGSKFRFITASAVKSRFGIGELDIGFILSYTYLTGATVLPLSMIRGWYRTAQEDGARGHFQGKWQPGCLRVPHQGLPSAAEVQGMHAMFVSVHRHEDRFDLQAGFIDYQTSRGSSDQWGIVAPNNENTLRLPARPILVDDTEGRDGIRLGGDIVVIPDHAILQEYQEEGGIERFWQAYYITASDE*
>EED80263
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLLPLVLAYRKALGAIRDEETELRVAAAVKQLAEQASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERHRKDEEDRRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTVERPLAMSEVDMAAVAIEKRQSGQKFQQGCYFDKVSVLGKMKKTRGGGSTTKKRIRPASPGPSVADASGSKKRQVDEPLRPLLRRPLDGASRLGLEQDDLNVLDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGALDED*
>EED80264
MVGILKPRRSLRLKDVKKVHYDESSDAGSVPDLELKPKHVKRKIKSSDDEDGYEPDREATPVDGSTPNRRVKRKVCMSDDARQRAVDKSPNKGACILSRLNDRTVQFCHVLPRATDSSVLASLEWWWGLTKTLNVDSHHNVAFRASPFPEASRYAWAYLHTVRGDLHVLWDRGDLFIAPMPNVVMRLLDKFTDSGRYKIWEVLEEEKFYSYSVVPHPALANSQTREGFACRFDTIECVQSQAKPHFMILNAVMKIKENKKLWVKVLEAFYKRINLKADASRVVEGMVTLGDLWTAPLPWDAQLIRNEDEPQEVEDEQQEVEDEQQEAEDEPSLPIVIPTGIPRTPEQPKAVIGPGGLVQDIGRESKTPEPEDVPCYSNLKSCAAQLAPTGPRCLLSLQDDKSIQCCHVVARSTTHTTRKNLAAWWGLVDFDINTPFNIFLLRADVHSMWDQGDLLFMPEPEVIKKYLAPSIVPIDVGMSLDEPFEVCDGPIHKYCVVAHRDVPDTDKSCAFRREFKTVGYVYSRVPPQFATYNAGLALSKGAGPADFVMALDAFYKEHKTARRVYLACIALPVVASDNLEQNSTYYSVDAGSEIYNHTVVHVFPLFNVYERWFTANTERADGECETRTERAVCHISAWRTNLGSSESSITPVKTERFLLHFTANAHLLAHTVERVFRWQRFHHDRNKVPAFTSFLSEDFAAFRDAETRATNLLILWEERGLALGTSPPPALSPAAGHTA*
>EED80265
MGSVRTSYRVSYHYISFFTNTTTQIQWSSNNSSTITLNNAVNPVPLGLPALAGSPLLQYDRADHCFVTSTKRAPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLQINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANQPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADTYYEKSAGGVQVYPDWTAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTLGGRKWELGAVLNKADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRLNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED80266
MSSTLSFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRNYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIWARHNKFIPRAIPNAYLPLPAPLPMSAFRRPLIPSPFLQATPQSTTIPADWQPNPGWAPKGSCRDLRSSDRGKPKSWGRPTRSHRSDGEQCSNLRLGTIDSDASISGM*
>EED80267
MPSTTDTGPKCPYVSLHLRVRHDVTLPMDLMRATRSYHFWSPPRGDLRQEFAAFGFPKTSPVVTRSQAREAASRSAGENLDSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDTSTPDLFERSGSSPEPEDPIPSTSNLELLTPSSFRAHAQPSIASSSRLSVIPTSDLAPLPALVPSNAALNSNLAPPAPTIPSTTTTSSSSPAPINTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDIAKSYNDWKADVRALYPGADNTRKWSLADMDQLIGEHARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQTIASTSNTSTTVPPGMIKTEDISMIIESLLRTIATLIQPTTHATHNHAPAPRQQAAVHLHENTGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAVPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTAVPKSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAAAPAPSSSPPTQATSRPTTSAPPAPPVHPFANTRDATYAPPNIRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPNIRTKYRDAVTPKRVSTEPVASAHIVEIGADEVMTVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEYPAEFTVARDSNAIRSIIALIDNKEQIKCIVDPGSQIIAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNTAYDILLGRPFDVLTQSVVKNFADENQTITILCLNTGETVTIPTYA*
>EED80268
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPCPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNVGRNARKEWFDEEEDDSVDWELYGDGEQCVCKTMLILLLAGIATSECEEDLNASIYTRIMKKSAVLHIRKPPLQLGQAY*
>EED80269
MVTHLPEYLRGERALAEYFESMGLSVESVSVCREVGALKRFLDMRTAALLRLESAWTRYVRNPSTVDVQPPLQQQQDRSPLIDVNVDDRDAEASPQLTTVPNRPRPTLRTKWFGRKVDALEYLQQEFEKADEQVKTRRKNGRFRATHSAFVTFENMSSAQMAAQVAHAPTPQQCLTSLAPEPRDIVWSNVTHSPMTLRVREWMVMCAMGLLLFFWLVPTSALATLLSFKEIKKIWPQLGELIDANPRVRAIVQNSLPSVAIMSLNAVLPLSQASRIFKAILRGVGSSTLCSGMNVVFIFLVASTYWQLVRDLASSPAKGVEKLADALAAGKARHFFLSYVILQGLGIMPLQLLSLGVMIPRIIYRIFLTRTPRDFAELNAPPMINYGVVYPQAILIFVITLLYSVIQPLILIFGALYFGVAYVVYKYKLLFGCGTDKAWLTVFYKPYESQGQAWPITFARLIWGVIIFIVFMMGIFILKKSFVLSTLLVPLLAGTVVWSWYTYKAFRPLSKFVNLSAIFEVQRGENSADVVQLRAGHPVTWSQSNLNHRRYAQNDETLYIAPEDDRTDYSQPPMANWYSGVLNTGKRRYGHPALNGVLPHPWFPRKRPNELSAPRAPDVGTGQDRGSGVLVALRKKRSRAQSDIVPSADTTMQHEEHAQQTRGRIFNQVRFKDMVGSVIAIRKGLQNRAGKREDTKSNRSEMRNTPHHVMKLDEHQTRVPRIAEVCVEVGKRSVSPFNPVLIRRRNLFFGAINRTVNGSAQPVLEWEPTK*
>EED80270
MVPLLSLLPQSEGPNICSVLIATLHHGPHLGIPTLSPLAPAYPSLLPVQVKHEETPISLQTLCQSQSLWRVKKESWSPSLLFLVGPHHQLHSPPCLQSLTYVALPPQFLQCLHHCRHHEAALRISPHEVNTTLTSIELKVQVALSLLDGDACTWATPLFAQLVSVQIGARGATTPFTNEAAFATAFQAHFGNLDDETAAQVELAKLCADKSMHEKCTAAEFSMLFKGLADHSGYGDLEFRDKYLSGILSHVYRKIELETFTTWEDTDKCTTALEEADAEGHVVVPPVAKCFGQHQRSRRKRRLPQQLLWLREARVSSFQVPQL*
>EED80271
MSLRIDEFTARRLVWDTPLAVDVVIARLNEELNKEKGGLKMIQLLRTAKNREELEQGVQEMSGGKDFVEFISAPYHNWRNAYNGATDAPKAIQYIFGNPIFAENIMKHDSLAALNIPLRLLVTERADRTGTQVVYLQPSTIIAIPVDGNVNTEVMAAAKILDAKVEKMVRNITAV*
>EED80272
MTYEISSAVEYADLAFIDLSKMDTPEGRAELTAEVRNALNVQGFFYVINHGLTQAEASRMFDIGDVPFSQVSEEEKLAYQGNVKETGSYLGYKLRQYWVDADVADQIEHYNSRPNTPSRERLHPKAVRPFLPELSKFARFNHFNVLHPLLRLMAAGMELPEDTFTNIFGYLAEGETWRESSLSRGHTGDETSHIAGVHLSLTATVDYVGLTLLWSQPISALQILCHDGQWRWVKHVENAIVVNAGEAMEFLSGGYYKGTIHRVVQPPEDQRGYSRLGLIYFTLPDDDVKLVPFSKSPVLQREGIKRRFEDAEAPTMREW
>EED80273
MCIDSSCSGRRTYGPPYGPLPQLPIEVWENVIDEFYEDEEELKKFTLICRAWSFRSRYHLLVESKLRSRDQVVHFARVVRSSTSHAKAVRVLCLYGSDSGLVRFVGVAAAMLAGKLPNVEELALDKLTWDPRFLHPQVFLHFSTAFASVRELGLTIVTFPSVQSFGRLICSLPSLKVLLCMNIKFSARGFNADAFCIPKILLTHLRLYEQGIGEIIDFILATGLAARLEDIVLDEPSWASALRICAPVPCQKLFEISIKILASGSMDIVERLQTLLEPHVCAEVDESLSSKKKYPIFSAIVFNLGVHDNDTEPLLAELGDMIVSRFPKLHRRGVLTVEAHRWIDSPYGRRVCAPEIVRN*
>EED80274
MKFMQLASFIALLAVGALAVPHPNANIGTADKDVTSGTLDDHYSRSAENDVTAGTLDDHYSRSAESDVTSGTLDDHYSRSAESDVTSGTLDDHYSRSAESDVTSGTLDDHYSRSAEKGVTAGTLDDHYSRSAEEDVTAGIEIPKSGHNCSGPMSANAI*
>EED80275
MTSFDHLHLPPGAFLGCTLDARPDAHGLLMEYIWRTIVGQIFLSLIAITGAKLAACLSVDYSFRTQQLPILYCMAVTYVLDAWASKVIEQFTSSHVVPDMKKTIAAVFKTTVCRLTTHYYREVSERLGAQVAEGDIRALCVRLFPDLLKGIYNDLLFPQSAPTILAFGCAYAHAAAVSGVAKPLVDLFQSVCTKLDPGWYSENTAMSESVRILEEDKAVLSALPHIHKYADHVNVREVVIAPIISADAWTQWTEELQAQNLSTSHFDSIAITFPEIGIL*
>EED80276
MDTPTQYKVYIGQYCDPARPNDDPKYWALIVKKTSRDFEGNSHATSDVFRVRDGFRLEHKKYDGYNASLLYRGAALIGYLETTHLQEAAALLESDNPYRENYYRWARKDRVECALSVLRDQEYFTPRGGPFTTTVLQKMIKKPRLLYPTQAVNRIILIMIASPIAHRSLCRFKPEGAQEIHVASESVLDASPANSPVVVVPPKRKRSVKVPEASNLTAAEPTIASADPVPLMEYPIAAATAAVLVLSEPLPGLAGSTVSPRRRASARSTTKKKVNYTEATTDGEDEPTNLAAGDGNEGQLTALDDESAEAKPREKRTRRKKDAEPVVYDIPAVERKQTSFTGRLGYACLNTILRLRKPEPVFCSRTCRLDTIRKNGIEFAKDLGRRNATDLLEMIEWNEENKIRFFRVSSEMFPFASHKVHGYDLTYAHEELKAAGALAKHYGHRLTTHPGQFTQLASPREDVVEASVRELDYHCQMMRYMNLDQDSVIIIHMGGVYGDKDGALARFRENYTTKLTDEMKARLVLENDEMCYNPDELLPICEELSIPMVLDYHHNWINPSVLPFPELLPRIAATWTRKGIRQKQHLSEPRPGAESVMEKHADRCQELPEVLVGDDVDLMIEAKDKEQAVFHLYRIYGLEPVINANLRPEKPPKPFIRVKGRAAADDDEGDGEDAAEEVDEDGARATRAKTRVKRTKADVAEEGADELTPSATGESGEAAPTMVTPTSSPIKRAPKPRRTATNVIASQAEIEVAADPMPLKRKSRSKPDPASSPKKRRTVSKLMTKARELINQEEDVQPVDGARAEDVEADEHPEAVMAEETETITDEVGTCASGRARAVSGTVKS*
>EED80277
MAPFQSFLDIVAACDNYRIDADRTSLTTWRLTARPTSPAIGVLRPEIVTQLRAEDAKGSVPAWEFATHEGRQVVGFSSHIHGPSARTRVMKELCERWRDEGRWPDVIGPRKWRNEMYAVYRNPFGVHDALQIDDTDDDEANYAFMMERSACALFGVVTYGVHMSIFEEDEDRHGALDSCRISKQTWPGYLDNTVAGGIPCGLGAFESLVKESMEEASLAEDVVRTHARAAGTISYFFRYVYDLRIPTGADPEAYKPTPLDGEVESFDLLSLAEVVSRMQRGLFKPNTALVILDFMIRRGKGVVPNGRTGATSSMRWIQLFPIGFANIAAGTESFPQDVKPSDDPCDSGGGLGFLRTPVSLVESGWILEASKNSQD*
>EED80278
MPNSTASFLEPLSSPSPSPPPPEEHNATSSNHSGPAGLDSGSELSELTEDEQEESRENGTKKTETRTSSRDSRRKSRGLVPAPMWDWAYKSNKKGDWRTRLVEEEEEEEQAGPATAMEEEEDDDQEQRGEADEEAADDDVPAIEFDGDDNDDAGVPVADDLTTPFVGALPALDETTDLMDVDDAVPTLPLVASNPVIPAGSSITAGSAVVQPPSPSSSGTSGSPSSSRSASPAPDADAPSDNEVEREPEPKATASRTSTRNKRRTRTRASRKRKADTRQDAENDADADLVHAADPGEGDGADAEDVEADSPELELELESDLQPAHRAEALDVLATIELKFAMLRERIYVEKMENLAWEEALVAEGTHPEMLHLHSELLKRRDKRLELAARRRDYEVANVTKRRKLDEDCTWSWWKTEMISETNRKRRKLERERRALERPQPERRIPEPPHIVGSPPTLREIVKAYPFSAASHAQISRHKGLGSSNTLVYPNLTTLSPTEVAHDLDFMVQNRRLAVGFDPHRQVMVNPTMGAPVGYDYPPSMPNMQLIDGPVQGNRFGPPPPAFSHQHPQHYSQIQGPQSMIQGFPGQGPRPSHHHSAPAGSLPNLHPSQMPMEPDTVHAHRPDSRSAHPGPHMQQAYGSGPSTSGPGPLMRRSISPVPVQTLSNGSGPSMPMGMGPAPMPPGFAGSKANGWVGVGQPGPGSIPAGMKEPRRPSSVAEGRERDRERERYMEGHPNGPGNLSNGGQGPTMSSLSTSSTAGPNSMGSPPTPRDLEPRRSHPSAEVIEMASDTSRQAGPSQHMWKSNDGLPLLDIRDRGKQPLGPPPVGPHERLMTPFGTPAQAGPSTFPGSPRNMHGPPVAPASTVSSRRGSFSIVEENGLPRPVSSSSQGHPPPSHPSGSAHLVPRTYALADSSKPTALERASTTAATASHLHGPFIIACSPDDSNKERSEYEAIRSHTSIAGTDEAVNHPSSVCQPACLRTARERCCGDISLDTAKQCLHVIIAKYCLRNAGFECPSQSRAPKGYTDLQNSTVSLRRNQKEADPATHGTSELP*
>EED80279
MYWLCAAVLCCLPSPRTGLPSSTGNPCTKEHGIVLPSLAIEVWERVLDHLWYDQETLKACTLVCRAWYPRARFHLLRTVILRERHHVYRLAKLVQKDKDMVMGAFYAHAVRGVILQGGSTPEVRIPVPHLATFATALAGKLLALDEVALCNAEWISDSSFVNTSLHLSTFHSVTRLVLQAVTFPNIHTFRRLICAFPRLGYLECWGVKFTNPGIAEAAPRYPWIHSSLSRLYLNTTSMGTITDLLLATGIASRLHTIILGYWEFIPIQHAHILGIQRLLDSAEDNLRSLDAAFTCPQSPVGKGTGVSEYLGPPLTLARCTNLVALVVRTEPIDSADYGWIYHLLASISSTSLRQMTIVIKLSVKLKQSLVSVRPMFQTMLVETLDELLATEQFAGVECVEFKLRVYKAFDKIPSVGLWEKIVLGGFPRSRRRILCEFISHPLTIVLVAHAQFH*
>EED80280
MSIGDQWGQYGMGEMNKDRSFRLLDAFYAAGGNFVDTANAYQDETSERFLGEWMATRGVRDQMVVATKGGQYSTNYKCATDLPQKSTYVGNNMKSLYLSVEASLAKLQTDYIDILYLHWWDWECSIEEVMNGLHHLIAQRKVLYLVRIFLAVGNGAAAAAHTVQGSLRHARVGGLKSQHGAWSILQRDFEREIIPMAREEGMALAPCNVLAAGRIRTDEEEERRRRRTASNEWERTPDQRRVCQALEKVAQEVGAPSITSVAIAYVMQKTPYVFPIVGGRKVEHLMDNIAALDIALSRAQIAHLEDVLPFDVGFPTSMIGDGSEFIAMYKASGHFDKWPTQQAIRPEEYVRDQEGVAEFDIAAKTCAICELFVRVRIDAQRHK*
>EED80281
MATGPKWPYVALHLRIRHSTDGLVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFERSIIPTSDLAPLPPLAPSNTTSNSNLAPPAPAIPSTTTTSSSSPTPTTTTNMSQNTTAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHWLSIIEQSRAFLRGFQPALLTRLETRLYLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAIHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTMPAIPKSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAAAPTSSSSPPAQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSLFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSIVKNFADENQTIMILCPNTGETEDREAGSILSGLANCIF*
>EED80282
MSSTSGREEVVQLGPTGPADVEDLLDLSGALLSFLPHGEGLELDLAVYAGGDAAQLSELHLCSGGIVEIAKASLKRCKEGCFVGEGGGDPLSSDWRKPKGWGRPTKSYRSDGELCSNLRLGTSEYISKGRLCALVRAQLVRAQHADAAPGAVDNDVSISGR*
>EED80283
MSSPMPPPDKETLKLLLPLCYNGKLVVKCNRFISQLLIYWAINTALSTIELKVQVTLSLLDGNVQTWATPIFFQLAAVQTGVQGATTPFANEAAFLTAFKACFGNLDDATTAQVELTKLCADKYGDLELRDKYLSGIPSCVYRKIKLETFTMWQEAEKRATEVKQILDISRARRPELNNFFSA*
>EED80284
MNGLYILIPDTSHMLAAEHPSREMSTPALSDDTGSCSSRESSPPATPVSGLSRAPSISFDDYCQHIPTGDGTDIGIEPDADYSLPEDISRLLKRGRLADTSTDEVRAERPLPPDIWSDATRADDGAFFGLGHIPNSDCTSVGDVEPDAVDPSLEYVSRPLKRKRCADTSTYDVRVKRARTSGTSSGATKAEDRACFGLGHISTHDYTKSRVVDPDAVHVVHPRIDLYVPLEATARPPKRKRCADPTTDEVRIKRRRLSDSPSDASKLKDGDLFGPHPRCMITGCVSAEVEACYILPPDTPQPLVYTYHVIAEDEHPADSRKPRDDTTTSPVATATSSYRSLGWHDLSANLHLMTVRVGREFIKRPLHYQHLLPTDALVQIPIIRLVHPDAVEPASLHIEQESPVKDIPRPPKRKWCPDTEPDEVPAKRVRTASEASKDRIPFGPHPRCMITGSVLYTASRHASAIVRRDLRELWETNRLLMIPHPQHLEDFDYCTVYKYYVIAEDEHPPDSCATMGHPITPSVMTAPCSYRSLGWHELDADLYSMAFHAGRKLSKRPLHYQHILRELLPHKEVNHTYIIIGQVDSEACTMKEYAQQVASVREWCRDCDQARDEWTIGPLAEPEDPELLAYQQEEVKDVVSAVEQLWSDEDFFSRKLSGHQLPLGVYFRRWQWHATTVLLFNERSPPIWPNGVQSSDIRI*
>EED80285
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAIKQLAERASESWVEWARGDWPELATAIDAKVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERHRKDEEDRLRQAAKDERRAQEAANEELARIAAAEGLLPDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTLERPLAMTEVDMAAAAIEKRQAGQKVRLMLRRVGSKLTLR*
>EED80286
MKCARGVRVYPFHTYGIIEQLLQTEMDLAINGKCSMQQLSMFVDSDRVQVATTLHDYGVNEPKVLVYPSGDDDTGPDEVMLNVQGYLLNRRLPPILSKTDGLAGWKVKWEGGHIVVDFHNRYLMPHSKAHTSTILTIPEEMDPYHILRNRITNEVYTQDNEVKYFERTKESHNGIIVFTVGQLVEVQVSFVVVLVSKGVWKMILKLWSICILNRIIQEELNQEHLCSMMGSSSSLKKVKRRVGYEEDSDVEEAQSDLKRMRLDSVDEHRKGDFTSIAQVTCQKEGIQLPNLRENLLATRGTRITETTLIVAWLSKELLAGLIPSLKVGEKVGLGVEEATTEGDTSENTGTGKCTWEVNVVRTEGASGGGDSEATGSMLVEGKALVQAQVKNSLLILGGGGWCSVVK*
>EED80287
MSASSSQEETVQLRLTGLADVKYLLDFCGMLVSDFPYGKCLELDLLVNPGWDTAQVLVAEFQITIPRMVCQTLEQCRKSRSSVFFSHRLVTAELGGGGVSMFPYQEETLERTLSVAGGIENGPGMEVVVVAVHRADFGGNECMSGLAAAMTLEMLEEAWWGGDIC*
>EED80288
MSSRAATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIHDEETELRVAAAVKQLAERASESWVEWACGDWPELATAIDAEVERRAEEQKHLAEEEARCVEEATKRAKAAKDRRLEDERRRKDEEDRLRQAAEDERRAQEAADEELARIAAAEGLLADPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTVERPFAMTEVDMTAVALEKHQAGQKCDRCAGYRSALVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKMRGGGSTTKKRIDEPPRPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIIRVIREERAFIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED80289
MFHSPSSLLRHGNVEYKLKLTNISPARFARLVTQLKWRLLEGGGQAYYELGVADSGALIGLSRADLEQSLETLEMMAGEIGASVIVVKEIEVPPAMYTLADDAARLLDPVTGGWTRKMKRRMVSPGAGGSGEEDATTTATETETDFTDGDFDDTPSVGTPADDPHVSQHNVRCQPFAHRVTSHPGRLSTQSSPFISPLDDDLALFPMEPEPPHIFTSTAADDAPALVPAFSVDLEIASVYKPRPMRRRTHALPAATPAAVLHGKHARRQKYKEKEKKTQPWHTPPESEGNVTAALGKLDSKAAQRRLARDRRREDKRRAYQAAQGGSTDAPSVEYAAEPVMGADSCIGSLVDEDQLACGLEALHVEAAVASVPSTFSSIDGETTTEDGKPPNIQLGAGPGASRLIVEALVVRKMSIEEAFLDFGGFQLV*
>EED80290
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTKLIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPWSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPLDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNVGRNARKEWFDEEEDDSVDWELYGDGEHLHNEVRAHFVPGIVPLRFFLH*
>EED80291
MSTTRVGLLRLAVVCRLPACEGLHDARRYNLPSTPITIASILAFPMDIQCKIAVVQYERADYRVGTEEHLHWAIVVQTERLDQDLPCFQVFDRHYSDSRGVQWHLFDRDISLLKMRKCLGGISIGSVKYSRIKALREVISGKGPVPKFPEWNYRYWMIEVIQLFAEQGWISTSILDQATLLPSMRIASVTTKAAYTGSPRPIRVRYQSSSTSQSESPVALTTLGGIRIDWRGPPDTTGPTLLLPHMVGGGQDNKHGFSDSNSPSGS*
>EED80292
MDNILKALGVDKDCKIAVLQYERDVFGVGKEEELHWAIVIQTISRPGIRSRFPCFQAYDRTFNDERGKWVVYTNPPTNRPAEWNCRDWVMEVIQLFSEKGWLYANIPAQSTLLPSLRRASVATTSAYAESRTALPVIVELEDVNGESTQI*
>EED80293
MPTRPRGPVVQRSRVRAREKEKIKKWLGAIPTRDSARIGIHNAADLGCYYHDFMAITKHLIAPHRLQSRAFLRGFQPALLTRLETRLHLKHPDHYADVPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTMVPPGMIKTEDISMIIESLSRTIATLIQPTTHAMHNHAPAPRQQAAVHVHENTEAEQTCHYCGNRGCRIGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDQIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSSRIEALEQELLQLRKRKEVFDGVEILQRKKPTTPAVLKSAEGLGSGTSKGVAAPSSTSTSTAPPPTIPAASPAPPSPPTQSTSQPIATSAPPAPPVHPFANARDATYALPNVRNFATPPKPSNDKSKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLMSRLEV*
>EED80294
MTSLCKLELHRSPLARQVLLPDYPFNKLLLERKSGDPFCFAVKEWYSRAPNVHVDTLLLISDNTVACLERECAMATVAAGYVGGAAYDDHPELEPDLQWKNDLRQKIQDQLRHLVDDARQQRDSQWIHHPADSHSAAANDIASQKYQVIMTDIRRLAQDQYEEALERERLERRWAAGYPVDQSWIEAAAREQEAMLTAVHNGRQQAHAGFTFKPHDEPVAGPSRLAGRSTSGDGSVSHHYSRFLEYELNARQSSTDWYGHPYGEGSGESSRPSAAPGGPWPRGGISAPNDSTIRQHHVDARAKARQVPEIWRPSAPADDRTPRQSVTSRPTPSSVKTADRPITQDRPSSRLKRKASEGDDADPGHSAEELVPAHNTAAPLAEAEAVIARRINFAVKLAHEEARKTPQLRPIVPLHLAPHFSDRSHSATPKPSLSFRVAPTPLRAVENMNYEQAAEHRTPIGIHFPPMTSAFRASAATPNPALPSRGMGVPPNPSVHFEQTVAEQREIVIPPPPISSTLRVAAATPHPGARAPSASASAGTAMQVVDPRSFTLDDDRSSIHAPFQVQSVLGRDRSDTLRGVQLPAPSSHMWIPPMGSSLDTTTTSSSSSSGSSEPQRTPTLDEMVLVASDEGDSDWEASAFDTEDVDQMMKQGMEQFMVTGESSIERLLSAQDTIISELAPEEAVEVEVKAAPMKPVEVETETEKEDTPKKAKGGKAKRKEEAKRKEQDTKQKVQDTKQKAQDAKQKAQEAKYKEQEDAKRRAQEEAQARLQLELKRKEDEEARRREAESRQRMEDEHRRELLRKREEDEAAAAAAREVERLNEQRLLEFRRQQEETRRRLEERQRTDSTTRAPDALKKQQEAKKAEQVIGKKDADAGRKEEETRRREDDIRRKEEEIRHKEEDLMLREQEMAKRERLEDARRKEEEKRRKPGAPRKRIGSARLFHVALDRAGMFPHDASATAMFVR*
>EED80295
MSNTIALYPLSNFTFSTKEAQPEEDPSVSARLQRLQNNYEDFGMRRTVEGILVVHDHGHPHILMLQIANAFFKLPGDYLKPGEDEIDGLKRRLDERLAPPTNTQQFDSSHGMGNEWEIGDCLAQWWRPNFETFMYPFIPAHITKPKECKKLFLVQMPERKVLAVPKNMKLLAIPLFELYDNAARYGPQLSAIPHLLSRYNFIYQ*
>EED80296
MLLLTVVILALHAPRALAHGYVSQVLIDGNMYMGNSPGHYEGPSPIRTVATDGPVQNTSASDLACGVGAGPAQLNAVARPGSVVNITWVSGSGGNWPHEVGPVLTYLTACGNISCVGFNTSGARWFKIAQAGKNADGVGWVQQDIMEGSNFNVTLPDNLAPGGYLLRNEIIGLQNAMTPGGAEFFPSCTQLRVIGNATGVPPTNDTVLLPGAYSATDPGILVDAYTNPNASYVFPGPPIATLMNAPPASAPVSEYYDDWRHDDEHPLVYRGPAGTVVAGDRRSRDFPVFVPMVCRGCKHGKLWQRRLRAYLC*
>EED80297
MVSFLQVDGARIVDSDGKEVRLRGAGLGGWMTMENFITGYPGCEFQIRAALAEVIGDKKATFFFDKFLEHFFAEPDAAFFKSLGLNCIRIAINYRHFEDDMNPRVLKPEGFKHLDRAIAACAKHGIYTIIDMHTAPGGQNGGWHSDHGSHIASFWIHKDFQDRLIWLWTEVAKHYKDEKWIAGYNPMNEPADSRHMGLVDFYDRVYAAIQSVDPNHMLFLDGNTFASDFTGFPDDAAARWPNAAYAIHDYSLYGFPRSPEAYARTDEQRRRMRRSYEKKREWLDRRGLCVWNGEWGPVYARKEYDGEATEETNERRYMVLNDQLEMYREDRLSWSIWLYKDIGFQGMVYVSRETAYMRLFKDFLHKKYRLAIDAWGADDRFVRHVYEPLVNLIKEDVPNEGDQRLYPYPIWTLERRVEVLARNILVSEFMVKEWAEHFRGMDEPQLEKIAESFKFENCMGREGLNKVLRAHAPQINTA*
>EED80298
MALAPPPYPQQSTSIPARPQALHSTYASRLRTGTTLLMQPILASSSAIAVTTRSSRRGGAVNYAEPASGDEFPDAGAIDSEDSDFVASGGTRSAVRSARLSSKAPIGASVFHAGNATPVAQPAQAQQRNELDQSYLGMIPPSRFITARPVAPTKHEYFPPEAMEVQARRPTSLVPIRVEFETDTLRIRDCFVWNLHEDLIKPESFARAFCVDLDLPVNPWAETVANQIRAQLEEHEGVAAVDFGVPDPEMEEKLRAGEEMGECRVILSIDVQIATYHLCDTIEWDLLSSLTPEAFASKLCSELGLSGEAVPLVAHAMHEEIVKHKRDALEWGVIGGDAREADDERPRDKSGLSLLKDKTGLGLGWGRAPKDGRGPKPLRSVWRDWAEAEEFTTRFEVLTAEEVERREVERERASRRLRRETSKFQSQTARRRR
>EED80299
MSPLCTRGEDTDDTTPCEDDLLYTMASSSAAGTLRSRVLKRKHLHAETATRPISTVPGVLGAGETETEGEEAPRDLPTARITHSALTTFASSDLPTWFIPLIFFLARFLSIVPAIFGTLWNLYHVIWPPGGSEPDALSWRVDYFISVLWLTTGLLKRWRVYYSPLPTLIRLFALQSTEFFLFAICWPATHFTLALLNHEKRPALCWAVIGSTTCYSRSIQLWVTSNIGPAPAPAPRRATLARSSLLQSVLASAGGMREEWMKLRRRRWDWGEVGRKCVLPAGIVYFVMAWTEMLRKEWERAAR*
>EED80300
MVRHEERDDEGRTNIRRQERKQEPNKIAETNQGARSEITQFRHYFLPTPLGKGRVRRGLWGRRGGGAPVRRRNVFGQVAAQDPLRTSRPSAVDFGDPAAIGRSAGTIDVVHMLEVSPDIGDRDRDEDGKNTWRGTPGIAKAFETDAGALYGCVALPVTDKHMVRCKGCGELSMSAKTCDRNGQRFQQHVPSLLGARPEVTGIGMSFTFRGCDGVKNTGQSFSHVAASSWIME*
>EED80301
MEKYYGTAEVMRVGSPRFLLEQAAASSSSTLTVFPKVLVTHGEWEPSDVIQQSEQFALALREKLGDGGEIELRDLSKTQSPPSAFFAFTFRGINIDMLNIVAAIVELH*
>EED80302
MSARSATPASTPLLVNCRLASLLAVLEAPPTADATLDVLAYRKALGAIRDEEMELRIAAAVKQLAERASESWVEWARGDWPELATTIDAEVERCVEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERHRKDEEDRLRQAEDERRAQEAANEELARIAATEGLLPDPAPAGVDKGKGRARVDEEVTELSNDPSIKTPRTVEHPFAMTEADMAAAAIEKRQAGQKCGRCAGYRLALVDCVWVENATTCESVCPGQDKEDARRGINHQKAHRLGLEQDDLDTLDLDDESRGIIRVIREERAFIARRRALLHDMDLNLQKMEKAALAKGGIGFVRGAVDED*
>EED80303
MGCSSGRCASRTTVILHNNFLKYPPDVAHQLAAHDACIWAMGKTSVGTTEVQCTELTHSYTMAAVRALRDASAGEDKEGEPFRFVYISGEGADQSGSKWLPLFSRVKTESASVVRKTASILAPSLLSLIEDLARFTVEEAKGLVMCKARLEALRPYQAGRCRPGPAGPAGRPMAAQGPAWLL*
>EED80304
MPYWVSRTVRNGLSSFGIGDNEDQNAQDAGAPTSDSPSEDEVGYIVSGESDEYNYALEVHPPPTAAAVPRSQGALGQLQAPPASLPLAPPSAHSHSAATSSGSSAPRRSALTVQRIRRGIKGITHRFDLIYLSRPLPEARGYQSRTSRLLQAQDVPRPAFPPTKLPAVRTHDHCVSGPTASTQRASLNDNRRTRPLQRRGAFYRDSHPEIFNYPEWIESDDNTRAGPSSAPAHVQTQVSQLLRDPAARMEIIRQLQLEGNSILLRPDFPNGMPLLSLINTSQLRGDADWEGGIKPADWERTRTPARADDAADSQDEGFEPSDDSEEEDPQPSVPLSQPSEGTSSPIRHPSPPTHISQGTQAGQYRGRSHSHSRSQSETRRRATSPRSTAQGRLSARRSAIPQNASALAHEPAQPPRESSPPLGELESDYLPLEYTAQALAAVTQALPSSPRLVPVAELLRIGAVPPEAGGSSSDTAPTDIDTFMGNIASTEPDSSTSRAIPPEAGPSTCDYAIPEVTPRASSSQEVRRSARKRSREDDVPTVEGAPSQNKKRKRRQ*
>EED80305
MAYLRVSGTKIVDQNGQEVILRGAGLGGWMNMENFISGYPGIESQIRAALADVVGRAKSEFFFDKFLEYFFQDADAAFFKSLGLNSIRISVNYRHFEDDMHPRVLKPEGFKHLDRVIDLCAKHGIYTILVLHTAPGGQNGDWHSDAGTHIANFWNHKDFQDRAIWLWEQLSKHYAGNTWVAGFNPLNEPTDPYHTRVIDWYDRVYTVIRQNDPHHILFFDGNTFASDFSHFGDAHLRWKNSAYAIHDYSLFGFPRSPEEYTGDETQRRRLRRSYEKKREWMDAHGLCVWNGEWGPVYARTEYEGTKTEAINQERFKVLKDQLDIYNKDRLSWSIWLYKDIGFQGMVYVSRETPYMKLFKDFLAQKHRLALDAWGADDSQVRPVYQPLIDLITTEVPPEHQNLYPFPVWKLSDRVGRLARNILLSEFFVRPWAEHFRGKSVEELDEIARSFSFENCLHRDRLNEILTDNASLVAE*
>EED80306
MSTPTPRPPRERATDSRDSVLRASILESAMQLGVGSSCTVTKWMFSPVEEGDEDAEADVELLSQDTVSPSLTYASTATSDDSFLSNSPSKAQPGAGILLSPVSSNAAGLGLLPQRPSPGKSSSESSQRIVFDLSSHREPRVVSPTPPSPKSSRFLRLRRNGNDSDGGYLSDGGKSKKDKEKKKKAKKDKGNEDATDYESDGGYLSEAARKASKKLKKEKKSKARDADSPATDYETDGDAGRARRSKEQRSRKGSIMSSTADESDGGNLSEASTKRKGFFRLNTRSRKKRDLEDSPLREVPPVPTLPSAMLPIAERFLRSPTPNAAEGSLRTATPVSMLSSVADRESVVSVTPIEREAGSIMSREGLTRAFRDAHSVHRPSIDALATFGNMALMPPSPSPSKVTGTTAERLYAQSTPSASPGLQDVPKGSTPRNKGPRPTISPPNTSLLAAKHVPAPLVLNSPTSIHSYAAQQFTSRTAEGEYIIVTPQMTPTNNGALDATSPQMSPARPDSGAIVPSPSKKSFGIPPSPSAGQTSLRPHILAYYGIPPPTPPPQGPLPDVPPAPNSPRSAQHLVPPRSMSADGADVRGAPSRPLPSPPPAGPPPTRKLPAPPVSAPTHAVRSASESDVMNPTGAYASVPVISEPIPPAQRGRVSPFPTSPIQPGMERGRISPFPVRPVSPRGEGSGLVRKLSNLMSPTTSPKAERTAKYEISKPMSAGGYVDQRDPERLDVRWQPRSASALDRPYAGAFSSPSRTRKASFTDEAEAEHGDDVSELDMGDESYEDETPEAQAADDDRSVYVDDSRPATMYDGSDGGDRSSVWSQQDSRKSFFDEEKSASTRERFVKQVEAMYGEYKVPPVPPLNVKPSEDGAA*
>EED80307
MLELIVSGKIGGTQYRDYSLLDFHRDIDDVFGTSGMNRRGRYGGLGLLEDSGVTRRLVAHIRRTARPAKPENVQVVDVFMSFWGTYIKERSSKSAGLQWVATMKDEVTNRLTIISNSTMINSLRPTREVAARPLTDTRNAHAGVTYNAEIRFHVGALGITKSIPLAAPYSSAEVYGVDETHSCQSAKAAPAVTAWLSMDRYMCNRARGLTAPLDKAFRGKSYPTVQDGEWYHLAL*
>EED80308
MAASFNHHYVCGIGFAYKPFGSSNPLSSVTVYKLKVNRNIAAGLQDATATGCLQTLTAVLADIYISVLLCMILNGKKTGFRKYDDWPITGITDRLSDITTHLVFYPSRHRNHGCATSAICNIRKLHTRNPIQASLDNISLSREQTSFEVSYLSGQHAADKLLSGNILDMIPIDHTPRLPRRIEINANCAKPVLGYIVVNREVIESVDV*
>EED80309
MDERFEHISRPTADDLYDGSYAYAYSSAFQGGQSPVNPSALHPVQFPVQGREQDRHADTVNVVPPEFHDEVSSLLLRIVMKSTQDPALLFAALTHFSDPAVQFVITTVYTPRYNPGHTILNDSDIDLPTVSDDPPSFEDVVHDLMWLNLDDMQDNPPHAGFGDADPFPVYVPEDHAFAHQQAHQAEYAPYATASHSYDVNAYHTDTISFDPTTPSPTSNSVNAGTSGEGHEKCQWAGCGKDLPSLTRKNIKIHLEAVHLCGAGEEPPNSKVRYGAVLEVCREAVPSRRSHKAHANGTPRRLS*
>EED80310
MSAPSDACLRYVAGNPQHRGHNIHPMLSALSIIIKQHATRNAGPPTRKQPDTWYNETRREPAGPFIEALVGVSFSIYPTLGYLNLNIGPQKSLFHCPGNLADMMLANRQESTTMFKWLRILANRPGMDPSSIIVTRVANATARQSPMIDSNMTVEDYFRTNYNVTLQHSNDIPVIEFGKGIPFPAELCDVLPGQLVRRRLPYGLPVFQKWSSKSPLDTASVIHAEGLRLFTMQRSSALPDLLDRFRITIDLRTNTIPARVLPAPGIIYGNGIRVVRDGLWNVKDTRTLSGATVSNWAVLLVQGGSPSRFTGIDDPNFRGFVSQFVQKCRAFSMKIPDRPFVMQVSVEREDADVMARVQEIIISRLRFRPSLLIVMLPWRKRQLYAAIKYYTDVVLGIHTAHILLPKAHGDGYLSSLALKLNAKLGGVNYYLDDQSIRWLSDRADMIVGLHVRHSPPSTEMVWTTAATVSNVDGFFHNFPARLKVAVEFQKPDVGRLFGQCLALYRSKNGRLPERILIYRSGALPHNLLCEEVPQISSAYFKAQGRLGDPAHLKLSIVHCCKSRNHPWIFVDQDKRYVTATGSDKSLLSLHVKAGQKGSLPLHYTVVYDTNGYNPDDLQQISHILTLKNDHAGAAMSMVAPIKYAQLASQRASCLLEFETDWVSDSTRFRQGGIHRDLRNSMFYL*
>EED80311
MAREVGSSCKKALPAFTEDELDSPPQTEKTRVEDDMKKLVSLVVSEGLDAWGRWRSGLWRLAAGAYGEPNLRPSDPSLSIKGLPAISFLSVYAHGHSVYKAASVKGVPYLLLAPIEPVSSIFGSIRLFVIFMTMNSSMNPTYNTVDYDNQKISTTVFTPTDYATATREHYMNCNIPLGNNSGVEPSAADPIFALSHSLDQHNAVSGAQSGYSLLSNDGYLTSYCGQPLVAPQPEDTRQATNATWPSYCTTTNPYFPVLPPHFTNYPSTPSSTMFSDAMSLTPSLVFQPTFSPTVGPYQGTDQRALQQPHAERYHARSTPRHPSRYGQQRAFLAFSTIPSGALPYPSYHTPAHRAVSARVADLDPIPAGYNFDVHASSPFPHNLSFTMPSNAGPPSGPQPAPIQETSSYASRVMQTRPFFCRWEGCTHAGPADYSAITEHLRRHQVPRGRPKRQSANPVDSIKCKWAGCRLQDAVKAKNLLKHLTGTHLRFKEVQCYIGRAPEAITSRAAEKTRVKGDMKKIICLVIGGGRHKSLVIWGSLWLGGGANCGGEWIGDL*
>EED80312
MSSISVAHPTGSYLAGHRPPFPQIQNGEYSTWQKWMFANVPFTLRLYRNWLVTLQAIVPILRTYWRRLNGTTRPEETLARYIKETAPQRYHDKLVPDYPCLHKPNVELVWDSIRDISDTEITTEKGRTGETLEGYFSHQGGPSAYHGVTVPGFPNFFFVGGPNTVTSLGSAVFTHEIAIDYMMQLLRPLVDPSPTRLTALSVQPPAHDAYNSHLQERMGATTYVSCASWYRAGADGRGKNFGIFPGSLLSFWWLMRKVRWEDYLLERSRS*
>EED80313
MFPLDSLQWSAKGTKLESFEDTTTNRRVPERVVGNIISFPQMKNDLGRDRLLRGYVVQWHSVRMTAQECFANELNANYYRQVKQSPALTLACLLDRSLKEARSRTVHRLLYLAQQREEPELARRLVRLLEPVVAEVEDVVVAGAPQDGYGHQSKLDVEVVIAAVGMAVACLAK*
>EED80314
MPCASIWWPYLPPPTASQRPAVSPSGHILTSVNILELLAEIIVPTVSGIPAEAHPGRASAKLAADPQHASRLLSHNAKVPINRLPGELLLEIFYVHKLSSALRIGLTHVCHHWRELALTSPLLWTAICLEDRVEFVDACLRRSAVAPLTIVSRCYIEDELALMKFIAPHIGRIRALDLRSLSTSAAEALMRQSRGSKASMESVTLHVHPGCRSLTTPTFVLARNSTRQLRSLSLGGIAIAAPSSPLTALTRLDLTDTFLASTATIDDILDLLENCPRLETLSINERCRRFPVKSKSADRRVSISNLRHMRLAAHTALISGLLSCIILPSDTTLEIKCLISTHGVSPASIRTVLPDGLGGLGNLAAIRSLHVFVSSNIFRIRAYDMVGSAAIKKLDMDFDNDPPADMSSMLPQALVELARSFTSRGVRDLQIVGDYGLLIEGVWREVFAHLPYIQHIEIGSRGTVNKLFAALLAPSSADATDPSFCRDLRRIYIRGAQLDVDSAARMSTLAANRLLQNRRLDTLALSCYQRVRWILHFQMMVKRSRLWVSRFQFREDWIFMRTLR*
>EED80315
MDNIFDGRHRQSEQRPFSFAEVQAEHARREIEMSVEMGEGLFQGLQAEVLLSSWYWASAKFSTHWLTARSSKSAYALERTMGCGHGWALMLDDKDITQMLPLTAAQFENGEYVPPERRQWSNHPDVLLVHPPDQTDAFVLYIKSTMLISRVKVFNSRFRAKFYSGDPEIVNMRYTSLGEVDGLDPKDIRCATEFAELSRLVGRFQDSIPSHMRHPVKDGVVNAHLFAACTAPLLHDLALLGPFAMVSWFMAGRVLVRFLREALSSGSNEQIQVLQMEISFIRLENVLRSLQDAVRLHLCELWVTACYSSSDARSAASGRNGVATLSWRRTEHIGSGVEPDDQQRPYLEMVTMRDGPLKTESGWCQISETFTLAVRTRPIFWQQAARNELASMGIDRSRCRCERREARLSTGVTMPVRDNRVRCAPAAAARAQCQRAKLKLKLPPGSNHRLSTVMRQETSAILKAWRAGPFAILPLFFLHAFSSGLTEHYNFVLYQNVHCDAYRTGNVLNQTYNQTQDNMLYCYSPDVTNAVSTSIDQQYLALTILGAVMSGVYGVLCDSRSRKYVMAAGTVVRAIGDFLLFLCLRSSVLTTANAVLLTALFTGLAGGSYVVPTAQLAFFTDTSSPTKRTLVLGLALAMMPIGTIVAVILSTAVTAFKLYGVVFSVSMITHSTYLAYLTFCLRELRKPPQLEAVALEEKQSSMKKWVSNGALFPPMVMIFSDSTLRWLAMVALCLSLVSQIPSTVQSEVGEHLGVMPLRLLVTTLTAITLRVLVAFCLIPAFVLLYRKYATRHQRTPIQGWTTQDGLSIVDIELDNAPKGTNLHGDSIVDVGSGKSRFDCKVAVRQELLLCLICFVVKAMSLALIPLSRNASHFSVAYIVDAFSSPVDASLFTLTTLATEPGQIGRVLMGVSVIEDFAHASRKPLLSAISKATLEKTPFTACWFAAVLRAFASYVQS*
>EED80316
MSDDILRPAKRRKLGTDDASSVSEYSNDPSDVTLTPLPPAVLLVSLPSLLAHPPNHRFYIQSLVLSLAALRKCLSIPALSPEVECRAWTGLAEIGMKVISGGLSQDVAHPWAERIEAEVEKALSKGAIIAQKHPSLRAYKHHLSLLQAQLSHWQHKTKFARNQIRNILASFLPTDPPHIIYSAHLAYINLLTTPATPSVSTLPPRYAATPPSQNPQDIYAALNAVEELETYSTKYGHKHVTLLGRVLRLRILVAASLWRDVPAALQQAETALGLTYEANATPKPRKASQDGVAAEPEAFVSFEDPFEAAMAAHVLIMSVIYFTHIGIAAEGSPRLSHLHALLDFGALDTFPNGTAEITFRSSPSLIVEVTHPRVIFMLTFLYVLDITCEAVWTFTSNQASIMRSEFDAAEENLDILIAHTRTFDLFPSFAARIALHYGHLAHALGQSAHALQYYNVAAHLAEDGSFVKLTARVGQIALRIGLERPLLGSEDDDGVKVNLEPEMQVARACREMGSNLEAVGRVIEACLTSEILKSKQHLKVALGLVTKAQDNHLPSSGARRTTKQTCAEGARSKACGTCVGGQRAVGPMGRRTIPG*
>EED80317
MPSLRLLAAGSNAQGQLGTGSLEDAHSFTPCLFAGYPAGSLPPGTLAISQIACGANHTLALLQRQVEPDATPVSELWGCGDGRRGQLGPSYLTDVGASAGKTSTAVFQKLDLQLDRTVGADSLHAYVPRLVAAGWETSYVVVSRAGCSDVLISMGADDYGDLGVGGAKGEGVMGRPVHLVDLRGLFAQHAGEAEVDGGSFTVRSLAAGPHHVVVNLETVHDHEFMQSLLVGWGAGRHGQLDGFERTPGKASPFYTVPRPIPLAPNAPAVVSIALGNQHSVFLDASGRLSGCGSNRKGQLRDLRTLANVTDVACTWNGSYLVMKDIEGWRILSTGSNNKGQLGRGDFRDASSLVGVQFQCTDHTCQLKKMACGSEHILCLLTRDAGEATQTEVWGWGWNEHGNLGLDSTEDQNRPLCIWSSASAIGTASHAVGIWCGNGTSWIAVEQ*
>EED80318
MSTQVVCPTSSSVRHIKPISVTRSRSSIILHEGIYIQGDRDYLPAHSRDEQAAAIALVSFSKAPVPPRVAHHAVRQAQDATSNIADASPESTASADLLGPTGSKVTQSNKGKARATRSPSSKSSSLSRKAGHRGPGKEAREKQDAIREQWKSRAKAIETPRGTQANDFHLFVMSWVRNEVTPYPDDAWAALVAIAIDRSFVQVKHWFSNQRQVAARKGTSRLEDVETVTVDDRSFRLWRKAVESSGPWSDEHFETALQKVIRGQQAKYEAQLAAMI*
>EED80319
MFAAVTAGPQLPPAICGLHCSLQLWWQGYQLSQCPINQGSESVRVLTASVIDVTHAAFLLEMLPGHPLWGSFIRIGDKGSNRTRGLEPRKVGHASWPMQYLAAPLVPKGPLLNECLMPLSCLTFDTPPLGNPIRLRRAHSIDHRIPGEGPANLTARLEPDGIRYAGLTASGPSMGVRRSWARVGLALIRGKEASGNPDISTIMFGPNRVCTPSRRMPFVPRTSATSRTRARLSCCTMRFVKAYHVSLPPHPAITFPSSTFGAFVVSKATVRWTARRPPIPSDLWSAGFPVGRHREVVHVKSLCLLYMIDRYICLFRARAGRLSPLLTWSAETQLDRCSLHRGTEVAKPALVGLAERAGPAKQGQEEPYSIHEEPAGSPGSDTLKERNARPRMEGQAR*
>EED80320
MLPPRRSRVHKNTPVPVSAPRPLAVQIRFHTDPQLSSRPCVNAIGGSSTSRKATSSAPALLRGQQQDPVPLASNLAVDLALTYVLEISTSPWPERVMCAKRYLYNSDLSQLAMTSRAAGPSGQIRGPMDSVVYLAFDLQVPNVEEWITGHRATLLGSAQLYSWVALLKFCERQDCSQQNEDEIAGGQGHEINPREGECDCGGNGAEVRTTSDRVWIAGIERPW*
>EED80321
MVKSREDVITRFHEQVNMSVDELQKWLDDPKSKKAGTGVGIESGHKIIEILKKNPDKDPEKYDEEDIEHMRKVVSY
>EED80322
MVSLLAAAVCFWINTLLLCSHALAASLQPQIPSIASFTSAGDSFQLSPNVRIIVDSAHGLEGAPSALSYAQTFRSDLMSVAGFAHVPPVEVLPGGTGFAGAPVIYIAIDPTMQFALYNGEPTLEGYDFEITEYTYTIKAAAAIGAWWGAVTMLQQVALTAVAGGTNISLPTGAGSDSPGWEVRGFMLDAGRHWFDTAFLYNLWNPDFLYGTGNEGWKDLYAAFRFQPPPGSPIDGLVPRLNESWPENEFLMFQQTCSEHGVTVIPEIDTPGHSLAITQWKPELMLSGQPDLLNLSYPATIPAINKSTHGQTNSSFMSSAVRFFFFIILLTDFPVFRAICPLLDTLGGALAGPGSGVPASDWSGIAMAVIPLCGCSAEEVATMAQRCSRLVKQVMGGLRRALGISR*
>EED80323
MYTTSATKAKRRHPRPELTDEQKQEIKEAFELFDTDKDGCVDYHELKVAMRALGFDLKKAEVLKILRDHDKTGHGLMDFEDFAKIMSERILARDPMEEIHRAFQLFDDDNTGKISLRNLRRVAKEIGDRLEDDELQAMIDEFDLDQDGEINEQEFMAIMTDDA*
>EED80324
MIPHCDPDKLDGGSTRGILAPSKKFECGDALQIRRFTQRSFSVGLRGTEARRPFGEVVSTEPTRLRLIVGSAFGPACKSANMPADMELTRYYDPPDPNAWRESLTWCSWGRPGGYALFPHGGTRTRPSPRGSHSHSTDCVPVAESAAATGSLRLQLHGFAPRPRFIPALFFAPKFARKPTISVLHEAPARAYDSALMISTASVHRLLLVRLPFNNVSLRAPAIGTLLIVKSKKLMFNYPNTYFTLFPLEYEKHPGPQPQQFRPRPVPGVSKKGRGRHVPTKEAGGRKGTTYTCSVEDCHKVFKRHEHLKRHVMSLHSNEQNYMCALPFCDKTFNRRDNLLQHERKHTLYQRLFDCTKNFDGELIRNEWEDIKNPDAIYEWPEPWDSGVGIPLSLRYLNLTARDVGDMDEDNVADDDSTMADVGDHPDYTVHFVRYEEPLQPGSPVLVRSDPFAPSDNMFTVFNVSAIHPDDPSASWDDQTIANHELDVPLFDLPSPSNDIKVIPADTVTV*
>EED80325
MSADLPKRDAVTAAFKHAWLAYERDAMGDDEYHPIGKSGSNLTSAGGIGYTVVDSIDTMIIMGLDEEYQRARTWVAEKMSFERDGNFNTFETTIRVLGGLLSAFHLSGDSMYVERAKDLADRIVPAFSTKTGLPLSQVNLAKRIGVPDNDNRGLVSTAEVSTLQLELKYLSLLTDEEVYWEKAEQVMKVIKDARIATGLASIFLNPDDGKFAISPIRLGSRGDSYYEYLLKQYIQTMYDDAMTAVHTHLIKKSEEEGLTYTAEILPERDTQGKITWRMIPKQDHLVCFFGGSLMLGAVTTGTIEHAASVPPRAAELTAQGTRDWISGVELVRTCMETHRTATGLSPEIVHFKTATDHIESAHDWYIKGARPGHTPYDARYILRPETVESLFIAWRLTGDPQYRQWGWEIFEAIEAHCRVASGGYASVLNVDALPVALEDKMETFLMSETLKYLYLLFSDESVLPLSEYVFNTEAHPFPIFHPTRGTGFF*
>EED80326
MPHSFGYRARTRDMFKRGFKEHGPVKMSTYLIAYRVGDIVDIKANAAQQKGMPHKYYHGRTGIVYNVTPNAVGVIVNKVVGNRYIEKRVNIRVEHIRHSKCRKEFLDRVQRNHDEHVAAREKGERITLKRIPAQPRTAHVVSTSGNAPQTMTPVRYETTI*
>EED80327
MSTPEALIQHPPAMRVGGRRLSTTTRPKPQSSTETKSPSPPAEAPNYPRPAPPGEQPPPHDPQEDEVPKKERKKGGGGDEHERRLQESLYRKAEQNRPSRDGHTAKNVNAVGGGGRIGQPAGRTLM*
>EED80328
MQELLLNSYLAYGSGRSFVFDNYTWNRDGSDYTDYNGKLIPSRIPLSAIMSGPTIGGPFPPGDPAPRAVTKEYFDEVCPHPTVIHSDEVSSQLPGDSSAQMMFDKWIEKLRTADRCVEIDRDSLQIFSIWIFGSRRVLDIYDSLTKSPILSDFRWSPLIESAFETNRPIFSPASGLEPYIPALPWFGASSNPYPPLPGLLVLHVRRGDFASHCEHLARWSSDWNGFNQFPTLPDKFERLQSAGWGETAPENLDLYMRRCFPSIEQIVAKVEEVRATPAGRGLRHVYIMTNGAKDWIDELKRALGKTGHFKKVSSSRELRLSWEQKYIAQAVDMLIGQRAQVLIGNGFSSLTSNIVMMRMANNLPPESNRFW*
>EED80329
MPANTYPTRQLGANGPKVSALGFGAMSFGTWYGKADEEEASRTLTYAADRGITFWDTSDAYEASEATIGKWFAKTGRRKEIFLATKVGACDLRPEAPSPWAPNSKPSYVRQQIENSFKALQTDYIDLYYQHRVDPEVPIEVVLETFRPYVESGKIKWLGLSECSVNVLKRAKAVPGVGEKVIVCQMEYSPFTLDLETNGFAKAAHELGVGIVAYSPLGRGIMTGQIKSPKDLDKNDLRLQMPRFQEANFSKNLALVDAFRGVADKYGATPGQIALAWILAVHPDFVPIPGTKSVKRLEENAKAAEIVLKEEDVKALREAVDGADVKGERYPAEYQALMQDECIELSEWKGE*
>EED80330
MRTSSPSFGALISKYFT*
>EED80331
MRERKKLAAKQAQSSQAPSASGSRRARKVVLSDAEDDEDISAQKVRSPPKGATGSRFEPLSTYAHESDIDISAESTDDETRTRRLLFEPREESTSTSAVSGTLNDALEKFTLDAAVQDDVEPIPSASVDTQSQQRSESPLSDVPMGEADDAEPPAAGSSKRPAQGPTGESPPSKSTRAGGRRGVNKPARVPVVVSEQPKPQPKPRRGRKPKRG*
>EED80332
MTGSGTASASLASTSATSKSVHDSGALMEGAVASSPSPSVGSSQADADALLRNEYIIMGGLGAVLVLLIAIFAVAVAAAHIFACSGWHARISAAFSKLDPSVLRGLERIPKRTEEIGSIFDWEPVGSAATGGVEASNIQHKSSNTMSSVHAELADQGALDSSRKPRLWILRNMVYALRDERSINVPVAVLVIDDLWLSSQKLHITRVNPVNNDLWDTLPCLTVAEIPDIVRAWSWKLHVMGMLACRLDIRVRLNIPLTILSAVVAVAFTFAAFWTAYFSETIENPHVTLLVSQAYKAIRACIYSRFPERDPEAAYEALQQDSEGHHDDPCLRTSQDWLEEEWDVGENMEHGPCQPSRTPLKRLSRDEHDTSSTTSSSSTRSDLSGHMQQHVASGSRSTFSGTTLASTASVSGTRHPSWDESLHVGLSRETRLRLKAQAQERPPPTFGLRYWAKTHWKTVTGLLALRAAVWGMALVLMHYCGMWAMHIPGGHISWNLGIVVLSYVVAFAMCLVACIFMEHMDVHFGRQVAFSTIAAFGCCSMHYTGMAAATFYTSSPPGPPEAGYPQYLHSTIIGIAVCVCVVSNVVLAQNAITARNRMAEMILTKRRLWRIMAEKEAAERANEVKQQFISVASHEIRTPMHTVNGYCELLARTTLSEEQTVYIASIQQACHAINVIAGNVLDFSKLDRNNVELSARPVLMQLRKVLEDLARIQSNIPGVDIIISVASDVPTTVYLDETYTFRVLMNLLSNAQKFCDKGYICVTVSMNGPGQLVFQVRDTGCGIPASFRSALFEPFRQADTSLTRSREGTGLGLSIIKHLVQRMNGSVEAESTEGLGSTFTVKLPVAPPSCTNTPSSDDIPLLDLSETPSTPTPKRIRVVYSDPRTEALLVELWAQHGHITSRGIPTQSVAELVQNADAIWTDVGSVAVSPLLRALLSAKTPRPFPVYIAYNEQSDLAALEPEWSGARNAVLVKRPVVLHALRDLLESLEQHMGVHVLKEQSKVRFVTLAEHAETLLPNETVQPRPAKLSVGPVEEIEMTVEPRNRKETVLLVEDNPVNQRLGCRLLEKLGYDVVTAKHGQEALDAISRSTFHCCLMDCQMPVLDGFATTRKVRKLESEGTLQGHLPIVALTANVTTDCESLCRQAGMDHFLPKPLVLAGM
>EED80333
MATYYAPHHVSCPSESLIRQAGTPQAKNQTLHPDEKKYVRARKQIVKQSMQSWLGSNMTKVYSGDFSKLSVDDAPNIAISVSGGNFRAALFGAASLEVFDARVRSSVDAGLGGLLQSSAYITALSGGSYLSTSLMFNEFPMLSDLVFGNDTLGIPGWQLDVNLFQPGPSGEYTTTFFTHLYDDLGAKQSQGFPVTFCDFWGRALSYHFLPGTNGTESFASNTTAGNHAASLSYSSATQLQTWKDRTMPFPIVLIDVNSPQAQGNAFGDTGVLPLTSVVYELTPFEFGSYDPQLAAFVELPYLGSTFHGGAPSSCVNSFDNAGLMIGTSSCDFHQYNVTDNVYWKAEFEPLIANLTKVFGQHQPGQEMDVTSVANPFYGMHAGTYQDAQETNLSLLDGSLDVENDPVLPLLVKARGLDAVIVLDSSGETNDLKPSGLSLLATKEKAAVLPSGTINFPTPFPNSTDEFISKGLNVRPVFFGCEGPTKQEEAFP
>EED80334
MSTQVVCPTSSSVRHIKPISVTRSRKSIILHEGTWIQSDRDYLPAFSREDHAAAIALMLIADAPVPPAVARHTVQQEQDATSNMADVVPEPTASAVSLGSAGSKVTHSNKGKARATRSPSSTSSGLSRKASHRGPGKEAREKQAAIREQWKARAKAIETPRGTQANDFHLFVMNWVRNEVTPYPDDAWAALVAIAIDRSFVQVKHWFSNQRQVAARKGTSRLEDVETVTVDDRSFRLWRKAVESSGPWSDEHFETALQKVVRGQQAKYEAQLAAIEWQATEDIYIVYIAMRRFIGLYVQDKVRKNPRYKTVVYTTSHEPKLSLPESQYNNQSETTQGGPKASAESNLAAGGRFNTDVLDDDEGEDEEDEEVDKYDEVDNDKDEETSDVEDVDVDEDELIEDKRVDEDDGEGKDDAFDVDEDEDVDKVRDKVDVADKDVAVLMASPAFAIDWNAWKV*
>EED80335
MGYISQHALENLRKYSYKGVDKYVAMPSTSTDLIFNLAVPPPAILELVRDAMADMGRTKHGMGAASIPLAFITLSGLSLVLLNFATLVYFDPAYLTDHEGAGPPRWIYLTWAAGLFLYQTFDAIDGKQARRTGMAGPLGEMFDHGCDALNTTLEAILACRALNLGRSWWTVASQIATLANFYLSTWEEYHTGQLFLGYFSGPVEGILMIVVIYIVTGIFGPSFWDQPFLTFTRLENVPAIADRVPNIALNEAFMVFGAFGLAFNILVSYINVFGARIASKKNPFSPLVFLLPFPISVALELAWLGAPTFRESTILRSPVFMPFMCAWGLQFAHQVSRMILAHVTKQPFPWWDAMWVWSIVGAVDANLPRLLDRPPVIQSTFRNTALFVYLTLAVAFLSYARFCTLVIRDITNFLGIACFTVRKKDRSGEWVEAAAVNEKRM*
>EED80336
MWLSLKRLSGRYSWYEQLPGTLPGNDAHASRKRRPSCGLSGRTWTKLAAALTVLLTTAFIASRIYRNAAAGLPLRLPYIAWSPIEDLPPLYDRFHRYELQLPQHQDNYSLSHSDRKYLWVANHARGVGWGNVMQEMLLNHQLALKAGRSFVFTNYTWDDSGKPYSNFHGSSIPSTIPVSTLIRGPSAGASITGDAEAPLAVKKEYWDKVCPHPKLIRNADVVSRFDREPSAQELLDAWVEVLTSTEDPVFGNRDRLLAIWPSLNASPVLTEFGWSSLIELAFDQNREIFAPTAVLESCLTAEPVTTHVARYTPIPGLLALHVRRGDFAEHCSGLAEWGSTYVGFNSFHSFPDTLEVPPDTKGAERKNIYQPHCYPEIAQIVRKVQEVRRSEAGQSLRHVYVMTNGDEPWVQQLKVALAREGQWDSIATSRDIIVNAEQKYVKQAVDMLIGQRAQVFIGNGMTAQADYNYQFSSLTGQISMLRMANGFAPGSIRFW*
>EED80337
MSSGAMQSKVGNPQVYNDGDQRPHGTEAPPRYEAGQQHAHNIFDPQDDQTLDNRRQREEKRERDADRKAESKTVTNPLEPATRQGHKPSRGAQVDAELQEEDEAALEKKKQQKGSFGPTKGMT*
>EED80338
MCPREQGHWEGEDDGEGSGMAGCRGSRRARRGMTLEGRLASARADGGGRAAQATHWAPDGLCTGQGGDDDMAVLPRDDKAGIGGTGAHAGSHGSRTFPIWGGEDPAGGMNWAHPESSLICLFPQEVLALVAFHLSTHHPLGPPSHLLPLLSTCRHFYFSLASHNAQPLYAAIFEHRFDWRASVRRLGERTKRPTYQTLQLKKVSRMLGRVKQGDVRSSHLEADFWTAFLMCLENDGRNAVQLDHAGLDALVINYLRTRLYEGREKTSGWPAEITLNALALWLFWFRLTDAKIAAMPTDQRNEISDLVRPYVLTALRYPVFHAPDNHFELPLPEQLREQPPWLLTTPHGFYPLYRDPDALAEHLAHFAMPLTLAAPLIALGAQLIYTALHETPLPYSPGQPENRAHSLQLNRHYIHPTRADVDEANAKPSVVPPSRGDWDWYAGLSAEQRVIEDGRTMRPDLTSASAKWDRDFARLVFCFDPYNYPSLKPSMWTHGTLTGLWRGRLLVPEVTGYFNLIHSQEFPPTFAYDHPRLFTSPLYMNLREHHCVNPEAPAASGGEPEGFDDGICNAWFPVDTTFYEEREKGELRVVHTDSGTDSCYTTWMPGRPNGHSEDTCTLCERRREDHEMEVVARARIAARTAEHVDAAQEAADEEEPEERPREEVGSDPPSPPEDPMDQVAAARQAATEALGTDADEVLREVVEESSDEDMDSEEDEYASTNEDDVEEYIEAECTGVSDIIITGETLQRHGEAWNHYRFYGRVREWDGLVALVRVPMFERDLGTYIFRGYIIGGTNFVGRWRAWTKTLDRVPLEGPFTMTRRLFDPYSLELLRNQVITVSPECGLILDVRRYSNDEPTDVNWSDPQEVDLRHATVLPGFVDAHVHIFLHAYSDVSWNDQVTGESFVERTVRATLHARRTLMAGYTAVRDLGTEGLDEADIHLRKLLSGPNPMIHGPRYFCANRALATTNTYGPKSRLHLNQDGVDGITGAEVVDGEVECIKAVRRQIGAGADWIKRMADVSTAAGLADIATFSQKELNTIIATSHQLGVKVAAHCMSWTVNGTVVSSGPGFHTVEHGYHMSFYDEVTEQWNGDSTSTGDIRTFWIPTLAAYYSMSSGNPQRWESSKQAFRRALRAGVENIACGGDTGVFDHGENALEMKLMVRLGADWRKVLRWSTLHGWECIRSMAWEGPTGKARLERVGDLREDARKVGYNEVPFGAVRRGFAADIIATTGNLEDDFEHAVDKSAITFVMKGGSVYKRDGRELLHIAPTGNTRRQSDNMKIYAGKMFDVYSLELLENRVIIVSPDSGLILDVKPYTQSEAATANFSDPQIIDLRNTAVLPGFVDVHVHFFLHPYSEVSWDDQLTKESLVERTVRATLHAKRTLMAGYTAVRDLGTEGAGDADIQLRKCMSGPNALIPGPRYFCANRAIVTTGSYGPKSKINLNQEGIEGITGAEVADGEVECVKAVRRQVGAGADWIKVCTAAGCQEPTWRYSAYMTDYRFRSRMADVSSAVSKASITTFSNKELDALISTANQLGVKVAAHSAHWHARADNGISSGPGVHTIEHGYNMIFDDDTITALREDAPQSLSKTFWVPTLAAYYTMGQGKGEVWESAAKAFRRALDKGIESIVCGGDTGVFAHGDNALEMKLMARIGADWRKVLRWGTLGGWQCIRSMAWEGHDGVARLARVDNLEEDVRLVGDNEVPFGAIRRGFAADIIATNGDLVNNFENAVDKTAIVFVMKGGKVYKRDGRGLV*
>EED80339
MPYALPTDHITSPSSSTVTTTAAPRSHRRTRSSGNFSEERGPGAFVSLGTLPRSHKKAVFHLGLHDDDAAHDDDDAPQPTPRPSLAYVSPHRSYLSPTNSLRLSMNNGRFSPSIEPPSRIDIPPPAPASVPFPTSSPSSPGGSSSPFFLAPSATTNSSPPTASLTRTPSTPIILSNGKPLKPSLKSSSSSPNIADALGRAATKHLRAQSAPSTPGGPKNVHFADKDSGLETVRVFSRSGKPASLSKPSGEETETETEAEGPNVPSGSNSFPFPSIASAELPLYEIDTSSGRTSSVPVPMPSPYANVHLETLALPRTRPPALRGTVLVRNISFEKRVAVRFTLDDWQTTSEVTCRHVVSLPSLPPPFPHPRTVGDLAGSIASGDRAAKEDEGMLSWDRFSFIIRLEDYEHKLAERTLFLVTRYAPSCGGEWWDNNSGQNFRVGFRRAPPSPATHSHNFAGMGLGMGIGLGTGEIHSQQRTFSAPSTLRTTPTSGALAQGPEGPEEGENASANARMVALAKAQVESVRQMQMKSKVYAPQLMRSASNPLPATPPADLLSLYAADSRSSSVPNSPVQGYINKRLSLSNYVAPGSASSGSSMVTPPLTPPNGGSGRVRSASLPVGVGLSADVPLKESEVPIEEEDEDLGDTTALPHEANVSSPDAITSEQPVTALEPLDFKLPWTGPSGFGAHMGTGLGIEFPVSASEVPSQTQEQLMSPPSSPSHEQASLGLGLDVGEQGHQAISAHTQHRNALQSTISISLPSLSSGSSSPASSGASTPALRDPTYAALIRNWCFTGSPTGTPVGRGTAVAAPPVSYGFPAFGSGFGMMDAGMVGGRSVASVRAALCVRSLFNAAPLCGDMLLASTSWVAARVRLPSPEYVHPALPRISPQRLLYTTTLAYPYPRPLLPNPTIPIAAHDLS*
>EED80340
MTALREAPKQRWEIAWPDWGDARGSMGTAYGRCGIQRTVALRGHEQLLAAKEYLHGPGRQRNAPLRKSARLGALEMERGRVHRRTRERSSSQLPVLQSPTTFERSPSAPEGHTHSMVADTPATRAKCAAPRRHPRCDRASRFDDDAEYEQPSPTSISTSSEAKKGATKPEAGGRATQDGIDKAQT*
>EED80341
MTTAKSMSAVTRMIRPRTRIAPQAGRAHPRVAYWPRSGWLWLAALPGIDGRSMSSSDRQRDAEKPKPRPHEPSESQNCRIPRVTVIERVAAVRELAQRSRTHVEPHSVLTCRPSEKSGAHSKIEIRIRPSAGSSLAYDTSPLSAPLSRTPANPLRALIPRRPYFGDGLKSARRRVRPGPLWVVLRRGVIDDKLEVADGGTNTAVLAVREAVLRSGRPQSGGRPGDVGRRDSRDNGLYLIEERRRVRDNQGGSANGRAHLPDRTWQSLSISETQHTRQGALEHSPGSPGSWLFDTCITTRVPEGPQRVFLFLRRALPFPLLYFPVPFPFSLAVAARRADAHRAVPATSPSFVTARVGAANSNTPSSSDEDAHLTSPVASPQPGPIAQSFFFAVPDRSSWSSNDQEPASDSDVSSAANLAGWGSGRRMSVEGNPVNRVQMRSVMQPMLPTRGPSRAASPRQRNHRRSSMATEYAYSAGIATEEELASPTSSINEHNPFGTPTDTPRNSIYGGQAPNLAAAANAALGDKKTPPSAYSFPFQSHPGNPDPGLSVPGRRTSAESLRSRTAPPGMGAPLSPNVTNATNNNPLTPPGSAMGTKRRRCHVIWSQRDDSAGGMQETTHRDVHDDSRGNAQPDTRRVEKRLAGRRAWTIADAFTQPVGTRYHSACPHSCTALSTRVAPQANMICSRSCVSVRDTSTSPLHCFARRPLSRIPGRPSSGLHATQKPAAQPAAWSKRARAPVEARPMTTSAERSTQCEMEDVPVVFSTPPSRTHCERADHGNHSDQMGVSMSARLGELRTGLRAEPDARPPYTRAVLRACTGRSRVRVGDGQHRGFHIQPARAPSNCGGGQHLRLIRGLLLIDGNGNSDSDSSYRPRRYEPCRCGAGNEQFSVHMHLTANVTLLYMIPLAEPELTEYRNTLASPAKETRVVKGVPSKLCDRHPLSQMMSIAMSVNIMATIKAKVHLSLSLSVIALRMMANGRKHVFGFQWDAASGSQVDESTDGGEMLCQAGSRWLVWSQDPPPDACKCVVTPTKEPRIEKPIDGSSEPISLAWNGSAPHTARASVSACSKGIKFCGQMLVPAHAAAHGLTFSALHSASSSTPRVLAVGVPGSKTIMTRDKDLHGTISRCAPLDIVGNISACGRKHFDDGAPGGFCRRDDGPAITLECASRDPERAAALTDLNAGDSDEDAPQTLAAQASDSVSTSTLQRFTSNRRVAGGHLPRDHAALPHAALVQEHPWVAVVRHIVQDRALLRKQLACVVRTSGRVYGHCFCASVMSLSRPGRQQQPSPARAQEQAPAPAHAQHPPRDLVLAVPAPVRARDAPPPRGAEDAGHWSDRRRCFSAQRLDAGASGGVATLSARSAAGCVKGAVRAQSRGGSERIGHGARAFGHGRSATAHNGSARCFAPRAASSPPPRNTGLGRVVLTGGSACAKRSGRHRAEAVRGGELTGRGARASGHGASANARNGNTRCCAPGQDPLWQRAPLRTQSASVAAVCALASRTGWDGAAQRLCPDAQRWTRSRRTDQGRRVLLRCASDSARSMLTGRARRTESAGGGTVAGRWRARRERWAGQREYYRTRLVLYEH*
>EED80342
MSRPGRMRCDRPQARNNVSSSCVAWAAKYKTNPLFNVKALEEKIYNGLRMPGSWYPPPPCYLTKLPNEILVDQVFRHLEVIDIIRLRQICKLFYDLTHHAVLWKRLLRRAGVPVPPLPHTIPNMSGVEIERLLLRAISLARDWNSTEQMPANVYDFNAHHQIHSMFILPGGRYLIASTSDKAESTWSIVIYMMDHRVNEVVALAKPLVKTKAIHINARYMTIGGVWSIVIAYVRREFLHHRHRRPGATPLDVSQYSGDYEILDPEFPLKYECNVVSISLSQLEILGDPGAPPGPPEFIEYLLSQELPFRLLTQIRSESILARPVLEEIFGSPYLALMKQPDKLMFKNLGGGQASTLTMPTYQLFPQRHEIRTMSIRLNPLQDSVIVLRRMVMPRPEYNPQTDAYMTDHGIPTSDDEAAMPYLHSAEESKVPRPIVIFWKRTVLQLPPTPPGAQVPAQAQISYSYRIEDVKAARRLIPGDNLRYQPLVGTMRSILYKVPKDDITDTPLVVAIARHIDPDAYLRQPVLPTTAEEWELDIAEIELPSQVYPAEITAMAWDESIGRLIVAQKKYFWITVLDFSAAPRVGKWCAIFYWTSLYTDIDCVVLDKDGSRLPFAASINNDLSEISAPLPNSGSILSPHPALNDCDERTRWQGYREDFIFKDYKDIGVLLQRAKDWAQEDAMDQESSQAILKDGPSQNVMDDIRDDDTVQVVRDTDSVQGVGDNEHMAPPSGDSRNGEQEQAIRDDESILSYTRSWGQIRSPNTESRDTNPTHQLSANDPKVSVLGFGAMDLATWNCKADKEEGLRIPTNVTDRGITFCPTYTRPVCITVPVLSIHGKATIRNEGRRVQPAPRGVVAVGAEQQAAVASQTDYIGLCYQHRVDLEIPIEVTANMREFVHNHDEDLPVDRLVLSECSSNVMKRVKCVLGIGKEIMVRRVEYIPFMLEIGANGFAKAAHALYTATEWIKWASGSEHRSYAKPDSHVPEG*
>EED80343
MALLGLFSKRDKSRPPPDPASKSTTSSTSDSDQLSVQASVQASSVYSGPNGASSSKLILGFRGKKSHPPPGPHTDDNGFLRPPDLNSHSRPPRFSSSKSESGHDYLGPPPSRSDLFAAYAEPSSARSTRSLPTTTEHNLSHARTNSRDAISLNPQVSPSNTVVPVPPPKKHRGMFAWATRERKKSKVAPPDVVSPDDSFNLKSFRHVRPVSPGPGEVPRPPSSLSVAGMTPPSRPRGSSIASADSSQRISVAAFREAARRSAANSPSPSSTDLARGDVSPVVRPPSGLSQAASPQSGRRSMPRSPVSPTSDTTSSESEDEDDEAEDSAGSSTLRPKRATNAAASGTPRTVAKGTSNELGHRARPTPPRMTPSTSVQSSTSGQESMYNRARASQSTSALMPNAAARRASMLAAAKAVSQDVAGKKPAPKADTSDDSSSDSDDSDDAPLVRFVPPKRPGSAMSNSTSASRSRVPPKPLIDISGLAPPSLYQQASPNDEKAPTPPAKENLSGKERERNKENIKDIGKENERGNEEKELDKDKEKSSLSSTEKPTLNDRLARLAQTVAGGRSSTSHEFPAKDDDKAGRGRQPKRSQTAPVEQFAAFSDPAPAVLRLPPSPVTPQPPSPTRQKQNGRSLSTPNAMEGVKDLSDPAPIVPTPIRERSPPPAFSVTSRPASQLSLASHTLSPASLSGAAATVQSQTHWQALTQSQNTSTMQSPAPSMPSGRAPLIPDNGKPPSRGFTGGGLLASPSPNARSSPAPSSQTSSPAIRAARSRAATVGQKSLKPTMEETAAPVPVRPFALRNTSSGSGAGDASSRVSSSSVSTLSNTSSPAAAPGASRNSQPRLRASTVGPLGAPPVKPFAGPGFRGNSPASSTGESSSGRTPITPVDGSEVSYAPKDREQGKRRTDATAARRAHRKNASVTFNEPERERERGRDAREEQKEERAAASASAEESRRRERRRSEAKAALELGRIVNGHGPTVNDDDDDEDRPLNNMPPRMSMMSSMMGGLSPSPMAPMNPGMNSASPMQWQTQPGMLSPQQFMYPNMPPNADPAFLAAHQQAMMFAKQAFQMAVAQQAMAAAEEEWERGSTVAASMVGGSGGRGFGTPSMSPMYPNQAGFGMGMGGMGGMGMPMQGGWGGMMFPNSAQSMYAGSVAGSELGVNGRGVGWGSRSAYGDPSGNDRSSMAFRGSAYGFQPAPMPSRPESFGQAGQQIRPGPRPRTRTAPTGDAPAATSGANKRQPPPSSWKAEAAPHPGRHQGGGRALWRL*
>EED80344
MSGASVAPFVSRRSYPDLSANSVTPITPAQVPRLQFKPSPPQSSHIYAHPSIMEPTVLLRLATHRYPIPSQYYPHISHYRPVMPSQSSYLRASVPRQPSANPSHMTSMSSGSPPYQAAMLGYENSDASSEYNTIEAAFDPNTGTRGHNSYGGEYQDPLRSSWMSTDQALSYNDAYMSGMYSLSSAMSPVAADENRNLADQYSSLVDPYIKQEEYLSGYDKYSQDNLGYTGAGTASSVSAARPLSAAGPLSSQHTAHTSTAGMTHRTPAFPRYDLTTQSSAYGVNAAPDVTASYSLQPGTPFTTYEANIPRYVHPAQVSPNLSPATDYVPLEKTAASPAACDPRTTFNGPSPPLSFTSMSPPSQRGRSDSDVGSASVSPPLVQTVAPGKRRRTVSFTSTSSGDSAHQTDGGESERENSDEEGDSDDEYQVSHLRRRTVSTTSTSSRAEYPSRRVAPPVPVPNLTKKSRGRHVPTAETVESGVGKNTRMYVCKVADCGKCFARGEHLKRHVRSIHTHDKPHKCPCCGKDFSRHDNLGQHMRVHKSSSSKQRRFTAV*
>EED80345
MPRAPRNHSKTYKVPRRPFENARLDAELKLAGEYGLRNKREIWRIGLILSKIRRAARELLKLDPKDPKRLFEGNALIRRLVRIGVLDETRMRLDYVLSLKIEDFLERRLQTQVFKAGLAKSIHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDFALTSPYGGGRPGRVKRKRAKAAAKKDEEGEEEEE*
>EED80346
MHEQPRIRSPTLLRIDSSSSVSSLDSEACVPPEEALIYADAGDPLAATRRTRKRFSNTQLMMLEQLYRRTSHPTREQRDALAKEGDMEVRSVTIWFQNKRQMERRAKKPSPRHSYPFHDVSGKTIHTVRRAALLEQERGGSEDQSSPPVGSQSTTPRASHSPSLEPMTPPLLRDATPVHLTARKRSAPTFDRSLSLDRIAARSERPLLPPKTPPPRPRLLPLTPPRWHAPALWESMPSSPPSHSSPQADRDLLDFGRSRLKPIRTLEWACAAARVGGQRNVEREPESDGGLELDLGGDTEDESEAHEAVTPRNSQIMGAYHRRAQAVRMDADKENDPMAKSMLMTGLSTEMDEDDGDVNVRTHDADMMNAALALCGLGAAQQL*
>EED80347
MRGLRRCLWFFLVTLSAVCANTEIVNFDAVESVARVPLPISDWTRLHPSNPERILHVQPAPLYTEPAHICAYDVRGDENADPGCPHEIWLVLDVDGSDHKWSRYARFTLRISWPAWAPTNFFINIYSSDSVHDVMSLHAKTSTQIIANPEPMPTDIPTGESRSVSRTMFAHIRLVDTGVRPPAAAAPAEPVPFVVLLEPLYFGVVPASVVPIIAFLVPVVLCAAWVVAPFVNAQLARVADQARKEIVLMKAAKRKHH*
>EED80348
MSNDLQWLLLRNNNAFMVKKVQEGPVFSKEPGNLTNLHSFKYSGLANSKVIDVRPTEGGVEIATRKKDASPHSVSSGFAKTTIRPRSGSRRVLGAAAKQAKRGYRPDLRTATLARASAILELQKEKKPSPPKKTRGKKAVA*
>EED80349
MSFVKLSIFGTSFEVTTRYVDLQPVGMGAFGLVCSAKDQLTGSSVAIKKIMKPFSTPVLSKRTYRELKLLKHIQHENIISLSDVFISPLEDIYFVTELLGTDLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILINENCDLKICDFGLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVAVDIWSAGCIFAEMLEGKPLFPGKDHVHQFSIITELLGTPPEDVIQTIASENTLRFVQSLPKRERVPFSEKLTCKDPVAIDLLEKMLVFDPRKRITATESLSHEYVAPYHDPTDEPEAGEKFDWSFNDADLPVDTWKVMMYSEILDFHQVGDSRLNEGVPEGALAAPEDPAAASVAATAE*
>EED80350
MLPPMLYDLFHDPNHTLYNISLKESQLATLQSATSIPHAPSLEEVVETVPHPHAYFCKEHNGWVLLLWRNSSVLPKLARKLDRLPDHDRRKRTGSCVGEGEQPFGQANVTHHWHRYERAVDARDLNPPFMREDTLLDLYVCCQCSMYCLVSDIIPGVIPRQLVDEYTRDRLAHPPIERTPTASVLMGWETFISIIEKRLWQDEQRILPVKRARFQQKVGWSETVKQIFDVLGFPMSIVPETNALGTYKPQVLNAQALDVYELLQTKIGAHVSQIPRGLLPDALVGLDILDSAWKELGMTQTTYSWEMLAFAYLAQCRCDPANTPDYFTALFHIAQALNNVGEAAPELQQLIIEERTRYRYSREDLAEAIAVLGFGKDRELRVELDADVDDQFILEAWRSARRRAWRESNANDLRHRLNEALRMLADDRGSALLRKAWDEEKGTGMSPDAAYSTLEVPREVEETMLITVYVMRVEDQPGQADRMREAMNVIAEVTNSDRLRQFLTTGNDPGDATAQVMSSDMPRGLNQLGNTCYLNSLLQYFYTIKDLREAVAPLANADAKSLDDSKVTDDDLKRHRVGGRLVTRREILRSKKFVSQLADLFWNLEYCDVPAVTPSIDLAKLALVTSQDEEEDDQDRTGTESSNDTDATLVEDAPSRTGHERSSPSPLDSPTGSVLGKRHRDTADIPMEPERSSPQEETRPPSASSSAQSPQAVASSSRLEPADRTFDVEMQDVSQQAQKAPPLPARRPRPIDDSVMMFGRQHDVSECMDNCMFQIETALLDFQGNAGADNDKTSVVKRLFYGKKRQRLTPLSAADDARHKASIHEKEDLFSHLHVNVSDEGFDLYDGLARYFDDIVELEGFKKLMEVSVVDLPPLLQIQLQRVQFDRETQQAYKSQAYIKFDETIYMDRFLDSADREKQTRAKAIQADLTATRDHIQRLTKDKHAPFAPALAATAEFLSTQDVIQLPDVDDQLLSSLRNEQELITAQLEQERQKAVQLKREIDEIWKDDTSAAYELTSVFIHRGSSPSWGHYFFYARNLPENPDQWFKYNDSDVSVVSKDEVLADTTGSTANPYMLVFARKESEVIQTVHRFDPSKLAEAEG*
>EED80351
MAHSPHNPNAVRQQNTSCGFPLARRHAALERIAEPGPCTTQPIPAIWPRSGPPAQNSVMPPPNPGRQIVHDTHVNSRQRARYSVGPFLVRVHLYVPPWKHTLMGKAQISQKLWPVQNACVQEAQPHGQGSGSTTSRARLMQVESSGWCRMRASRKHNLTGKAQPFLHLFYGLPYGLPYGLSYGLP*
>EED80352
MVEHLEDPIALDSARQMQLGTYIAYVDHLLDFPWLDKPAHRCSVKFAGRGLPTRLKDEFMESTMCVPIFPNTSHPLSREPLRPKTPFPFSDCYLYSLVDATVRVPTQDFEPRTAVWMSPRQRREHNRFLADDFLRRAILMREKGVPGPDPDTVITWERPDYGGLPSPDLRRSDAERDSLDDFVVPESDHDGDASLDQERNQEDGSDGDSASSMIVSDSDGDAESVDSSERDFIQLAFAERAVEDLDIIPLVEASQELTSIERIMDPLGFLDEQEAIIAIIKESRARNATAFAEANATATKSESSRADLDLNAEKSGKHGMEPLRWHKRLHRRTIQAIKTEHGRLKGAVVRVVGLIRTHLCLRTVSSSS*
>EED80353
MAAAGMLPTARRTATSSVLKVSSDPKWETSATRECIVSFGSHVECPNCFELERRDLPPYADPELEARSIAHFAGLKARTRLREHDVGDQQHVEDGFFGAGKSDIVILCGGRAVQVIYVPQHGHFFWIMMASPPFAMTTFAPLPGTYLTIRLNPVTMVEHLEDSVALDAARQMSVGTYIAYVEHVYDFPWPDRPTHKCRINFVGQGLPTRLKDEFMEPSMRATLKCNAGVPGVDPDTIRLWDRASVSSTPSMDIYRSDEEALGGGVGPDGQPRRKNSLDEIIERMPDSDSDGMSDGGSEGENDLDSHSEPSMIESDDESGSQESAVDITGIVLGDKCDEDPDLVPLVEVSLEISKVERVLDPIGFLKEQEAIIVIIKESRARNAAIFAQSLANSEQQYGGDASTSEAGAKYSKELIASTGVLALRSEGSQSSPGIKSTNQDFSSRSIESSPKWHTSVIKDRVLKVRRVANAIGNDLVVRDFHLKELTICEPMCYMAQLAMLLELRMYVSAYLGCLHGPVQIEALTQTPLLSMILIAALIALQPRWPFKLAASATYMYSIALLSVCTAGIISIDYCLGCLFVGQFLIAFQLLWLHDPLTEFKHERDCVPPAELPFWRRTYWIPYVPPRPYVSRDVFTRRQLLKGLRWYLFIDIARAHQLAHELFSRPVADIFDVVSCGYLQRCANVAALLGPWLGTLAMDYYLFSAAHVKLGWSAPGDWPDVYGNWADAYTVRRFWGRTYHQYFRRLTAVWNLQHTAFVGKGCCRLLRLQPGSWASSYTQLYAGFATSGFMHCVGDFMVDRALFGMSFPFFLAQAVAISLEDAAIGVARRTGLQSLFPAYLWRMLGYAWVVVWFSVSAPWFIATSIRVGVLFPVRFTLAGTPGWKAAGACQASAVNAENNKAKTTHQDAQVSSREARGRDKHRTKLLRALLLKHDIYNVFLGDDTVVARKAESFLMITDLRPLDTCHRAKTTVVGSVSTKADKEQYMLSLTRKQKTARRTRWTILLVPLALVIITLSTRYLSHPALLDVLSPEYSSGIWHELLDWRPHDDIHAPHLRRAPEPVPQGFSADPTSTVISFPGQSTQPGSSTVVSSPASASASASSTPSTTSASSTIPTMPATPPVLPTPFPQPFSSLGSSNFATESCYIFFQNMSDTNPFRTCRPFSLLVQSSADFINSMSVASWFLSAGSTTDHPFFARAGLTPTKQAQSNITLLNTLVWGTCNTDPSVDQCVANMGWFAQQLQSACTTEISQTNELVTSTLIGLEAYSLMRSAGCLPDTATNAYCYVESVESSHPDDMFYYQLPLGTALPNNTLPSCSSCTQSLMAQYVEEGLNTTGLRETYADAALITNKVCGNGFVSETVTEKTGGALRARTGTWAAVAAVVLAIAHVSC*
>EED80354
MFSNARRMVRMACFSTLDAEAASSRKILRDATPQSLVVHGELARGASTYGRVGRRMVPRPTVPHIHPNIRNMHMETMMDDELRELRRDFALDGDAEIGDQAVLRRRASLAKRSLMQDDRSLTFLFFIIRGTVVWYGSRGLACPETSRENPRHLVYFGDAVMLSVKEMMRHEQYLGEDWAQDNALRPQQTNFDSAVLSNDAVNVQDWLQAVDCSEDQDGAPCTHEDAVSEHFDVAITTEDDRTPTLNSDTDTEYSDSVDSTDTDALVNAAFEFFAPFCVNLDGIDVDIIPLVKYSFDLTEGGECADPRGFIEEADAMAGSFPPLSVSRVLRRVASHRPRRKLAAMAFTPLIDFLYLAFTQRRTSLSSLILVLLTDEGLIQHPFVGELVLALPKILAAFAHHPRTSATTYSWAHSTMKARYYQATRDLVDTDNGWHFSALHASATQVLDFRIEDMASKMQSVAPELCDVIGALLVGGESRGLKWSTSDEDEEGALLDADEIEYWSQLGDDPPVWSSEVSGGEANKRAQIVAQRRNALTRVKAVVILSIVMQSLQSLSQRCNALAAVNGILFHSCNTPDRVIKCLAHMGLSISPSAIKYMVHSLSVGSALSIRSLGQSLLAGLAYDNFDILLNTMTPTIEKSMENLLHLTSGMILPLYHGVTREDMRCSHYLWERSPLNPPALMPSLDTQDKASKTPNAVMPLVEDLVEGLTTEPTLVHSEATTDPNCGKATSSHPSDFQETAVERSADTPLKPSSEVKDETALPEARSFWRLARMKKGDKRPSFSSSKNALSHMSPCTLPGAPSSSARASHRAFLSPFSMSLDLSTKEFVPAYGTYLALWLDPVKMAECVDDPRVRAAARKLSPRKYIGYVDRISRCLIVHGIVASYDLSGSDVNRSILRDRSRSRTAISIAMSTLLYASLLS*
>EED80355
MDSIMDLAQLVFAQEPDEDPDIIPLVEVALEIGKMEHILDPVSFSDEREAIIAVIKDSRARNAAAFAQDVYADTKSEVSPADLDAKDVLGETMRLSKPPRRWHRGWDVNE*
>EED80356
MSTFTPLPGMHLTIRLNPVAMVEHLDDAVALQAARRMSSRTYIGYVDCLRDFPLPVKPYHKCSIQFVGQGLPTRLKDEFVERDMCVPIYPNTLHPLAREPLRAKPPFLFPDCYQYSFVTATVRVPNQNFDPKLAFWLSGKHVSEHHRLLSHDLYRRPVLKREKGVPGPDPASIILWERQSTRGPSPDLNRGPSTSDDEDGDAEDDSIASKASFSRSEAASPVAHNVVPSASHPAAPSDAGHMEPDEDPDIISLVKVALEIGKMEHILDPVSFLDEREAIIAVIKDSRARNAAAFAQDVYADTKSEVSPADLDAKDVLGDTMRLSKPPRT*
>EED80357
MFSCLRCSMAKNDCNTTISGDAKVGDIFCMRESLRTPFEKIFEDETFGEGYCPRSCSTSTLPDCNTPGLGKDRPCLISDVIQKEGLEPAYEIYVMGTFGGASLAELPYIYRHFSLPVAPNGLHEEHIHTIPGWRVKHGNHGQFLVVYGIGAKHPPLVRWHARGSNFGLRRTEAGVKLKQISIRMVREWREMCVKDPSFAQTVWQEYCDHQKRLDDESRSSHRPELPKLGTL*
>EED80358
MVNRYGGELSMIFIAYILAGFRPPISTSTCHGFVVVSRFYFELSEYGTTGSAMSYSAPLNICVINPAAYHGLIVDYTQGVWGGMLLYDVYVFAILIANAMNRPRRHNAEIITNLNRDGAFIFLPYAWLSYFLVSLEIVAWALDNALSSRLFLKMKAIEIRKRPGWNPVEDLPSVYVMQTIELDDS*
>EED80359
MSLKDSGSWPFEAIKYFSGMFRQLNAAYVVENNSGVAVTLSLFDHVLTFRKELDLVWLQRGPWSIMQLVVVMNRYGGEASMLYLAYMFSGLMPLSTSVSTGPLLSCYCSVSMPYGTTGGLSNMHYISDLWIVGWDGLYFPFSSLKA*
>EED80360
MPTYIVRPCLGIISIQENRAYSAADEWALLIGRDLSLGSCHGAAVSTPIAFAVVSVEPGVVAGANATKRRQAHRHVIKSYCRDNVGEDGVSACVDEGCDAKKEHWTQHISTILAVAVAERFSDQCARHSIRGLGQWLGGWAGLGLYCRADSDRTYMLLHKTSRDDMSCRAYTHAIGSLDMVLGGTYLDLRADKQAFGAVLLRERPGFLDVDFRTTAPGTSGSRIVPSWVIGLRTHRRLLAFTHAITFLEAADREAGVNWTCASQLLPLCKRTDGHSTYEEHHTVYSDHTPRGRRDGLQGPPLGRGCMARGSPRDPAACARLPVGKHGLALEASDSLISLGGGESLVEQLAVTIEERTPLAAARTITTTNTSASASMPSGAYTERASIVACLNPHRFMDELIDISVAPIHFDDASRLVSPPPWAAGRAPGFRSQINRTPGIWCGEAGNAGPEGRKGRLAITVAQQACALAGRGMGMCTSNKLRAHRGVSIVTAPQGGAGWTNYAASPMRSCASADAYRKRMSPSRRVYSERPHTLQPGCSAWMLPADLASSTSTARPEEPKARARAPLRAQGPLRLVPARQSARGRAGAECTPLNLANRSSTLLLPHAGVRVVRLTMRWARALEPADIEYEWGMICFAILRMHSAPHTLRDRIRAGTSSPCVILAEITAKHETLYGLCPPAKHAKKGGARKPQEREGTTQRAAAKATILSAQRHPFSILFTVQRVGGSAGMWTDVSGPPDSGNNR*
>EED80361
MPNAEMKSNIWPLRTGSRREGMPRGSIPNRERPATSVWLGAKRALGRAASSGPIVRRMRKMSRDLRARHRDDRAELREETRARTLSAAGGTEDGPGAEAVVVVVRRSDFVGSKCASGLSAETAVKAPEEVRWWGYVRQGLLTWRRAPSARWAEQDPWARAPGLLLHSHSPQTLALTQGLQGDRDLLLFDLYWRQFGEGESWGKQRGSAGTQIERREDESGGTVSDVDVDEVLGVEGVNKALTRSHDGGRKGSKGAHHPTYRKGIMLMRPWGPVVMRPYRLTFCFILNHLQSSQLHLRLAILCMFSRDNMVNGTLGDRACITVQSTLTDVQLVSG*
>EED80362
MADDVFDCSQLEHTFNTQEQVDVSHDNPENDGSKASFFYCCSPSPLPPIISSSSPPNKEVNTALSTIELKVQITLSLLDGDARTWATLIFAQLVAFQVRVQGVITLFADARAFITAFKNCFGNFDDAAAAQVELSKLCADKSMHEKRTAEEFSTLFKGPVDHSGYGHLELHDKYLSGIPFCMYRKIKLEMFATWTATDKRATEVEQQLNISQAH*
>EED80363
MATTGVAALPIAGTKSALKKFTEKLASLLKNVFKSDVLKYFDADRNDKRYKVKNLETYVKDSRSKSSVKSLGAWREYTRGFLTISGWLRRNQRITTDEEALYFWKGIPRLFRQLLEPRLLTAQPNHDLSKPFVMSDVNSKAEALLQRNRFDADRLPLDESSALESDSDSNDSSDSESEHDKKKRKQKAAKHKVRTKKRLVVKSEDSDNEEDIKKAQATRKKATKEMKKKANEVDNLVRQLNRMSLEDPDYGVTYLRACRLDPLVASVVRKPLIESTPPMTRPSAPMTSALRGNAQTGTPRAEMKCYGCGKLGHGLSSCPDILGLIDRGIIIRDSTTGRLTMKDGSRIFKGMDEPFTTAIERQVGPQSHFITAAEAPFCAKVEEAASEESDGDGDDEDEDEDSNTEAVYVMPVKPTEKIIRTARKQAAQGPVVPPVRIQEKVDRAKGDKQKDKPPHMNESVERRSARIQGQPAPAISDPKPDREVRPVQPHTTRNSHERVWEYQQPVDTSQRNFDPANDDEIMEDDLEPIAQKQKLAKDAKKGNKTDATPGKVDGTKRVPKKSDVQAQVDQMKILGKVLSTPVTLAVGEVFGISKEMSQHLQNVLKPKSPVVNIAASSFSTKTCGLLIRLRIEIDGRPIIAIVDTGSQLNIAHKRIWKTMLNRPMDIARSVNMNDANGGAGILQGLVENVPLTCGGVLTYANLYIGDKVPFDLLLGRPWQRENYISIDEQSDGTYLLFKDKDLEVRHEILVTPDGLDPNWSFDPAVWHSIQNSTQKGLLGQKDTRVLNCGDFREDYIYNSAYERFWQRLVHQVQQQPTPALLSEDNLLNDRLYVHDHSHPNEEPLLICGIKIPEKGGDQIASPNSESAAYEEPLQHLEIQKQKRKNLEANNWRVPGQVGTAFPEILEGGVPEDQYEMFGSNKEHTPVRTNEECTHQVARPLGLAPVCCGQEKQVVSCLRTPAEGARDPNTQLEESDWGVLGIGNEEYLTLASGSGYEPVPSDSNEVDKDTWQDTKARAVFPGNAEKMQRNNSQYEPFSQEDESVPFRSNERLLHLGARPFGIAHVGRSKDMQVMSRMLAGPARDSYARLEGAMWIGWEECYTPESERLIAAPPGMLSPLLRKDCSVKQLASTQAENEGDAENLPGDPSRERTPARANRWPCDTPQPRSGMLDVGSQSQMSPRRRAEVETSQELRRKGKERDVHANETGARTVKPAMPVLRQSTPYPSAMPPTQCYRLTSGAVGTVRFVPPTGPPPPTTRQSTGIQGDQLVLLGHHDLNVHGPANLGRLALGGNFTVRCAPQQTHLGTQQTFVVLGCVVWYTEPGESEARPYFGDAVITFTFRGPTETIVPFVHAYEPPPPPPPSAAIQTTSTTRNERPILPLPRRPPVPQERAQQERASHDIADVWLVENAAILSNILAASPSNIPAMLNARPLVPPPLSSSGLPSPSTPLLPVTTPDSCDIETLKIPGLLYLQDSTDADVCAVSPLKTNGLRTEPVQDEDEDMPSLVDWEADAGDKTSDVEILDNDTVAT*
>EED80364
MKNFNIYDPMKRGQGLVLDGQTLAHIEVLMNSEGTEEGSLLKLLSRCITPSGKRLFRMWLCMPLRDVSDIDARLDAVQDLMDHPTFEAEFMKIAKGLPDLERIVSRIHAKNCRVKDFLKSFKSLSGGLSELAESAESFESKTVLGLLRSAPNLLPNIKHVTAMFKKAEENADELVPEDGKDKVYDDIMDEIRGLEEELDDELKTMERKLGRAIAEPVASVNLSYWHSAQGTKEIYLVQTKPSQKNVPKDWTKNGSTKAAVRWVVPSLQPTIRKLKEARENRNTAIKEFKNRLYAEFDTDRAVWLHAIRVLSEMDCLFSLAKASSALGEPACRPELIEGDAAWVDFEELRHPALCASTGLKGDFIPNSVKLGGDVGRIALLTEENLQLCPVDAILTRMGAYDNMFSNASTFKVELDECCKILRDATPQSFVILDELGRGTSTYDGMAIAGDFARNFKEKTDKKKDKVSGRLPLVAQADFAYLYGLAMGKDELPENRVRRKAILSTIKGALTSSGLAGLKSSWR*
>EED80365
MVPNASTFILEIELNEWFGLSISLHAILRDTTPHSFAILDGTWYYHCVRQPTHVFHARRDGRRRGEWAAGWHSDSESPIVHIVFVCRVTPAGDAYLGSLILPVDYGSLTNDFAHHSIVLNVQMETIVDDEKREATIGYIPRLGSYIALWLDPVRMAECVDDPHLTAVASELTPHKYIAYVNSDNRSLTFHFVVIRGIVLTVILFLDPPPVETEETSAVSEIVANPGSPVPPIDAVNVQEWLQAVDCSEDQDGTPCPHEDVGSEDINAAITTEDDRMSTLNSDTDTEYSDSVDSDSDTDDLLFNADSDADTDAIVEAVLGSFRAKVDDIDVVPLVKFSFDLTEGGECADPRRLIWKARDGTLGDPRAATLDGVVLLAQDVADGTTTEPGLVHDAAHTNVNTGNPITEHPLNTMETAVECSVDMPPESSPESKGETALMEVQPF*
>EED80366
MSPDVPPDLSTIEFDPTRGIYIALWLDPVKMAECVDDPRVRVAARKLVPRKYIGFVDKNLDFPMPNRLWHRNLIRFVGIGMPEDQQDQHITSNIQHPEGREPLHPSGSFPFANCYQHSHVSATVRIPPELRDYKDAASLPVPEIYRFYHYLDEDDSKRGVSSVPPVPMQPDTQLDGFEGIAEDADVAIDLKNADRVVEWLKTADSSEKLLDPNDADPHVASADMDMAACGDDFDFPSAADDGDVSDASTVADDMEDLYVMFDAFLFPKLSDPAFDLIPLVEVSYDLTARGEPADPRGFLEEAKFMAELIQKACDGTLEDVSDSGAIVSVMSLDDVQKEECLVLVPADEHALAQDSPASNFITGTSIVENIRDCAGPAITVTEHLADTPPVAQPETEDKLLFPEADPSPHAEKDVVNTSSRSSKASILRAIRLRKVRDDEH*
>EED80367
MPRDQPGESKTFSPPPVETEKTTTFSEIVANFDSAVLSNDAVNVQDWLQAVDCSEDQDGAPCPYEDAVSEHFDAAITTEDDRTPTLNSETDTEYSDSVDSTDTDALVNAAFEFFAPFCVNLDGIDVDIIPLVKYSFDLTEGGECADPRGFIEEADAMADLIRKARDGTLADHRSETPNAVMPLVEDLVEGLTTEPTLVHNEATTNLNCGEATSDHPSDFQETAVERSVDAPLKPSSETKGETALPEARSFWRLARMKKGDKRLVFHIEKCDVAYVPVCLTGWVISLLDTLY*
>EED80368
MAYGLLVLASLDLHGILMAILVVHRGKSTSDTSDYVLSTLWSLALSDASTFTVELDEWCGLSISLLSILRDAAPQSFVILDGLGRDISTPDGMAIAGASRPQDGSLTDDLVYPPNVRNMHMKTMVDDEQRELVFLYTLIRAASSSFGAHVASLTEVPSDVIERRHTGVQ*
>EED80369
MAPQPALPPGNFRTIIEGGWETEQCSDAPNTRQMTDYPRFEVLPPYKPAELDEWKHVVSWQLSGEMWCLAAQFHNLKPYIYGQGCQRANIRSDNQGYSTQSYHQDDGYMQSVEPNFNDVTAWKEQASVPVVGWHPLPRGEGWYLGVGSQHACEQRWPTNIGKVPEQTERCFEQPECQAEYVLMASRGLKSDYTSMLRTGDIQRHRGVPLQGSLGGTRFPEEGSIGDRGTVITVCGCPGSQ*
>EED80370
MLLSSCSRAAGAMLSALPLLALLSALPGAAAQGETCNTTTLCSSANPCCSPYGYCGSGANFCFGGCDPLASHSLTSCEPEPVCQNANISFNSFDRILMNQSLYDGNASRWDFILNEGNIQNTSAGELVMLLTESNGGTRLSSTRYVHYGQMTARLKTGRWGGVVTAFITMSDIKDEIDWEFPGNETSQGQTNYFWQGVIPAQTAGQTTGNLTDTFSNYHDYTIDWQPDQLQWLVDGNVVRTLLRSSVTDNSTGVSRYPNTPSRIELSIWPAGISSEPQGTVEWAGGYINWNDSDYKSAGHFYAYVDSVSITCNDPTPASSNVTSYVYGSNSTGNTPSISFSNKSTIVTTGAAAPATGSPRSAWAIFAGVLGAVALVGAF*
>EED80371
MTVFAPLETEYIVLRIDPVAVAEILEDPILLDAAKSLTPKSYLAYVAKSTPYKVLTTSKVLDFPMPNKPAREIRVQFVGQGLPTRFPRDNVDETMCIPILPETKHPLRRRPLKPQPTFPFANCYHYFCLDHSVCVPVQPFEPDQATSLPDKEMIRCQKYMEEDIYRLYRGREARVEHGSVDSDSCESDFPSPSQQKTLSGRSSVASSQEYSSSVSSSLPPEPNAPSPHCNEAHSTSKAYGVDVLADTYVVATSREPYVRSTHPYPRDIDAEKQFLRDKVFGQSDGDKIKLAPLVYVSLDLSELKEIPDPNGFRDEVEELLKLIKESREREARASEMQAMEHAAGSSHSSWIDLGDMIDIDRADAFGTQWQPQPRWYRRCCAALMRMLKMKRITISQYVVRTTRAVRRRLSRRENSQ*
>EED80372
MPVRDNRVRGLRPPATRAPELASSFLTARVSVFQHTPSSEASEGSRTLPASRHSLKLGIHPHTLSAGSIGALDERFFGSAVLSASGSGALGERFGYGGSTVLSASNSAVRWFGSSTFDGGVQSGPRQGSAIVRVRSRWLRPHPGKRFGSDGGGNILRRSGGQQSVDSDGMRAGSGDAASGRLQAAPRALHGSKPPGRLTRLCWNNGANGAGARLSTGVAMPVRDNRVRGLRPPATRAPELASSFLTARVSVFQHPYYTLLSFLPGTMSVTLEATRYLSGMALTISLFDQLLNFSKEIELVWAHPRLWTSMQFIVVINRYGGGASMLFIAYGHLYQTSCHDLVVVALIYGVIGSAISYSPEINACVVNENAYHGRITGYMIVRLRRLPTYQDYGQARCSRGTFQVEWVKYVRASSVAREACEARQYDTMPRPDFSDELSLKVVFQNQSDGLFLFCRWQRTMNKLPYPRLNPLTFGPSGRALQGGLQRLRPRLRAEPWAGGSRVPPGKAQRALERAMVIDSIFSKLVTPPIDDRSTGLEWAAFEADPARPKNQASNEVEYLRTVMTRPYLLARARGGAHLRGLARDLCLKLTLSPPEDRFKVSEETECLGPKDRMPTVPVMAYSRGSSRRTRTGADEKQGVFGK*
>EED80373
MTCATFTSLSHLPFGTLACTDGQIVRFTAPPTSPPFVAAPWAARRLGKRVFESKTQYRQSVRAAYDAHGRHRTLGRTLDAHNLRRIRLGEVDWVDEQDGPLSAGKRKRLTEGVRTLDVGASDEDERRLTFKVCTAEARVELGQDTRIVNIAELVVSMGRRDNSARRRRLAKTPYACSTSKKSKGKSGVSASASDVFGPVLGVLGDITNKGVSFGAVPTPLVPLRRRRRRCAEPVSPSPIPRIAKPCALDGLHAFLLATPSFPEQLNGHLEDANMMLEGVTLCGDEIPTVLAPVPFDLDVMDGFDILFPQPVPTVMEVDVCPVAEAIPQPSPYRTMDTKNTVLEGPLRAAIDCWNDIFDGRDGGMSPCRECVDVKTITSALEALVISEAVMEVDEVLKDDPVDVDMDVPLGAMLTVDILVAPIVDVAMDAPAVLHDVMVGPRHPVPPHPGPQAHAGQIQVVPPLPPWCLPPFLPPCDPPAGSSLASSQPPTPPPPPWQAVTEEPEEPDWKELFGDDAGEDRSSEANVPEKPAAAADDDDLESLFGPDPDG*
>EED80374
MDSDVGSHWVQRLLVPGKADVDDGSDRRQPSSPTTAAPVVRCVNVETAMHAPAFRVERTRWRMVLITADGSLGQDGQKWRTGTVQECIVSRNATHGVRRPKESDVQLQPRPAATRALTVVPVQLIVSADTAASRKTGPNPLVPFPRHLGKPASITI*
>EED80375
MLVLWIGSDASPQLLKDLFNVNKFMQTQLPRLPTRLSMQVHNIFAHRRAQRGWTPRFLIARRNMDAAEIEFSDMLVEDQNNAAMSYLDSSEHHGSDDTWESAGDARIAYLAALKDECLNNPASLGQHTARRSSISPSLLLAEQIERGLIPLSGQSTYPWQSSNSGPDVCSPRFCRRHCRRCRLVVITDYPDATILGNLEKNVERNEQAISDGCRVHYRGYEWGQDVAPLLALLPAGHDGFDTVILSDLLHFDRAHDALLASLAGLLRRAPGARAYVAAGTYTPPHVCDHFLQIAEAVGLVWEERLPDGAVKGALPVAAGGLNTEQLDVRKSMCRSQYRLAGYNATESIAFLHTVGGGRLSGEIVRVFAAGLHFAFASLIELPEGTLRGDRNSFAPGGGGGKNYHSGPSQGPPPQNSRQSKSPAPLAQTVSANTVVHGLDSRMPGSQPSAFPVRFAERSTRRRVGAPSVTIRAAMYLCLGTPCEHSAPDTPSRSGRVTGDKLNVHIGRRRLADPDGVVARLVAACAALRACRRSGQGGQGGRTIFPCTADSLDAGGLVAMKRSWQRRVRDAGYSTRYAVVARPPRLSDQHGMRVSSFRAGWRHTSRLGSPSMGTMFLPERRPGPLPHEAQDYLAALPHPRSSRYLSAFLVLPRRRGDVRDSGFHGSWWCIDIRRYPQFVYLVNARHVSGGEYGGILQMTCDQITSRRKSALPSRSFVAVATICIVIIETGESKQKAGAYTHPTVHQDARTCEWIVLRRLRASPPMKIDQQQTKAVFTVVILGVRGTGPPRKLRAGHQEPVQKLRQALRPAGLGYTCASDSFGLRTPAHQYSRASISRDGFAQQMASVLDAHGHEARSGGGPMCRVLPSVASRWITSTGAATESFWNTGAIRDASARASQSRRLHSESQGLWLSVTVAASDRFRRRYKLGPVAVIAAPAQIYIVSAGTMLSASLAHAPTARVESDPVGLSKMPESSKHTACMSQAIVPRRLVSLTYKLPVADACSDASRRPGARHAHVYVTIPREKWGWRTWRTSHRVLAVPMIARTTTPRYLLNSL*
>EED80376
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKTHHPEVTNATDCAALEVYLSAHRKYDEAVKAADEAIDHHKWLLRQQDNCVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPCTIPNVYLPLPTPLPASAFRRPPIPSPFLQAMPRSTTIPADWQPNPGWTPKGSCRQCGLSRHWVRDCPDIRCARCRKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKADSSWPCQEHLSGEEWKNVGRNARNEWFDE*
>EED80377
MFLSQSRPIDVTSVGIVSWLTGRELFFHLHFDPILHVEQHYGNFYKGLTLDLIFRMFNVDKAILVSLEHTGMLNNLMFTFLQSTACIIFWYTDMEAVVSHAKGSRGRFIYMGDLGQAVLVGPCAAGLCAALLTQPRGPSNISSTITSNNTVNPVPLGLPALTGSPLLQYDRADRCFVTSTKRAPIYPRGGTWCRATGPNPQVIAHQELVSYYQRHPSAHPEDVFTILQIDVEPTQTAESVQSPINEQPLELPEVQYIPIEIPDIELPPAPPAPTNAPVEVPMALFTQEDIDQRITVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVVLMRKDLGKTWVDAYYKKSAGGVQVYSTWADFVAALEEVFPEHGMRIKAHQILMKLPERQKDRKTALSLGNYVTHFEQLASKAQLKDAEVNGTNRVENNYHTLHTNFVKGLPKELYFALATRVARD*
>EED80378
MSTPLKGSCYCKSVTYAVSGAPVLSAFCHCTQCQRLAASPFVHTIHFEGSAVVWTHSEPHDDRLDTFVNPIKPWKTRYRCKNCGVCVASRNSKTGSCSVWGATLERDEDGKIKAWDVVKPTAHIFYGTRMLEVNDELGKWEGYEGKSNRVA*
>EED80379
MRFQLARLAALATYTLALFHVPVTSAHPRRNALTVKSHAAISRHVDVPPPTPGHFALHQRHAPRSLLDVCAYIDLDVLEDLGINLGIPLGAILDLDVCLCLSLFPLVLETDVQLAALIPLLGINRLTEILTLAPLDRNIALVPIIPSLIARRMTRADGIASHPT*
>EED80380
MARGPMKHVRVPRRRKDAAELAVCGTWGEEKREQAAQEMRREMHADDARCKRLWRRETGHRHNMIAEVKKESGMNHKALVARELCVPVAVDTGQKGGQGFLASRLLCERVSRYWNQVKFSSESGMRGFCEPAEVSSTVGSPLLTLDVFTLLELLESIGGWSLVKNFTKKALDHSDIGHWSHKSRSNGFTASRPYTSPAREAAPDASTTGGNNERESVEFREAKGNTHHYSPSVEKTRAVVEGIRELDRSLTMNGASMNNHLRSGDGRLRTSVMDDREPLLYSTQTLYFTIKDAPRRHNDRTHHQKLGCVGYKTWLGTTRRCPQHLALAPFATRHSPLEMPYPQADSFVSYALDNSLDTSGMMLPFGGSMRLDSCLPSQVEQSVGSSANPRTPWTSENSYLDIMRSSEYMFTHWYSDLDPAAHYDGYDGMVFTSEHASEYAISAAKCLLTTPIDPILIAHPRTYEIASALDYPAHAPSSPKTLSTVSTCSDSTLSVYTPSPSPYSTTSSLGSDNGSCDHEVTCSPFPRNPSSASSSRKDRSVPHCPSSSSSILVSLSSTSQTRRPKARRSPIRVRRRNVQVGTIVPEPRATRVPKLVQCTVEGCGKVLTKGAMRRHKETHKEQDKWRCCGIPIEMAFGLANADTSSVQRMVGGCWEVFSRKDALERHLKNPNNPCIGDVERAELLGRNGISMYKSRFGRQCEASTLSIPFLVVGCGQRLRAKTCSRSSGETASHTCHELLILDHHTAEHIPQALPNIHLPQIVTTYQYIVTMTTKLAQSASVASIALNLPGSPREAATEHIAGLNLDEQHALQGLMMMAERLPSSGANTRTLRLAAPQAASVVDASTTAIKVPSRPARRKVVARQEGTRRSKRLLEKASIAPALSNERQGKDGSKPRSKAETDQCVLRASNGSGDVEEKPTPSGEKSSSTKRTKRKRNENNGYGAQITAAKKKRTVSRGHARPLLAVAIPHVIPHFNGRLARLLPTSVTHRLQCTSGGPLRKELSQARMCATIQHRGRASIRRERTPSVQSDHQDGDYKNRITHLATICGRRHFLSSSKWFFLVLASHKDQYALGQACANYGTAHGTDARTAARGGEHTALLHNVGAPSGYKTWLGTTRRCPQHLALVLFATRHSPLEMPYNPPSSFNPTYPLDDPLDVWGLSSTFERSLCLDPYVNTQAVASVSTLRSAELLDSYFYAESPLGTRKESNSVWYPNLNSTAPYNDYNGMLLMPGYEAGSITTIECTPYSSEIDPILLAHPSTYEIASALNDPTYSRDIAASISAPSSSPVFAPLPCTPSSSPDSITLSPGSTDSASESGSSRSLSLPIRSAVQSRKHRSHPYRRSASTSPRSVSARDSSSPSPRTGSSNTRRSPRHTRRRNVQVDGKVPDPEDMDEPGMVKCKSCDLVLLKTSMKRHIETHGLPGKWSCCGVPVELASEYGIPASAKPYEHKGRWMVGGCETSCSRKDALVRHLNSESKECVGDVDVADALGWLEDASQ*
>EED80381
MTTSALDLSDIIDVSQLSSEPENSSPDLHANSLGGQAALRPLLIWRALRSQNGPSVSVSDAHDGRKHSAVSPFPPHIDSRDVFLCENHPPSQSPSAPDIVPESTPLPLAISTHFVSEANVPEMDFAGLLEPVVTPSDHQTDRTLAVHIQMLMANAYDEDLHREYNADVELTDLFGRSVTADTHDVSSVVVPGPPEVEQEPTTTKYTNCIRDATVNSDVDKHVLDGQDNTSALDDGVANCDGEYSVTEVPSSAGPSLASRSTHPSRPSLQALHKATQTTEHPVEAAGTNAKDSLRRSTRKRKHVEPAPSTMSANSKQSIDPLQQVPSGSSTTSGRETAAMVIPEPAAPRLVPLQTADGRFACPHCPARTFQRYHDCRRHMDTSKRCLGWNGIVYPCHRCGSEYTRRDAVKRHMDDKPNCAQDGGEKASKRRRK*
>EED80382
MSKRVTSGRPVTTASHSASFMDDKRALLYLTQAPDVAGAEIPGGWSEHIASLETRRRCKPALKDDGAEHGADARTAARGGEHTALLHNVGAPSGYKTWLGTPRRCPQHPALVLFATRHSSLDMAYPQADSFVSYTLVNPLDASGITLSFEQAMRLHSSSHPQAEQPVGGFPTPWIIENSYLDIMRPPEDVYTPWHLDGQSAVLGNTYDAMLLASEYASEHGRLAAAECLLSPPIDPVFIAHPRTYEIASALDDFAHTANSPDTLSSVSTCSDATLSVYTPSPSPYTTDSWSSSDNGAYDHAAMCSLLPRDPSLASTSRKYRSTSYYPSRPSSSSLVSTSSPSTPQTRTFKARRTPIRVRRRNIQIGVDTPVPEPRATRVPKLVQCTVEGCGKVLTKGAMGRHKKTHRKQGKWRCCGIPVEMAFGLSSDRRMTGGCAKVFSRKDALERHLKNPNNPCIGDVERAELLGWFEDASEE*
>EED80383
MATTLTLFATVASSMPYLPESPRAAATELTVSLSANEQLAAQIFTALRRLAWPLPSSGTIAGSSTLVAPGLVSSVDISATAVKMPTRPARRKITARPEGARRSKRLLEKESHSSVQSNETEKADGPKPDSKAETQQSVLQPSNGSIGAEGQATSSEEKAISTEKTKRKRDENEEHPAERRAAKKKRT*
>EED80384
MSYNPITSFTTYPLDDPLDVWGLSSTFEQSLCLDSCAHTQAGQSVSALWSAEPSQAPSCFYAESPLGTREAHDSVWYSHIYSTAPHNVMLLIPGYDADNTTGIECATFDPEIDPILLAHPSTYEIASALGDPTHSRHTPAAAANSALSSSPVFPPLPCTPSSSPDSITSSPGNTDSVSEAGSSRSLSLPIRSSVQSREHCHHPYRRNRARSSCSVSASDSSSPFPRTGSSNTRRSPRHTRRRNAQVDGKVPDPEDMDEPGMVKCKSCGLVLLKTSMKRHIETHGLPGKWSCCGVPVELASEYGIPASAEPYEHKGRWMVGGCGTHCSRKDALVRHLKSESKECVGDVDVADALGWLEDATYLVGCHQLVLGNDPFDCTLSASITFSAVAIHDIQITVSHSNQNNGDEGLANYQIYPTRCLSSAFEARIRHEKLTFNIPVLISAPMAIYNEKDLRADFLGDQAPLQPLPISRVLRCQNVPLELSTLLTFAWGRDEGSGNADASEQNGRIVTNAWGPDPAKVFLSNGHLLARSPSAFDILSRPTANGLVSGIALAGQIGSSLPGTAATPSDREANNHINFNDACGRDIKTDTSSPGADQEPTTTIYDNDIHETSVEDEVDKSGWNGKDAAEAADESEARCDDKDPIDVVPSLAGLPLASRTGSTALHKASQEIGHQVETIGVGEGHSLRRSTRKRKRIDPAPPIVPVVSNQSIRPPKRARPPTSVPVKGKATAAAVLKPVPSLVLLKTTDGRYSYPHCPGRIFQRYHDCLRHMDTSKQCLGWNGVMYPCHRCGSEYTRRDAVKRHMDDKPNCA*
>EED80385
MSYNPTTSFTTYPLDGPIDASGVTLLFGQAMRLDSASPSQAEQSVGSFSTSWTGASSYTDVMRSSSDMYTYWYSDIDSGYDGMLFASKHASEHAMAAAECLLSPPIDPTLIAHPRSYEIASALDNSAHAANSPGTLSSVSTCSDATLSGYTPSPSPYATTSSWCSDDEATCSLSTRDPSSASTSRKNRSVYYHLSRPSSSSLTSTSSPSTSQTRRSKARRSPIRVRRRNVQIGLGAAVPEPRATHVPKLVQCTVEGCGKVLTKGAMRRHKKTHRKQEKWRCCGIPVEMAFGLSSDRRMTGGCAKVFSRKDALERHLKNPNNPCIGDVERAELLGWFRDAKLLAGLNVDEQLAAQILVAMQGVARRLPSSGTMAGNSRLAALNDTITVDVLSTIVKIPSRPAGRKITPRQEGTRRSQRLLEKEKVAPVPSNERQREDASGSKSDSKAEMGQSVLQPSNGSVGGEWQPTASEEKPIPTEKTKRKRDENEENLIQRGPAKVERT*
>EED80386
MAGHHSEMPQPLALVLFATRHSPLEMAYLQADSFISYTLDKPLNTSGTMLSPLHDEQPAGGFPTPWITENSYLDVMRPLEGVPQWHPNVQSGVLCNAYDAMPFASEHVIDAAQYLLSPPIDPIFIAHPRTYEIASALDDFAHTANSPDTLSNASTCSDATLSVYTPSPSPYATDSSSSSDNGECYSKASFSLFPRNRSSATTSRKHGSVHSHPSRPSSSSLVSASPSSTSQTRTSKARRSPIRVRRRNVQIGVGAPVPEPRATRLLRLVQCMVEGCGKVLTKGAMGRHKETHREQEKWKCCGMPVEMVFGFSSDRRMAGGCKQVFSRKDALERHLRNPNNPCIGDVGREELLGWFEDASEE*
>EED80387
MATTLTVFATVASSMPYLPESSRAADTDLTVSLSANEQLAAQIFFAIRRLAWPLPSSGTIVGSSTLVTPGPASSVNISATAVKMPTRPARRKITARPEGARRSKRLLEKESLSSVQSHETGKEDGSKPVSKAETEQSVLQPSNGFVGAEGQATPSEGKPISTEKTKRKRDENEEHPIKRGAAKKKRT*
>EED80388
MSYNPITSFPTYPLDDPLNVWGLASTFEQSLCLDSCVHTQAGQSVSALWSAEPSEAPSYFYAESPLGTREAHDSVSYSHIYSLDFVSTAPHNGMLLMLGYDADNTTRIECATSVSEIDPILLAHPSTYEIASALGDPTHSRDTPVLISPSSSSLVSVPLPYAPSYSPNATTPSPCSVHGANEVGSSRSLSLPTRSSVQSREHRHHPYRRNRARSSCSVSASDSSSPSPRAGGSNARRSPRHTRRRNVQVDGKVPEPEDMDEPGMVKCKSCDLVLLKSSMKRHVETHGLPGKWSCCGVPVELASEYGIPASAEPYEHKGRWMRSNVSFIPPTYLVGCHELVLGNDPFDCTLSASITFSAVAIHDIQITVSHSKLVSDAAIPDLPTIILWQNMSPESPKRGAIFSLSGDSVIV*
>EED80389
MTTCALDLSDFIDVSQLPSEPGLYSDLRVDSLGSQAALQPLPIWRALRCQNEPLELLSDTDESPKPAAAAAFSAWSDPTDVFLSNGHLFARSPSAFDILSEPSAHGPMSDVASAGQIGSGLPGPVTTPSDQEANDDIHFKDARSGHTRTNTSSPGADTQLVSSVVAPDLHEVDQEQTTTKYDNHIHDTSVEDEVHQNNLDAAKAADDGEPSCNDQEAIDSTPSLAGLPLATPLSDRSLPNSHPGATALHKAPQLTEHRAEATGVNSEHSLRRSTRKRKRIEPAPHMVPVVPNHFIRPPKPLPRLPATHRYKQAMPWMERRHVPLPSLREQIYEARRGKAAYGRQAELRVGRSCKGEQERKQVNGHLHENTMEMRFENNGGHMLLYNPKLDINYLPILPRTCVVTKIPRMKTWPAAARAIPQQHFRDHECDLCEGQVPFNCSKRSSLLTVPDVSGAEIPGGWREHIASLEARKRCKTALKDDGTEHGADARTAARGGEHTALPHNVGAPSGYKAWLGTTRGCPQHLALVLFATRHSPLAEMLYNPITSFATYPLDGPLDASDITLSLGQDMRLHSSSPPQAEQPVGGFPTPWITENSYLDVMRPPEDVYTPQWHPDVQSAVLCNAYDAMLFASKYGSEHVIDTAECLLSPPIDPTLIAHPRSYEIASALDDFAHAANSPDTLPNVSTCSDATLSGYSPSPSPYATYSSSNSDNGACDYKFTCSLLPRNLSSATTSRKKRSVPYHPSRPPSSTLTSTSSPSTSQTRKSKARRPPIRVRRRNVQIGVGTIVPEPRATRVPKLVQCTVEGCGKVLTKGAMRRHKETHRKQDKWRCCGIPVEMVFGTMNAGSDRRMIGGCPLVFSRKDALERHLKNPNNPCIGDVERAELLGWFEDASKE*
>EED80390
MPQVKYQLVVSVRTTVRRRGARLSTGVAMPVRDNRVQGLRPPATRAPELSSSFLTTRVGMFQQYLCCQAKLQIKKSTSLTQKHSALLVDVWQPSSLINSRFRLVDTFKTVSISFPTEMPYNLRSRRAYSSPAAPASSPMVPGKFHVAKTENVDPALDREDGLSDHDSVHSGGRSSTSVRRGLLYSRVVTPEQSAAPGAPTEGSAVGSVDMSLDSVRGTDLGRKAALYARGVKGAFLPTDGDYPDSGGRWHTVTRSRRSRSLDPMDKDNVYRLATEENTHVLTTNQREVIRTAEGELRPAEQEHINRRFRVVHERRNRERPESKDEGPSTRMDKGKGIDPRNWGNAEIPPEDLDIEAQKRAYAILANPMALFLDEAGNPLSIDEQREALEYWGGLRKVPQSGASVSTENNGRPAGASTNGVSVDTGRPPENAIQTSPAPLSAEVDPGREALEQEISMLKSQLTELQVSHGGSSRSSEDSSSDDDEGRPSAALTGRRKTSERRSKQRTKRVPIMKPPEPEKYNGAPDAPAFHKFMTEMGDYIEGYGLAPARHAVTVSYFLKGKAYDFYVSTVSRDPSTWKLEDLFEGLFNFCFLPDFRMRMRDKFDSCKQDSRSVREFAYEVETLANWAGIWSDRELVSKLWRGLAFRLQQGLWRARLNPSVSSWDEVLEAAEAEELALGVGSGNIKTPLYSGAFRPQVREKTSEPARSSRPMAGHGSASRAVSWDTNKPARAYDNKSARPASATKRSAVPHLSDRERAELQAAGKCFGCRQPGHLSRNCPEANRVKSARKGRPPGVTSFSVEPAFGDLSALAASTARIDELELHYIALGDFGRPLGYLEQEPDGDEESDCPSLCSVSDSSASELARLDEDEELVARNEAFAVRYLPCTSRDGRPYVRLGDLYSQNAARVLAQSYPYCCLPPSREDNPLHQFCVYQTTETEHVVCYERRPLCDPGPLLESRLLRQPSFDIAAWFHRDAAERLFQHGPECSLVGPAGLVGTEALADGVQHLLEEHIELPVEDTEWSGSGERFVCHQQEDHVGVIDTYLAFELRLPSDMLENPRLDLPNLYACYVHRSLGPVQFTTDDLDGELLWLFAAQLPSGNDDEFIAHIELMANHSPRPDTVPAIQRNAGTPRDFKQIIPEPVVVVVDVNGHPARALLDTGSLADFMSARLAHQLGVKIFELEKPLPVHLAVQGSRLKINFGCTAQLQYQTVKSQRYFDVINLQNYDLILGTPFLYQHRVAVGLNPITVEIGSATVLPLTGKQLRVLESRAADLVEDHLERARQFLHQYAAPICKDASDSPLPPLRAINHTIPLKDDTKVYHWQPSKCPDALRPIWNEKREAYLHSDTMVYTPISMPDS*
>EED80391
MGPLRPRGTRSLSLPDSIVANRQPMTPKRHAHHIPEKARVYILPTTPNARIEDSIVFVQTGNMGGKSVQLMAFQQGLVGNVGGTFIKGHNSGECRRSSARAGTKGVGLLQGVRSYLYAENSHGQSRRVSAARTSTPCTPLGIQTSSHLLPHAAACPSLLEPFPSTALAPRLVEQPTASISAPWSAGTSDTPSYFYAESILGTQMEFYSISYPNVHSWVVALFIAAYTLCRAVSQAPEPPLSWQPFHIVALGVNGKVPEPEDMDEPSMVKCTVKSSNLVFLELDEAARRETHGPQRKWNCRGVLVELASEYGIPTSAEPYEHKGRWMVGVCETRCSRKDALVGCNCSYHGRPTMNTITTTPTTDPTSS*
>EED80392
MPALAEDLSGSLLSTFHIAIFLYGTATSQIYNYWQNFPYDSKLHRWTVGVATVTTEVMLAGIVQRHVKSQQQIQTEIHPDYGSFYIRRVWIYRCRPACSYRFKFDIISQFRQNTSPVITVSCGLSSAAAIDLLLAITLVYYRLKMPRRAHENLHVVEALQYYLVNTGLITIPSGPQHIAYIYLLAVYTHGAYSGIRWSDPSSSKIMSERAEIQATVKEARYIELARRITRVELYHQDDKLTGEDMSIDAIPATIPAVSSAKDRLSTSSYVICWSYMTLPGLCSTDDASSL*
>EED80393
MCALACHLLYDLALPRMISRVGYPSWRSVVTIRSFCMFASAREYTCTLFLQDLCLANIGGLFNTMDSVKEILPSIIDLLRSAKNLRCLDFDSLEQLLSLDARIGDAVQALPRLQSLKITLGTHTYSFMTFFQTPLRSVTLRTIPFFREAPFSIWALLANFRDSLEELHLSGGKVNDNEDGMHWPLMQNLTISYAIVMAPVAQSLRPILSRAFPQLQSLTLSNNKYMNEIQQHEDLIDSATWQSLDFLDISAAALPMLRIACPVTHRPPPQYGLASREGVHALALPYDGVLWFAAKRGLGQGIVGLEGGQDALGFCPILAMSITSLRYIAVEPATSTPQAPHKWWRITRYDAQTEPYLEQLTMPMSRTIEDALEYVDYTSPSWVDDIDHAYRRDKVTTSEIHCSANIARAVNSSV*
>EED80394
MTGSRSSLRDTDSTARKTHLNTTVSPCTTSFTVST*
>EED80395
MLGFSAMSFLRIALAARARDQRMLRACHRTTPAARIFAVVTAVVALYTTVTAEVDCISFVDGGLGRDCRLLVDGAPPSVVVMVAGFLATVMAIQLYTMVLLEAVFAHNEQSKVLPPPYEYGL*
>EED80396
MSIMEYNGGSVIAMVGKDCVAIASDLRLGNQALGIASDFEKIFPMTDRVYLGLPGLATDVTTLREQFRYRLNMYTIKEEREIEPETFAHLVSSTLYERRFGPYFIEPVMAGLQRLPNGGYKPFIAATDLIGCINFAKDFVVAGTASSKLFGVAEGLWEPDLEPEDLFETIAQTLLNAVDRDAYSGWGAVVHVITKDKAIKRVLKGRMD*
>EED80397
MTKRRWRVHIFFRDDISTYGHLDARLPLRKRRVILGRPYRVRMRPSYEDARQRPVSQRYEDSNETHLSPNYLGNGLPILLIIWYTLRREKEGTKQSDPVVNDYGQSQSSSSSSSSSLSPNFLFRSRSAAASAAVRSPPPPPPPLEEALSSAGGDVGRAGVAFGSVFRNMCTPALCIDASSASRLGAGAGKPADGPCELPAGWVGGGGGGVAGVPSSPQFDLALRGITGVGYS*
>EED80398
MPAQSTLSSDDKSKVKAAVPNNSNKIHTAALARIYYAHPNPNEWSYAGLQGALAFIHDKSKGALYLRMVDLAGTRGVIWQHELYEGLEYFQDRPFFHSFPGDECMIGIVFAEESEAKTFYKKVTTTKVGTEKPKSSNKKKASKGGKIDKSMISGPTSGSFQHVAHMGYDPVKGFTSSNVDPSWSAFLSQLEGHGLSREVLEQNMDFIKDFVRDAQKSAPAPPAAKKKPPPPPAPLRHRPPPQAPPPPPAAAPRPSPPRSAAPTPPRSAAPTPPRSAAPTPPRSAAPTPAPPAPPPPRPTAAPPAPPPPPARPAVAPPPPPTRPAPPSGGPPPPPPPAPSGGPPPPPPPPPPPPAGGAPPPPPPPPPPPSGGMPPPPPPPPPAGGSPGPSAGLPAPAPGRDALLASIQSAGVHMLRKTDPNATPARPTSPPAEESASSSGGGGGGGDLTAALAAALLERNKKLGDSDEEEDDDDDWD*
>EED80399
MATTLTIFATVASSMPYLPESSPAAATDLAVSLSTNEQLAAQIFTALRRLSWPLSSSGTIAVSSGLVAPAPASTVSISAAAVKRPTRPARRKITARPEGARRSKRLLEKESHSSVQSNETEKADGSKPDSKAEMEQSVLQPSNGSIGAEGAMHCRIRCTESGAWRRKYVLRGHVYDHTAKRLQQYGEKGCLSAQSHDNDGDGENVITHLCMTRDPRFVLTTWGSYQNYTLLFGVEELEVSRQRCRDPQCEMALHPGPAAVMAEAKTISVSSLLEQRCDGAASAFVHGRSDACKGQSRAGPPAPGYSRAQACELVPDHAGLRVSTLAVSAAQS*
>EED80400
MAPPAATANRDVGFMPPNHGTATGLASAPCASMQRVPRISESGPPMVERTNVYTEYGHCNSDNSTMLVPDMSNNNIGFFPDQDATTPSETSAGPSHMSSRVRTEARCGGKQSMHPQALVELPKTPGLPRTAGKRKQRDDDLTDDPTDDTSSRHPSKKAKKATSHAESAHTSYEDVPQPAWYGGCIPPDPKKPHPCPYCTDKWFSCSYDVTRHRESVKSCLGYLEKGEIPCDLCPSIYTRRDALRRHQKEIHRNGYKTMAATRQS*
>EED80401
MSPAYLYPLETTLSQSGYDPDTLNTGLPPRESSSAVSSGQTAVNADDTFDFSLYEPYGPTVDISGEEILDVNDTLDFLSYEPYGPTFGMFREHTSVSTDPSFILPDHPVMHFDNGLLEAITATSYFSERHAEATSPPMAPPAITFSTWSPSSSSAARTPGDDFDDNASAAWSPPYQDSTFGTHVWHQETPFLPFTETARNPSDDRFCVKEPSAFLFMTAYPFKRSQT*
>EED80402
MAVGGESCEPSRGLQHSDGETDWGKQPESSPPPPYEQGEWVPPKPKPSSVPATPPVQVTPPTPRSRKSRHIAATSYMPIPPEFSCSAPDADPELDTDKGEDDQMSWIGDKLALLIAEGQRALGKEVVVMSEAPEDKEGNGMDDWVEEDGGRAQSSHGGLPPYSSRHGLPLLSASPRCDRFDLSSSHATSYARSIPGSPHCRGREVSIESDRFASMSFQEDESAWQTPELWEAMERARQR*
>EED80403
MDSAPDLPLQMLSFQPGLRSGQAKADSQNLSALFEVAVGCGYGGPGAAVSAAYVNPTKPWQTRRTEPQEHYYAIWGGQLETDGKGRIKTWDVVKPTAHIFYGTRMIDMKDDVGKWDGFEDQSPRIRLRGLEAAEYNDAIRLKSEFRS*
>EED80404
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNMFLEEIINEAKERKEKERQTKVVPIPPPRSANPEPPTSPIAGPSRPHPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNVGRNALKEWFDEEEDDSVDWELYGDGEQ*
>EED80405
MSNISSTITSNNAVNPVPLGLPALTGSPLLQYDQADRCFVTSTKRAPIYPRGGTWCRATGPNPQVVTHQELVSYYQRHPPAHPEDIFTTLRIDVEPTQTAESVQSPINEQPLELPEVQYIPIEIPDIELPPAPPTPTNTPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYITRFEQLASKAQLKDTEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVTRDRPNTMKAWYDEVRNTDAAKQGALIVTDTRDYGEPMDIDAAAVASTFASTSGGRKWELAAILNEADWKLHRDGNLCFYCHIKGHSAKDCRKKAAA*
>EED80406
MFNLRLHVGSARKLVQEAQEIVSPSGGSPVSSAYNMGPGSGIRNRARGKGKSVAPLVIQLRRQAAHGELRIERPRVRRKEAEDESLYPFLALRRAAKAAAAASEADVVPPRTPAGTRRLEVEVVRTLSTINGRHKALRRKTQHEFGKQEEHQFDEQAWRRYACGEHESEHKDGREGTASVVPVQGCSVKAKVSASGPSRSRRGWRKLLGLVEDDIKRVPKSSVTKLKGARGKPKSASKAMRSVTGAEVKHQPVVPQANEESVSLDVAPAFAVGELEKCVAPVCISPEQSATASVVEAEPVQVGMSVEGEPREWGLRMENFAFDFGSYPGMPADVLAALNEPAHVFAVAEAQEMPATEGQTAEATVMAVDAVILSSEPARDTYSAFVVDMDTGHTDAPSSVEEAMEDVCATDDMPVEMASPVARSTDKVTINEDSDMLHAEEQAVEVEMDGFDSVVAPQALLAQMKILPYTNEIAANLLTNADLARVPVELMSAAIDLVQPDEDIEMGRQEQPLTVEEDIEMGIQLVSVDAVFGTVAAVLIAAESGGDIIQQHVDAVPAHFSAVQEFTVALLDNEDTSVGDITLVGGALDEDHVATSDPDWNETFADDVIAASSPNKEKQNELHTMPLPEVVVSGSDIGEQAVVAGLEHVFSLQTLLDDPAPIAGPMFDMQSLYSTLPTNTTEGAEKTTNTGSFTRATRSGKGYAGGISKAKKTLAQPARKSTSSFSNTLLDTDVFRAVRNKSAAEQKARKDTRKRYKKISRKLARQDVESAEPKGGCGRFLLSAVNPKTGRREKMTPITTVKESTDDDLDLDVLCRMSTSLSLTTASHGRTGTQEVTNSADDLLGAFASLGLLTDTPAASSTGGSALDDLCDLFNALV*
>EED80407
MPLQDFYYSAQTPVSNENTVAYGMELVQFSSLDPPPLPSRHLVGIQQSVFGQALLPIAMHEACLPLGHHPLWFANPIQYFPHPTQKIAINQEKTYYDAQILSHNGPESHISIPQEAPEVASSTEIPFAMDPFAHVDPCILDLIAAGSGIRDLSELPSRCTPSGQETSNTRHINDVRRSEGTTKAPENSAVPIAETSAACPYKFKRQRSSTKADKTASRRPRAPAVVATTADDTANGPIKCKACGKKFAQRQNLNRHVRLAHLGGCKWTALYVVPEYRDMTH*
>EED80408
MARLVCTSTALYLALWAWGSFFQACGVWIETLLCLSAEDDHENFLMAMHLVSRCKKFNMIHYVLLDLSFNLLSLGFPLLLDPKSRQRRLDTFQPDLSIPQNLLGHLLFMCNKMKNLRLGPAQAQSPTLGWAFGGWAGPQSQARPAPRAGLGLGSARAEPWAWLWHSTRPALNLSKNPDTSEAYYGCYNIKLHPKAPGPGPSSGLLKPKAGPSRRAFEGLGRAFGSLAGLGPAGLGLKARLWTTLLTSTRVFATGSSVPTSDLHIPGLLAKGDHGDFLTAMDSVLRSSDQSLVQDYVSKRLCNN*
>EED80409
MSPAYLYPLETTLSQSGYDPDTLNTGLPPRESSSAVSSGQTAVNADDTFDFSLYEPYGPTVDISGEEILDVNDTLDFLSYEPYGPTFGMFREHTSVSTGPSFILPDHPVMHFDNGLLEAIPATSYFSERHAEATSPPMAPPAITFSTWSPSSSSAALTPGDESDDIAGAAWSPPYQDSTFGTHVWHQEAPCLPFTETARISALSTSFSVPVMAPNHGTATGLASAPCASMQRVPRMTASGPPMVERIKAHTEYGHCNSDNSTMLVPDMFNNNIGFFPDQDATTPSETSAGPSHMSSRVRTEARCGGKQSMHPQASVELPKTPGLPRTAGKRKQRDDDLTDDPTDDTSSRHPSKKAKKATSHAESAHTSYEDVPQPAWYGGCIPPDPKKPHPCPYCTDKWFSCSYDVTRHRESVKSCLGYLEKGEIPCDLCPSILTRRDALRRHQKEKHQNGYKTMAATRQS*
>EED80410
MHFVEHVTWYKVVGDLQNRTRPPLVVLHGGPGLSHDYLAPIGDLATTASTPVIFYDQIGIGRSSHLPEKPNSFWTIDLFLDELTSLVEALGIQDAFELLGHSWGGHLAVEFAIRRQHPGLRHLILSNTHASQALHGENMQRLLEPFSLEDKQALQVGPDDPHFIVAMKHILERHGCLVQPIPKEVEYSMELGIPGKGDTTVYNAMYTGEYKTWDVRDKLHQVLVPTLVINGRADYMQDIVCAPFFERIPKVKWITLEKSSHMPFWEERERYMEIVDHFLRL*
>EED80411
MSRHFRVYIPIRLGLLVLPLCSLALLSFLAYVFWATVQGFPDSTYTHTTKIAISASAVLVTTLAPFSLIAILAAATRSLHITRTYWYMSWPLALFALATTPVVINIAWDEQTCPLMETGGGVRPDCALLRDTLRGAPSVIVTALMGTALVLQVYMIVLSRRYIEQLEESREGRRGQYMRVSTEVGKDLFDAHEPYPYAEPYSAFRHSDDTA*
>EED80412
MKLATTLVPLLSATACLAAATRTSPSPRAHRRDVIAARQAHVRRNFLDVCAGLNTDLTVLGFVTGHLDTCLCLSLLPGFLQVDATAKAAVVLAGVDVVTAQLEALINDAGNSESCSYPPHASPVCSSDNVCGFTCTDGYTPWTPPGASRPTECVCDTPALECNGVCGVFKNGCGSAVPAPPRRRADPPLCKDGRKVCGVSGGSKSRGYECVDTTSRPDSCGGCVVSSLFTSNEPATGVDCTVIKNAINPTCEQGRCVITACASDYRPSPEGDACVAVTIPISKRDVPGIDVQDGVVAKLKPVVVLAEDSVVHAGLGRDLGHVAGAAVDATGEVVAAIVKDTSVAVGASVGVKVRDVTGDGADAAGGAGGAGGAVVNAIPPTAGAVTGAAGGVVEGIAQDTSVAVGASVGAAITPLLTFGSRVNYFTSVTLMANNIFLVISAVDNDMVFELEAWCKWRHLGSERNNVMPILTDQLLPPAAKYHSHSNHRVSATKIFAKRWHMDNF*
>EED80413
MPGPLGGTLGTFCFAILLFGSASAQIYNYWWNYPDEPQLHRHAVATVWLIDTVHTAVCIMALYRWMIVDFNDYQLIQNLSWQAATISIMFEVMLSGIVQRSGSVNLLALKCTQFTDHLIEGSRKNITLCATMLLFTYNDIGNHVDRPSRLKFKTVDAFGASREPLISLTCGLGLATIVDLMVSISLVYYRLKVPRRADQAMHFVERLQYFAINTGVLTMSACLPGECIDCVLEIQAKLYTNSFIATMSEHATIRTEASEAKELDLASRMQGQPNTNPSARRATRHRPKSKFAGEDLFTITNDIPPTPSPISSIGRADGADAEADPKATSFLHMENGHEANTLSDAKAQALL*
>EED80414
MSNTGPPVSSPPPPGLYFIQNVNNNLDDTPLTATSSRNNDGKWRLSDSASTASGSAFLNYQYDTQYAHATNGGLVGSLVVGQTTSQKFNIKHVGGDQFWITTVESGSNLGWALKGTTPGTTLVENEKPPFRTYVENLTYARRTGFLFCGARCFFTEHLKDTQKRYK*
>EED80415
MCVGENCAPQATRYIQAQLLALYENAQDCIELHQDANVQELDYRLSHSLVEYQQSRPLARQDTTSRAVFEATFDPPEIRFICDHDACFRVKISEGSYSPGSAGPGRTPLENLPINDLQIEFRVNYDIRDLSGVDSRIGTGKMRLLILDFSNAKLMSMAPSISMGKDTLLSYLVKYLEFLQSAGRHVFFSLPEFTARPSLTINHSAMSSSSPDVQELQHVPIETINTQLALNWLKAAMDSIEPVGRAVDWPVINMAEFRCFWSPDESLSSEAQFQIRFGAPRIMGVCSQEAILCFSLDEVSFYEGKDDILDQTPSRSYSKWEVAFLVEITRVDETEGGAYRCVINLNDTQIRDDLSKFEGLAGDDDMGNAYCDLLLQAIEEEYLRALAVDSQLIIYDSRWSTSAWTSLEDSLDNDEDVSSWHLDSSDTGAISNRLQLWRQTIKKSDMGTFDQIIAISQSSLNIHFNKLHASALPRSLTYPLANWTSDQTFTATFQPINVRLLSEDRAIIWIHLTKGQIKLLTKDPSVTEKRHQFEDWRLAFEVTIKIASHVDILGNDQPPRWTQEPFPCKQHVGKDDRYLRHIYLDFRNAEFIHEYSRFDDLLHTQSSRPIEKLRAMVYYLRTQYFPALMDRGVHILHTVPVWTSEKDCPTYAFTDVAFHIYSSATISRQSLTSVTDISEPAIIVLGMTNFHRMLHRRLAYSADWVIRANKGATYGTLALSREVFLERAILKSLSGINAMTTVIPLVSPVDENGTWSPRLSTWALDEQHKSQECRWISQTPDADGRLKYEWNHHVDFKYEHKGSGVVMGAHAVACTTNNRLQLPPATRSGRFEIKISGKVLLNVSFASENQKASAKFSAEWSASLVLQTDTSGIKASLQGSPSPTFDGVCFEGEAEELLSSDLESQLRALFPDTISLDDVLDELKQFEGIWQTAYPGMKAHCLVNPIFNSHGDLLFELQPHAILQAQSIPGPARPSGGNRPRRRWNDGTAANRGTTDSSRVRHE*
>EED80416
MKLDATDLRYITSDEFRVLTAVEMGSKNHEVVPTPLIVQISGLRNGGVNKNLGSLAKRNLVARVQNARYDGYRLTYGGYDYLAMRALSKRDSMFSVGNQIGVGKESDIYIVADAEGNEMVLKLHRLGRVSFRAIKEKRDYMGKRKSASWMYMSRLAAEKEWAFMKVLHEHGFPVPKPVDHARHCILMEFIDAYPLRQVAELPSPGKLYSDLMDLIVRFARAGLIHGDFNEFNILIRRESGEPIVIDFPQMISTSHFNAETYFNRDVQCIRKFFRKRFRYESSVYPRFSSTKTITAKSEDSEDFRLDIVVSASGFKQRDQEVLEEYMNHVLGGESDREDGEEEEEESDDDDDDEEDGTEA
>EED80417
MSPDAITELQIHVASTPPALSPSFPVDLQYPDSDVSDSPSPHDLPADHEPTYHTPAPLDLKLVSNAFPGSGMSQYSSSPTESEYFSDLYSSSPVEVQAHLQSLSLVQFPGTPPSKSGSLSPVAAATHGLGITIPEPTPESDYRFPPSTPQYGRSTSSRPHVRSLVSPASPVARPSAGTSRADVGHPYARLYTRRESTKRRKMWNHAHEKALFTPQEISTIGAPQRRTTYTASLEAHVDRLHEQLMRLSLYPVPYESINPFCGLNCKTAKSMVAGLHQDAADLKLKRLELSRALACSVFKTPYTRISSTETSGTRFGPRPTFSTIRLHVSELDSRNDLRN*
>EED80418
MSSVSGLPAPALQASQAAINAALAENEIKENGRANGDEAEPGEIQEVDMQQQAENIRTVFNDPTNFNVKHPLYSPWTLWFDSPATKGRNLPQTPMSSFPQTPLLQTPGAAAAQGWMEDIKRVISFDSVEEFWGLYNNIVPPSQLPQKANYYLFKEGIIPAWEDEANKNGGKWSIQLPKDKNRNNVDRMWLYTMLAAIGETFDPLLSNPDAETPSQSLITGVIVSTRPQFYRLSIWTRIAPTGAGGDDDKLRERIESVGRHFKMNVLGYSDSQKLSGPLATEVEFQSHKDSEKKGKQSGRKIVV*
>EED80419
MSDFLSSITGKAQNALRSAGFNPGQSGDATGGPQGGILKSHAVESIHHQLRTFQQQYSSNTTPVQKIITTQKGVALDLDSLSTDSHAHSKELYTWGQNEDPDIRDVTDRLAWINYVEGALAHTLATRYDASRAPFKALRDAETALAPRRNARAALHNQIGRVEHEQQRGNEQRLAELRQQLQHAQINDEPLEKEIALLKRKAVRESEQMKWQAVREYAEKLILLSQGANAVITALPAIPPSDKQYHGADITASVRATLQEALDNYKPGDINLPLRSPVSKDLSRSDTRSFGETHAKELSRITPVGDSVQPNIPLTPPPTGTGSALPPAPSQSASRSSSISHATQGSVGYSSPITQRTSPTILASPPPVGSRSPPLNPASLNQAPAPIPISSSLPPPVVAPNPTDPTVKIPSVTPTVAETGVPKSAGSEGPGPSSGSLLDLKSSSPTLTRGSDALPSYGVMSGSSADPAPEKSEKWESAADEKKRLEREEREKVLAAGGGVDNNADGGPSKEDDLPPYEEFPSGGV*
>EED80420
MPYELPPELWLQIFHYAAEDEPLFDYALPTSMTESSWSKRVMSDGWTLRAPNDLIEIMHRKSFATKKAIVSTCRAWRDLGTEFLFRCLLFGDPSRFQQLRVILDADNSLGRRAKRLHIARFYAGHGSTLEETQDALVSIIRHCPNLEAFIVTWSFSNSLAAVVDALCTYCPRNLRTLQLYIPTSGIAKAILMLDSLPALVSVHFQFEGVSSENIRLGSTSAVTLTLNSLEQLQLRGPFQEFLEQATGWKLPALRSLSLDFLSFRDDLPDIIEFLTHHGDALTYLDINCVPALDVATILDLCPNLTTFAFNPDWRIASTYDITGGGGTLVHRPHEHITTIGCHQLLHAFDVGDAAKHLAIDPLATLLVQRRNDRNFAALTKRDFPRLQRVRVLNRTLLRDLEAADGPQGDAYERWERWWDQCARQGIRLEDCTGALLGTLPLEEEDDESESESEDDEAYLAPLREVLEECRQLPSVDESEYFFTHATGSMPYSE*
>EED80421
MLPTMSVTGEVVWENRMITPDRLSRGDLVTYVSPLDPTRLVCKRLIGLPGDVVCVDPTGTLAPSTEHVVVPKNHVWLIGDNAAASRDSRVYGPVSMALIKGRLVARV
>EED80422
MPNITDSKCVLVIGATSGIGRALALAIHDLPSKPTVIAAGRRQERLDELAKSSDRLKPLKFDVNGDRDALKRSVDDAIAKFPNLDAVLFVSGIQHIFDFKKPDEVDLDKLETELNTNYTSIVRMTRFFIPHFLKLGAEGRPSFLVTVTSGLAIIPGPWVPNYCATKAALHSFSLSLSLQLKDTNIKVMEVFPPLVESELHDHQGTTPKLAKFWLPLDEFTKQAMEGLKRGDVEIPVGSALGQWNKFEKGKLEASAQALALLSASAQ*
>EED80423
MRPLTEDESKAVFTKLANYIGKNLVHLIDRPDEPYCFRLHRDRVFYVSESSMRLGISVARPNLISLGTCFGKFSKSGKFKLHVTALDYLAQYAKYKIWIKPNGEMPFLYGNHVLKAHLGRITEDTPEHQGVVVFSMNDIPLGFGVTARSTVDTRKLDPTAIIVFHQSDVGEYLRDEVSLID*
>EED80424
MATSDIALTDVQVDNSSPQHTHVEFETLDAVPLASSSRGRVSGKPWKPQKTATVRSLIPDGVKTKSWEDRMQKIQKQKAIKQLQTELSEEKKAEKTRRREITLERKKAAEERRRLEEDKAKARTADFNHSHPFDLYPIRWAHERLHASAAGLAGPRRSTTETLWYCGTGGIHVSVAFISFGMAIPIISPRIVTISGCVWNPSGLETHIHQLEHATCPSGHRFKKLYKLACWSIIHDHDLALYLSMSQPCCACI*
>EED80425
MR*
>EED80426
MAVRIRLAMHGPRHNRVFHIVAIHGTRGRNAKPIETLGKFNPRITPGETTKTVEWSVNRIRYWLGVGALPSKTVERLLALVSVLR
>EED80427
MLCVLIYELVVNDKAQGTPVSFKVRPSESALINLGARFPACMKNVSAIPLTTQFACLNDTANPVTEACPLEDICGFGGFHDETPNQTFRFVTPVFLHAGIIHYLLNMLAQMTVSAQVEREMGSIFFIVLYMASGIFGRVNVLGGNFALVGLPSVGASGAIFGTTAIAWIDLLAHWRYHPRPGTRLAWLIVELIVGIGLGFIPSHLGGLLMGLLMGMAFYPIISPSTRHRAIVIGFRLAAIPIAIVLFVVLIRNFYKSDPYAACTWCRYLSCIPTSANDHCQGYVRLLFSYLMTYSLF
>EED80428
MFIAIIGTRSAGKSTVQDYLIDRKGFQAVRLHKGEQSLYFSTSSDMLEHATRNWRMNFVTIDLDTQESLEQFVRRPFVMVVNVDAPILLRFERALRLAKLHIVNAFDTIPALHSHLDDLNLLDQGRLRPGWDAYFMQLAELASERSNCMKRRVGAILVRNKRILATGYNGTPRGLTNCNEGGCTRCNSGKEASDECVCLHAEENALLEAGRERVGDGAVLYCNTCPCLKCTIKIIQTGVKEVVYNLSYKVDDSSAALFSEAGVVLRRHSMPK*
>EED80429
MDTSDLETYQVQLSQVELALASDPANPELSSLRSELKELIELTQAALAQQEAAASSSKGESSRKSAAAVTATRTWSAGDECLAKYSGDGQFYPARITSVGGSADNRAYSVMFKGYNSTELVNASQLKALPANHQSAGPSAAGKRKLSKVEEEERERKKKKNEKKLEVKAAKAKEQTEKQASWQKFAKKSEKKGIHIAGVSGTSIFKTPDNPLGKVGVTGSGKGMTEVIARHKHKFEAKDDPNA*
>EED80430
MHPVSTTGTYPAHNMGAHVPSVPYSFHYDYSVLNHQYAYPQPAYDHQGPPDDYSYPPGTSNPPSQMPSAPYAQETPLHAPVPMSRYSTLIMQQPVSPHSPVFPPVSGQEHASPYYQHNSDVSSNLAQQPIELPTLPSFNAAASTSPSTDSLVYFGNAQRTTLPTPSDLLNEEDAQGESGDTTASTSAVMKSESRQSIESQGLPLKRKTSKPGSSHNNSANNNGATKPQETQRKAYFRAVAEAIGFTPTNPDTISSHDKKRHYLECVEQYVDWLHEQIRILGEEPVPFEKVSKYPGLSHQSIRILLVHMEDEIRKLNQQTLEEEQEFLRLQMQVSMQLASAEAHQGRRHSIATVPDSASSQPFLQPAPTLSSHLRY*
>EED80431
MSSFKRRASSTQPPPPSGTRISPGSSSTITTSTGIPSLDDILGGGLPLSCSLLVLAPDTHSAYGELVQKYFISQGLASGHKLCVVDDDARDILAECMWVPGGAPSASTNAAEDEEDDKAAQHDTKIKIAWRYEQMKQFQTTVPTSNQSSEDYCRVFDLTCRIPDTTVQSAIKAGQLARHHGATSCPRLVPSPVDPEPNAYHGHNRKFVTFSSRFVGYCAAIPTPVHPSHYPLACALMHGVGRAGSKSWAGSPTPASLSQHSPRPLLDAARALGLGREQPRVQTLHLDLEGGVGERRTTPSANAIALDDVAPVRSHVYGHADGDQTAAPTARAAVHVEIEQASATTAALSITDVPSERTATGGKKTKVKKKVAFMSDRPDLYDF*
>EED80432
MVRGRPTSPPTTPPPYQKTQALPAAPSRKGLREWDRSLSIPWLGVTHHRRSQEDSPGTGQANDPETPCPPEQPRFAADPLHVDIPPHSKPYTLAQNVTPGWDTPWTARPPDLSSRGQRSRDELVESPSPTGVHHDDNEKLNSWARRRKRIRAYMIYNPYVPLLFRFINIALTTAALAVAIRIRTVERHNGIMGIVGSSPLLKNAQVEYFGRPVGLWHTSSKLAYTLIEVVFICAWSAALALSFDNFFTSLIPCASFSSIAWYSELPRLTIPGVTGSEGTVGDYICDDQLAMICLVGVGLLMYCFNLVISLFRIFERVKYHPTTILPA*
>EED80433
MEAPPSAEAFLSDIPIESLHQLLTTVQHDTSPPLITHIPTLDTYFSSRSPQTPLRIGDVMEIQGPAASGKSHLLSHIIITCIMPTQHESRAIGGWDKAAIVIDSDHTFNLERFHQLLLTRLLRLLGHADQSDACGMVPDMAIVAGIASRCLSRLHIFRPDTNIQLAATLLRLPQYHASLPELQTTEIGLLAIDSVGAFYWQDRFRMERLRGTREPSGVGADPVSPLEHVLCALQSFRESHGPLIVLTNWGLNPLTKSSVHVGESLYPFYKQHLHPFPSPFESAYVAGKAIDRTDHTRVSDSLVNPATAPPESQGTASAVTGRNLRREHRVLPLTHHVTLRPAAVAPLSTDSTIAEALQGNIARSIIVKKGEMQGFVRSPGSAAVGKFSFFITSEEFLAHHQLD*
>EED80434
MPVAPGSFEWVIPAKPKPGRKPKKDTIQPVQEPEVDNKGRRVQNRAAQRAFRERKQSQLAELQARVQQYEQGEVERNVALQNIAKRLKEENEKLRSENSLLKEKIGQLEVSQDISKKRTRGDSLPKSPTLPLNQFARKKSKLTPDPPNFALLSSMASYEGVSSPSSVGSSPSPSSLPSSHNSFSPAPMHSSSHDTQGGNQLTNVFDLSVDAKSSLFEGGPLSCGFCSESISCVCKEITMQQVSEQLRVSDPASQINSGQHGNSAMQLDLRSAASTANSPPSILDNLPEYQAPVPLRRRTPVGSVRPVFSISSSSNSANTASCSGDPSNCLACADDAFGKAFCDAIGRTPRSSLTIWRPHPRPSPVTPRGASSNCIPTSTLPT*
>EED80435
MPDAKSAPGSGTRGMVPLVNGAQGMVWLVEDVGTEPYSMHESEEEGGWDKMEETKERLGEDSSSDESDDDEGAPVRAGQKRPMDSDARGSQQIRKRARTSAASAAPPSNPQLNRAAQIWFSQDCFTGLDIDVEDDEAEEDVQMDEDEDEDEDDGAQDQAQTSDDEDDFEVVPQAPDDSAYMWDVENENEDELKEAKIRKHGLVTPEAVTIAQKLVNREKTRTELINDGFNRYSLNAKDGLPPWFLDDEDKHYKPNIPVTKEAVDALRAKMRALDARPIKKVAEAKARKKMRAAQRLEKAMKKAEGVNETTDITEREKAKQIEKLMQKGMAKKQKKEVKLVVAKGAHKGVKGRPKGVKGRYVMVDSRMKKEVSRFPRSRHMSVVDNMHS*
>EED80436
MMRTHQRKPLSIQKVTTWAEKIGSGNTRSASMTL*
>EED80437
MLAACLFLGVLPLAIAAPAESASAFVGSTVTATFPLPDATFTLNENYFPDAEQVGFPGPTPTGAEPLAIATAPVAALNYDTYPIIAPSEQYAGAGPAFNPMYYWGNLGPWYSVGGAFGLPETSPQIPAGCDLEQRDIPPLHAAATNGRGFSATGPLEFLNTWTYKLGTDVLTPFGREQPFDLGVGFRVKYGSLLNKFTSIPVFRTTSMVGFFGVPEYLTSYHEEIIIEATGYNNTLSPYETCTNANNDVGGNIGNYASGNWTQIYLKETTKRLQKYLKGYKLTPNDVYAMQEMCSYETVALGYSEFCGLFTEEEWRGFEYSIDLSFWYGQGPGSPNSAARGVGYVQELVARLTKTPLTVFDTNLNGTLDGNPITFPLDQPIYADATHDVVIASIITALNFTTMAANGPLPWDRIPVGQTYHVQDIAAYSSNLVGQVLSCPASEKSKEKQKYIRFLLNDGGVPLTGISHCETPNKDGLCLLDNFVQGMRERIAEIDYAYDCLGNYTIPNPDTIIDGRMIR*
>EED80438
MAIMNLPPVPPELKSISPYLQRADEVSSKDPVMSYWCAYYAAQAGISLKLKESTSRKFLFTLLGVLEHLKADLGHNDAVEDESAAAAYVENFALKVFTMADSEDRRGQATRGTAKKFLAAANFLEILRTFEKDKAESVTADSNAEKIRYSKWKAADIAKAFREGRKPTPGPAGGDPSPEPNVIVPSSASPPPQAYSPPESVAGTSAPAPMRTTPPPPSIMDLPSPQQNSFFQQVSPHAPNASLLPHSHPDIAPVAWTPGTWSTVATPGTPGEMLGASPSPSRKEVRFTPSVTDGLVPGFEPSAPPFLDQSLLATPPDVYTSLPSAPSPSAPESRISTRFMPEEVEPESGASFASQLPPGFVPSIVLPSVPAMPPPPGASDATLTPVPVELTPVVIARAQKHCRFAVSSLDYEDVEQARKELRAALKLLGG*
>EED80439
MPSALTSPQITVYHSAADLPDSIWEMFHAHARSANIVFPYAKKARRARRLCSSSDDPSEPTSRFSGTTLQDLETTPSHSDISSVRSSHFTNGDNSGVDHGRANVASFVPGILGPLVSQDYTSPSEGNAAQSGFVRSDTLHNFRESQTRNASDLRLLMGNANARLKSGAVVRPTHSRQPCGAVDRDPLADPVSLEQAKSRARIDVDIVLESNACVQGDFLRGYVKLRVRKRSRKESPMLLAGGKVRVVGFECIPSQDERHTFYQCASPLSEITDQLHRIYEKPAGADGFAPAIEGVHVLPFAMRLPADGTCGTPKGVLSVHSGVAVQYVAMVSIKVKNPKNGKRSIAHFYRHCEIWPRLNPSVVLLPAARPLQSATSKSLSMLGSANKVKLTGFLHRLTWVAGQRCYVTVAVVNETKKTIRTLTLTLIRSTTIFKPKPALDTGNARTLDPDSCQTTTMHKVLSETFLQMAHRGTKGHASAKGWWTGVAPGQELTFSHYILLPPEALSVTRNRLLEVEYIIRITLSAGSLTPDIHVTLPIRIINFLSIDPIPRDPTQSSSGAHVRPLRRRRSIDGSLDARNLASNCWPTSKQHGPPGTLHSRSSTLYTHENEDEDFLRLLQPPQRSHTSKRLPHKPSAQLHVMNPDIYSESSLIMTEPNEPEHSDDSVYSSGFSSHPASMDSLASSSEADFGATDLDDADSDEEVGRVVESGRDGSVTRRPQTQDSEQRVAMTSCVPQAQGRTTYVAAVAEYASAGLSRSHGMPEDPALDGPGASAATEHVGDGGDVTPKIAHVSATEMHVVPQIAIQPLRPARHPNRMIPVKLRAPSMEPRAGAEYTIGQAFGRSHLYGEDEQFPPSVRGSRRLPNPPTSILDSDLPPSVSDLHVAPATQDNSDGPASNQYAVMSAAVRGTSSGCTPTDDSAPAPASVLAKTLASPKPHAQMSDRAQQPTSLDISSATRGGMCEPTSTATLARIPNAHLGLNHDPSRQSELDRTYGQPHASLGQDLEDAGRPSMNMRRTSTSQTGSSTSSGVRERIAELEVRVQSACKDGSAYV*
>EED80440
MLHRALSLQLLSITAGILEARFTPLLLHTLYPVLHSVVSQSSLLSNTAFATLNYITRSTSYASPANMLLSNFDYALDAVSRRLSRRWLDLDATKVLVVLVRLVGRDVVQKAGDVVEECFDRLDEYHGYEMLVDGLVEVLSEVVKVMEDQDDSRLQHHSRADNLPADMSDGEKFGEFLEWYAHRHNKPEDEHESSRYNSYPRDAWGSKSEANGEQENSSPIPDPNAEPSPSPSQILTKQIVSRSLFFLTHGSPMIRARILMLLSAAVPVLPESALLPSVHHAWPFILNRFADREHFVVSAAASLVESLATHVGDFMHQRVWDDIWPRFRTILQKLSAADTTNALARRGNGAVGTESAYTYSHRLYRSVLRTMSAAVVGVQPQDSAVWEVVLLFRRFLHSHAHDELQACARDFTLPSLRTTQTPCG*
>EED80441
MGQAAWGMNTDFLAVFLDLLLPLAIKHKVKKEDMIKRLFVFSDMQFDDARRKIPPVNPGSSGHYEIQEPVLGDWITDHQRIVQAYKEAGYDVPELVYWNLGGYGTTPVLESQEGCSLIGGFSPNMLKLFMEEDEEVLRKSMEEMRLQQNEAGGSKAEAEATATSGMNPVETMMKALTKKSFDVLKLYPLFPLSTAYPHCFTSVYGLKFTTREFTLPTAYY*
>EED80442
MLCGYDVLQNRAFQQVQPNNASSLYPWAPVDGAQLTVVADPVPVSNALPNSLKFVVPSDSSGSVGFSNTGYWGIKIDSAWTYNASLYYRFPSASAFSGILTLGLNSSSGTILASNSTTISGAQTTWKQVFLTLHPTASASDNNNTFFVTVDGAAAAGETINFAMFSLFPPTYKDQPNGMRIDISETLAAMKPAFFRFPGGNNLLGTDGPCGATGSKQCEGQTFATRWQWNATVGPLLDRPGRVGDWGYVNTDGLGLYEYLIWFEDVGMEPFMAVWAGYSLDGESIAEGDLEPYIQQAIDQINFVIGDPATSAPGHPEPFTLHYVEVGNEASLDANRVQRLSPAEGVGPQQNEQ*
>EED80443
MLTYLHHSDPTIPHYRKEEWSWVRGAAATVDRPLLGWAGRFFLHNHSRSSDNQPEITKCVRSVLKEHYNYDSTNTFFALYRSFTECVFIEEDGAIVFYKDKHGHSQRDVAEMKLKEIDATWNAEEQDNGVQVVE*
>EED80444
MTFQLSFPLYLTLSRWYLKTSRQTAISARPGSTHG*
>EED80445
MTFDDSKHYNISSFDAWLDWESLTSFPNGSAYVQLEPNGREFGLSMFRQLRCLNILRVALIDGPGDESKRCLNLLRQAILCASDITLDALNIEIDGQLKATDGAGMTHSVAGVTGLTFVVYGDPKNTSIPSTPEKVEVYESGLQGDKITRGLMWCENAPHRRTYHAPLGWASVKSDSCVFVPSMGTEPDQQMVMAGSDIRKMRVPTLPLNLAMSLALRPTQFTGYTECRSAYQSDRD*
>EED80446
MVRDDARTVSGSPDPKAPPPGAMKTRAGLYNIPLKTKHSRLKHSAVLRRYRRADLRIKGEEGYPLWDPEPEEQGTVCIGDVGYISEGRFRRLFNATRPANDPLNTLFGVPGGYEPLQIKEQLFEDKEPRMLRVGKSLNSNSITSTQVSGGASGKGVGGSIHFKCSEDRGAILALPRQTLLQQKLRKNKTLAGWMLKYHKTWHRWATETHDLDLKEEEIIFVTGWVKTEEWAVGAFVDRGHVAHLELNIGAGPVAGASFSVTTTSSTSRAWHQRSGPCARQADGLGVQDAHASGDQHELLGGQWHGRDGGASQKSRKGRTENRGKRGNRNNEAGHVYDQCVFLHYTGFKERTGILPRFLPKRLKAAADGEDASLTSDDDEDDDDDVGMAGYSLVEDTSLEDAYEIENMPLIPERYDPVNCLMEYILDIAPGEDLTDILDRIRPAVIVDRSGLGILDIVSVQQDLPRQGERTGAREDTPDPTDGQSLHDPGEVHASTTSCSDRTLVNVQHPRSYQSSRQEWRTPHMSESWTQTWDPPDKHNVIAAVAKDDLDADSIIVGTPMAGPSDQ*
>EED80447
MPGVRRVHNWTRGVRKVIYKQCPLVSFALSNDLFQLHRRTNFYLTNHVVLATLTMASDPFLDFCDQLKEMSSASTDEWSFFSPAPVEPAQVNEPQNPEYSPAVPNLDIISGITEDLRFPKSQGAPSAGSGCSEMNPIEMLLESPAGNYPSQTPAAQEPVSVMSYAWPTHQAAAPYYGIPYIEQPRYLEQAVTPATLMHWYTMGYNTGVALHGPHVAPYGVTSAPMTGLPYVGQTTDYNDYINGGLGSTTAHAANTPRPRKKVRTRFRQSRVVRKPRTWTPVALNYQCSHCHAWFSRSGVRDRHMTTGCTKGKQQECQCPICLKMYSRTDSRGRHCHSQHGMSYQDAVEWAEERLSDRDASVDEGSPAQPNDY*
>EED80448
MAGESVIRLSNAGRWCHTGKLSARRSSRTFNCKYNTDMENKGSLVGQIHAGQIQGGRGENARHDPTDYGCCSDDASTLHLIDPSVVSSGAVATTAGARERRDVVLLLAFVDERRRLSLYGGQEPVRLTHRRVENAWVDVSERIQGEGYLSIGSYFWSYLRDYDVAVWPIVTENLCIIGRPCLIHRNVLRRWQAYAMRGYGQQSRHKGLRRIIEVISALLSAVWTYWLLDPDEMS*
>EED80449
MSAVWRGVWREAEDAQRILTPSRTLEGFGPCTTAKAISGHVYLIQQASPLPRTNTLSSYVNNWYQLANYQELREHHAWIDRADAMILLQHRSWRAGLISREVPLASTKGMRAWRYKGGVYAAESNRGQQMKSNALSRQYPNISDHKYQKWAVSTGGLREEEETIPAPQSRNERVCVLVNTRFKLSRTQRGMRDAAPSPLYEECFAVTKPYMDLARCPVKGRPWTEAADVSRTVYSAEHERKALYKGVRPHRTVKQVVLSARSAPREPRRDRFGRGIRMTKVSSSSHEEIREKGEKGSMKGWGG*
>EED80450
MSEKLGTEPLAVLLLTQVLLLHMETLMVHTVSIFMNKSKNFLKTKMLSHQADLHELTRYQTKQLSEKSAGLTYREAKLPFQAIRDEQVLQGADVFRPFESEEEWDLAKWLIKNVGHTQAEKFLKLPIIRKVYI*
>EED80451
MDSEILAFLGEHSYMEEHLDHKPSLPCFPQELTDLVIDCLHGDLTALRAFNRIEFKTPIDLTRFLGHFPSLTTLLIENLTVVERRADPTKRGPRPPLDTLRIASGNAQEFLLNWFLYQPPEMLRLKNLAYTVERWRVAAPLVSLQALGRSVKDLTIIYAYESPHYLLKNDPLLSHLTSIQTLTFDLATGYGTFYGAPSIPYLLRSVVAGSVASLRFRFSLDNRHPALDECLEQVGRIRLPELGRQIDILETLADLAEVTVEIRSNEWFKFMQVGSRDQWVALEMEQRRLTNRATHRPRPKSTEGGAESDAEGQKSDSEWERVARQRVEADYDKTKDTWRKAQATVERALPSLLHQNLLKVSPIEYESPASSPTQTRRANVVFPREFDIASHLIADYQDIDIYG*
>EED80452
MSDASTSYQIDLHNYLHLVGISIFYYDYILTFSTEYVRVWRGPKPTGSWLFYVNRYLTFFGDIAVNVGNFYNFKTAKSCDDYALYRQILLIGAQVVVCIILCLRIYALYNRSKAILVGVVGTGATLMALAVTWKNRAVYAISDARPNLVTLMMRDGALYFAVSVTMMSRLMLNLHESASANPTLVTDPSSSGQSTTLLFTSIIIGTNPQTERADIEGVEFDQDSMIPDSWIVSRGDLSKMGLNRLSVASSVVQGPKPYFGPNFRGSARAEPWSQLFDRAVCVVNCIPTLNEVRATSDLTTFGQSFILRGRKGLTSFIRLNEEDRACPGPPGAFELGPGLVLLGQAQTARLGQY*
>EED80453
MRGLSRQRMHRSDVQSSDAGPIALPAALL*
>EED80454
MHIFNFFRHFRTYNNRKDHMTLAPKLDLIEYIRLSIVCQSDKLLFMLQSHMISQGSKVSDRWAACLLQEKHPDVVFWHKQDWTSHANTHYGKTNTICAQGQKSGSWKWDFIESSEGICVSESHFEALHKCACNFFNNLNLHSEAPPTWEYNIAKPIREVFLADMKNAFSELWLCNRSWKANQVAITMYMQWYCNHVANKENAVKSAPVKNPDNSVTPNGPKCGQNPNQDPNYWHNISEALRLSCVTLTMTTRGDNNFQDESKDASVHTQQDTSGNVNDTVIKQLDDAGMSLGESGKKHTQKQTTVQFNETAWGSLLTAEQKDWEKKIRRLKLSGAKQSIDSIQSQAVCRLGDMMCQLAGGWYGWYTDSGQGGKQSSVATL*
>EED80455
MGSVRTSYPVSYHYVSSSTKHNHTDTGARVTTEQIAALSPPQKELPSTLEAVPGVVQPVQTRRSSPIKNSLATTRDTHPLTQKMYLPSYESTLNLHKQQKACSHPSTNNHSNSLKFTPPAPTNALVEVPMATFTQEDINQCIAVALAAYQSQQSMANRPLRLDIPTPEPFSGKAEDLRRFIQCILSYFVTTNNTQLSDEAKITFTVALMRKDLGKTWADAYYEKLAGGVQVYSTWANFVAALEEAFPEHRTRIKAHQILMKLPERQRDRKTALSLSNYVTRFEQLASKAQLKDAEVNGTNRIENDYHTLHANFVKGLLKELYFALTTRVARD*
>EED80456
MHEAELAELKRKWERIVSRGMDRMSMPSPLTSPNGGALEGIKEGVRLLASGLGDLSSPTSVSASPASTVPAQVLPGLASVSRSTMGRVRGHTNTQSTSSVSTTGTSTSSHTTSTRLSQSSASSLAFDEPLLEVDEKREGSPHGSVEVSPSSALRAAKLHRRKSREQPSPLCSPLADTSATLGADSPRTRAMKRSSLNLSSGLPPPAIPGIGVLAGQPVSSWMETMGSSVGRKWEELQKGETFTKSQKRASVILSDVSQTFFSALTSPGPSSGTFVSVSSNPFAATLSPLSTSPSASTPPPMMASSASTHSLLDDDSEAQGLGSVMVPDSKGSSSSTSSRTPSVNTKDQSDDEWNW*
>EED80457
MHLLWYLSLFALLFRTGVLAAAFDLLHDYSGSSFFTGWEFYGKWDNLTLGNVTYQTALLATEYQLVSVNEAGNAIIRVDNRTTVSVGERRNSVLPGLASVSRSTMGRVRGHTNTQSTSSVSTTGTSTSSHTTSTRLSQSSASSLAFDEPLLEVDEKREGSPHGSVEVSPSSALRAAKLHRRKSREQPSPLCSPLADTSATLGADSPRTRAMKRSSLNLSSGLPPPAIPGIGVLAGQPVSSWMETMGSSVGRKWEELQKGETFTKSQKRASVILSDVSQTFFSALTSPGPSSGTFVSVSSNPFAATLSPLSTSPSASTPPPMMASSASTHSLLDDDSEAQGLGSVMVPDSKGSSSSTSSRTPSVNTKDQSDDEWNW*
>EED80458
MLLPKDDVKGYGYIHSVVGIAWLAVALILTVVAAKHGGMPHEAITAPTARRRTEVSIAAAKRHRASFASYIPGRPFFGREVEARKRCSTRDPWRQSPSFVKKIPSHGTRDLFWMGSKLEDEHEFQRVIPSRVDETLRDETLLYWALGGALCLDLSFLHPGSPLRIALPIELFHPVFAKFKTKRTDDTTPLPPDFLRHVAKFTNEVSQISTEESERACRTRNSLRAILNHSVVKFVNLNGTSADHIIANTNLPRFANDAALAIIEEEVELGTGLDLSVRCAFSYNEHWCSVDREKLMLACFCPTFLIALGGSWINISGAIYTIAPIVEDFTGYVSLASSAPSNDSAKITEVARILWALREALHELNKWYEQLPVPDNEYQRYFPLATSCKLQDGEMLSWTYEGPLNHQEGRAEDLSCPIFLATDTSDANRKLVIKFVDAYGKDAHELLAAHNLAPKLIYYGDVWPAQAASGRLKMVVMEYIKGVRLSKLCADGAAVPRPVYDDVQQALKLLHDAGMVHGDIRHPNIIVADVVPTGNVEAEDQAEGEGYVEVEGQGEGEVDDMRARTRIIDFDWAGKLGEVQYQLNLSGMITWAEGVDDWELIKLEHDIFMLGMLKKKSRNW*
>EED80459
MFSALSTLTLWVSIVLAQTSSSVFDFPEYPPPGTPGPYATLANAAAPLPYGISDDPALSEAVIHYDTGFFGPQIELVHAYYNYWPTGVGVASDGTIFTCFPRGNETFTLAVFNSSTSEAAWPNEEWNTPPAFYNESNPGYSIATNKLLFIQSVVVDGADRVWALDTGRPRVNGTYLFAAVPGGPKLVGFYMNGTSFVTYTFPDYVVYADSSLNDVRIDLRGEGYAYITDSSPYRPAIVVINLSTGESWRHLDGSLMTSPDPAFVPVYDGGTLLKYRVAHLMLTNEEVPFYYRPIAQPNAIQRLTAFAADGIALSADGEYLYVTPLTSRRLYRVPTSYLKQQPSSTNPNAYMLARENVQNVGEFGGHADGLETDSTGYIYLGSQEHNAIHRYNITTGLVEPFIRTPVIQWADTMSVVSLQGGGGYLYFTVNQMPLYSE*
>EED80460
MSGKVSVRAMDGGEDAGVDRIAFVDGRDFREWPALDDSPMGGDGVLAVGTGLRTMLMLHGKLDPEPTSFALPSGALSVEDNGESVSVLCRLCDRTASVSSESGPAAVDIRARRDAPLRLRSLSSAATTDMAATTSMQTLQARLDSFKKSKRTKQSLSRSTSANSLKWPHPSSYRATPQTLADAGFYYDPSLEDRDNVICFMCEKELSDWDADDDPFEIHWDKCRSTCPWAAARCGLALDVDERGNFHFTDPTRFPTSKTMEKARLETFTAQQIWPHDSVKGHGASSKKMAKAGFVFTPQSAGDDTATCLYCNLSLSGWDEDDDPQYVLLNHGQ*
>EED80461
MDIPITVFAMRLLSEQYFASQARQALKVPQSSAGYPDIASQSYSTAMDSTALEPHAHSGSDTSYQPYYNIAGRVADTPLRQAVYATPALGYSFQPSEDTPSSTTATGMHRPRHEGHTTIAISAGAQECLRDALYGRRTLVDIPTDLWHQLHAICEHSTFVYIPAPTSASSNRDIGIPAQPYNSQRIRPSASMPLAVSPRLDAHPMPSATTSYSPTAEAYPAGGELGPSTSFRCQWGDCNGVITSPKFSSIETHLKAHHFAEDSSDPWIPGNRGHCKWNGSPVHTSTPSIAPARLYLPKSKPPLYLSCGFVFSRNSRPPIGLQILPRLCVPRYLLSLSSDSFWIRFGHCETEFAFGLGGLD*
>EED80462
MVPYTSGVGGGFDAAPPRVHVPQNYGESNIVNDDDLRVAFNTTSGGSFPAPPHPDAFPEVTPVCEHYYVPSEVKHHLGDMIRQACRDPSIPQHYRMWFELICNPSSRLQVEIGGELLPLEVSPHTVNMQADGQVILSTTWESPGIPSGAWPVAPGRDLPSELMPSTNGAMCCWKDCTIDIGSTNIADIEGHLKAHHFGPHEWVMERRGKCQWKECKYRKDLYFKSFAKHIATHHLCSTIVECAVAGCSDTFSRRDAMTRHVSLKHPGQRPSAWVG*
>EED80463
MNPYAANHYYPIYPSGSSYDPYAAMATQYTPIPDYQTCTSSHASQIQNPGLVHRVPCLWGGGTCSIMLDDVSAAGIMRHLRVYHLCDPDRPWDKRARGVCEWGGDCGKEMAFASYGKHVAAVHLRSRVQCPYCYRDVGRTTVRVHLGSGPLLIPAGLPGADVDPVVFAQFDVIHIFLHVTNRQVLCIDIDRLNKEAQFGGRRATARWQNEANVVAAAERRRWLLVEGGGQRGSASYQWRLGDPTMFYVTVGEPSLMPLTFAMLSSRVRLEIYSLQLSERVREERKEGESPEHYGVGMRNDSSVPACTP*
>EED80464
MSAEEKFIGNEKVGDYAGYSSEEEKEMHKRTHKERPQLREADRFYQVTPTSAVWSLRTFLSQVHFVRPMPILWWNEGRATRQQRSEVHIGLNVWYIAGYMEAFTGALRHATDKKPSGDDPTPAKRCSVWDGSTQRSRSVSSQLAIVFHIDGRRGNEQWSLSKLADLRS*
>EED80465
MSPGSGIRNRARGKGKSVAPLVIQLRRQAAHGELRIERPRAQRNEAGDEPLYPFLALRRAAASEVDVLPPRTPAGTRRLEVEVVRTLSTIHGRHKALRRKTRHEFGKQEEHQFDEQAWRRCACDEQESEHKGECEVTASVIPVQGCSVKVKHPASGHSRSRRGWRKLLGLVEDNVKRVPKSSVTKLKNVRVKPKSASKAIRSVTAAEVKHQPVVAQANEEPAPVDVSPVFPVSEPEKRLAPVGTSPEQSITASVVEVEPVQVAMPVEGEPRERGLRLEDFAFDFGSCPGMPADVLAALNEPSHIFTVTEAQEMPAMEGQITEATVMAVDVEILSPEPTRDTHSAFAVDVDTIHAEASSSAEEGMEDVCTTDDMPVEMASPVVCSTDTVTVDEDSNMLHAEEQAVEMETDGFESVAAPQALLAQIEMIAANPVTNAGLAGVPVELISAAIDIAQPEEDIEMEHQEQPVMVEEDIEMGVQLVSVDAVFGAVAAALIATGNGDDNIEQDIDAVLDHFGFVQECTVAHLDQEDASVGDITLVGGALDESHNTMSDQDWDKTFVEDAIASSSPNKEKQNGLPAMPLPDVAVSGSDIGEQVVVAGFISLASSMPVISLQTLLDVFAPHPELPDRLLEDPVPTAGPMFDLQSLYSTLPTNTTEGAQKTTNAGSFARATRRGKVYGGGISKAKKTLAQPTHKCTSSLSSGLLDNDAFRAVRNKSAAEQKARKDTRKRYKKISRKLARQDAESAEPKGGCGRFLLSAVNPKTGRREKMTPITTVKESTNDDLDFDRRAVFSLLPTLRRPVSSPAF*
>EED80466
MFSRDLNTSMHGNTRDGYDYSVLYTPMVDNAVYPPPYSDNMPHFHQASRDGLAQYVGNGPCSHTSYFEHRVPLVDMREMFLPGVLSPAAAGFEMGAGVSLEGAPEFDLASSNYANATVHLGPVMATSAGLIHHSELDPFPHIDPYILDLIAMESGIGEVNRTSSHDMPSGVSSAPTPGLQVVGQEISYTQQTNGASEDATESGGHTETPLLAESSPAPAYRLAKPRSPAKTKKAKKTPQRPRAPAIKATRPQVASKGPLRCEICRRNKDTRSEYAHQASLNRHIRTAHLDSSRWQCTLCDKSMIRSDALGRHLKKQHHMSDARAKAVVAQVAASKYLTG*
>EED80467
MSGSASYQARVLRRWQSPKFTFPFAGPALPVEIWEVVLDCLVGAKLKELLNLSLVCRHWWTRCRPYLVRNIVFNNRGDVLREHRTRRRDWAGPRCVTIVGTENTKSLGHLGFVAALLGPRWPNVRDVVIEHGDWRAGDFHQDIFHHLHTLLEKITSLRFHDVTFPSGAILRGLISQTHSLEDKGTLALAQVRFENASMPPTSLSWMSHGNPRGEYVEIQLNDLNTTSLDVIAHWLLVAPDMKHAFFPRVSLSLGTIDEPQPGTVPPIIPFLKTVGNYMQNVTLGVTRSLAQRLSLPQCPSIIIHNQDVQDLHLNIHVQESMYYGWLLRAISRSRTQKSGSSRRLRLDFIVDVHLRHGTVVPKGPECDGCALHPKPTQSFTGSGVLDDIIASLKPVCDVLDRVLWNIRDRQTLFKLHLDPGIWIPRLRTWFPKSRKSKVLRPDLLFSGRSITPAPILGVCDAPPLFLLLAISAAIRAIPPESRDNRHPAAVFVKLHLYVFVPSATGYQQSLTRLRLPLPDLAPASLSLAVTSMPLARGWPARRRTRVVGQPTALPGVQEDYGGRREHFIYSVTPMERDERLLVLYET*
>EED80468
MDQAGHSEPDQANQEGSQMEQPYGPLQQRLQVGSDHSAVENTGAALGALLPARQDHQPSTVCALPANRSATSRGWGQTATTSPYDCTRNAVSDMHDISGDALLCRDESTTNIPIVNDSRVGYFPIYIPLPQPSQPSQPSQPSQPSGMPDILFGLTEDITRGAQDTTYSEAPPQDWSTTPASKNYPEDDTHSADAMTVAQMQAPGVSITEPHAMTEPTRESDHDMLPILLHSELTANDWEELEFTFPFAGPALPVEVWDMVLDCFVSAQPGELLELSLVCQHWWSRCRPYLVRNIVFNSRVDVLREHRTRRRDWSGPRCVTIRGAENTRSLSHLGFVGALFGPRWPNVRHVVVEHGDWWAGDFHQDIFLHLHILMENIGHLGLRDVTFPSGAILRGLVSQVHMRFLEDDEGYESPSDLTLALAQSSCMFQLDDLNSTSLDVIVHWLLNAPDGNHALFGNTSFSLGHISKPRLDTVPQMITALKTVENCAGKVELNINRSLAQDLSLPRCPSLFTYNQDLQRLNLKIHVKETMSYGWLLRAISHLRIAEGPRLSYLWIDFIIDVHFSHGNIVHKGPGCEGCALHPMPIHPFTGSGVLDDIMARLKTVCDVLDRVLWKVHETLYMVLEVEEIRQHHDIAIAPFFLSAFPASTFAIGMTPPESRDHHHPAAISVKPHLYVLVLSGITSRGGDERPVTLHKTMFVEDRLRTTCSRCIEKIDRNGSPVRDSFAESTSDRENHLTVGELVPETGTLAVTENSGVLKAHRNAIYDEGETLTPVDWTARANASGNPYETPPSHFWCWARGALHCSDKEFGIIPGLISMCPQDDRLERISRTGAKRKERTLARQHTHHVLAQQAELHVSWKEALIEHISTASPAHVKFQHIRSINTLEWDYHIPSGYLTLESITGSAGATRKIRVTERDAVDTCVDWNNCRLLHEAGRQHVHCYMYIATNRDTTTGASRIEQPVMLVQDLRLPMTAIYGVTKLEVPIREIE*
>EED80469
MTDRKAVTYVLPFMLTHQPNAACDEAHDQDRRAIPASKRVKDQTAVLHRPRMSDDDLRFDHTIKFAEDSDGDMNVAAAHSTSVQRRELGQKASPTLPRSDRAPSDTTSSSIGDFMSNTEAALSDASSLASSQARILPAPRHPDLTASDAWGSSPSPASAWHPEDWQELEFTFPFTGPALPVEIWDMVLDCLVGAEPWELLRLSLVRKHWCTRCRPYLVRNIAFNNRGDVLREYRTRRRNWRGPRCVTIKGAENTRSLSHLGLIAALFGPRWTNVRDVVIEHGDWRTDDFRQDVFDYLHILLEEIEVLRVHDVTFPSGAILRSLVSHTRFLKLGYNETSRTLSLAQVRFENASMPPASLSWMNNSVRPTPRCMLQLDHLDSTSLDVIAHWLLVAPDRHHMLLPYIWLSLGNLDDSHLDTVPHIIQFLKTVGDRTDRVELDINQSLGLNVPWMAPKGGLKLGDVRTSPD*
>EED80470
MYDERPAIVPEYSDATSGDEPSIEAEYWCDTCGPLPRMWYHPADLGLDTSQEWAQTYIPYPFECAGDAARRIREALEHQANDPAGRRSVMTSVQSQTRALPLLQLQDSAIPDCNTSEDLSHVQDEQDVFTSLRLDNCDAMSHPFNAEEGGTDITEPMALAAGTNSLVGSLVGSRVDVSELAVARKDGVDFQGSMSQFSEHTSMRTLDLTVNSELMLNSSSSFSNYATEPSADNAFPSSSREIMGGWSQMYSSPPRYPEDAVQRTRSISEDVPLYQDPFSADTVATVDDFFNDPDGNDAHYADTIVHPAEAYIAQGLPQIDLWQAPAMSDFPSEIIGDTIRGTTQYATYSEASPQYGFLAPTWRPSLAGTLASDAWGSSPSPASAWHPEDWQELKFTFPFTGPALPVEIWDMVLDCLVGAEPWELLRLSLVRKHWCTRCRPYLVRNIVFNNRGDVLREHRTRRRDWAGPRYVTIVGTENTRSLSHLSFVAALFGPRWPNVSDVVIEHGDWRTGDFHQDVFHHLHTLLKDIQSVTFHDVTFPSGAILHGLVSQLRFGVVLHNQYLMVALAQVRFEDASMPPTSLSWIRNLKNNHGKYLNIIYELDDLDATSLDVIAYWLLVAPDQDHVLFSMTTLSFVNSHDTAPRIIPFLKTVGNHANRLICIKSLDLRIHVQESMSYGWLLRAVSRLLKPETPRIEWLTLHFIIDVHLSHGNIVHKGPECEGCALHPTLPRSLSGPGVLDDIMASLKTVCDVLDRVLPKSGEFYFWVKIEICCCAQFDPDIWKPKFRTWFPTFYPVFSFPFQTSYRYCPGFHPVPPLRPLRRPPARDAAYPAINPAVCAPVGNLSVQLSELPGSQRHSQGDCRGGDEGLAILHKTIVIVIFVENQLNWWIHAAEVSSSRSPKASWFRTDPRDRIEDGGAEALHRSKCYLGLGTRQPVEAIWASRRKPWRCRRMHARAAEWLHRACTRDDNLLERERREEMDGPQGTTGWETLSSWTTLDATAHNNMRSDDTDCVLESAGQSMPSCRFAPECTTQNQPNR*
>EED80471
MPLQEYQVVGRHLPTESDPTPKIYRMRIFAPNEVVAKSRFWYFLRQLKKVKKASGEIIGVNVIHEKKPLKVKNFGIWLRYDSRSGTHNMYKEFRELSRADAVKALYQDMAARHRARFRSIHILRVVEIEKTEDIRRPYIRQLLTPKLKFPLPHRVSKVRSTFVAHRPATF*
>EED80472
MRSEANRDLGLSDIMEEVEREQTIVRVSKWRVRLRAFVCLNILNIRFALSLGAQHWIHDRMSTTMDAVPELQRSNTASSLEKRKAYSADIDEEKVMVMEASSVDEVGSDVKVIEKAEDVAIEILTTEDHPELPVWTFRTAFLGVGLSAFSAVLATIYTFKPQNATVSQLFCLIIAYVLGTFMAGVIPSRGYWKYLNPGPFNIKEHTAVVIMASTASSVAIAMEIIAALDLFYDITLNAGVAIFQIFASQMIGYGIAGVLRTLLVYPTYAFYPTYISVVNLLQSLHYGGVLNAKRRVSGADGCREIRRYFWMVFAAIFFWEWIPQYPFPLLTAFSIICLADNGRHPFVRNLFGAGSSNEGIGLFSFSTSWTLITQGSPLVWPLQTQVNSYIGMAIGYLVLTLTYYHNVFNGRDLVWMSSALFGTDGGTYNQSAILTPSNQLDPEKLAEVGLPRFTTTYAISQMCYNFSLGAAIVHVLLWYWGDLKKGVFGGDSRNAAFGEVKFLRGGQEIDDPHYKGGVLCTSLTAGTNTTHTLAAMKVYKEVPQWWYLTLFVISLAVGIGCSYSAHTVLIPWWSVILFTAISFFLAIVLGFIMATTGFSLSIKYAIQILAAFVHPGNPVYHGLPDIVHAARSCVVIGFAAQWWARKHRPAWFKKYNYLTSAALDGGSQVIMFILVGQPRESVRGQMKIGIQVGTIAPIVYSEFRINPACAQIFLSRLACEDLSWPRGALCGGMVAEQALGLQRDATTQPTRARTGGERATRPEPSSPQRLSPASSSPHLEKHSISQANSSLSLSTTPPSPSTDSNSYASPRILLTPSESSSPSIMVSPSAPSAHERTQPPPSILSATAPKFQSRLFDAPIDIHTLVSDTPPPGRDRSVSALVTGLQSVHLSTPSSTTPAQLPSAAKSHSDVQPTAPDQHAADLCTPNVYINGLPPNFPEADLYKMTCDFGAVVSVRTFTRHVCDRPSGYGFVLRFIEVRPELLRFETVDAAERCIETLRKYRNLHPSFAKQIHKIPGTVYSTSSFEPPTNDSVDGQSGNSFKARMEQLKDTSSTNLYMEGLPLSTDERALSALVEPYRIMSSRFFRTRLNNPPRLIAFVRLESRVAAEKIIERLHGRHIRGWQEDGCRISVRFADTSEQRDLRRMERITREGDQSPARITIAQAALLNLKGTQLGPTPSGSPDINYLPIGLPAKSPYIINGLGGGNVGGAFSPLARASPSLSYSDHINPLLNSMQDLTLMPGGQHFEDFNDRAAQYLGQDLRMNQLALQDELLALQAAQAQLQSGRGSDVNNMSGRADNGFTHIERLLLQAHAERQQAQELLLEAERERDLRNLLLSQQYDFGNASHLGDVEDDTHRRLLNILSPTSENDFHSGLSTSQGLQVPKGYSYELNAHGAAGGLGTAPAADYRSHSSPSFSQQPSAIRQERQRNQTHASHARAETDAPAQALHTRSTTLPLQYLSSRGGSRHFLNTPSQNFSPSTLNSSIIDNRSTSINNTTFNLDDSIDYVSNSNNPARTDSILRNNLGQKNVSFSDAKGHAVSRPELANYTFNLMNGSALSSGRAGGASQSGINCKRTLQDVQTDEDDSLVSPALTYSPHTTASISPATPYSGFFPPAEGFDGSYVVEGRLSGVVEKQKMRANQ*
>EED80473
MYKLSRLSPALVNSVYLWGARFSRNQLLLAQESVYLQRAVHNTGRLSFGLAKFEHREGPDVFGTALFALHAKAATLFERAARLMSQWTANSAYSEQFATELFTLDGAIDRFIASLPPVHLHLDVDVARKLIITHTLARDATIKVQAAMKQVTGMPSDKDVVAAQAIAAMLDNTNIGSLNYVDPIVAIVWSDICRVLSGEAARLRLLWSSTSFHVDQAGAGRELQRIEADHNRLGVAMQKVLAAMTTLANTCPLIVQAVKVQQEMENAAR*
>EED80474
MFLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRKYNEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSTRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGLSRHWVRDCPDVRCTRCGKEAPGHLERECGGRLMKWHVSAPPEEPARHVGVVVDNVFLEGIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPVAGPLCPRPDTPVVLRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNVGRNARKEWFNEEEDNGVDWELYGDGEQNVSGLKKLAAHDYEDILQHNRDWVYYYVNMHVDCNMFMHYLGGGIGHKGAGASIAATKITLQYARESMPQGASSGSAREEDIEMGDEDDTFVNPAEDKDIILEEELNYGYRLTEEDGEDEPHEEAFGEFQEQIRDDEDGSGNIDHWTAR*
>EED80475
MAYRLHAEHGARAAPRMHCVYACWTTGRAPLKNGDIVNIRASVVRTAWRDIPGNTESPLHGGPVRWLHNRGGRRTPPPAMPIRPRSETISRLQSARHGQHHAQRPAGTTHLSTVAAESQAHGKATRRVPLVISPPRSARRGVGSAAAKSQTRIRVRFCCSRFAVIATVQTRLTNSSAFDHARRAVPPSAAHAHAPHPCAITPVPPNASSATAVARPKPLLSGNQKRVNHILSEQKRRNAIRDGYMQLTTLLAPAGAPPGTGMPTRGRPKGSGGRGRGSKGKSGILFKAREYIRFLEEGRDALVQEVVKVEGAAGIRHP*
>EED80476
MSVLSARNRSSALRTSRSMRRSX
>EED80477
MYNVTHRPPRACVDGDIVSIPHIGGQDGTTRRTWKHDIHLYVVTPSASFASSQAAGLLIIATTGSLYPASDDQSPVSSPACSRVVKDLREGDRASKDPDEIAEGYRKIKDACATLSRSPSRYIAALCATLNQILRDEYENLVVETEAKVLRRDASLVASGSFVPLSSIRATFAEWDAPLAALEKLVEDLQDKRYAQPGPLVDLLLTRSHTGIHRIASIYSRLCNAAQRVWIAQLQAFVVHGTVADKDPLASKDYTLLEGSVPSCLSAQSRESIAYVGRAIGTVKAAKWIHQFPRILAVEHTQLLETVLPQDQYAFDRVIADIRTTVSEWLWHNVLTRKDVEVAVDSLANYFLLRNGEFALSLIREIERLKISRLTGRSGPSTMIREQDLQLALLRASLGTTAQHDPSLSHLRFRLPSGPLRPLLPSLVTARVLPKDQSTSLSPSEPTAFDDLLLGTPLVLAYSVSWPLDLLLHTSDLQAYGGLFAYLSALRKTHTRVHTCWTALSNAQRARRCWTGLGEGGTEEDLNARKELLRCGWGVVREMSWFLDTLLGYVMTDVVDVEFRRLKGMLLEKTSDVVKHATGGQSIPAPGSEGADGQIEASHSASQSSSSNAGSTSLDFTTLRNIHTTYLERLITGSLLSNPPLTAIIHMILETCERFVAQAERWGGDILPELLSEGSLAGGGDVGKMVKERKVIVAEVNETLHTLLGTFYEQLSLSTTQQPFSATADASKSVLYSVSMANTTGFHTFLRPKRGRRLEGDDEVRRHVERLLLRLDFNGGFSMPKTGGHAGSNDGEEILKQGGLT*
>EED80478
MPAPRARHGHQQAAYHRERSRAIPASSLAHTSQPATHAPRRHTGRAQNTMRGTADRAARAAHTADKGVLPDKASHGSLEDGVVSASCVDDHAPTHTPGGSQIDVPHPHPLLETDGTGRSGGAFFGFWMSDKPLVQQALASELAEIILTISTTQSSLAFLRGFWEATVREWNSIDRLRIDKYYMLIRRFVNASFRLLMKTEWDSAACDECNSIYTSRGGPLCPDDTRVPASLGYHLADIYLEELDKALGTTSTSPPPPAPLSTLFTPFFTLAARTHTNATYQRIQSELLEPLYAALKPPQEEDEPPRHKRPRLSAPSYPHLILRDEYENLVVETEAKVLRRDASLVASGSFVPLSSIRATFAEWDAPLAALEKLVEDLQDKRYAQPGPLVDLLLTRSHTGIHRIASIYSRLCDAVQRVWIAQLQAFVVHGTVSDKDPLASKDYTLLEGSVPSCLSAQSRESIAYVGRAIGTVKAAKWIRQFPRILAVEHTQLLETVLPQDQYAFDRVIADIRTTVSEWLWHNVLTRKDVEVAVDSLANYFLLRNGEFALSLIREIERLKISRLTGRSGPSTMIREQDLQLALLRASLGTTAQHDPSLSHLRFRLPSGPLRPLLPSLVTAPVLPKDQSTSLSPSEPTAFDDLLLGTPLVLAYSVSWPLDLFLHTSDLQAYGALFAYLSALRKTHTRVHTCWTALSNAQRARRRWTGLGEGGTEEDLNARKELLRCGWGVVREMSWFLDTLLGYVMTDVVDVEFRRLKGMLLEKTSDVVKHATGGQSIPAPGSEGADGQIEASHSASTLPASQSSSSNAGSTSLDFTTLRNIHTTYLERLITGSLLSNPPLTAIIRMILETCERFVAQAERWGGDILPELLSEGSLAGGGDVGKMVKERKVIVAEVNETLHTLLGTFYEQLSLSTTQQPFSATADASKSVLYSVSMANTTGFHTFLRPKRGRRLEGDDEVRRHVERLLLRLDFNGGFSMPKTGGNAGSNDGEEILKQGGLT*
>EED80479
MIAEVLLVLAGHSSSLFPTDHTVHPAFAPLLHPGEQQCLESLGQIAARYRKIKDACATLSRSPSRYIAALCATLNQILRDEYENLVVETEAKVLRRDASLVASGSFVPLSSIRATFAEWDAPLAALEKLVEDLQDKRYAQPGPLVDLLLTRSHTGIHRIASIYSRLCDAVLRVWIAQLQAFVVHGTVADKDPLASKDYTLLEGSVPSCLSAQSRESIASPASPDARRPSYMPSPTSSGTAPRAGTLLEKKKKLSRTAGTQASAGAPRGSAPGQTRTRRLRIRVSTASAANPGLAHSIQGPADGCGRMAYRLHAEHGARAAPRMHCVYACWTTGRAPLKYGDIVNIRASVVRTAWRDIPGNTESPLHGGPVRWLHNRGGRRAPPPAMPIRPRSETISRLQSARHGQHHAQRPAGTTHLGTVAAESQAHGKATRRVPLVISSPRSARRVGSAAAKSQTRIRVRFCCSRFAVIATVQTRLTNSSAFDHARRAVPPSAAHAHAPHPCAITRAHAYRPSALDWSGHGPPAVQLSDEALDMSVTKQDISPLIHAAVQWRDSVHEAACYRLTPKAGQGGVGILA*
>EED80480
MTSQYSTRLIGAPNTLEHRVFIEQNGNVVSPWHDIPLFADQNNGILNMIVEVPRWTNAKMEISKEESFNPIKQDIKRGRLRFVRNCFPHHGYIWNYGAFPQTWEDPSQTHAETKANGDNDPLDVCEVGEQVGYVGQVKQVKVLGIMALLDEGETDWKVLVVDVQDPLASKLNDIEDVERHLPGLVRATNEWFRIYKIPDGKPENQFAFSGEAKNKKYATEIIHECHEAWRRLVSGESPAKTPSYDLSIRNLTIPNSPGHVSKNDPAYTGLPTDSRKPPGPIDPAISKWFYISSAQV*
>EED80481
MLSPSPSSNTNCPDPSFSKASGLRSLMGIRKARSCSPLPTSASILSSQDLTISAPSSDPVTPASPVFDGPAMSHKRTGSTATSHSARSTRSVRSSCISSPLASPNLRSRHFSGLPGTLGWLRSTHFELWIDQDNSRTFRPVFKLTGYTNDSSEDHEAYLVNALTFGLADFMPVTRQEFLVNAVSKTNATLTLRRLTMAGDESKDYISQVASLPTDEDGVYSVSGVELFDVQASLAPLALRWKFEYFVHNALDASASEGRFFTPLRFSCSPGLLHPTHGKKKTRLMELFTRTPSARLRAEKMSAPKPGPSLSGLRPLYKDDHSPGPGASSFDRQSGEGQVADHSVTSTVPGYSLRRSPKLAYCITPPSTLTELMSTFTDTDINLPPRPSYSNLKYDLP*
>EED80482
MKSGAKIWSDEVDDLDRTRCRIAQLDPSSASHIVPYYTKLSRVMNLDELLNGSDGEEAYDGPDAYIDEDDAEEMELDAEDVAEEQQQGGSTVGQILNGGTQQNARLANLTLDDLRRLLGQMNGPVTVEATDEEEEDDDGDDEYYFGYNTEPHWDRSKVFPEVKEPKEKGLELLTSGEFGRLEHQLKSRIRDNNTASILLNRGARQRPTHKEDLTSDLLPNSNGTAVAEYHANAYVGQYSSDSSFYYTCARDFRLHVYDTTAPLVPYGPLRSRIEDEQRYGHATTMKVMKTINAHPGRWTITDSHLSPDNQRMIYASILTVTQSNTVYMTTTLDSSPVQIPIRFADRVRNRRNNIWDYDDEDHFGIWSCKFSADGNEVIAGGSGMIFVYDLLADKRTVKIMAHNDDVNSCCWADTASGNVLVSASDDTFIKVWDRRSLGMSPKPSGVLIGHTEGITYVSAKGDGRYIISNGKDQVLRLWDLRMMRTNAEFEVSCAHVVVHHSSRNARRGGTYPKPRFKAHPKDCSVMQYTGHEVLRTLIRCHFSPAETTGQQYIYSGASDGRIHIWSLDGRIVQILDRSQTLPISFDPSAPDPPSLDGVRRSRICVRDVSWHSDQPILMSAGWVGGRWSAREGSVIARHEWKGLSKMQYSLRDYQERRRQENAERAAPGARDRRAMPGAFANTDDEDKEAAANTQITPMDADLNTYGFPPGYFIVRSVASNRNLDVELGLIDDGTNVILWPQTETSLVDSMRDPSCDNQVFFIDTSDEKLVVRHRRPVFHPFPNAYSHPLPRFSYDRQTQNITVTFAADPAYPSDPRAMATSAWKEKVFLLTSIPARKPRTIADDASALLNTALATPMAFFGSFGKPSSATPDDVFSSGDIDLREDEILEQDRSEEGEVDDSPDKLRRVRIVALTKEELHAASSKARFRQRWKVIPLLASKSRTRS*
>EED80483
MLVSLACITQVVLLLLLVPKSAAHALAVTRATPSTCNGYSQLCDRSYGNVTFVGAHDSYAVSSTNLAANQDYNVTQQLKDGVRMLQLQAHNQSGVIQLCHTSCGLLNGGTLANYLNSVKSWMDENPNEVVSMLIVNSYDNILPAAYATVFSDAGLDSVAYAPTNATVAASAWPTLGDMISSGKRLVVFLTTRADYQEVPYLIDEFTNIWETAYDVTTTFDCAVNRTNGNSNTQMYLINHFLDIDLGLGLLMPDKDAAAATNGVSGANSLGQQAATCVSDYHRSPNFMLVDFYEYGNGSVFQVAATANGVTYSPSTPIAQAGGSSSSSSATTGGALPFMRVTPAQLGASFAVTAGVFLGALSVL*
>EED80484
MSFQSFRLQTQPLPSSPAAGPSSLALALHAPPPPPVDPGAPSPASAPPNLAKKGPYGSGDADDGYTLVFASMAAFQAWRAREEAAKMVEFVKGDTHGSKAVPPRFKDHTKLVCARHSRNGRKKYVKKFPERVRKVPSRKLEGIGCPASISYKTYFDTDEVRAMYIDEHSHEIGPANFPFTKRGRKAAAEQHARAKAARRQDGADGTGSAGGAASSSPQAASSPGAIPPASASSPADGIAGPSSVSAHPHQNPHQHPHPHPQQNGQPTLVHAPPAALSLPGQAFQAAIRMIAPLPPPPGLPVDLSQDRWDRMDVLFQSIRENARSFAYPAPSVAALESVLIRLYLESPVAGMGPPPPHAMGGPDMNMDGMPDVGNQGHMGT*
>EED80485
MSSLRNSLHRRNHKERSQLSHRARLGILEKHKDYVLRARDYHSKQDRITRLRQKAADRNKDEFYFGMNRQGTQGGVHVQDRGNEALPEDVVKVLKTQDENYLRTMRTAGLKKIDRLKGQLSALADLVQPLATDNDPDDVEDGLDHEELEVLREAGILAAPAKTRRRRSGASLKGKHIVFVEDEDAGVESGDDGDDDEESESRAVKKVDEKMWKPRVYKWRLERKR*
>EED80486
MAAVEDTPVSRRLRSVKHIIIVCSGKGGVGKSSVSTQLALSLRASSPTARVGVLDVDLTGPSIPRMLGLDGHAVHQSSDGWVPVYADGSEARLACMSVGFLLKRREESIVWRGPKKNGMIRQFLSDVRWGELDYLVIDTPPGTSDEHLSLVEHMAPVHSRISAVLVTTPQAVALLDAMKCLSFTRATSIPVLGLIENMSGYVCPCCGDISNVF
>EED80487
MQSLRSRKSESQTKVQRSPSKLIRQNGRSTTRVDEKMKRRMSMRYAEISSPTDALVPAVPALPIGLQPISPSGIIHEGIPEVETPREDPRLAELRLLDKDDFDPDAYLKQKMANSTEAQLKTMQSSLRSQRDGVAKDLQQDVFRNYAEFVQISKEVSVIENEMLEFKDCLAEWKNMPSLLHIEESSSAAERRRNVRSSIADLRVLYANQMQTLHTQIEGSSKYVPTTPGRHVVAEMDGILALNAATYKVDHTVRFVVLDDAVLVARIRRRRNNAESDKLVAERCWPLNEMLVLDTKDTATMSNVFKIRHGKETLVYRTEASADKKHLLSQFRQVAEELSAKRRKEREVEHLGRKSIWGGDRGSMAFSGDAVPPVPTWMAELVGQTEMGSTAKEKAERDGRWISDFCDELTVAIALREWDRAVGLVEQGEAQLPKMPALGTKLTPLKASLTSLLLQSLSSPSNRKSAVTTLIALLVRLKASAAARNTFLTAREELMRRRVRMIRFEGHVGVYVGDLAVVYFTGIKHTADWYLSSFKENEVASFFIEWAKKQVELYAELFRKQVYSSDVDKQTVEDAIKITHMQSKKSLEEYGIDFRFLIDKLLSEQKEPSGARRLPVRHYEKSSDLLTPANTPIRVRSPAAPVRPEPSPVPPVPPLPAITTTTSSPTTSGIPAARSTPSPVSPTLRSPTPRSPASRTASPAPRSARSPTPTPANASLDGMLRAAQMPFVNPAPPPRSKERPGSAAGHRPPPVAVPKREGMF*
>EED80488
MTTLSLDSSTIASVSKLPVYDATGKAFDFGSLYHGEKTIVVFIRWHFFCGQYVMELAKVPQEALRQAAIRLVVIGCGDWHPIQDYCDITGYKGDMFADPSRALYQCLGLTESLERTPAGQEKRSYLAGRSFLGNLVRSIWNNRVSDNRKDR*
>EED80489
MPGHPQSASIAHVRETERELTSSCISKARPSPAAAAAERVAQSARDDIYPGNASEITVCTAAISAMDDHTPPGDTHGGHVEHAYAVSPPHFAFDDAFSDADDAEERLEFSPEAIREDIAKTFTTLDKHVAQDEAENFARKIGRAVARVRHGQLHERGRCGARVRIATASYKLVDGVLFAARNMMTVQRSRDGDRAHCKGIRNIAGVIERTQGTQKHRNTTPRQGERDGKPGMVANVSGPHNLNQLHCNSHVTTYQWDTVHYGLVECIRMVSSRSLVPHNTMRHVLSSRFGMYWSPTTPATSQIAHAPLEACKFWQSG*
>EED80490
MDDHTPPGDTHGGHVEHAYAVSPPHFAFDDAFSDADDAEERLEFSPEAIREDIAKTFTNLDKHVAQDEAEDFARKIGFAVDSNTSVSTFDIDAAASEPRSPAASPPGMVRSMSTASHVTSLASPGSLYDIPLSESASGLRISDEPSSYFHEHDAAEQVRDAIPERKSSPKPAQEHPPVVVEVKSDPVHETDNYVEVAPRSVSHDEFRARTVSPQPTTPQSAASIVSSLSAASIASTSTSHIPTSASLPSPASNASNVSNMSNCPTCPPRRPLPPRLHFNIVNHTRPSFLPPKPRTEDKKHLADWEAMMKRSRVAEEKRRKALQERRYARERKIEESIGIWERDIVPDWTVVQRNPAMRKLWWGGIPTKLRATMWQNAAGNALVLNKGTRLAVPRNI*
>EED80491
MDDHTPPGDTHGGHVEHAYAVSPPHFAFDDAFSDADDAEERLEFSPEAIREDIAKTFTNLDKHVAQDEAEDFARKIGRAVARVRHGQLHERGRCGARGAAHRDGQLQAGGWCAWMGVLQWKPPAGMRIHMEIYLGAMRARDVWEATGVYLCDTGVLFATRNMKTVQRSRDRAHCKGIRNIAGVIERTQGTQKHRNMTPRQGERDGKQIPLCDIVLAWKLASNLYDVLPLCHRTGPGSAKHTKILLASAFAPEHNAHGYTAMPHKCPPCLLRVAKTTGIRLCGRAWHGFCDRRRTDRQTCTTARHKQDGGMLDERVRERRVALREGKAAASRTGMHVCVVSLSSRVLTQTHFSLRNVASTGHPTFSSRVGIKERTPGSCAGLARVPSDHGKAGETGAWEKCTHTWDCDLVIAESKQRRQQRTEDGARGGDIMTGPHLVWILARSVAFPQVFDHAATVSRDTARARARLTEGRHDARDRTRRTITAKREQQKRTRMRVCDLAAAEPTPRRAERGEDITRGTRLVAFPCACDSAATVLRFERRVSAPLRRNGTPAASIVKPTDGAAMQGGLGVSSRYAILPHPSAGPCIECANPGFAADAVLTRIRRRRVRVCLGALPRGVPADACMPAVRLSFFFFSRSVPARGAVPLDVGLVRARHDDTGTAGELSAERVTDEERGPVGHNSDRECMCEGSALSRMAMRRSRDDQMVRASAETSSGCTRTLHVPMNAPPERPVPSVSSNGCGRGTSMCDPPGVWVGSRARLCRAAHPCLLCARRAQRGLQYRASCSVRARYAVSALRTRGSRVTAHDDTLPAGVRVSRRAAVNVVGKADTRGSAAHRWSVTRGIRDARLAGHALVLAIAVDAGRVELARAIIESRPSRARTACSGGESKVDALTGGMTKATSVRKAVGGHARRPRASVTLQRASGARLLTIREMRWVDGRARLQMRREPAQDRRAEPWT*
>EED80492
MDDHTPPGDTHGGHVEHAYAVSPPHFAFDDAFSDADDAEERLEFSPEAIREDIAKTFTNLDKHVAQDEAEDFARKIGRAVARVRHGQLHERGRCGARVRIATASYKLVDGARGWVEAPRGHAASDVWEATGVYLCDTGVLFAARNMMTVQRSRDRGHRAHCKGIRNIAGVIERTQGTQKHRNMTPRQGERDGKPGMVANVSGPHNLNQLRCNSHVTTYQWDTVHYGLVECIRMVSSRSLVQHNTMCHVLSSRFGMYWSPTTPATPQIARAPLPLCHRTGPGSAKHTKISLASAFAPEHNAHGYTAMPHECPPCLLRVAKTTGIRLCGRAWHGFCDRRRTDGQTCTTARHKQDGGMSDERVRERRVALREGKAAASRTGMHVSRVGIKERTPGSCAGLAHVPSDHGKAGETGAWEKRTHTWDCDLVIAEPKQRRQQRTEDGARGGDIMTGPHLVWILARSVAFPQVFDHAATVSSWYVVLPYPSDGLGGALALNVFVAVARMFPAERHAHASTNMPPRRKRNGGMSDERVRERRVARRASKAAASRTGMHGRICCEGNVAGAGCLRMTPPASPGRASKSARRAAAQVWPAFPAAMRETERRAMGGGVLDECTQEGCAVRSWRAAGDGRRATGDGRRATEAKTKRNENENGTMRGWC*
>EED80493
MHHGVDLAIRLLLHSRPYPSNTATSIF*
>EED80494
MSETPASLDVVLGGATVLRLDSLDGDKPNLRVEISLETTGGASRDAFMSKFQDWFEGKSGGASGQGNATGIFTPVYRGMLEETAPSGTQRDSTEVAIKCARGVNAVHKLRYEAGLYQKELAPLQGTVVPRYHGFFAREIDDVQFGCLLLEWCAGGADWPLDRKAMRAAQLHDGDGGISSAQSGGRSR*
>EED80495
MVWMFLLWVCFRPLWSRQLSVSVYGYCSLSYAHAIARCML*
>EED80496
MPAPTPQAFDENTYNASGYMHAVGTSSSSAAQNPYYGRAAPQDRSYTLGGGAAYAGNDAAYYDPYAASSHNPTPAPINTQVSAGGAHAQSPTHLVESPLEGIPEGEHQYADSPPMYDAATAQPPGMWATKH*
>EED80497
MPVKHVPKERAWGTRYDTLDSSPPPSPPKQQDQEPTPPSAIPITSVVASTNDLSRRDRIASHTNTIPPTQSEKMPHDASIFVGSLPTHIEQPKLTSLLHEHLSQHAEVKSIKVVRDSKGGICAFVQCEDSSTAARLIHTLQSLPPRPFMGRMLRYEPARAFRTLLISYRFPVVLYDSEAKIVDPIVLNQNDGSTEQEPLNGAGTFLEPLEFDAETILKIASTFGDVEHFNVYTVTDDKHDGRMALPRQPYPHNVSRASNMDAQIWEVKWHHRDDCVVALTVQCHYSTDISIFINSHLENQALRRIPFISRRTSLEWYKDDLPSLDFDASIFGDTHDSRPWGDREIGGTVSPASPSSPLSVNLSSTPAERGCKTPNDPRFHTTGARTMPSITMPSADPGRGANRLSPTQDSTTASIAPITPGDQPSFLRTPPGLNRVMSSPRSVNGAGLCRMPNQGPNLGQRSDYSLRDASRTVDPTSVFVGGLEMFGPDAWNETKVRAHFEKYGTIEKLQFVSPLNQRTAFAFIKFDVAEAATCAIENEHNCMYNGRQMRVQLRDNNAPPRSQWRPARGRTQLPFAGHLRHHGEPSEYGGQGQYTNSPGHPNEMTAGSTGVSVFPSRAVLPPSVTLPTLQKPSGFSPGPVGKEGSAMSNGSRGPSELPRQWMSESALSSLSSSTTQLSLSQADSSFEQLATVVTSMAPSPSASSIGPSTSVSGSMQHNVMQGYFAPQPWMHAYAPYYPYPIPFVPGYSAFPPPPVQQSGGQPNAADSLNSNAASHHSWPGMHNIYKVPQQGGWASAPLILPTAQAQVQQHQPLYMPSASSSGTLSSAPSFRGSYPGASQAGQRNGVTSTPPPRRLNRRNSYQNNGFSGYRTPNSHASPNRFTRPFGNSAVDVSFG*
>EED80498
MASPAFLLVFTEPGSDVSDEEYNDWYNNEHIPLRVDTPAFLSWTRWKAADGEKPAYGASYDLESYAATKQAPYTTLAETRSDREKDILRRMQLMDRRHYELYEGPAPPPSALYDPATPAPYVVFVGVETKPELEDEFNKWYDEEHFPMLAKVPGWIRSRRFVLADCNQMGQDAQKKQLPKYLAMYEWASLDVFETEEYKAALRTPWAAKIMANVVLKERRVMSFLKKWERPSGKL*
>EED80499
MAPPAFLLVFTEPGSDVSDEEYNDWYNNEHIPLRVDTPAFLSWTRWKAADGEKPAYGASYDLESYAATKQAPYTTLAETRSDREKDILRRMQLMDRRHYELYEGPAPPPSALYDPATPAPYVVFVSVETPPEHEEELNKWYDEEHIPMLAKVPGWVRSRRFVLADCNQMGQEAQKKEMPGKYLAVAEWASLDAFETEEFKAVLGTPWAAKIMAKVALKERRLMSLLQKWHFDRPESEASHKLDEGGWADV*
>EED80500
MDQPAILAHQLEELNLLKCSLLPGEEIVFVPLLDAPSDWSTLLATYAADPGADISSQAPTNIDPARFQVKAEAIPVWFDVLLDPRYNGTTCTEGHLTVSARGADLGRTEQARWNAVIQECVEQVQDNPTSPFSTPTSATLTVRYHALLTSHHLKSPNKRRSLQQWSHELSIHGFAKVGYPGVIYCEGEQAQVEEFVGNVKTMQWLALRVRFVESLADHEERQRGAEIEKKRWSEFEKVGEVVEEMKRLGRTKYVVEMGIGSAGTSLTSPRG*
>EED80501
MSKAERAQKLRSLVHLLAEAAEVVIREWETEDDANSHYDPLLPSAKLYQARRTLVGTCGMCIDIVQEPQSRLMEMAMDQYVARALHIAAEARIADVLAAIDPKEGMPITDIAKTVHVEERKLARVLRCLCTVHVFIEVKDLHFANSVTSQVLANNDPLCCWLLTNGQAIYTASDKLPAVLFNPVKSRSYSLEETAFQEAFNTSYWEYLETDVGEPDAVAKRRQEREVFGLAMVGGGRVHAPPLYAATDYPWGALGTATIVDVGGGVGGMSLDLARRFPELRFVLQDRRPTIAQARELWQREMPDAVMSGRIQMMAHDFFAPQPVANADVYLMRYVLHDWPDAECVTILTQLRAAMSRTSRILTADQAIHTTAGSAYLTRAPEPLPANYGFAHVLANARDLNMMALFNGMERTPEQLGALAEKAGLRLVKVWECRGMVAITEMCRDDWDE*
>EED80502
MKYSM*
>EED80503
MMLHPCFNVRHFEQRVGAMCAWGEVGPSAPAPAPAGGNAYGGSGAAGTMKALAHELFFGAPPKPGKHAGGREAAPPRAGAPKPTLSFFAAAAAALALGALVSRDADAPSPPPDAAGEGENAAGQDWQDHRCSPAALFALSEQALGLYERTALYDLDMLITMILQILYQLHDGQMSVAQGVFPLVGKMINVARMMGLAIDPDEFPGTYSLFEAETRRRIWWDVFYYDLFVSDCMGHPPLIADNSFTTRMPAEVDEEHFNPSSMSLPVLPAGESLEKGTQYFVLKCR*
>EED80504
MTLAPVCAKIPRFILAGASTVIIIPVAIVGSDTFYAIFSDILGFIGYWLAPFCAVVLTEHVVFRRGRWAAYDVAGAWDRAGHPNLPRGYAAVCTFAATVGFIVLCMQKQWWTGPLARTGTGDVGMLLGFVVGVLVYLCARWLELRSWCPVVNASSNI*
>EED80505
MMKRKAEAARTASSGSGATTGMKRKRSPLEDERLSSGFQLPFVGASVSSLRADPPRPPAKAMNGIAKEAPGHESKSKSDAKRQAKEKDRTAKLSPVGIRARPPPGSAGPPTSRQRTGSVSSNAPPPSTPSGRAELSPAGSMPPSASTSQSTIVPSHSTVSQSSSVNGGYGNYSTRATPTPAHEPPPRDEYQMHYSSGDESNIIERRRFSSQSYADSPKASSLFDQASMQYPSPASYGTPPYYHQYPPPQGPPSGFEGGSMPPPTSLPPMGGLPPPAPMPPIDTQPSDGGMPMSAMPHGHAQYLSYEKASYESHMNRPPEHTRQLTHEYQPPPNPQSMSMSGSHGWLPPDASGGNDMWHEYKYIG*
>EED80506
MAPSKSNTQKAGKTRSALQDVVTREYTIHLHKRVHGRSFKKRAPWAVKSVVDFAQKAMGTADVRVDPKLNQALWERGIKSVPHRIRVKLERKRNDDENAKEKLYTYASHVVVESFKGLETVVVEAE*
>EED80507
MVSWCKTFVLGLLLGTPFAAATYSGNACTLAPLGEGQDDTDQAMNMAYWLTRRKMTWDLVEARVDLHGYLNVSTEAEFLLLGLPDTGSRAGTQFKADLPYWMKPENTYRVIFIQSQASWFVITGHDFTVDAHNTGGIIGNGQYWWSWYGNGTRIDGDGRPVALTVYRAARATIAGFRIEGQPFWCNAIAESQDVVYDGMYCNAANADPLYFNQNIVWNTDGIDTFRSDNISLLNFDITLGDDCIAIKGNSTNIYANNITCHGGTGIAFGSLGQYYDLYDNVENITIEDVNLIRLDPAIQPYMRNGVYFKSWTGTTIGFPPAEGGGGPGRTVNATMRNFYLDNVTNPIQLYQTNSGHPGDAPSEYQFSDLTFVNFTGSAATNLPPCPGLLFQDINITAPEGEKAAYNCYNVVSQDGLLGSMMPSVKWLEGEVFVDTDRLKAPGTRPAV*
>EED80508
MSGERDESISSRNAMLPELSYSALQWLVQPAALAVEDGGSWKARAGGQPSGLSPPSYQARRDITALFGLLSTTSGTRPSSSSALLSKPEPSTERIEKNEDRVNLATNPWIVFLMDNLTKLLKAERPGDALRFLNNAIRRSVSNPNQFYAYESAITLFLRNGYALAASMVYRRMSTAGFLPSASIRAQLALTALAQRSPGEREILKALHEQFKQKGFDESALCDVLRLFAEGLGAEPVLVDKIVDAFLAVHGPKYVLAHQTVLDLVRIHTHARSPLTAQRWIEYHKGHTPQPPVLALASSPNPYTSILRDITGLSPADTSTYQWLLEQLDSNGVIPDIAFYNALIASEVARGRFRQVAAIYRLLLEHRTRTHTPDAYTFATLLRANRLGAPTRRLRLRDPDRRLAGIPSLRTLIGDMIACHSLCTGGRLSTPSPVLTPSVLAYALGTLMRTHDYAAALVLVRTMHIAALPPPLSTYRIIIAELARRVQRALPALSASDDPAAFWAYRFLGMAGYPVGQRVEVDAPLWIEILSIGTEPRLSLDYVPPPQQLSAKEAQIDSPSPGMPAVQSGDPGQKMDLHEFQEHIRVSEQHDMPSVLQLAEVVDTPADKTFAVTPLERILRRAILASRPTLVLAPAKEVSIEIAEAKKALLTRERTPAKKPSPK*
>EED80509
MKLVRFLMKLNNETVTIELKNGSVVHGTITGVDMQMNTHLKTVKLTARNREPMAHETLTIRGNTIRYFVLPDAIPLDTLLVDDAPKPKNRKKDDARGRGRGARGGDRARGRGRGRGRGRGF*
>EED80510
MRACESPQPGCLRVRARD*
>EED80511
MLDKTYATVLTARVVPVDGGIAVEPSPKRQEHPTSRATRFVDDSTRFLFLSISDKLKLSDKDLRLYLSQWMRDGLLLRDEHYTFLGFTDGHVKEGKLVFFREDASWSVARFMDYIGNLRDVYSAYGYGKYAARLALSFSSTVESLDVPFVKAARIPDRRARDGSLHSDGCGLIRHSFAMDVCRNNGIAPDTCVFQIRRGGIKGLLVRYPDNQFDKVTLRMAIRRAIFEKMLQDQLDLIGCILHNRDQAEKYIKGELDASNTTAFNQDLYAILLAKHDLNEPYVEWKLRQFQQQQCNLLHKKLNLRVEDSCYVFGVIDESGVLEEDEVFLNLPGRTGVLTRDVIVGRDLDGDEYFVTWEPGLIPRDMPEPQHRAGTPTANTKPPRRLTEDAMNDAALDTFIRHKYNKTLRFAAKKWLDVADATPHLANYPYAQELAPIIESALDCIKSGADPADIDKRVEGLKRRFREPSKEYGGHVSPLKILRERIPHGKAIQMSSFDCDSSLILHEDPEQWEDDVREAAEAMKAFNRSLSVAIKHDEEIGDSDSNPINFRDRPPRQANRVTQEYQERYFGGGSFVECARQRKRASAWYCYGYSQKKRAFAWLGERYLNEIRAHYTNHGRPVLYVGASVPPGGKAPPFSPEVVAVPSMTRTTTQEEALSPVGLSVYEELRSVELNPRPSHPVASQPTQCVDMGEHAWRVSANGTTRSYVCQKCRACAKERKVGRFWEVDTGRSRKGIGQETLTASASSASVGAVSRGTNQHSDMGDGDTSSLHSDGTFVDALDIPPGASRVSLPRDEPLPSSSDTVRGSVTAMSPGESTTIAGPQSVLSPLLNLSWLSGFTTRSRAPSAASSTPSHPRTGPTSHSRDPVGHVVRLPRSLIASPPAVRTLPAATSMSPVAEAPEPSTHISTNTKPQSPVGEGRKQPCSLGAGKHAWGISAANGTSRQYVTHRYVTMNGGWVEDMHLNREYTLRISKNREMKINANPWRRNVAKDIDGFV*
>EED80512
MQQGQFSHPAGTAGVAYAGGAASSNIGSHLRETVQTTAKDPAVERVRASDRASPKEDSSSSQSAKRPMREKDAQNIRESPRTRDRSQTVSSISSLDGHSSQRTPEYRGSPQYQTPLASPGERSATYPQYAPEGYQVQATATQGPTPPTRKPVPAIDATASRVTPPASSKLAASSHTPPLQAMAARPPDRSLPVQEEPEEDVGHYIEHEPEYEDRHRDSPTPSDAYPDAHGSRYDARHEQARGLRHPEDDEETLNEEVDEQRKQAKSSEDSESGSGFTPRSPSVNLPERPAQYASANGQYAQAGATLRRPPRSTRRLCESSIVRARPTNWA*
>EED80513
MGGLLAGTTEAPGEYFYHEGKRVKAYRGMGTTTRYFSESSAVKVAQGVSGDVQDKGSVKQFLPYLYTGLQHSFQDIGVKNVQQLREGVREGQVRFELRTASAQVEGGVHGLHSYTKRLFA*
>EED80514
MTNTDGSPFDGLGVLPHTPFYDEYEHTAAHATSTPLSGSAADFAFLSAGRSASHAGWHWAGALRWEEEEPHFADAPLSPGARYWRDATAADFRRRLDDAVRGASADEVRAVGTLKMVRHRERRSKMAHERYGLPCAPVVDDVFLSAGSASAVRSDASTPDNCATLVSEEDQRKPKLHEGPNQRT*
>EED80515
MACCGTTGVRSHAQTTFALSAKDTIFRFRWPPS*
>EED80516
MVHIQASHEVSALDLVAAQTSEIGKVRSELEALKTQLDEHVAMQNEHANTEVLREEIQSLLRLVGEILRGREDQKQLDRLQKKLMEAEVNKAGLRQQLTEARNALCEAISQREQLIAEYGQACEGKESLLDEVKAVKANSEIERDAFIKQLAEVEQSLASTNAQLDDMHEYTITLQKDQEWYEKELTQSCETISTLLRELSEQEEVCRRSQDELAAARTRGSGLNRWLLHSTQADLGRVQVEIAILSIAERDTVLEAELAQVVNELSECRIELARLGSLHEKNAQNAPLFNGSGTDEILCETCASGSVTQDSQFSVAPEGTMENIFRALSSRLPDLEDVRKIFDIRSIGIVTADEFIWAQCPDLAFFVKPAQILGKNGAWKGCGNKSIKRLQKEGAAELIPHFSGAYYYVGSYTVGKQERLTLQEFEQLPEKIQRHLIMSSGKPSKYHELREMYFSGRLTAFKFSVRRVGFNSKLHERLRQLA*
>EED80517
MPANPIPLLQVPRELPFRPIAFQIPRFLMSTLVALVCGVLLHEWIQTGFITANSMEMFVYGYGDPSSLIRFHSGWFSVTIMSGMISVMVQTFFAWRVYKFSMSRLWGGSIVLVWLTGSSAVDIIIAISMTTLLRETMVYSTIVQNLTKFYAITFLTNLNSRVRFRKRMERDLVVDLFPMSLKFASVSAALSDEPYSEPMQHPDESMALLGGSTPSTIKTGQVVEKAEREAVNAQKGLITALTDQLRLLNEKHENDRLQEKLHEVEAKLAENDEHKTEQLSRALLKETEYIKRIELLEASCEALRQENEMERSIIRIRTELDELRSHKVGTQGKNVINTESLTRIENLSSDSLQGKGKQTREEEWTGTPSSGFELSEVQLLYRPRLVRIADIFHCDMEAIVRSQGITDVLGSLLYRLMPTLSFFFHSESPGTLYRKSKWESKNIRITVESQGNFVWTSCPEAGYFVFAEKYMGSDNDFTPFKHKAIRQNQAAGRVELFFGPNPMRAYYAGSYVIEHPESLDVGAFKMLPEPVQNALTKASACGKQSKLDQAQTMYLSGELKALKYTTRRTGYRAEFDAFLKASQADPEEKEE*
>EED80518
MAILDEDTLAIVVSYLTASDARSLSATARQFHPVARRKVLATLELKEPKRAHGNLDFRLADGPNRAYWLRELKVNISNLNAIIELAPKLARLFEHAHILRSIYLSSAEAWIYTEPHPLEQLEGVDDLELYGYDHRTLGMIQRMASKPRRLVLREQQPRFNSIATTTTRVDFTHIMQSLAPQQALRTLTLEMGGGVAGARLPDVGTQWPSVTHLDLSARVDNAPDLDELVHMFPRLRSIRFRAPNVPSYALSHSPGPSRTCWEVLDYVHGTIVQMRFWSVACPVHHLNTWIYIPLRDGSSTEHRVALSAEPTLEVLRRTSPVVLTVDRYTAIRNFGTDEDQWRGVLEATPRLRVLTMALTNFTLSGVEEWLVRMHAIRSNASTDIQMPWQLASQT*
>EED80519
MTSVDRRGAGTQDTFDLRDQILPDDHNSLVADHVYHSDEEHSVLEDDSDNEDREDYMDDDDGSSSLSIPNESINFDLVYALHSFAATVEGQANVVKGDSLVLMDDSNSYWWLVRVLKTEEVGYIPAENIETPFERLARLNKHRNVDLASATQAEIEETRNRIVSSRVGHGTPSPTPGPARTTRQMRGVSFIASFSVHRYPPAVWGDEEDEEEDVEWDDEGYEDEDPDLAEEQEERERMSVHQSERGGPMGMVMEPDDGMSWEDGAVEAAQDKTRLQLAIEAEAEAQRARQQQQEQLIAQQRQQAEQEQERQVQMQIRQRIEEQRREQQAQTQVQTQTLRTQSSREQLGIVDVAAGAPTKTLDPAQATETRKISMTPPVARVEDPRPSPTGSSQGSQRRQSDERKRSREEAEAEEAARKKVNGSFTDKGKSGPVSSGGKPAGGAKLRKERDSTDDESGRDRDKKKKTGGMFGGIFGRRKDKDKSRDKGDNASVTSGGSGGEFGRESEESGKSLREHGISSPPPPLAEPTSPVTAMARQQQQQGGYKPQPGQQSQQAPQTPPQAATSRHTGHSP*
>EED80520
MKQIRLEWSIIWEILGEHFNQAMGMFASLRVYSRDHLSDLFLLSNVLDIPVSYWPTHDPSSCNCAKKAVRKSEFNSNSNVVAMKSKPLLETVLYTLLSLFRFIDAFESLYIDKSQHRSLCVIEGFPKLGTLDIVLGSIYAAICGMYIFGIMAVSLNELIDECTKIAQSDTDFKRFVFWGPTFETKLNQSEASTHCHNDWNLRSSIEITIFILDAPLVLAPLTHLLIPLQSGLP*
>EED80521
MSLRSRLGALLYALQSLSRCVVLALCADHPSLCNIVGFQRLGTFDVVLGSIYAATCGMCLFGVMAVSLQRLWMAWLYARLSVVSALAITGTDLFRVINELLDECAKIAQSKTVIKWILTMAKLGLSEATTNCRHKWSYGSTLEIIALIFVAIGVSCHSSLVFAWSDELHEAAMWARFMRPFATKPPRPSGGEDAHPAHDKPPLPAYNAPQCAQSHDAPPAYDGHDDSDGAKLYNDAKPPLYSEDDLEMLEKQETYSDVVGPDPSEDLEGNYGEKNVVK*
>EED80522
MLLFRNAFLPALSAAAVVAALVPCASAAPWWPMHFVMVEEPPSSLDALPTVLPASPTAPSLSLAPTATLSEVLSAGITSLSSAYSPVNTTPAVGDADVPDVIPPDIASDTSVLAPQPSSSTGGAPEGLSANQSNGAVITSPRRGGAALLSVCALSALYLAL*
>EED80523
MRLHVNETSTYDETLSASVRAQDPLARQAIEIAQLRAKIRNYEHTMAQELQTTKNQLDVSQLFVNKLRENLEESCGAVAKLLAENERFKSELRDRDGLASQQLGQQLHDLVAKLQPVADIHAENQQLKSESDSLREEAADAWRDTRRSRQDIHKMQMMDDELCEQRANVADFIMAESEVVLKECMVDLSSELAKYKLADRFMWHLCPETGYFIAPEHTLSVSVQIQQYVVEKTSSSLSHSALQDKYLGGQMTALRYPVRRVGYMAGFEHLKTSQTL*
>EED80524
MTAPIPLLHVWLTGSLIVDIVIAISMSILLLRSRTGVPRTDALINWVLRQTTIYETIVQNLTKFRARFRKHMEDNLVVELFPMSFKCATTPANEPSLETLRYTEEDLAVATSLGQKHALTELMHEENWEVRIPKHATAPRVRPESCSD*
>EED80525
MDKRKSRHDSRVEREGGMMLSRNYIDIAMTSFLDQSGIRRTQRSPDGIQAPSSYRSVKINGSQNPASFISQLRWSGEYSQSFMNCRYTGYHRVSGGGTAGCVLANRLSQDPSVSVLVIERGGVNNGWITRIPFLSMQFVFGGSSTRIWKSSPQKHMDDRVFELAGGHSLGGASKINVMLYTRGVPGDYNAWSHAGREGWSYDDMQPYFIRSETDLDQDPQEHPDFHGVKGEWHNRSHKVTFWGHTNPHDAVHRHNGLRIIKASEALGIPYVKDLNSPLHPTYGCAKMHFAVDAKGYRSSTLEAFLPKCLAIERMRHLHVCTNAAVTKICMENDEKDTPTARGVGIGPEDHLKEYGIPVLKDLTSVGTHLQDHIGIALQYRVPLKDSLAKLQLQPWIILKELMLYLLFGMGLFLAPFLELSIFLQTRLFDSEYKTVAPTPEDKDAALPMNVPDIEVMPIAWSDAAVSKSSTRDGGLGFLVVILRPTSTGTVRLASRDPLADPDVDPNWYATGHDRAVMRKGVRFTLRLHEQLVAQDYPAHPYLAPASESDEDIDAFVRAYSQTTYHYSSTCRMAPEVPGSPMGGVVDDRLRVHGVRGLRVADSSVFPHILSTHLAAATVAVAEKCSDMVKEDNS*
>EED80526
MSSPT*
>EED80527
MSCLYVRRRTCSNAMLSSYPEENRLPLRCSRALLVCWGL*
>EED80528
MVFKVQSKTPVDFDIGLSMKLLADDLYGEFEVTPVSEPASEDPLNGWTRLDVEFSLPSDFPIDILAAAGLIVGFAVEDPSQDIDISVRLGLLSVHPSSSTLNLSAHRPKILWVDYHRADNADSGSVAPGTLTWDIAASFAPMSNIVIPSDREDPHPLWQLDHPFPPFVYFNLYALAHAAEGSAARPEDATFIGTTGLTGQASQFYVDPACLPPTIAEASNMRFYVQGVTDRGHVLEWDRCAFVDVRVPPR*
>EED80529
MAIVNRSNSVLARAGHAHLLHKREVPASSAVASPTLVSEGVEASPSPSVAAHHSGPTSKEKAIAASMVIVGILIIGIVIWQIGRWRRRKARAAASSKFNIAFNTNSMSDKPIPLDFDMDGRELEKPSTANILLPMTPAASVKPSLTGKPWTHTIPASGDFPSDKPPSYAAVNGALNQSYRVPPPALEIPQRPKSSAVVVPSPRSSSFGVDSPLFLKAQLTAALKTSRLATANKPLPRAMFVESTFKPSLSDELLVKPGETVRMLEEYEDEWCLVQRGSPEDGQKGVVPRFCLRELP*
>EED80530
MHFFTTLTFIFLHLLPASAFPAKVPRGTKISLAKRATLAGPDGAVDITAVQRHFAYATSKIQSGFATYEQNTGEAHPLASAPSQYKRADSVVLYTDMDGQMWQGAISVGTPPQNFSVDFDTGSSDLVLPGPLCNENCEGHQYYHPDQSSTSHDIYRAFNLSYGDGSNARGDIYHDTIRVAGLTAHTGSVGSAETYSDGFAPWNFYPDGLMGMAFSALSKFGSGSESVFQTLMDQHQTKEGVFAFKLIDNGNSELSVGSIDQSAGAGDLTYTPVTHQAYWQVQMDGVAVGGNSTLGNLSAIIDTGTTLIIGDAANVGKLYASIPGAKNATSAGAGFYTVPCNAMPDVSFTFGGKPFHISKDLFSLGPVSHGSSDCLASVVGTSDQKFWIVGDRFLQNVYTVFDMQGLRVGFAELS*
>EED80531
MEEDDEPAPRPALERKKAVLLSSDDEDEDVKVSPPKKKPATKAPSKKAPAAKPQPTKASRSDKKAATIAKGDDEPEEKPKPNWAAAKAAKMAGPVAPGSKPIPQAAAPDCLAGLTFVFTGELSSLSRDEAIELAKRHGGRVTGQPSSKTSFVVLGSDAGPSKLAAIKKNNLTTLDEDSFLQLIATRVPDPNKLDNKTKKKQEKEEEAIRTAAKEMERREKQTAQGGGAKGTRLVRRSVATCGRLTRYFAVSFDNLTNTKQNSTNIANTSLDGWMTGGNTSNAVGVTITDKTCLIMDEVDGMSAGDRGGVGALAALIRKTKIPIICIANDRGAPKMKPLSNAAYNLTFRRPEATTIRSRILSIAFKEKMKIPANVIDQLVEGAQSDIRQVLNMLSTWKLSNDTMDFDEGKALAKANEKYGIMTPFNIIQKMLGPYMFSPTARETLNDKMELYFHDHSFVPLFIQENYLKTQPAKIRNIDGPEKILKQLQLMDKAASSISDGDLVDSLIHGPEQHWSLMPLHAVCSTVRPASFLYGMGAAYGGPNAMSFPQWLGQNSKQNKLVRQLTEIQARMRVKVSGDKTEIRQSYTPALFPHIVKPLMDEGASAVDEVIERMDDYFLTREDWDTIVELGLDHNKDDIVLKKISTATKTSFTKKYNSRDHPIPFYKAQDFGKAPKKLAAGPLPDLEEFLDVDDDVEEIAEDTNETSDNDIEHDRLIKAPKKGKGK
>EED80532
MPAPSRLRCSARTAALNTCFSAEVPDDTAQRLCDRLVIGSVQAGGGYVRTEWLTPVWRRGGSELRAGRVCIKVKNLNKRAVNCDQATTTNAPADRYQRARSLDTVQTPQKVAPARLALPIAAAPSCSHACVFSFTQDIPILRAACQYHCANRATCKARVLCRADGAVSLATGNACKGALLLRTPAVRGRSDMRCPGLGPRSLRASSKYLSPGSDPGPANGLPGRNVRVARAKCTRFAVGGFSVLGYTSECVSRRADRVMPPPRSGQDQGAGSFADTCGGDRALTTRGHDIPLADTRVSPRALTRRSPGSGTGLRAALRVRSRMLEGVEALQSVRSRQLADADAGASVCGIDGAMSRDGADGGRSVPGVGHKLGGLSIRCRRIGRCGILEMRRGTMAHCARSSQVTERSRTLDSRFSRCGRSERGDDAHGLPDTAHLRAAGGQPGWMKRGMSDTTTWITGRPARDRRQSRAVASAPARGPRAVADLRIGHVDVEGVDGRGVQDEPLGLEVERRERDVCVRARRARRVGRLAWTRTRLGTLRSCSLFDHEISDGLLPAVTGSKRRIDEERLERRARAWREEW*
>EED80533
MDTSVPLETSAIMVVGSRLTCVAQSERGDDAHGLLDTAHLRAAGGQPGWMKRGMSDRAIDVKVASAPARGPRAVADLRIGHVDVEGVDGRGVQDEPLGLEVERRERDVCVRARRARRVGRLAWTRTRLGTLRSCSLFDHEISDGLLPAVTGSKRRIDEERLERRARAWREEW*
>EED80534
MTSDPITWVAYRSDKSAVEGEMTREQSRLLYRWVIDTYSPNYKPANNELLNPLLYIMEKDVELLMEADALLSWQGLRHVPQLSSNLIFLLLETEVLLHPQICKSSSAHAKELDSLHDRAYRAIMMRTKSREKSIFDAPHEGSPTSGSPEPAPAGWRFPKDTFPDAPQIIIEITDTEWSPTEANADSSMEVPEPESPHGPTNATPPQRRHSLPNVTGKDHLARLHLFPSRSCSDVSMYEPELPKNWECMSVEGLSEDEPTPLSRASAPDVAIVPNGRAAPVDNIFKDYDESSNWNSPIFIEAPHEACAGEPTCKVEEIHEDAVFDRTSRMAGNGITELAKEQEQEGEEINETLIEGVEATSSSTIPVGKGKKAYGNLTAEDILRRAMDSQGPPIEAIVSRQVSSRKQKVRTAKGKARNRTSTVPMPVISEDQATSEALEHDAADADDDVPTVLIQNQSATAVESAVAEASSEVSADIRNMLPVVSTENKIVDTAKAEFSNALEEARVNIYDEVTALFTEESSIDIIEDQLQYPLDDDVADEPAPILSGDADDRTARDEGDPDLSGDQALDDHAQSASGSRKKTSSKKKKKKKKAKAKGPQEAELARRTGRSEDVSAANTSNHEYEVPAACGNGTSPAEPLPEITEANANILRNLAQPDRGYNPYANSTPAQLLHLATTAVTCGHDGQKTIEVFPEPCRLVCWDRYAQMQLSFLHVEGQEDGEDTVIIDRFFSGVVLASYLTPIYKQILPGSPEVRPFSIRTTTDRGLGMFATRSIKPGELLVSERPIVVAHLGLFKSDANKHGDVEFLQAALDSLSGRQREAFMGLEETSVEELRSDPLSARLNVNGFSAGFEHFPELRGTGAMYIACFKTLSRANHDCTPNAHFHFSENTCCGRLVAMHDIEEGEEITVRYVDSLAPREERQSLLRGRYNFVCTCRTCSLPRHESLKSDTRRKVLTSLLNRMSTAQGPPRRISLEHLEDCARWAREERVTDQYANVLYEASKCVTAYYGPEKGFEWLKRAREAWFHVSGNDSQQVIEIDVTGRKIAQSLTTRGIQAVWPWS*
>EED80535
MRANTSELEACSMPSPATVVNELLAQQAAEIATLRAKLESTQIHANNIRADLGAVQEKSSAEIISLRTELSELKMQHLDCISTMKKGQDTEPSELERALSKLEEQLDAERSEKKRELRETVEKTELEAVKLRDAAVIQAEVKKNPEVDVQKLAARIHGRELHMKHLESEGVQLIKESSTSEPPSLMSLLKSGAPPFDIFKGTFSPYGTTKKFYQHITNDMYLLNSEDFMWCQAPYSGYLFRPKLVNSPSIFIRPVNWGLCSHKDAAELFCKSGNGILGDDLWYTGSYIIDQPEELSAEAFELLSEEIQKNIVEISFSWDLPHEETRAMYLRGESTVLAYPVLRVGYNANLKHLGWRYTFYPDIRHKTFVERKGFTGSSLGALDKCPHGQALLGRNMSQYQISLHMHRPHQLCGSNTDV*
>EED80536
MASSDVSEPRGAPFWGVACGQFFYYSRRYSRDRWHIKALVSYGLSLLCLSHLALWVFDATFSYEDVHIMTFVLSVLANTWYRPVIVVATIFEFSDVSGNEVLLAVVSCGVPFMFPFAFISLIYLFWVSIWFCMERLLDRLTVIIVNRALMTTILQLGQFITYRAFSPDVFVWIIFHSAAAKALLLIAFACYQSAGPDASSGLQNLEWFMVFPTDTTVGSARHILLIALFRGALSGAGKRRHEGLPKGRMREVFTRTKFMSVEVASPLQTVSASVADIITTTTLVLFMRDKRTGLKSMERLLDRLTVIIVNRALMTTILQLGQFITYRAFSPDVFVWIIFHSAAAKGVSVDEEQ*
>EED80537
MPLLRLLSPYLCGFSVSSTTIGSTATVSHITHVPAARSEAVHQKTLPQLPIEIWHLFIDYLYSWGCSDDLKACSLVCRTWASRSRFLLARNLRLSGHTTMMQLVRKMQSGLHGCTPFQVEFIRLGDPNAETLLHLMSFAATFGGHFVGLTHLELNGVWPELMHPDLYIHLSSFSSVTRLDLRTVTLPNVSTFGRLVGALPNLVHLTCRQTTITQRIGDDDYGVHPTKFALFRMRPLRLSHFEFDLGFWNWRIITFMIRAGWNIGLRDVRLMISGHFFKSNIPCLVPFLAGIGSSLRTLSLVVLDVPSRNVISDIDLSTNTRLETLAIRSHILLKGDEDMEYYWMEHLLSQVKSQAIREVVISVDSDQDDDPAFGREESGRWLRAFEGDIDMAAPTSSALRCVAIEAALMLPQFERLERIVIEYRFGLEFEADIGITEARWSNLMRIRFPRLHERGILQRLPKRMIVDFLLKLKSAVQKYIQKVTGRRSRENTEMVRRNAPIDSNVALQGALGSESHSPMKAVRLPPEIWDLIIDLLYLWELFDALIACTLCMQAILRQKSAMDRQSHACTTSRRHYSTITGHNTGPPLCAVTELIVYGKWQAGGLHMDFFNHIHSFSTITRLVLPMTEFPNVTTFGRFICALPNLTGLRCVPVCDTHRRNDDDHDADTIADPLRFKTRSLSLTHFDCATDVHSDIIGFLTTTGWNSGLHNIKLQLDTRYRSSKSKSITPVFSSFFKGMGRSLQKLTFCVWGLNPPPRALIDDIRLPIHRRLETLEIHCEYLVPLSLFDRDYYWLPHLLSQVSSETIRHVHLVIDRRCGEVSGHNGDRRSSKVRPKMRHRNHAGLTHPVVLSMLYDHCRMIEDELSFPPYATLQRVTIEYRFRIEDELCTLGQAQWSEAVQFRFPRLLQRGLFCHGIAEGWLCRTPVTVTLGGCAYQGTRVGNLRSLDLPAYPHAL*
>EED80538
MRATANVMRRLLRRVQDARPTPAVRASQVAFARQTGIFGAGARRRNRLLCSQETTSHATVCATARRSTFWQASLAAAVLSSSVACPQGPAETLGPGLLTAKSANIDVERMHPGSRHGGPGTQARRPRSRGTRGFHIRLGAGAGAGVAVVVGVGAGVGVVVGAGVGAGAGAGAGVDAGPRRWHPHPPRASLRLRLRIQTSPRANPSLRHPRDLDEGGDAVGVEEGLVEGGDEGADVEGAGAGVGRGGEDEVHGGAHGLHDLGRERVEADHRGASGFDLCSALRSSIPAFAQAQQTLPLSTPAPAPGNPASQKGSPYPAFPPLTSPGLDPLLPPGSAAEARSSKPEAPTRGAAQSPRHTAPEGHTRNAPEGPPRSTASPAPLGTNTNTNTNTNRPRPAADAPGRRRPVPSYCPRALPVAAQHHVRHSHRPLSAGPRSRSPRRSSVSFLPAVIVARPRHVHVHALRLHRMPYACPNLCPVRTVLAPVRCVSVHSAALDHAGSITAAPDHAASIRAMPLPSAPSPTLARGTRQPPAPLRWRLQHLVLARAQGPHPRPPRRAHPTARPRCRRTTCSAAWTQGARRSSTSPWPGGASASHLSTRGAQTSAQGAGRAHLPLRCAPPSPCAPGRSRRHHARLRSLRVSPPPLPVETWDHVIDYLRYWGLWDDLAACSLVCRRWRARSQSHLARRVRLLRRGDVVTLSREIRSGIRTSDTLKVTDIEVTVKNSLFGKRDTISHLGTFVPALAGRFANLMRLYLKGDWPAGMMHQDFFIHLSAFSSITHLSLVELHLPNVSVFGRMVCALPNLVSIKCHRCTVTAGLDVGEETPGQPGIVPPRYAVFRSRSLKLQQFGYKFAAHSDIMQFMTICGWNTGLEDISLDWSFLDDPETPLIAPFLAGARESLRSLTFAVAGPHQPHDRTIGSRRLTTLTIWLAQSVMRVQGSGEYWMARLLSHIKSEVIRDVTIVLDTHEDSWQQEDRTTRVASLTERLPMSLYSQIEDVLLLPQYATLERVVVEYRYRQENSGSLSIGETQWSTVMQARFPRLYKQGLLFPKTFIAAGRYLNVTVNAFVRRASICQQYCAHVIEVWEEVFDCLYDWVDYESLQNCSAVCKQWRQRCLLHLQITTLVLSDREQVARAAKATRAGYYPAGSIQEVVIGSRTWQPIPHLNSFVAMFANRSFNVKQIAIQYALWRAGDVRPDVFLHLSAFTSVVRLSLGEVAFPDFATLRHLIYALPSLQGLGCWGVTFSNTKSGPLLSSACSPAYSRLKLTKLRLCGGTHEIEALAHFFVDSGISALMHDLLVTYRLGRPATDVPALSVPNMQRMLQSSGTSLRTVHIDVVKLHRRGGAANPGELTIHMIMTNPEVIQNNRCLSSIVPQWKA*
>EED80539
MSVKRETQNANLNPIIDIDGDVTASTRFEAHICTLTPTVCFLAARYCHERSGEGRGRGRGRGRGRGGRAQDTSFNHSNTPNAVLQAPENIAVLALPLGHHAYLRETVQAFTNALLMADPAIPGLDRSIIIPARRLHLTLGVMSLDAEPTSRTLDGALALLHQMLNGQKLRVAFRSMDIMKPERGDLERAHVMWVGPSPENNCARLLKSVAGYVLDNRPLKPRGKIRQPFSYASVLNSSALRSIAIERSETANVVEPQALKRGPMRVNLGEWDVEDIQICEMGSWGPEGEYVGKKKAQAVYASWAEWP*
>EED80540
MSSPRTPHPPQSTLLEPQDNLNDRRALAEDVTHEQNPPETVERPYSVYTTGEKWFIVMMSGLAAMFSTFTANIYFPAIPTIATAFHKSTEDINLTVTVYMIVQGVYAFKPLGPPALSHLVGPCLGPVLGGLLSQGLGWRLMPETLRAFVGDGSIPPPRFYSPLIPIVGRSRPRSYTADASTRPPPTRFRNPLRLFLYPDITFLLIFTSVIYAIFYGVNTSISTLFATTYPYLSETEIGLCFLAIGGGMLVGGLVNGKLVDMQYRRVQRRLALAAQKENDPEKSHNMTKEDNFPIVRTRLQLMPIYVAVFIATCACYGWTLDKRATIAAPLVLQFILGWVTVSTMNITQTILVDLAPGQGASVTACYNLVRCGLGAALVSVIDIIIDHLGVGWTCTVVLWTTLESATHRTTSGYGEYTVVDTAGICYSVALYLVCYGLFQFLRVPIVVSILMNRCAEKGNVMQQAKPKPILIDLPSQSIHFGKAGQKLTAGVGSGAETATGSRSESGY*
>EED80541
MLWYRGRLWAFPALLAVCLVLLTWPLSRTADAAKLSTEHTAAASVAAASDPPRQSDNYTTVVQWDNYTIFLNDQRMFLHSGEFHPFRLPVPDLWLDIFQKMVAAGLNAASHASAERRNIHGWAGTLTNPAPGVLDFNDWRALQPVFDAAKLAGIFIVLRPGPYINAETTAGGIALWATSLVAGELRTNATDYRDAWTPYIDEIAASVVPNQVSDGGPILVVQIDNEYYQDAYTGEYFVELEEAYRQGGVVIPLTYNDPGEGKNFVNGTGAVNIYGLDSYPQGFDCSTPEVWSPVVTNYHQYHEETDPAEPWYMPEFQGGSFDPWGGSGYDACEILTGADFQDVFNKQNWASNVKLISYYMVYGGTNWGGLAEPGVYTSYDYGSSIRESRLLSDKFDELKRQGIFLRSSPEFYKTDWVGDTDTTMPGVTVNGSEAYVTWLRNPDTGAGFYIVRQANSSSLADITFRISVPTSAGTLSLPRTTDSIALDGRQSKVLVTDYTFGTSSSVLYSTASVFYAGTIGGRDILFLYGDFNQSHEIALEFTGTGTQFAKSTVSFSTGADIDGYTTVTLLPGIEGFVPLYESDTQLILYSDSVTAATFWAPVIPASEGTAFQSYYQFGSNTTVLVGGPYLVRNASISDGTLALRGDLNQSALLTIIAPDDVTSVTWNGAPVSAYSLTDGILAGYLSTNLTTSSITVPALTGWKYADSLPEVQSNFSDADWVIANHTTTNITPGMLYGDGQVLFGCDYGFCENTVLWRGHFTGTGSETSVNLTINGGTAFAGSVWINDYFISSTWSVSEEQTTVVYAFPEGSVLVGQDNVVTVVQDGMGNDESPNEKSPRGIPGFLLNGGSFTEWKVQGKLGGYTAYPDKVRGILNEGGLYGEREGWHLPGYDTSSWAARELSEGLPSSGAGIGFFVTTFDLSIPQETDALMSFQFDTLNQAYRALLYVNGWSYGKRVANIGPQTIFPVPQGILDYNGTNTVAVALWALENSSVSPTLELVVDNVYEGGLREE*
>EED80542
MRQQGGRGLSCVFAVPHPSTSEAAAAAQNTVLEKAGVNISVVHGTLPQAAVKQMRADHGSMASLEGSLPFFAAGISLVIHPRKPHAPTRRPRLKARRARWFGGGSDLTPSYLYEKDARHFYATLMDACAPHGSAIYPTFKIWLAASGESSSTISASLGEAFVPSYLPIIERRMPSDEHARRWRLLRRGRYVEFNLVYDRGTRFGMLTPGVRIESVLMSLLETERWDT*
>EED80543
MTEQTVALSPPQEGLPSTLEAAPGFAQKDPTRRSSPINSLSAITRDTLLLTQKTYSPPYESTSNQHKQQRTYSHLLMNNRSSSQTFTPPAPTNAPVEVPMATFTQADINQRIAVALAAYQSQQSTANRPLRLDIPAPKPFSGKAEDLRRFIQCLLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEDFQRSSTSLSQPGSLGTDPAP*
>EED80544
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVSDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSACREYDEAVKAADKAIDHHKRLLRQQDDRVLTELIRLDNLKVAHHFQPLLPRSIRARHNKFILRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPLEEPARHVGVVVDNVFLEGIINEAKERKQKERQTKAVPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVNPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGIDWELYGDGEHLHNGVLGTCISSCTISRSDPKFDLVIHSSLCSSSLGVVVILDFLA*
>EED80545
MDDSSYPLVTRLVLNYSRCGVSQSILNNETYQALQCVAGQSISGIGTSCIVCPNSDIASVGVRAAFYITKVFSIVALLVILSPEDAAASAWAGAVLTAGLLIAASFALCFFSNVSDAVCISYATLSSISSLAIAPMLPVWKHPLPLSEARRARTLQSQESLAEGEDVVLARGLQENVFRKERRKTHNRQRAAITFALLSQVVFRWAWGLFLFVDPHYSQPTCNHDTIIWILYFPLNAQNTNEAGYAVWPAWLLFCLSVTLYYAIALAVLSGVQTHKVPSRISTISIASSMQSAHTFVPVQLCRVMMAAVPEWGNVRGQTFMWGNVVAVILWTSYIIISEFQIQWNCIYSGENNLSGFGQITAVFLALTPVWSLIVALYRLPGKLRKAERARERGRVRGAEEQLLLANLDHGREPKPEDCVALSWLEAHRVVWNRLVYFCTLPAAMIGFTLSVTPCARDSSNEVWISDHSAASDAPTAYVQLGS*
>EED80546
MKLLASFVLAALAACARSQTTLTGQYTCATSGNYELCQDQWGSANGVGSQNSTLVSTSGNTISWTTTYTWANNENDVKTFANVLQTTYQGITLSTITSAPTSWTWEYESSSSGLRADVAYDIWFGSAAGDAGSSTSTYEIMIWLSGEGGIQPVGSESTSGISLAGYTWNLWAGENTNWETYSFVSASGNINDFSGDLTDFFNYLYDNEGVSDSQILQAIQTGTEAFTGTATLNVESFSVSVET*
>EED80547
MRLVKIIYLLGLCFYQIASAASEEQFDEELTLRPLRDGKLAARFSFTTLLKGSTPRSPESLGLDDASQHYTLFPLALGQILREYAVTEMHLTLNAGKWNYEGWGYPEEAGVGTGGELWAWMGDSGTVTGLFRSTEAQAALMKGPAQWDVMKPFLRYTEHPPDAQRGWDLPPAVFLPFSFGEGNYSVAHTHDDRDAGAHRAGDREPQALAPHAQAPVEAQAQRMYTPVLLVDLATPDFSMPYNVIIMSCTLVALIFGSVLNLLTRRLVIVRVAKNLAPSTTGPIPSRYVWNPRQNHTGAAITDSACHSDPTSLLVSLGSGVREGYTAERPYGQP*
>EED80548
MGESRTELLAWLNDLLQINYTKIEQCGTGGAYCQIMDSVFGDVPMARVKMNAKHEYEFIANFKILQNIFRAHKVEKPIPVDKLVKCKMQDNLEFLQWAKRFWDTNYGGHEYDPVARRRGAPTDPPATIAPLAPSSRGTSGTLSAGSAQPNEAVQNLQAQLREMSTHLEGLEKERDFYFSKACTLRDIEILVQQQMEVLESEGKDDPLLRDIQKILYSTEGQDGFEVPDQVAETLDEEETF*
>EED80549
MSFNTYAVYDDQSGHFRYSGAWIHYTLSGNEQLWNSTLSSAPDNPTVLGVYGTILPANGTTRPISSYSIDGASPSTFEPPDNITTRQDNQLFFVSDELSSGQHTLTVSIDQVNLHDPVLIDYILIASETSGNAGQATETYRTTSLTGLPTTSAQADALAASSGPPAGPIIGGVVGGVAVLVAAALVVYFFWYRRRHNGYYYHPADDIDHDGKPFAASPYNVVGGGTSLLFEASINESNLATEMPSTVGAMLTHQVSTSGSATASRRGSVPPPAASSSTRAMSKAESAGIQSALPRTVYHTDADWWGIDFIFRSKSCGPPSTAMLVLATSANSVLAARALTGRALMLVCAVLSDARSVLKNAPLDVVPVVAWVLDSVASYRLFLRTKAVELNATKAPVVGAKEASVYVYEEVDVEMM*
>EED80550
MTTNIELAISSVLQRGLAISVSNVLLAYLRILGYAPPDATDVSVPHEAVVAFEHALDKEPRATASSNAFRPRAHLPEITQLRKDYWRERLAAPPAARCTCPSCGHVVSYRRDMPKESDDECEPISVPAPKKRVRRSSRGSCTVLPAAGIADRGRHCRESPGETGSGAPWGGVREGAAGSVRPVDEHMHLMTLCPISVARHTAPGDNYEAGGRPFRSLMC*
>EED80551
MSGVSSRSVRRVQIHDARRSPSRGPPISRRVTIEDKPPPRRAVPRISQRVLAFQKQWKSIKDDLPPQLSRRHMTNFTMQVGMISIGGVVGTGLFLGSAQSLVYGGPLGALLGYSIIGSLVYCLCVSIGEMIAYLYVNSAARLVVLSDDLHAPSPNVGGVVGIADLYVDKALGFALGWASWSFMAASSYSFSDNIQQLGIATVVNMLPSRMYGEFEFWFSCLKVGTITTLFLSCLLIDVGAGHAQAIPPTSSHLTQHILFKNWDPPFANSYLGICGVKGQFLGFLAVLNQAAFSFFGSEVPGIAAGEVIDATRNVPRALKRVWVRIILIYILSIFAIGLTVPQTYVGLRANASNGFSSPFVIALSRAKWTVPANLINAIIMLSAFSAAASDIYIGSRFMFFLARRGHAPGFLASLFKYPQDKTPAQELEEEDTDSDEEEDWHSAVESFTNAAITEHFEEEAAQHPSFYKPSMIWTIPLASVLVTASVGLLAYMATSKSSSAVSETFASSAATATTTVICGVTMALSTDTSDTMGVSEAFQVLVSMASVASLISWSGMLFTYIRWYHGTVHAERKWSTGDSNKVLAQIDKIREHRHKCQPYPLTAINIQECIYTQQLENCHHWPEGILAE*
>EED80552
MRIETVLHSLQQPERATTPKPPPSPKPPLDPFKVLSAVPLRVFCEPISPARRRSPVTRPSPLRKEVVPSFLPERPLLPTRISKRSSSGGAGSETVSRASPLRLKLSNLPRRNASGTIGPIEKPELTKASYESCTYRKTQATPCVESEQDDEASDDELEKLQAQFGAWKMEAETFTEEYRKTLDEAMRGFSNTHQRLPTDVSVSFRGL*
>EED80553
MPSYLITGASRGIGLAFVAELLQTASNFVVATARNPDASPGLRQLQEQFPKDRLAIVSMDVADTNSVRQAAETVAALLPNGLDFLTSNAGILLQPGAVSFEDCNLDALEQELQVNTIGPIKVVRAFLPLIRQGDLKKISLITSLFASLEMAPRFCEVGNTYSLTKAALNMLGRRWGTMLQSQGITMILIDPGYVATDMGRAADDWMRQKAPDVQSYTPKQCAVRCLQIISDAKLEDAVEFWTVEGVKDPW*
>EED80554
MADPTKAETEQIFKVLKAQKGNKMCFDCHARNPTWASVSFGIYICLECSSVHRNMGVHISFVRSTNLDSWQLNQLRTMKVGGNSSATDFFTKHGGSMILNDSDTKKKYSSRVAELYKEELARRVKEDAAKFPERVVVEGSGDLLVTPVPAGVDEDDFFSSWNKPATPNSPAFISSKPVPPILGRAASIPSANGSTPPASPRTISSSSLRSAQSSAGSRPNSMLGASRLTSSSPAASTPTAAPAPRKSKLGGLGAKKAVAPLDFAEAERRAAEEAERVRQLGYDREQERKEEERARKEADAAPQSAKAPPKSAKAVPTTPVSALPTGNAQDLERLGMGMKRLGFGAVPAAPSTSKASAADADAPTFAREKFGKQKAISSDMYFGRNAYDPSALNEAQTRLQTFQGASSISSNQYFGREEEEELGGLGPGGDGNLLGDGTLSGLEMAAKDAIQKVLANPDVQNVGESIRAGALKLSDYLAQMSER*
>EED80555
MLTLYILNLARRILLDNLQHMLRATLLLNTLLSGSKPGGQELLLPTPTTNDGINLDPTPTRAVIVTADTTLIAVICWVVCVVATLGLSVGWLLWHPYAPGLDNGKYVVASLGAVPATGTTSEADMKSRKKVKMRPRPVSGRRQRRVRLLERSKQCGPRKSQVNAGSAWSLGSLPVIKLLTWYSPAAVDESSLPAAPSVEEVKADDMGFYFSSADIGLLDAFVRERYVLAWKIVYVSLGDASATLILRMLFTHEGAHPDDAPTDCAVFLPLVAAHDQEALFKAWLGDHFVGIGQPGQMVWSDYLVYARSVGLQLWNHLWDWEVSATLDAIDAALAGQDGPCAEAEVGVDDSGLEDEHYGYHGEDEADNVWDGGEETDGYYPDGDAAYEHEHSGQGSAYDALLAALDDAIAMHDGAGTDMQHGVDDGGYEDEAQGCYDEDEAYRSWNGSYYDNDATYGPWCDVMMGRLVLRMNIWRENVTGFWVSRYLCVRIGNAIPMSARNFVTACPNKKAEINYHAKPPQQASGSADSEATLASYPGNAAL*
>EED80556
MSDYSASYACTVFERKSPFCALEHARHRTPVPQAAAALCEHHGDFGINAVSSILPECMLEATVGKLRECSGLFPTFYNSTPIYLIELPLVRGMCQYDAGEVHFKNQSEVKCYMTIIFSGGTCDTTRSLYRDRSDSGVPKKSSTTVKHVNLVPVRLVWILDGPYIAHVIRY*
>EED80557
METHTLILTDGWHWKERDPTVQSVLDEPQNGRLPWTIARSFPSEIHVELMQNGRIPDPFLGFNEHKVQWVGEREWLYFCKFPFSRGNAAHAELLLEGLDTVCDVYLNDTKVLTADNMFRSYSVAIQLSDLRVENVLLLHFKSAELAAKELEKRYGRVRAGSANLGDPSRVYLRKAQYGWRWDWGPELMTCGPYRPISLITYTARLSDVHPRVSVSSGPALLPSLKLNLTIAGRVSAAQAAHVVLKDTDGGFVVREAHIPLSITEPRDGDVVEVTDIVSWDLDTVVELWWPVGYGKQKLYTLEVVLLGQQSKRIGFRRVELIQEPLGEADQHGKGTTFLFEVNGVRMFMGGSNWIPAHNFLTQITPERYRAWLTLLRDGNQNMVRLWGGGVYEPDVFYDTCDELGILVWQDFQFACGVYPAHDEFIASVKAEAEDNVRRLRHHPAMALWCGNNEDYQQVLQWGGITDLPARLIYESVLPSVVAALTDPPLPYHRGSPYGGQDWDTADSTVGDIHQWDVWAGRERPWQEYARMGGRFVSEFGIPSLPDIRTVDYWLAGNTKERWAQSKMMAQHNRAGNHERRFAILMNENFRLTSDLETCALSIPSVWMWRREWRGRGKGFTAGALVWQLNDCWPVTSWAIADFFLRAKPVYYSIARELAPVSVGIFRRVVKNRENDRPVQFYEFGAFRSVDATIEVWGTNRSLGPRNSTLVLKCVDLLSDWTHEDVYEVVLLPNQSTELLSIPCPCPPPSQSSDAPSVTSSFSVVVAAQLIDPQLSADKVIARYADWPQPYRHVDVPNPGLRIKIDDELIAIDVEKPVKGLFFSTDDETGENNVRWSDNALDVMPGDKQCIQAKGLGGKRVKVAYLGNERGYHL*
>EED80558
MAALHGTFSDVKLTLFTQRLPAGRIGKPGVVYANSAILSTASPYFHGLLRGGFAESNSTVDGLGAVADESTSTATYGYDSDSDLEDTDDEFQDEVPSLADPQDFPEASGSSESTANATTSNRECNLDEGDVTPSKRFQDRPWREIVVKDSALITWRWVILYLYTGQIVFAPLRSQGIQHRKTEIAQYLSSMPSNPTPCSPKSMYRLADILGFDRLKQLALDRLIASLTVGNVCDELFSEFSSRHADVFNAQIQFFRRNCMRPEVLPSLQTKFETLAAGGLPHGALPLLSLFQTCLLSLESVRPVHGSEPGLESTFKDWGLPMKKGKKKSRTENI*
>EED80559
MAPYISFIPTEAFAIATEEEWHNAIFQNVNVSDEQAALLQMVTANAAESTTGRNQQALVDSYKRQVDVLPASMGSGHFRQSKIGEPLAFKGREDKTKLEEWLDLIYMKSYYVKMWEGKDLSTWKAFVAELAQIYRQYDDKEGAKKEITALFINKDLASKDFVKYTESPLIDKFREVIPRDMQLLLAGKDESTLPKDWTLFLDILLNINKINSGSDNGGAVPMDIDSAEKSKLKGQGKGKAKDAEAASTKAKKYCIICKSKTHNTDDCYKLAKNADKRLKIQGDGAKKAQGGSGNPAAKKAKKTWLFKSSLWIARTICPRPRKP*
>EED80560
MPKAKPFIVTAKHEPTGLLERIAIHNMHKFDNIGKPCRIAGPSYKYEPPKPLPNIHFQHTKILLRTSEYNKMFAATANRLEPVFAWMEKEEGNLESDVMAKVRRMDDGFDELYYGLEKKAHRLTNRHWRVIKRDLKRIGHVSFEDLSSHLPEICNELASLNITFKYKV*
>EED80561
MSGVSSRSVRRVQIHDARRSPSRGPPISRRVTIEDKPPPRRAVPRISQRVLAFQKQWKSIKDDLPPQLSRRHMTNLTMQVGMISIGGVVGTGLFLGSAQSLVYGGPLGALLGYSIIGSLVYCLCVSIGEMIAYLPNVGGVVGIADLYVDKALGFALGWASWNAHEYDYVAAEITAAALTVGYWTSPPPTLVYILISLFLGTATMVNLLPSRMYGEFEFWFSCLKVGTITTLFLSCLLIDVGAGHAQAIPPTSSHLTQHILFKDWDPPFANSYLGICGVKGQFLGFLAVLNQAAFSFFGSEVPGIAAGEVIDATRNVPRALKHVWVRIILIYILSIFAVGLTVPQTSVGLRANASNGFSSPFVIALSRAKWTVLLHVLFGL*
>EED80562
MGIFLVLAGGFAESVGALDGEIHETNPIAYDYESDSDLEDDGGEDEGEASNTQAQSWPKKIVESTGSTTGATTTPTIQAMGTAGGDIRTVMIKDSAYTTWQNLLFYLYTGQVHYAPLKSQGVELRQSETRKYRATHPRRPPPCSPKSMYRLADILGLDGLKLSAAYAIKEKLSAKNILDELCSTFSSRYSDIKDEQVKYFELHCRNTEMVPQLRSKLELLSSGNINHSVPALVDLFCLCLIELKAPSSPTPPSFAEHATSAKRTGFRRPWWNIVRDYDTAICLSYSFPVDRVIFSVNYYIYTYHLERYQSTNLPSYSETETHSSKVAFPDMPSYLITGASRGIGLAFVAELLQNASNIVVATARNPDASPGLRQLQEQYPKDRLAIVPMDVADTSSVLQAAETAAALLPNGLDWLISNAGIALQPGVTYEDCNLDALEQELQVNTIGPIKVVRAFLPLIRQGDLRKIALISSGLASLEMAPAYCEISNTYALTKAALNMCAFSVKPTVVQADAFMSRLGRRWGTMLQSEGITMILIDPGWVATDMGHTIDDWMRQKAPDIPSYTPRQSAARCLRIISDAKLENAVEFYSVEGVKDPW*
>EED80563
MLYDSRAILEASLYFSAIILIILDWVQPESWLTGSSEGCDIVMLLLVIANCAIMTSATIFAAVRVYAIFDRSKMLFLVTSLVGLVNPVLTGYTMLGLESQTQKSVASQAFCGSSPSVKSASWITGARGSSLAADGLLLALTWIKTRNSAQNGRAEGVRPHLSQTLLQDSIATGHLSDFWMVTALWTPALTSILMSRLLLDLREASDMDVNNDNDEYISLSKTCSEVMFVTHEDWRNALLFEAQRGLTPLYPCRSHVDTPRIECPYI*
>EED80564
MQPTLIRRQLGGLVPPKIATPSILSSGSGAGLGPLVNFYSKLPKGPAPASAFGGIKARFFNGKNASAVPLLGAVLGIFALGYTIDY
>EED80565
MHVLPREVCTAITYHAARADLIVLSRTSRTLQRAAERKIYEEVNLQDPAIVFEFCKSLIAKNGARGVYVRRFWFMYEPRRRTTPLPRHFWQAIHAAFVAMVNLELLWISDPDLQNSWVLADTKFQLVDASLIFAWDQHLVRFLQRQTRLRVLYTHDAPEDSPICALPPGSLPALEQYLGPLTVAGELLQCPLTHLQVIMDDVVLPILPSFLADAGKACKRLRSLSILSVLEPMLFEVRHELFQYLMLLPELDTIDLDVTSWNPRPIEMFQRMLAAELKSYCPNLQRVGFWVDHHHLVWWFQDEEWIGGQLTGRAALHERFWRSAIVHPLPAAVFMATISMSLCTLALPSSSSAYVSRSQLRMQSTRHSGPQSQPAPSIASLPSFTHSLSNFPTMTSVTKDMVHYGTLCRTKGVSEAKLNSALQKTRQRQEAGAPTRAKPTFSPNTLCPSNEDDEPPLMMSKKHRVKRAT*
>EED80566
MFQALQCNANVSLPVSEIGTPCIICPNPDIAGVGVRAAFYIQSLFNTLLVILSPEDAAASAWAGALLTAALVIAAFVQKAASPPSLTLHHATLILNSLAIAPMLPVWKHPLPLSEARRARTLQSQESLAEGEDVVLARGLQENLTRKERRKTHNRQRAAITFALLSQVVFQWAWGLFLFVAPDYSQPACNQDTIVWILYFPLNAQNINEADYAIWPAWLLFCLSVTLYYAIALAVFSGERTHKGLSRVSTITSIASSMQSAHTPMPVQLYRVTMAAVPTWRDVRGQVFMWGNVVAVILWTSYIVISELQIQWNCIYSGENSFSGFGQITAAFLAFTPIWSIIVALCRLPGELRKAESARARGRARATEEQALLAHPDHGPEPEPEPEPEDSATSSWLATPRAVWHRMRSASPTLRQRAASRLRSRSQPRRANTDGVQYHELQELTPASPPVSLRAREIRATRQGSRSRLMITARRQMSPSNINARRKAVIEDIGETISEVSVGYFLSALVPKVRRGLSVSKTIEKLKAKGDITPDGYWAGTRLHVLIDALEVFNIIHGTGWIHRDISSGNVLAERLPDGTIVGKVADFEYATRFGDTESEPHEMRTTSPRKVYIPAKWGRSSRQESAVVQRRRRAADPETGY*
>EED80567
MSFNTYGHLGGRSTSGQRGGPRGGSRGGRLRGGWRGGSRGAYGDLGGFTGGSYSGASVQPDREIRDGLTARHIKTFSKPEPVDEANIAIKDLTYIGSYNWINAKKPTIIIPGSPPIWRDRPLPYRVPPDAGFVFVDPNAHRMPSSPLYPLFHSVDIVAEESGESVMWPTVDFVTDRNGLRKLLRWINDADGSAKPFRIDMQLAGKRTVLFSRWEKRTQGWDLDGLTLIVRFEVDACLPSGSSVSQPAPSTDADSLADMLSGPNVGAASQPAFIDAGASTNELDVVSAGQHVPQSSIIEMTTRSKNYVDQYEWKDTYPQLYLSQTTLYYLAAHTRGEFEMVTKRRLDSVEMKKIDEEAQEGFRPGSLGKVEPGLSGW*
>EED80568
MTSERVYHLTHSDKTLTAAFATSPDSSPGELGKTLYAEHHHGIHKPNATGGITATIRDMLKTGHAPDEAKEAVREDDPTPEDLDRAAECGQFGTRPTDLFLKLEPTHAKRASAVYHEVLKTLDVDPWAGAISPPLLGSRGVVTLSIISVIPDIIQHHYDCIVKAEHEVFLATNFWQSSKSATKINDALIELSRRAEQRGKRVVVKLMYDRANLKMLTESHIYVDEDEWTKDEVKLPQRSEMPWVDFELVNFHQCVDISSIR*
>EED80569
MFLSTMDPSRKSMASLGQVGPFCTLLTLKRCRLSLSRKNKSIKKLRRSSP*
>EED80570
MVSVHAPSYIPPLTFEPFVLGDGSKSLTTTLSIPSAADDIPCAYSRLRGPRIRRKHWNSPPHLQHLPVPFDADPPAEPQRPALKATSGFALGDSPPLQVSGVTSDDVRTQSEADGAPQWVPPRPRDRAAEDLAVLRRTGRLASERRRGGRAQNHVAPSDEEFPATVTGAEFPSIIKRSDSGAVPRSSPRRPSTAPNRAQDGMGTTRPRLLSATTPLVAYNVPDRSVSPLYASEASSRPASHLPPQTVDQLQYLADQLRALHPMLAAEMHRPRVPGPRHGPRPCTPQSGSSSGLTTPRGQSTFTIPRRSSHDMQSIDSHVQEVTFPEGTGRCASVSEDVSTPGCVSWSAPAKGKWKIPWVEEAEGSEELRTDDNIRENTGPLSLNGMEIDESCYTYDPHNAPEFLVGTSTSVMLQATPGFHSRHHPRKRPVRKPRNRFHRPTALVLAPGSQLDHQASEGFTEPTGASTTTAPTPSTVHSLPPPAFDLGSLELLVRAGPARPHLVPIANAAMDALKILS*
>EED80571
MTPRLRHRPQVEEEDASVLKLGPEFNNAGCLLISEVKYLLENRDKDAPDTAVYNKTLEYVKTFAKFNTTDSASAVRETLRREPALTQFETAQIANLCPVDAEEAKSVVPSLVKIDDDRLNALLVEIQTMRKFQT*
>EED80572
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFIATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEETFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRIENNYHTLHANFVKGLPKELPGLPQESSRTTRGWKAEPGRIWEG*
>EED80573
MWIVSTLGCGQEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPHSANPEPSASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSFWPHQKHLSGEEWKNLGRDVRNEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLY*
>EED80574
MSTRDGEDPGFAALPLRLRKRIDQASARSRHDSPEGVQPGGFLMPDAHPGGFLLDTDSPGGFISDNTGAGGCISEDIAAGGSLHEEQTQHNQIPLSLIPTALQLLDLPPDDADILSVFKNAASGWTDTVSRASKSADADHEQFVSRKDWRAVCAALLDTGLNSPTDSGHEGAADTLDTDNDISEDEYMASSPEDSDDDMGGSDDEYVEGGFIPTKTTTKTKAAAQQTGRRGSRKTSKAMSSIDSEEEALDPRRPRPLTERQKQECRRTFSLFFPDIKDADLDKQRIMIKDITRVAKLLKEKITAEETVEMLEAFSSSSDKSMSLPDFERMMITAKLA*
>EED80575
MAPTKKSKAAKSTESISSRLALVVKSGKYYLGYKSALKQMRNGKAKLILIAGNCPPLRKSEIEYYAMLSKTSVHHFAGTNVALGTAAGKLFRVGVMTVTDPGDSDLLAVAEGAAA*
>EED80576
MAVVILDVLIPPPAVGLLSEAQRLTSNSTPIMSSAILMSVVKLAASEIFSAIKKNIFKPASDGIDEILKGQEQIKDLIKEVSHQVALRESMNLIYYWTNRMGEILDEMERNNQTADDSAAYQELMSALRSESSGIRFQTFCVYTAISGLPGPLGGEGYLGFWDEQAYKKLSDPTDSKYTLKNYVDDLDSSLEAVARLLRHGLMLSLFVASDEVDANKLRDDCGGRITFFQTTLYDTYYPIGLRELKPSGYNDRGEQHGQWYRLDKEGASKRLRVTRQMINEIVVGSGNDSDKSAGFQFIQDTVPLGLLQMVCGVDDRGNRRVNYYDRVGNMGMRERAWSTNTDKTWSALVLFKWIPIDVNREESRWSLRLAPFIASTGRAQDDGRGTFGAHFTFDICIQGALYHEGPPDADPDADSGKPIYIQMVVVYPAHFLFRGHALRCERRPPTARREILGQISKDLGGGADSVRSTRCEERFARVPSTSTTSPLIALSDDCGSQPSDAPAGKALDTTT*
>EED80577
MRGIRVQPSIIHA*
>EED80578
MSVSLSILSVADALRLQINQVGHRLSQWREVALGFADLWNTIDPVHHPLTTSTFLERSKASLLHLITNHPSNHTSDIQNIAKCSGHRICSLDCVFPPSHIDDAIRLANLCAGQLKALEFERLQGHGGRVLVDEGRLNQKLCSSFLQLQQLALNDMSWLPASRFDALTHLYLVKCRLQSPEALSNFLSGTPNLETLAYCYMFRYELPAELLSWFILLPRMALWLRPVSVSKLCLPDLPPLRTLGRLQIASGRELGHASIVAAGASSALRLDGYLSANSSWHTLLASFRIPLSQVTELWISDNRYRRHGIEDLLRGMPALRVIECDYPLMEMLCQIFIWSAVKASTPLCPALQTLRCTGGAPFVLLSSLLEVRARRGLPPLQHLVIDGLSPRDRARFYEIFNSRVITFEALEKAEVRSMALPAVCTEERHKYWQWT*
>EED80579
MPRWFPHDRQGQSQICSCFNTRAS*
>EED80580
MSSRVGGSLLSMSRPCSRTTYTTCTSKTNWSSSVFGIPPVKKSLIDCGH*
>EED80581
MPWLSFPLSHKLPSPYILVWTSDLNVARIRCSVTLAGYGILAHYVQEYLLLVRHAVQEHAGAPLDDSAIWRSDRQEVWTLAQSTWERRGIPWPALSWGLLLGCGLIGFRSTEKKLRRGPTRLYRILVTEAALLIWNLRSRRTFRPGQAGADMQVLWRTSLKACLSINRVLTNRGKLGRKTLQKDLVLETWSRTPQSEHSLPDDWILEEEVSVLGNGG*
>EED80582
MSVRFSALTRATPSEFGRCPSLRRASV*
>EED80583
MDAMDINWCLTCNRHIDSDASVPYCSRVCYASDQPSSSSVYPCLRQAEYAPAATSVCDSDDYDESEYEPSLPSTERSQWIGKGAAGIEAWARNVHPGPPCSLEHAPSTSDLRPKLLLPHRRPIPPTLCMSKTDPAPPAPSKPILTPHRSLASQCTPGASTASYTTPASSLSLATPVSENAFRLPSAAAHDGKPGLIGALTKQFRRAAIGVRRTSTVRASRIADVYGWRVGAGLTSCLSH*
>EED80584
MGSLNTWYFFGEQLAFLWAFMLSFKT*
>EED80585
MSSTLPFLDQFNAPSTEGRKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATLRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVWDCPDVRCAGCGQEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQMKAIPIPPPHSANPEPPASPVAGPSCPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED80586
MPHSHHSHSGQFCKHASGTLEDVVKEAIRQGFEIYGLTEHVPRYRVQDLYPEEQGISLDVLAAQFDHFLDEAHRLKVLYGGNITLLVGLETELITETDVTNLEQCLRKHGKRIEYLVGSVHHVNAIPIDFDTATYRKSLNSFLPNTLDTEHRRMESFLCSYFDAQFQLMTRFHPEVIGHFDLCRLYDPSLMFQGYPEAWAKAERNVKYAVGYGALFELNAAALRKSWDSAYPGEDAATLIMQNGGRFALSDDSHGPHAVGLNYDRMANYARRLGIKELWTLTPSDEPNAGGRFLRPRKLERWDEHDFWRRRGTFGV*
>EED80587
MVDTHPGSLRPRRHPRAQPPLRGRIRPRHPLRLGPQRQGRRHPLDTRLLRQGRPLPQRALLAHAADQRKARRRRTLHDRRGGPREAQGRAHAVQARPRRRHGAGRRRGRGRLRRGPRGAARDRHPLGRDLEAPAAPRRRAAARARARGGRRPRLRGGGARAGAPAAHAAGGLLPHVDPGLPDGARPRDVCADEPAGRLDGLPVRAVHAADSDSWHAGPGPLRRPVRQPVPRALCRVPLLPLARLGHQGRHQVLRRSALVQARPGLPPCRVRRRRAPRV*
>EED80588
MSDKRRAEIEAKRAKLEALRKARADRQKADAERRASETAGPSTARRDVDDLVTSLLGTNIGRSADSANDSPASSMPGTPALGQTSSPAIAGPSALSLSLSGRMSRQSDGAEREALEAEQAAREKQLEEESVLLDKEIEQVIREMTEEEKMSIFTAPEFLDFVEHSTKIIQRALNDNYDYVRDYTIGTESGGEDTEGRRVKCVCAFYDERYGKNRSITDISWSPKACPISPLRYPELCCASYNKNPAALNEPDGIVAVWNLHLLERPEFVFHSQSDVLSVTFSPFHSNLIFGGTYSGQILLWDTRSKHLPVLKTPLSAAGHTHPVYAMQMVGTQNAHNLITSSTDGMVCSWLVDMLAQPQETLDLVHAGHNKTGEVAITCLDFPANETTTFWVGTEEGNVYQANRYDRAGAKAGLNQYDVYRGHAGPVTGLDFHPQLGPVDFGDLFLTCSVDWTVKLWRAKSLAKPSTAPAVVGPLHSFDEADDYVYDVKWHPAHPALFGAVDGSGRFDIWNLNIDTEVSTVTTAVGAGRALNKLQWDRKDGRRAALGGSDGKVYIYDIGDMALPRESEWTDLQRTIAGVGGG
>EED80589
MLAPPIISIPAPSSDISDSGSLSGHDSAAQPKFPHTRAQLSAIARQYRSVDAYDHDADGDDLRVNAVLVGRVAVLLDNEREDDLKTLLKETFGHIDEEELEQHVLDLMHKHRDDVDNVPFMFLTPTRRPISRPSSRASSHSARLIARPDTPNSAPSSPLAMIFRRPHTPVTSPLVQTQASSYITARSDSPSNSPLITTVQFVSSLPASPIGSPRSLNAKANEFRPIPRPLSAASSHPASLSQLRAETPSPDMWAHNPQRPTSKLAIAAPLTPDSALLPRALTPSSSLRSSMRPDDQSDEEDPFDPFAASNIPRSFHPVAGEFDAQWPTPPLSGSSPSQDGPHVSGDAPYGVIGQAPPPPEGEDMDPEAAAMLTDGMTPFDVLSSVFGATLAPSELEEALATNGYDFEKAMTWLVDRSMTASPPTNGPIRIQSMGNRVMMVSREGGNGMVRGGRGGYNNPNTPGMRYGQRIVNGRPVPGGNRVCRYFLAGECLRADCRFRRALMRLQTESRRASQVSNLTLDLSRPGASSNGLPSSKRASFIPLTGNPVGQMGHRRIASFSDPGLMSAPSFGQNSQWPSSPGATEFSPPARAAPSPMSGQSRRFSGMFGHAPPTLPDVPPFDPTEFETLRKELAVVKDQLEEAKHDASEAHEAREASEMCVRALRTFIAENSVGEQPSRSNARPGMKQPLPPVSAASPSSGSRWGFKLWSSDTPATPVNTPAAPPVSAASAGVPSPVARKLGGLFSPRSSISSTSTPTRPTEASAQQDLPYPGSDTSSLADSSTEPLCECSVQNAGNSILGRLVNSDNTNESTRSASGPHRSSRVIRAPMSSELPWLIVHCNIRKAGPASTDGAASNPSRPEDRMSLQILFMVLALHDIFTRDGMAKRSRRAVSLRTQSPLIQSSVICCKGIQSDPKWKHNALASFLMSLAIFTISVRNADATPSARTEHRLFTGVTLSLLIHVEAFKARGAKQVAAKIQRLFMDIIIQIIDTSWRRQGNLPDKKYAAAWRMIIASNSTVVTLLWVHMCAATVDEGVGFLVIMMTKQCQKLDTEARTCLQLTQTTTSVYGYK*
>EED80590
MAPKDEEDWSDSDEEVGSDDETAVQLGIPDGSIESPADLCDPMISRIGGHPTFLAAPEPPIEYALCGNCAQPMQLLVQVWCPLEQSSNDRVLYVWACPRGPCQKKDGSVRAWRSLRHNKKYAEKLAQKLAMQKAKEEAETKAAEKAARKSVPKANPFSMKSAGLSNPFDLGAQVFGGGAAASTEPPARAASSEADASSSSGEESDEHDEEDLATRMASATLDGSNWASLPAYEPLYLSTISEYLPPVKKVKVSAGEEYVEEDDGKKSKDTSWALEGYENSIEVDHAFERFTKRVGYEGEQCLRYELGGIPLPFSSDSVFDRLFPKPSAPPPPVTKSAFMVVPAQKRIYNASVLAHCRHCHAPRVFECQLMPNLINVLKAPAAGGATPQQSDEERRQEVLRVLKGERVADRVGMEWGTCMVFSCEKDCAEDGAPVSWREELVQVQWDD*
>EED80591
MCAPGGAPITSPTTSALRVGHGFYLRGCAGPVTGHGPFIGAQIPPASGAGGPVKRVSEQVSRYRYSQKAGDCVAPVCELVVSDMSTQLINLLNEGSGGRRQCCIHKVIRAKDGASTSAVRPARWSFVRGTHRDPAAHSAKMRPITSAPAGRPDSPFAAIDGSRPRLPGCSDQIRASTKNECGRSHVHASAAAAASPPRPLGRSPAVATSCVVRKEAAFWTLLAAAGCSARPRSYQIPRHRPSEGPGSR*
>EED80592
MSPAVRFARFKTPTRAVFSSTLPMGCFQVGGHIFSSMAISRIPVSTVHTIKALSPLFTVAAYALLFRVRYSAKTYVSLLPLTIGVMLACSFDMSASNAIGLLCAFGSALVFVSSNIFFKKIMPSGPNAAAASSHKLDKLNLLFYSSSM
>EED80593
MPSLSVVRAANAKWSPSKPPTAVFLGGTSGIGQALARALARYTDGNAHIVLCGRSRATADTTLASLPRGPHAKHAFEPCDASLMRGAAATTATLRARLPKLNVLVLSPGFFSLRGRDPSSEGIDRRLALFYYARWKFVHDLLPLLRAARDAGEDARVMSVLAPGTGGRIDLDDLGLKQRYNIARAYRAVPTYNDLMIEAFAEREPGIAFTHAFPGIVRTPMMGRLFQTLLYPIATAPDVCAEHLLYALLAADPGASRWDNKGNNMGKTRYYGSDEARQRLWEHTEQEIDRAMKVEA*
>EED80594
MVVASLLLLSAVPFAVAYPWAAQSASSFAGATSTDVFPPPGATITADETYFPDAEQVGFAGPTPTGAEPEAIQTAPVAPVKTDVYPLVSPHTTPGFNPLRYWGNLSPWSSVGGAFGLPDASPQIPVGCELTQVHILQRHGARYPSGGDPNVLAGALQAAVVNGTGFTAKGPLEFLNTWTYKLGAEILTPFGRQQLYDLGVAARVKYGELLNGFTSLPVFRTTSESRMVQSALNWAAGFFGVEHYESSYHQLIIIEDEGYNNTLAPITCIGPADNWYFSNWTQIYLKNTVKRLQQHLDGVELNTDIVFAMQELCAYETVAIGYSQFCDLFTEEEWKGFEYTVDLDFWYEVGPGSPIGSAWGIGYVQELVARLTQTPLTVFDTTTNGTLDGNNVTFPLDQPIYMDATHDSIFASIAIAMNFTTMAASGPLPVDHMPLDYQIAPFAAHMEGQVMTCPTSNSTSASRDKYIRFVLNDGVVPLTGIAHCATPNKDGLCLFDNFVAGMKQRIEEVNFQYDCFANYSVPYPDELTNGRMWV*
>EED80595
MVAASLLLLGAAPLAAAYSWAAPSASSFAGATSADVFPPPGATITTDETYFPDAEQVGFAGPTPTGAEPEAIQTAPAAPIKTDTYPLIDPYTIPGFSPLRYWGNLSPWFSVGGAFGLPDTSPQIPVGCELTQVHILQRHGARYPSDEGSNVLAGALQAVVVNGTGFTAKGPLEFLNTWTYKLGAEILTPFGRQQLYDLGVAARVKYGELLNGFTSLPVFRTTSESRMVQSALNWAAGFFGVEVYESSYHQVIIIEEENYNNTLAPWNACNNANGPIYEMGSWYQGNWTDVYLKDTVKRLQRDLIGVELNTDIVYAMQEMCAYETVSIGYSRFCDLFTEEEWKGFEYSIDVNFWYGDGPGNPTGAAQGIGYVQELVARLTKTPLTVFDTTTNGTLDGNNITFPLNQPIYMDATHDTVIASIATAMNFTTMAAGGPLPVDHIPLDNTYHVQYIAPFASHMEGQVMTCPTSSAPSAPKETYIRFVLNDGVVPLTGIAHCATPNKDGLCRLDDFVAGMKQRIEEVDFLYDCFADYPVPYPDLLTNGREKL*
>EED80596
MTSMISQTSLPQDSPAGQRYVSVYALHAGSIFLPDSDVFEDSIGKDGGLTVPSFAFLIDHEVHGKFMFDLGLRKGGKGYPPAWDETLIELKVDCSKNVADLLQEGGVQPSSINSIIYRYSKACGPHLHFDHVGDLTPFPQAELIVGADTAALMQQTYPGDPTSLWPEWPAGQKVRYLHFGDADAPARPIAPFHSFARALDLYGDGSFYLLDAPGHLPGHLAALARVSPNAFILLAGDCCHNRQCYTPGVRLVSRENYHDIETARDTVERLKRMNGEPNVVLVLAHERERLEDGMPLFPQRLNEWVTTEIVRKRHE*
>EED80597
MVFIRTNDTNLQLCTIGRALALTAFVASPSVCGLRLLTPTGAYPGGVVEESWVSEPSDPATFSLLLSNLNTHDTYALVASVNTSAGHVYFTTPDVPSSLNYVLEAVNITNDNVVYSTTDPFVIS*
>EED80598
MAFLRSAFMLAATILAIPLASASGMTVNLTTPTVWMRGTNVNETWSSGVGPYNSGSFNLLLVNTNDTGVTQYTLATNVPAYYDIIHFTVPTDVPVSSNYTLQAVNLTNYGDIYDQSGTFSID*
>EED80599
MASEPNKSSNTGGQEHLQPHPPVAYPQQQFAGHYPPPSPYGPYFAYAPLPDGSHDPNAPNGAPPPGPYLMPYPPPPPGMIYAYAAPPPPPGYPPYMPGAQPPQNTPRPKRKQVKMACTNCAGACKRCDESRPCERCVKYGIADTCVDGIRKERKKGIKRGPYKRKNRTQSGEQATTGFSSQANSDDQASPPAPYPVPPESNYWPYYYPPPHGYVHPGHDAHAHPEGTPNGASPHVPHPPYYPMHPVYAPYHPYAPPGAVPYATPPVPPPALSTVAAPNGKNNDHTGAASEANGEASASKKKRSRTKTGDDGGAKARKTKYLSSDSQTDGDAGRGKDLHLGGPPEGGPVFNGMDSRAIVAV*
>EED80600
MTEQIAASSPPQRGLPYTLEAAPGVVLPVQTRRSSPTKNSSATTRDTHPLIQKTYSPSYGSTSNLHKQQKACSHPSTNNHSNSLKFNIKLPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLCLDIPAPEPFSGKAEDLRCFIQCILSYFVATNNTRLSDEAKITFTVALMRKDLGKTWVDAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKMALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWGLSSSQTPGTMANQWILMPLPSHQPSPPHWEEGNGN*
>EED80601
MSSTLSFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTFLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYNEAVKAADEAIDHHKRLLRQQDDRVLTELIRLNNLKVAHCFQPLLPCSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCRSSRHWVRDCPDVRCAGCGKEAPGHLEQECGTRPMKRHVSAPPEEPVRRVGVVVDNVFLEEIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPIAGPLRPHPDTPIVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNAHKEWFDEEEDDGVDWELYGDAYIMGSMRTSYLVSYHYVSFFTKHDHTETMVRA*
>EED80602
MPPTTTRTRSQLVIVTWFITQMVPGLIP*
>EED80603
MDSFAEPRRPSAPVSLAAVREQVRKLESDHRASGIPLSGRIIHVCHYLPVVSSLVGPSRAAIPSPPQTPPAQASDIPPSPTDSQSYADAPKIEQPTPVPAKPDEAQSRWALSVRYGHSAMISGIASLASTHEQLVVGWTGDIPTALPTMPPSAYGAPSTSTASESAHASPSKVPTKDIGEEERRELEQLLAKYRSRDEVSIEGGKQTTYVPVWLDNKEAHGHYEGYCKQRVTAAICTREIAKSDDPAQFRG*
>EED80604
MNSSVPALQWAVLVLTDAWIRSRAPPLPPPITVADEFFDCSRLEHAFDTQEQIDVSRDDPESDGNQAPFFICDRQPCPNRTPRSITTDEGRYVPIRRVQHPSGLALRYAGTSRSTSRHVTPVPSRPASPGTRIPQPVTSTGQTRGDPNLPRGIEAVAQPTATPQTIXQPSLGVRLERNPKPEPSNSPAVTWASSSSAISSSTPVPVVRHPATGLPPSPPPPSPPRGRSSTRSSQSSPGGQSQQPSSPAGSPPSPSSPVMSSPASPPDKDTLKLLLPLRYDGKTVIKCDRFLSQLRIYWLVNTSLTTIELKVQVALSLLDSDARTWATPYFAQLVSVQLGVQGVTTPFANEAAFATAFKARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADHSGYGDLELCDKYLSGIPSHVYRKIELETFATWEDADKRATEVEQILDISQA*
>EED80605
MSNISSTITSNNTVNPVPLGLPALAGSPLLQYDQADHCFVTSTKRAPIYPRGGTWCRATGPNPQVVAHQELVSYYQRHPPAHPEDIFTILRINVEPIQTAESTQSPTSEQPLELPEVQYIPIEIPDIELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLHLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKITFTTWADTYYEKSAGGVQVYSTWANFVAALEEVFPEHGTRIKAHQILMKLPERQRDRKTVLSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDKVRNADAAKQGALVITDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKWELYEELTMEDF*
>EED80606
MKRHISAPPEEPARRVGVVVDNVFLKGIINEAKERKERERQTKAVPIPPPRSANPEPQASPIAGSSRPRPDTPIVFRKVDPDWTPDTTPWTWDSSWPRQEHLSGEEWKNVGRNARNEWFNEEEDDGVDWELYGDGEHRSNPKFDLCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIACRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED80607
MSRDLRARHRDDRAELRVSVAHRRRCRRASKAKSKCWETESKCRAGCECQKEVYRGPAGLGAYQRRMRVVVDNVFLEEIINEAKERKEKERQTKAVPIPPPRGANPEPPTSPIAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEKDDGVDWELYGDGEHGGKEPGREGGIHHASPKSLVMTLLPLASARPKTTGSTCLSPHRLRTLKFWRYLEEQGDTSARIKDVLAYMLSKSIDLPILLRLLSWGAEELIDDPFVHFEWTALMGSLELGKCLDEWYLPNRSHERGLKMQGVHQTLTHWATSCVGDMVTKEMVKIGKVLHSEPEELSEETLLAVKWESLTNTTKQEAPVLWQLLCLCQKLIAIYLKSCGTSAKVFDTLHVLGVTMSQKWTYNGLEKLSQHACKSLLADIENFLILGAHDNVNIAYCVYEQRSDHQSHFDSGTAGTIFVIKDPEAPRLDSHAYRAQCAIGSQDPITPELIHKLEMKAAPHLGRLSRYSILQSLLEAPEFAYNTYEHHSNSLFDPPPRPGQLPTGPEHKITQYMLDTVHIQEVSYEGNKRVMSEWRCQLGWNTSDAEKNLGLERLIPWVGDQLTASRLCGITKFRCQD*
>EED80608
MNYENDKTDAVDWDLLRSRSLQPFGFGHERRYLWPKLLNVDHSTTNSTSSDSKAEEQTLNDKDPDNDPRKREDLQSQLHKLIVTVFRRRQHLNYFQGYHDIVSVFFLTLPPEIRVNSVEQMSLHRLRDSMGVSLEPVVGLLRILKGLLQATDPEFSALLERHPIAIVYLVAAVVLFRRDQAFQLEKEGEEGMVHSILSSLPDLYEEQEEANPLKADENETKEEKLSITSLKLEPSPLPEQQGGELVEDVSVDFAQNTNTLIEPSTVSTVSTDCQPLESPSTLESSSSSHEAQSIEDPLSDDDASTAAATYATSPPSACADVEGDIAPEELDEAHVSEKVPLSRSSSPELTPPRPRVSLTSLLIRADELFARFPPAHPSITLSSVMGPQSVMLTWSQDPAELPPDDDAELMVKKPELVVRPYIEPDDEVASDDESAAHARHPRAKEQRRRRKLRKPRKLALERKTMVAGAVLVLGVAMAVYGIQNVQGPAGWLREGYQPRNSVGREWKRVSHFLGGVILGAGERVLEGLWH*
>EED80609
MSGVHLQEELLQSTASVVVQTRYGQIKTRRAANGAAVFLEVPYAYPPGRFEDPKALLPQYRYEDKEYVYESSYCAQPNNDGQTAGMACEDVLGLGKPMENPLFVNIVVPPQGTLVSRYPVKVYIHGGFLQFGSPHGLSGQAQYVAAERSEVWVNIGYRLSAFGFLACDEPKVDGNFGFKDQWLALLWIRDNIEAFGGEPSDIQVIGLSAGAHSVHQLLHHISHLPNGEQSPFQSAMLQSNAMLTNPKTPVELRTQFRALCRALGLDPDAPDVLQTLRDPAKVPASAITHVIETDAVGVENGTYRGCLDGTWLPVSPPPMTWQRSGGLARGLRNKGV
>EED80610
MGVIADTTSPCIILPDLVSYCQFPLRCNPHSDAAQSSTSWLTNHCPEMSPEQLAAVRKLDAGTLSTYCYPDCDVKNLRVASDFLVFLFYLDDISDTMGDGGAEQLASTVVDAFSFEGKLEELEDGPSAHMLAIDFWVRFTQDAKPCVQTRFRDNMRFFFAAVRQQARDRQCSTIPDLESYIIMRRDTSACRPSFDLIEYAMGMELPQYVVDDPIVQALNQSANNLCFWSNDIYSFNNEQARGDTHNMIAILMESQGLGMQDAIDYAGDLCKQIMNVFTENKDLLPSWGAAVDADVQIYVQGLQDWIVGNLHWSFLTEHYFGKRGAEIKATRILEVLPQLSV*
>EED80611
MCCRLGIHTGQLWGGGWTTGARSLCTRIASSSGVLESETLDEQLKVPNRGKVHRGANPLVITADLDFDLIQAASVRQTALAEVVVQLRLPAKGNLYARFFGESRNCAQRNVDGVICVPNLFHHAMKDAGDNIGYRADQALDLLSTFPVNALYIATLSLWSIACGALNVYVMFVNLKSEPDLCWSALQVGRSRHPSWTTYAQIFTVLSPGLSSKLSRPSKYADLYRLRT*
>EED80612
MSPCRIAAQAPAGAQEMLHCVVTRSEGLGWMGFYCAALTSRDTRRLSPEHAMRTEGSGHWVWVLLLYVVTRRGDLGLQGVELCGTGAARHTTPKPRLHWHALGRLWPLGFGTYAPFALPHAAETWVLKGSTRRYYTLCDFQPPSGPQKTAMLQVSQKAGQLSTPRSSITDCTYQPPGLVNRSRWTATTEVSQLPAIKINNSVTPIKRVKVPDIILLASKDEDVLCGASVVAMVCCRGGREEIARWALDQLKCCSAWEYIRGSVCSSRPKQVLSTSPGYNSNPEHSFHLATLDHTRLDSDDLHTFARTLPGMENMEASDDPDNLWIAYVYTGVMNIFMRKGYALGPKFHAYSFKLDSGSRVILLTTRNAQVEGADEELEMDEGDKQIGALLKEYDIITGFQAVRWGRLFEQEAEPWGM*
>EED80613
MPDHPLSAIFILSFCKQFFPTVQLLLYKFKKELVRGQQSSNNRTPCCIALAPLSTLSPEIVFLIRRSVEIAWHLIVFYATNFVAHVASVPLSVEVEGPDQHRSLSEGSFPWAVLVSLFLPFRTLGRDLLLIAQELRHHDDVLSALSHGTLLVLARTKDWRPNGEIGDEVFVKDTAKHPYAIIKLDEDKSCAALGEDERKVHGEAIVPPGYTLAVPMPGFKPFILATLFSVEKIRIHRPPEYLKIIVSAGQMISASFTLASTFGDQRDRYGYAAYGLSAWKSKPSVGTTVRTARGAFVHRRSDARKGQSRAVRTSRGVVIYYDRGLRPPATRAPKLASSFLTACFNTFILDEQNTQNSSDMSFRVDLTISPLLDGIGPTPDPALSRDTFLPASQQEYAAILVCLLLAMGLPYAVIYGLTRFHDGRSTITERVMMMLWLAANQLSGLGIVFYSAFLRIPQDSLKDATSRWDTWRRPMGAAFRWSTWHRLMDAARRNGSAVWKASWRWLKDAPSLKNHFKFRREGNSAKPSEGNSAKPSDAKPSDWLPRAYVAFLVLPAAGGLIMSTF*
>EED80614
MSGFDLNYWIGFILSAVIFSIFLYGISIAQTLYYFRHYPEDSRLLKAYVFGLLYVTCLHPTMNGLHECIGSLLDFGRTILDIAMTWFWTIEHHADVEFVIICPPSFAHYMEAYVSMVTITYEVLEGSRFRNVSTLLGIVLALLAFCSYALLLSQSLPDELPLSACGVAQTVNMYGETYAYTIIPNNLVAGVLGLAASFAADIYITVALCMVLHERKTGLARLFQLASCISVRTLCGQDANSLLTMCMSISSGPESIAKQYLRYSLSFLETQVCIEVLLAALILDLMAIDSLRKLDTCIRPDSRPRTQGEGNLRQACAMLCISFDMVNARSTTATAATPSYSPQDHTRKDAMTILITGAAGRTSDFVLNALLQSGHSDLRLFVRSDSAIQKLQSRYPQLPRSAFAVGDYLEASTLSPALQGVDVVFHNAPAFHPNEMAMGVALVEAAKQAGVQHFVYCSVLFPILTKMLHHKVKREALTGPHSVEEYLIESGLNYTILEPTSFMQNVDVEAVRKSSTLRTTYSLNTLQGYLDLQDLAAVARTVLLDPAPHNRARYELVGQNCTFEDLARILSAHLGHKVTVKRINREDVVTGMHVVAQGDYAVETLDRMLYYYDRRDPQPYTAGHCVCCHSGSASATEPYASGTAQRRTGASPPANSAPPQAPVQTQTFVVTTPVQAQLQLSTSVLATSPMLAPINLVPTPAQGPVTAQLASVALQSPVQAAVPIQYSVPTSIYVTPPIVSVALPSN*
>EED80615
MPQSTTIPADWQPNPGWTPKGSYCPDIRCTRCGKEAPGHLERECRTRPMKRHISAPPEEPARRVGVVVDNVFLKGIINEAKERKERERQTKAVPIPPPRSANPEPQASPIAGSSRPRPDTPIVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEKDDGVDWELYGDGEQLDGRQCAAQLGLR*
>EED80616
MASLFSALFLAAIVASPLISAITLSTPTGWYRGASVEETWTSAPADPTSFDLVLVNSDDGVTKFKLSSDVPTSAEQVRFNVPANVPVADNYTMQAVNVTITLDGRETRGSYPCENRKCLGNLFLCRRGLGKVSSSGSSWPRQEHLSGEEWKNVGRNARNEWFNEEEDDGVDWELYGDGEHLHNGVHAHFVPGIVPLCFLLY*
>EED80617
MATFTQEDIDQRIAVALAAYQSQQSTANRPLHLDIPALEPFSGKAEDLRCFIQCVLSYFVATNNTRLSDEAKITFTTWADTYYEKSAGGVQVYSTWANFVAALEEVFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDKVRNTDAAKQGALVVTDTRDYGEPMDIDAAGVASTFASTSGGRKWELGAVLNKADRKLHRDGNLCFYCHIKGHSAKDCRKKVAA*
>EED80618
MKARYCQATRDLVDTDNGWHFSALHASATQVLDFRIEDMASKMQSVAPELCDLIGALLVGGESRGLKWSTSDEDEEGALLDTDEIEYWSQLGDDPPVWSSEVSGGEANKRAQIVAQRRNALTRVKAVVILSIVMQSLSQRCNALAAVNGILFHSCNTPDRVIKCLAHMGLSISPSAINYMVHSLSVGSALSIRSLGQSLLAGLAYDNFNILLNTMTPTIEKSMENLLHLTSGMILPLYHGVTREDMRCSHYLWERSPLNPPALMPSLGMLRAMGFLRVVATLAQG*
>EED80619
MAYTASSIQTANDSYNTLGPEPTSLLSEVDSEVENAAPFLHHRWRSERLRIQEDQRSKALNMEEKHISGPPAVCCSLCGAREDGRRLVVALDGTMNRFGTRIYARVVKSDNQVTYYNSGMGTYAHTVSKSITKSLKQTLRSGVESLIAWLFPRCIPSQSIGRHDRYLSRWQLVQIGLISPGNEEQIPFAFELYAKAAEDRKLMKQVASWSGQISKKERLETKLQSLKANQDRANVFKKTFSCHDAKVHFLGAWDTVSSVGIFRSKKDLPKTQSADHICYFRHALALDERRVRFAPEDVYREADTRAIYEQALGNEDYNDLRSQMTSGGGVKPNMDLLLDAKAAVWMANEAQAKGLKMCPPDGGWDPTRFQGGKPNESLKGFWWLLEWVPGLSRVEDFPKPGRSGKQALWQAGIEAHLHEHITNTTKRRDIHQERRTFLHLYNDSRSVPYREFKNSVLNIILDALRVEDTVPLAISALLTLVVNQVYFNFEPPYYQKETILRLISTLLKIFERHSDDAITATMLQLPRNYPTWPRSGTSYFRQESHLQILSITSERLQDDGDLSLRAAVPKDDFDPYFLAWLYHTSQLMLNKTCKPILQLLVQLSRGEDRSLEASARDDFYQLSHAHFHSETNRISTDAMHVALRVHRIQEIYTGVLAFPGLTAKLIRTLRKGNHTSHYFVSNFPNYWTPDLQSTKLWGHVIDVILEQSKCASSTNPSEAAMRTLIRLACKHDSLRARVLKNGLVDDLNDWLREPHCERILDILADTTVICDDQLRHALCNGGVLRTAVKSTASMNTQGLLVKYLTELSCYDDKQLRTMRETISRIFVTVLASHLDDVNVASGSEGTSTPDVESEVSAQAMDECGHLDSPSLLVPDSDDESGVSSQVTDGPMSLYSLSYLSPHSDHESGVHESGVSSQVMDGPMPSNSSSPTPITNAIIPPRPLDYLPAFDTFMPPMHLDSYLPAPNTFMPPEQFITPNDVRRPQPRDIMTYTYYKELHVTPLERVKKALVATNLRQT*
>EED80620
MSDTSLIVRMDAGESKVKKTDENELLDKIDEQDGNTDDECDEVAVEYKKKVEADKYFKNPPRDCSVLSYAETSIAKLYEALEITETQVLADNTIWEQDTHTVQLAVATAKRKGDRCALAAHARIQSMDDLRSEVSDWIFLNEYSEMLLTILHEVDDEWQIEHDTLKQQQKTKCALESAANAVQCDEDQHTTSEGLWTKSFAFTTYINELVGNIELSTINMSMDL*
>EED80621
MMDRVDSILSGLMNSTYVGTMVPNIDSEFHINLACAQTFSAIYEYTSATMCTRVIIRDNLAIAGHTLMRKIVWAMISGDASVVLASTQIEFKSGFAACASLSLAAPPQYLVAVLVAAEFCPILEFPLYRLQQPRPAIGKLPTVNTPKKENMINSATSTPPPAPAACPASTYKTSSWSPPIVSACRRDTARSGAEVPYALLPERFQDPEPLPSSYRYEDKEYILESSYGAQPNNDGQAAGSPFEDKVGLGQPTENPLFANIVAPPDSTPESKHPVKIYIHGGFLQFGSPHGLKGQAQYVSAERSEVWVNIGYRLSAFGFLACDEPRVAGNFGFKDQWLALLWVRDNIEQFGGTILYFCGRIYAHSRFWGAFGTPAAAPRFPPSEGENAPFQSAVLQSNAILTVPKTPRELRPQFQALCHALGLESSSPDILTTLRDSSRLPASKITHVIETDALGVEHGTFRGCLDDSWLSTTPDPMIWQRSGDFARALKAKGVRSIVVGDLTEEWYLYSIAHPVRTVRDVRHNADRYYPAEVVERLLGMYRALPDDAPEDEVKRLFGELMSDGQVHLPVRLLARDLMAAGFPVVRYEIRWTPEQLRPFGYVTHGTDRALWALRIPNLEPQQAEVARKWLDAIDAEVKQVEEHGNGRDLQEVLALNEDRTIGWKPDERWNELMRLRAALPSEA*
>EED80622
MYVMVQDVRQLGPSERASRDSTKFRVASQDCPANVSSDQEVGAGERGEATDEQEVRGMYDADQPRTSVRGERNVDLQILQDPETISTAEVELRSGKGENGPRMSGPAAMRPDRCDTRSSTVAGEPSPYRHSNAVSPDVGGLRSRTAESGRATITQGKIKIGDKRNETNRHIP*
>EED80623
MTKRLAKRQTNPSTSLKEGLQQRMDVFAIETTFQGWLQVLDLPRRNPAPEGAAGVTVSNSDRGTAQHALPDCVAACDGVFGEARQRTTLLHVARFLIAPSEQRQGDASQVHPLAGWQQA*
>EED80624
MDSDFSSPRFPSWRTRSRP*
>EED80625
MSYPHTSWSPKTEMEQGQFDPSQGMGSDSPRHVSGGAQQSFAYVGYSQDPRGNIRPSSASPTSSLSGAMGDAHISSTSXMPGTVPSGMTWPVHSAYTDEAQMASYISTPPTPASASPSGTFSVYSIQPYNQPSPNHDIYGQNIPSPSMYAEQQFTSQQARSQMLHAMTPSPTTPSSAMTLPTNPMSAISRASRPSRESLEDEIRRLRQRVMELENAREVEVQRTHHLQQELNRITYGLSTPASGSALPSPLPTPTYPPALEESWRRRTDARRKIFCSVNRAGNALCAWHDSRRERRAFPPRNAPLGMLNCGCTFEEALFEESLARHNVGSYHPGESVRMDPALRNPLLKLLQERYGYRDGDFDFDPVTRTWQNGEDATYWEQKLAAGAANSRRARAEERR*
>EED80626
MAGIEETFGALLVGVLIGAIFFGVTNLQVYIYFQTYVQDRIWTRATVCYLWVIDALCVAFSFHMMYYYLVICYFDPSGLDTIIWSFKAQAILTSLRFKRKLICQAPTVTSLTNKISWKAVCYEDLGTRYVLPIVVVSTPVSSLNSMVHEAYNLASVFMGTVSNYNRSDKSRMLRNYSSIVKYINGLPERRAIA*
>EED80627
MAFPSATLWPTGIFATTFVKPFVNGYLKQYCTDHWSYANWHIVVRNVVLDTLCVVISFHIVYYYVITSYSNPSNLLMGVWSFKAHQVAGIYFDITIALFPYLTGVFRIVAATIGMGALICLYHTLRSTRCVGQNAGASTLLARQYPSALRGLPANSETSSTDSIITIIMIYTLNTGALTSLCSLAGIIGIKIGPHTYAIAAIEISLAKPMSLSKGIGFLATRLRLSYMSPPLRAFRQKFIQMALTLLRIGRLSIEAKAPLVV*
>EED80628
MMSSHRPTTGVVPPKGLYLVLWLDPVKMVQHFDNPILHAAVGQLSPGKYVAYVATNTDFPMPDRPWHRCFVRLAGLGMPKDEPEQFITSDMSQTLSAYQAFSVRELLPAYVGLHNSNPPAKIEPVALASEVAPDTVVEADGVVKVEDWLKTTDHSQEPLEELATDNDISSQVSGDDTPDSLSDDDVSPSTPYSDDDASSMDTVDLLFESLFVPDFSHIDVDIIPLVDFSFDLTEVKEFLDPRGFLEEVEAMAEYQGPRSCVFGSARKLVQEAQEIVSPSSGSPDSLTAVSPADPVAVLPRNSVVVFAGHGNPTTPSEQVPSSTHSTWLEVGREEDVI*
>EED80629
MQRDQTPADVTNAFSRHLVYDKIFCHLSPASLIRVGRTSKSTFAAIEDFSYRAFDINRSLSRFVSDPIAFRNLQARTSALISGSFALQFFDRTFYPEADLDIYTPESSVRELGDFLMNDGYVFRPNSQQQSSFEQASERKFSMMELSDQLDNFPVVGDQLDTIDAEHLQKYKLNSILEVYTFVQPNNSPSLLKVQIIVPKATPFQCILEYHSSERHVKAPLHAVR*
>EED80630
MHIREMQCKTMCMTIERFDQRFGQSHIKAVPVGEGTGISLNMPRPPSFFLEAHRSAEWQKPAALPVRPDAIWDHDVLNFIQQVWKYTPRDLPPGDAALNNKYYLPLEHLENYLAERNNQKARGHFKELFTSLVSQLMTCSPGKHPIQSQLILRGSTALLAAQGVEDPASLDWDWYLACGLITKTKRVDWHAPHNGDLLFDVNLMRQEPVVPFPTGSAQFDSATVGTPQDTRKRRQPPGEPEDMYASKRVKVRTDRQTESKATAEEPDAAAIRDEIMFSECIDELLSHGLRSYASGFLVRDSHMSLWYADKMGMIVSRVFDFLQEPHLLLLAVAAMATAGPAQMGISPFLRFAPGPVLSYAGSYVVMASEPARTESLPEDNSGKPLAFRVKADTQCIYTVPRASGRSTAVVRVAAADDRTQQVCGRANLVARLMWARRASETECEIVKAVRCKLQTHAPNSLPHIVEPRYSVIMDMKHMGVPRGFMEVDWSLPEYVCSMVVVEEHRPIQSITSIEEFKQVYTDVVIACRQLKVSFLLAHSWVWTTSSIIHRNISTDSIRIMTKNGTSRGVLCDWDQAGRTDPDAEAREDADFVHGARPDSPPQHDTPAAPHERLSLHTAATTWTFTAVDLLARAPPAHGCLHELESFLYLLAYFCVTFDPRTRTLRSFPDWEGADRAALARTKAAFLQSHDAICSTEDYVCEPLRGLWSGWVEPLWLAFNRAEAHAARARMLRERLDGMRRCGKEDSVQKLEEEVLECLVRRRAAMTHPLFVEILRASDPYAVVARACPYVASERELGLSE*
>EED80631
MNLVNLPPELLAYILAYLPLPGLAACLSVNRRLHDIIVSDVLLQYIVELRAAGVENNSSPSCRLTIADRLRMLRQREQAWECLEFGRITSIPVKHNPSGIYDLTGGLFLLGESRSPTRTGTNALHFVRLQSAISKSTESEGEYIAANAKWPRIDLGAKIVDIGLAVQEHDLIAIVTYKYWTPMSPDFIAAIDVHLLKLSSGEYHPAASQGTIHVADIPFAPGYCSVSVEIVGDTMGILLNFLKDDAVIYNAFAFLAPDVIVAPNIESNTLDICRIPLGDQPEGTEPSALIPACRLALPRLQANCVWFGIWCRSEPNPIGLGSGAFDRGSSPFYSDSAEAIMLFNFMAVEALQIMRLFSMVVHRSSLLAQLPPLSEVQLPTEDEEPALPPEIDWDAWGPPLCRWFDTNDLSTRWITTTCGQRYVTQGPQVPATIMVLDFNQKAIRRSLSRRGFLHSPSAPMQVRKVLSSDPDSDVTVSYEIGGGELESQVFVSPVKSYLPYMAVTKKKDYEYNSVMLDEGVLIGLQLDDESDIEKLVVHSIAPLTLG*
>EED80632
MSSKAIREYDAKLLLAYWLERAPSVDPSAQLATKFVFPSPKVAQVAWDPETNAITADTQLPSWVFTTKLVAKPDQLIKRRGKAGLLALNKTWDEAREWIQARAGKPQRVESVVGTLNNFIVEPFLPHPSNTEYYVCINSAREGDAILFTHEGGVDIGDVDAKALKLEIPVNAPFPSRQVIADTLLKHVTAAKKDTLVDFLIRLYSVYVDLHFAYLEINPLICLDAADGGQPTIFYLDMAAKLDQTAESICGPKWAIARDLSIYESGSQATTSKGKSVSADRGPPMVWPAPFGRDLTKEEAYIQKLDASTGASLKLTVLNPEGRIWTMVAGGGASVVYADAIAAHGFADELANYGEYSGAPTEGQTYEYAKTIIDLITRGKPRSDGKILIIGGGIANFTNVAATFKGIIRALKEYKSPLIAHKVQIYVRRGGPNYQEGLKAMRLLGESLGVPIKVYGPDTHITAIVPLALGVESKSSNPAQSISATPVGPPSPKAQAKVDPEVAIGSIGPDGERTQPNDQIVRFDTTEQASTRPSFRPFDGRTRAFVYGLQPRAIQGMLDFDYSCGREAPSVAAMIYPFGGHHIQKFYWGTKETLLPVYTSVEEAVKKHADADVVVNFASSRSVYSSTLEIFNFAQIKSIAIIAEGVPERHAREILHIAKSKGVLIIGPATVGGIKPGCFRIGNSGGMMDNIIASKLYRPGSVGYVSKSGGMSNELNNILSLTTNGTYEGIAIGGDRYPGSTFIDHLLRYEQDPECKMLVLLGEVGGIEEYRVIEAVKKGTIRKPIIAWAIGTCAKMFTTEVQFGHAGSMANSDLETADAKNSAMRAAGFVVPDTFEDLPLVIRETYEKLVANGTIKRQAEREPPVIPMDYKWAQELGLIRKPAAFISTISDERGQELLYAGMRISDVFKDDIGLGGVVSLLWFKRRLPSWATKFIEMVLMLTADHGPAVSGAMNTIVATRAGKDLISSLASGLLTIGSRFGGALDEAASMFSGARDTGLTPREFVDQCRKQNKLISGIGHKIKSVNNPDLRVELVKEYVHKHFPSHSLLDYALAVEKVTTSKKDTLILNVDGCIAVCFVDLLRDSGAFTPDEADEYIKIGTLNGLFVLGRSIGFIGHHLDQKRLRAPLYRHPADDIFINMADLSQPRVLGKMA*
>EED80633
MVLPHNLCAHVQNAFRARHRHIAVSHTTQNLGILSILLRSGFLLSLTRGTVQLPSPEAFLTVGDANRRIWADLKYRDDRPVLNDMELISMPSKPIFMDVSEIRRLCSGRRAQTIKPLRMGEIAVVHTKNPEYEWLEAREALQLGLGGEVICRAR*
>EED80634
MPGKKDDSVWSYNLRSYDFSDDDSDAFEDAEVTTSRENPRSDDGQHDLDISSRVDTAQYKSNPWSIAKVNAACRKTVPVRSPPKTAPRIAAKKPHIGNKLAVSSVTQVSGTAPFKHNQRSLVKRKPDDTPAQRAVPAVPVLSSAFGPSSNAIVPQKQPTYPISALEPSAPAPSDTSVANNDLAPLPTEKLGQENSSQMLPSSGASTPCRNQTTYELAGVQTPQFQAHIPPVYTQNARIDPAQEVSSSVTKRPPVPPGPTSNGLVMDRTHPSHSQSPSVQSQGAKNDAPKVYHPQQITHIHTDDTQNTPHTHGANQHDLLERKAIHSVLASRAEPQRLIDKLLPATPHREGTVASAKRPQRFVHEASSSPMSDGHIRRDVRNIDKGPKMSISPVHMPRIAQKITQLSSPLRGSASRHGVSPTVASISRIDAVQPSSRMSPADSPPLRQRTAVETMILPAHKRTASPLNVSVAPAKRDAYAAFPTSPDAAWSTLPPPKKPRVAPAPAGKVRQSGTFRLPLAHARAPEPVAQKTRVVTYLPPPRKAPSPHVTTGTRDEEGSRYPSPPGSELDVPPMSRARAPDAGHDADEHAPAARSAPSRPHPASRTALPSPPPSDPPEAAPPAAEDTQQDTDVRAHFDMRDLRQRYTLVRRRVAERKRLSAEVWPLLQLPSCGIVYCDRPLSESSQKGERLSEEEMRDDPQEQFTEIAIVTWQPVHSSPL*
>EED80635
MSTEGTAAVSKAAVQDFVDKMEFVNFKRHRDGELRRRVTEITDAWDFKETARPYIHTALAMAESVYGHLTDLDAKVAIAIFTALGFTIDDPNVLDNLAFDQFHRRHIDSTVHGDKNPLGLFAKVASQMVEYYPSIAAGTILVSALQFVNASILENATRGTIIHPKAMRFVEYRRSVSGVSEAYACFIWEKAHFPAVNCYIQAIPDVCLFINYVNDILSFYKEELENELGNYINDRALVTGTSASDALRDVIGETVAASERVRGILGEGDARDAWDAFARGYIKFHIDDPRYRLGEVLGDDFFVEE*
>EED80636
MCSVPSTVLEHIPIPPVTLYTQPSILDRDRMSTEGTAAVSRAAVQDFLDKMEFVNFKRHRDGELRRRVAEITDTWDFKETARPHINTALVITESAYGHLTDLDAKVAITIFTALATSVDDPNALDGLAFDQFHRRHSDCTVHGDKSPLGLFAKVTSQMAACYPSFAAGAILVAALQFVNASILENTTRGTMLHPKALGFVEYRRFLSGMPEAYVCFIWEKTRFPAVNCYIQAIPDACIFIDYLNDILSFYKEELTNELVNYIHDRALVTGTSASDALRDVIGETVAAAERVRSILGEGDERDAWDAFVRGYIKFHMDDPRYRLREVLGDDFFVEE*
>EED80637
MSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTSTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKALLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWEPGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARLGGGRPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED80638
MGSGEQVLMRRQGSAQDGEGVEYAHLRRGGAPGSQREKTRERQRAYPEEPPAEQGGGRRVKSGCRRRRARRVILEGVRLSKGDRRTVVPPVDDDREERERERGVIRARRREKRVRERRRPRPLERPVRQGGAREERAPRPLSATCGLARETAVEDDARRSEAAPASGRTTRARKREMPALADRCVTRVVKEMAPCSSTGTAMTLL*
>EED80639
MYVSHCLSSTQTDVLPRSFEHSPGALAGLVVGVIAFVALLLAWGLLAYRRHRAQHLAAEATAAALANNTSRRSGPMLADEDDDDAPPPISPVSATNPFEPSTNPYESAATRSANPFDDSAGMVHVGAPVSLMAGIGAGAGAGAAAGAPYTYNRLRGGSSGDVLAAPDGPGSMRPRSTTELADLALGRASHAGTGSNSGSRGNSGAFTDSAFGSRLSTAFLSGGGSAEGGNGGGTPTEEEAGMTGSGEGEGDALIARASDSDFFPPIPIVDTGVGPTPPRPARSPARSRRSSSGLEPAAWLSRRGPGEVTAGL*
>EED80640
MAATTRSTKLQYANPRLLPFDKIRVLLGFSQERVTQSASKMTIDPLRVAGWAYRVLGKEASVARNARYRDDVNPPDDLLSRRNIDARLNRLRTEYIVKFDDYKHRAPIRASKKFHPQRLKPQDRRLDGDVYKMMLVETSDDLRRGSDSDNLGPAWLQWIDDDRCKEESRADREDFTKLDSDLLFDPEAMLEQQSVLHLWTHINPPVNPLSEMVVERNIGNVLASTFNLLSPVFRMLSNNAVNLPYGLTMTEGGAMPDMCIQAQSPVDGTDVAIPNMILFIAEAKRNRDQWQHAISEMSVALHPTLLMFIMLHYRMLQLEYPDGGETDITDKNWIRAAEPLDPTSWIPSIFYSDREILICANFPSLEFKGGRWTWVLNSEILQRYTLDSLFAEGSMRQRYVLFVAVLAVRRHIEILKERFLWEDDVAYFSAVNQASPKKPKKVPQSREGSTPRGRGPSSSLRREVVRGRIHDDPQSND*
>EED80641
MAACQGLTHAVDSQDLVNIYLSDGPSTFIFTYPVSEPESEESASEEGVSEHKPTLHGQAASSDALPSTSAVADICNTASGLPPAPPPSSLLRGHSRTCSLRSPPGGQPQPPPPPPGRPPSPPPPIISSPVSPPDKEMLRLLLPLRYDGKTVIECDRFLSQLRIYWLVNMLLTTIKLKVQVALSLLDGDTCTWATPFFAQLVAVRLGTQGVMTPFANKAAFATALKARFGNLDDEAAAQVELAKLCADKLVCKKCTATEFSALFKGPADRSRYGDLELCDKYLSSIPSCVYHKIELEMFTTWEDADKCATEVKQILDISRARQPELNSFFSARGGGRGGARGGAP*
>EED80642
MSAIPELPSKRIPYGTAIGHLHQSGKLTTGSFHSQVGKVRPESIQDHSRMYDIFQRSRRFLGDRYDAGIQTFDTANVYSNGQSEEILGRAIKKLNLPREEIVVMTKFTAHVSKNVKDVVINPEEVETEIRSVNQYGTSRKHIFDAVQASLKRLQLDYIDVLQVHRYRRGANIEEMMEALHNVVKAGWSPDYAINNRLTPFISMQDHHSLIYCEEEREIFPTLKHFGVASVHWSPLGRGMLCRPFNEQKATARGQSDWFSGMYQQNPALKTIIDRVEELSKKKGVSMAQIFIAWSLSKDVVAAPIVGTTSLANLKDIIEGALLQLTDEEIKYLEEPYVPLKVMGH*
>EED80643
MVIVQLQHSIILCGHIRPRGLRPQPARLMSRYLILQSIVQRFTCVFDNLGT*
>EED80644
MPARNTPRTVDVVVVGGGPTSLITSFILAKNGVDVMIVEQYEREEQAMYGRACVLYPRSLELLDLNGLYEHMADMGFIIRDSVTINDGKVVPGRGWHFVQDVINGHCCLDFCLNLRQKYVEDAVKGALDQVNPKIFNAPVKFLNYTVDNSGTFPVTSTLHLQSGEFIHVRRYAAFSGLWAVLMDAIL*
>EED80645
MSNYSKLGQFDSEYAAVLAKLPPPPPPEKERVHSRLREQFDVHFVGKTKDTLRPHLPPEDAYTVADRHVQLDDGDILVRCLTPRGSGDISFPVLFWIHGGDRHNQCRVSTVNNQALFGGDISRGFLIGGQSAGSNYGAVLAYRARDDPFFENRRLSGTILQLPIVLHPDAHPEKYKDELLSVDECRDTPLLNGEQMKITWVELGAEPLNPECSPFLNSSHAGLPPTYLQVCGQDPLRDEALVCERLLKEANVATRLDVLQGRFEMASRSRLPTVESARHANKKMLDIYLITAPRWIVLRVCVYATLYVAWLPYDAVFHVVPVDDLTGSSHGEGHNARRPDIHTVIPACSCRTGVAEPYLPSDVVDEGTRIFEEVLRTWASQISERDDDDVVMGDSEGPHNLTAVRPPPTTGIVPPFGVYLVLWLDSFRMVQHFDDPLLHEAARQLSPGKYIAYVATNTDLPMPYRPWHRCLVRLAGLGMPKDQPEQFITSDMCVPIFPATEHPAGRRPSRPTKPFPFASFYLHTWVYATVRFPLQNVDYGDAWEITVEDQEDHGDYMTEDQEKRDTLRKQASPPTATIEPSITDEVTPDIIVGLNVVAKVEDWLKTTDHSQEPFEELHMDNEVDSEVSDGDAPDSLLDDEVSPSTPPSDYDANSLDEVNQLLASVFYPDFSNIDVDVIPMVGFSFDLTEVKQFLDPRGFLEEVEAMAELIRKYRTGALGGGPGSHALPDLPIASHPGDHTIPVDSPTAVAPADPLAVLPSNSMVVFVGHSYATTPSEQVSNPAHSRPPISLELPRAASDVDVASWWWEPQAETQQSSIDAELTIRQQFGSLHNFNGSWACLVRFNTTMSSYRPTRGVVPPFGVYLVLWLDPVRMVQYFDDPCLQAAARQLSSGKYIAYVAVVRLPDICDSGNNIDAAA*
>EED80646
MSLMYGKEKDADHEIDNASHPGFISFFAKLPPKSPETGTLRLFFRNEWYSAHGPDALYVAAHFFRTNSVIKYLGPGGKSGLPSVNLTESVAKTLLREALTAKQLKVEIWVPEAGQGKKATRFKLDKEASPGNLQAVEDMLFVNTDILSAPIVMAIKIMSTPAAVAASSKAKTKTVGIAFADTNTREIGVADFVDNDLFSNVEVCLSLCESISTGKNDLSVKEALIPTGTTTGTTERDIELKKVKELLERCGVVITERKPSEFTAKNIKDDMAQLLTPSSLPSSSNVDASLVVHAAELSLPVAPSALSALVSYLSLLSDPSNRGAYSIRTHDLSQFMKLDASALRALNLTEAPAQGSRLLGSWLKQPLVNLHEIHKRQNLVEIFVEDTSTRRTLQDEYLKMMPDMHRICKRFGKSVASLEDVVRVYQAILKGVETVEDYKALIDEAYLANHTHPGHDFDISLSKYSEMVQQTLDLDELENHNFVIKPEYDSRLQALADKLKEIRDSLDEEHQEVGRDLGFELDKKLHLENSPTHGYCFRVSKSDWNVVHRSKKYIDLGTLKSGQFFTTTTLKELSDDHKETTQMYSKTQSGLVKEVVNIAATYTPVLESWNNVLAHLDVIVSFAHVAVNAPESYVKPKLMEKGSGSLILKDARHPCLEVQDDMSFIPNDVEMIKTGPNMGGKSTYIRQTGVIALMAQTGSFVPCSEASIPIFDSVLCRVGAGDSQLKGISTFMAEMLETATILRSASKDSLIIIDELGRGTSTYDGFGLAWAISEHVASEIHAFCLFATHFHELTALDQEIPHVKNLHVVAHVSKSDDSARERDITLLYKVEPGVCDQSFGIHVAELANFPENVVKLAKRTADELEDFNSESKAGESQLPPEVVEEGTRIVEELLRTWASQISERDGDDIVMEDSEDSAATELEKLKRCVEQFRPQIEGNAWVQHLLASL*
>EED80647
MPSDFDTSFGAMLLGVLMSSILFGITNLQVYIYFKTYTNDPLWIKFSVCALWIVDALCVAFSFHLIYQYLITDYTNPDALLIIDWSFKAQDILSTICISFVQTNQWMLQSIVIPAYAASYLVLLAFLRDYHARKCDSQWLARPSVAPGIDGGFQPDSIRSSEPVTPIDYGSFTRTDSLINTLMLYTVNTGIITSLCSLAAIIAMKTSPHTFIVAAVEFLLTKIYVNSFLAMLNARNSLRESDSRIKRDYVLDTLQRTMTSEVADDSKPNPLPPTIDIRSEIDSDSDGPRPSYTDIEFDKAVAQGYAPPTFPQGEGTEDVMISLSKEHDSSLRPLPTPPQAHVYAIQNTARSMLRYGQTLRESTNKLMIFSSCHRFMVTVYRTQDRQLPGFQ*
>EED80648
MSNVFSSSKQSNIPWTLESALAASVSDGSFADVELSLFSRRLAAGKVGHPRPVYANSAVLKRASSYFHGLLEGGFVEGDVYAGSPSFPTSTDEYDYESDSDLEDDGIDETDVENEREVARGSEDAPPSPQAGDETRKGKEIARPHSTPARETSRPGLRRVMIKNSAFNTNDAILHAGITFFEDHCMDTSALPPLQRKLELISAGDFTHGVPVVLSLFNACISINKKKKTSPSASKPPKAPEHPDTGSPAESEKLPKGPTSGGVGGGLFATSSGTSSQGGSVFGQSNGAFGQKAKSRS*
>EED80649
MSQLGLYATALPGSSSLQGPPSLDEFVDYLISETTDTTSLVAQLKASSIKDTRDSFLTAKLSNGKDPLSVLDPERHTIGYLYILCARLHQNSQVPPSTEAIEEFCRRFNPQHARLLPEKVTTLAKGIVGLARKLENPKLALRPLHGLVTRYPISPAHLTTLHPIFLTLCVATQHFTAALPVLETPITTIDMSLSDLTYNDNLVYHYAGGVALGALKRWREAEEFLEICASSPAQVPAAVQMEASKKLVLIQLILYGKTVPPPKYTNPVLQRLLKSSPYGAFIKSYPQQRSQLLKLIEKDIETFTNEKNLGLVRQTIDRAPRWLIRKLTATYLTMGLADIAKEVGIETDDEVRAIILNMIDSGDINASISADGTVTFADQLPQISKADVDRLLKQAQEQSRMLLEMERSMNSNKDYLNKVSHSSLISVDVNTVMR*
>EED80650
MIQHFDDPLLQAAARQLSPGKYIAYVAVNTDFPMPDRPWHRCLVRLAGLGRRPSRPTKPFPFANFYLHTWVYETVRFPSGGVDYGDAWEISVDDREDHRRYMNEDQEKRDMLIEKASPPAKIEPATSAPSCTAEVATDTTARPNGIIKVEDWLNTTDYSQEPFEELPISNDVSSEVFNGDAPDSLLDNEVSPSTPLGEDDANSMDDVDFLFANLLFPDFSNIDVDVIPVVGYSFDLTEVKEFLDPRGFLKEVEAMAELIRKSRAGMLIDVPNSYAPPDHPMACRLDEHTIPLDSSAAASPADPLAALPSNSMVALASHGYPKMPSEQCPSPVPSVSDRPVEDATSSTLHPSANATVDVVDCAATHVEAAQPPLDTPAELSSEPKVTSLPPGKGWFSRLLPRRQDGSDVSSGSHEGSSGGLAIHAKVYAIVTRIGRVLRPRQSGGETH*
>EED80651
MYARRALAVPRRPIGHAPGIVRRLVRLNTTMSSYRPTRGVVPPSGVYLVLWLDPVKTVQHFDDPNLQAAARQLSPGKILIFLYPIAHGIGVSYDSLDWSVDYGDAWEISVVDRQEHRCYMNEDQRKRNILIEQASPPAKIELVPSTPGSIVEVTSDTVVDANGVVKVEDWLKTTGHSQEPLEELAADNDISSEVSGDDTPDSLPDDDVSPSTPYSDDDANSMDTVDLFFESLFAPNFSHIDVDVIPLVDFSFDLTEVKEVLDPRGFLEEVEAMAELIRRFRAGMLIDVPDSHALPDHPMVSHPDDHTISLDIPTTASPADPSSQLPTNSIVALTSHPYGSSTGTPRYAY*
>EED80652
MDGQPDPVANAFARHLIYDEIFSSLSAASLMRMRRVSRSTYGAVQDFTYRAFDVNRLLSRFISDPHSFRSLQARTATLISGSLAIQFFDRTFYPESDLDLYVYKEHVIEVGIFLLNDGYQFAPYLGQANDFYDALNGLNRAWIDDLLAETVALIDMPYRYTCVGEVFTFKRRSHDTEDSNAFTKVQIIVPRESSLQLVLGSHSISLYPVATFERRESLVIEPRSIPAFDKYISRGWRLVYSPLPVSVPLHEGGAGESDPFLFNKSRWVTGRNSWVMHLDTEGVQSAGPLSASSTALTWDPVVECSWALHRLERALQYSATDT*
>EED80653
MSTNNLSGVFTITNLQFHNRACLLKDDDKEPITCAIPADMSTVNAMEQWELTPTSDHRYSIKNVHFESNITTDIRPTSSATVFASRYKAWWIIKEMDPATYGPDTYSIFSRDSSELCWGVRDGDSETPVIHMPMRIEIEWTDLPRDHIIEFPDSLAVLYHGEVIADAEVDEYKTSADTPLRMSLHARDTPAFTAFASSILCDDHIQVTLEAHKFRFYPRKSVERPADWHYVYEALLRKDLTFEGLHAFRENVALSDLKILSSGSDDKETYLESSVNVSIFNTSRFSCAADLAVGVYFGNTKELHLRRQNPDVHELKWRFRPMNPANQEVQMLLEQHFTTKQQLPVVISVENISATICGRLFNLPRFKINSHIEGLGINLVKHVDVHIGAFGLLTQRKVSFEFTFSNPINAPLELCDIELDVIVKGIRIANVKHHFGENSKSFRIDKKGEAKSPKISNAYLVASYLKTVQLVTDSSACLDIEVKSAAIK*
>EED80654
MPQFLDLNYDVLHLIVTLLSRNDILRLALSCRMGFDLALPQMLYRVKFSVRDNARQLCSFCSFVLADAVQRGPLLRILELDLQLYALRRRLNGLQIGEYAFPAALTRILEHASNLQELVFEPSEKLIQHHPSLLSAVAALPHLDSLKVSFSASGSMIVSSMISRPRRLVLDFRWGFSDCIWDTFLSSLLQSGVTAYVEDLHLVRDMHLFAIPSLRFPRLRHLTISELYDVPTGSSFDLAVAFPNLRSIHWERISPATLDKGISITTRSELDHVHFGRCEILPLVGPIRRLTLSSRYSRYETENIVTALERAAPVVLHLDNTYINFDLAHLPAVAPSIRFLRLQVHSTWVDYPPPLSLCEMPLVAVSILVEVHCREKYDSKVGSLLAEQAARDIPSVEYVEVNLCAYRPFPYYDHPRDRTEWHRVTSREAVKCTVKKLPQWESDEVEDRLLAMTRV*
>EED80655
MPLSPSSLTSMLIYSPHVLTDIARSAQGIAAIAALILGILWLLPFLSGHSYDVFGRRPRDKDSLSIPDGPLGLPIVGSFPFLTHYPELTLHKWRKRFGPLYSMWLGNQLLVIVSDPGIAKDLMVTNGAVFSSRKEMFIKSQIIFAGRGITATPYNDRWRKHRRIATGWLNLRAVIDYSNVLDYEATVMMMNLYLIGKGGVAPINPQPHAGRCSLNNMLTIVFGTRTDSIDHPLVNRALMLSREFMAGTRNCTGPVSNLVDFVTPLQWFPTRMSIRGKRLHKDLVKTYGGMIKDIERRMNAGETVPDCLAKTMILAREEELDHLDMAILASAFMIGGVETTASIMQWFSALIPAYPDIQLKAQAELDRVVGRDRLPTIEDEANLPFCHAIIKEVERCHNPFWLGTPHVASEDSAYEGYYIPKDTVVILNTYSIHHDPERHVNPHDFDPERYIKDSTNNTESANLANPYDRDHWMFGAGRRICPGMIVAEREIWLAISRMLWAFDMVEIPGEPIDLKEYDGLSGRSPVPFRIKLIPRHENVAKVLGLEQLHPNWQARGEAPPAATHVSNRYGFLLDALIPLDAEKSTPDEQHNGVDSSLSLQLRDRLEDEVKVSLIHPTRSVQKQPDPERRPFRRLTPPPEVCDMIVDHLQYDPSALANCLQACESCFQRCRKYLPVEGYAFKNKREVFLVSREDSGPGSRSDLPGTPLYAIGRHAVYPLAFRESQSVIIEGEDSMPTQGERQVNHLGSFAVMIAAKWSEVTTSLTIRHGAWSAGHLHPDVFLHLAHWRSIKELKLAEVTLPSASVFGNLIAALSSSLLYLTLSRVTFLDASKPLHLLTRSKSTAHRLPSGDSDHVSFVLTIHDLTPTSLAVVAHTLLAANVGQDPWIRNLRVVCHDEAIRGCPSWTPQGLPCILRAAGETLRSMRLTLLASMPLRLSFAHNTRMVSLRIDIHIDEPYVEIAWLQRVLSTLPWSATDPALRSLALWFWVDVHVEDGHVVTQGRHCNGCARRSLPMSPLGAPATTSSIDAVLRRLAMRCRNMDLLLSRLCCHVRDVNVRVLALWEDSASVSGANTMPSQRVDDYALWWYPARTL*
>EED80656
MKTHRFSGEFHPSGGPGGFHPFKVFTAFHPFFTPLPVLCVPCNGVSLEFHLVTSRTLTQITSTYELVSVEDAVYEKSAVLAHTLALAADVSIVLVAAEVVSIALLLAVVAPELELEPDAVPVDALALEYEPVLVVPPLVELADRDVQVGTLVDPGRLDALLLLHVTQLDPVALELSERELRSDRAAITGTNRTHLGVGPTLLVTTLTLTLSVSVSENEADSGLLTLRLRLGAERDTEGGEGDVEGSESDVEGTERDVDGSESEVEGTENVENENGALYDENERGNVGAENENEDEDKDAGVESGEDTDGGVYDEDGGSEDEGAGDEEYDEGGGVIVVAAEDDGTDEGGDEAGVDADVDGTDTESLADADPLDTPAPVLLPASLLLDSALCCRRANCLGAIGSGPLGTTAGRTPDTMGTPGPCTPSTSPDAGIVSAEVAMGASARVMRDSTAERERDGRVLEAGETWSTRATWASGASSADSSGREDRADGVKGVHDAGAGWRGEGNAGTTGACVGRRGEWPLPEVV*
>EED80657
MFDSDAQSTGVSALMKQKRTSGLPRGGWSPRRRVLSSRLDGCAPGLLVAQSDLGEGSAHTCWDRVVISPAGGDSGATRNRRVTYAGEHVSRPPSASVSFLDRRFSAVRSHARPGNLAMGRIATIHKCESACARLSRTRAVHAVGARRERCAARFPAVALRSAPRPETASRRRALRTPSPLIPSFDHVRSRVRPGNVPMAHIGTTPGCWHAATSVVRAPFTLSVPSRSDATCIGALSCVIARPVPRLAAAHNDQTEQSLSLDLNALGESVGRVRESTSCALCLCPRLSWTGGSVRCGATVSAWGDIQTTRDTPGVHRVLRSQYPVSADRLFCIACGLPFRSSFDTVRTERATVANSNMPHCSSALRRDPHDVRQSMLDSGVARDVPGSPGAASVGRRIAYSTWAHTTRLQPAESTRDRGGRVETMLKRPRMLSHHILPQAVTSASRADVREAIGTPEPPASVQIARTRALEMLRWLILPQHRDAGMCERLSRMGIIVGRDPCLYFEAVDGTSSNVLVQLHTDRTHGGAAACMIAVICATVFDQPVPIVIQSRWRHRKACGQARGCLLDNARWHTRTVFGGRTQWSPTILDFSLASEVDWQEQHVDPVHTTSRTVNPFTRSHSIKNHILCSSTLPMRDLIGMHNATLSSSCSDRPAQLVSLSALALGAFGNIETRV*
>EED80658
MCFGVICDIKHHTNNSEAHQFGGLPWHLDTHTWSAPGESWERICRRVVATHRAHFTSDPRSVIYLERIPVSPIFQLYTRKDVTRSQRAAVVTLTKFLISSSQLAQELDFEPCIEELIARVLGETSNLWEHIPPRRGHDIDVSHAPLVAVVSVESNLDPPCADHPARPLMTKALHRTLELLLEYHYAQHGWSLDHIFTKEDAELQLEEHLFAFSVFYDPDRFAVYVNYPNIELHGEICSLSSSMRMYFDVS*
>EED80659
MWTYVHDTPGAVIPTLCHKHIQYLRRLRDAWTDPVVPKSWIDPGPGDDAFVKRDRQWLINTTAIRMHLAPLRRKIATVTTENIAECSSSPADPGPDFCATAERHRFNVDVYMNYQSEGKIGCTQNRMWMSLCQVVQFFHYRRNERQAEYAIANALDGIPGAYVRRRDLTVWNLNDSGPHAAHFLDCALLLALFAPAAESESLDFMVKLPISIVNPALLLCMKGVANWYEDATHDRTLDDVIKSMSAEAEISLVALVATWCLRIQQGLKYPDVFSHAADDQEDPEDLPGWALLLGMIYDAEVIHVIAHIPYKAEDGSGYRYLSVLFAALPFPSDCRGDVAEYTLARYKVAYAMLCIQHHIARLTTIWEQVSWSSWKAADDEDEKGGTIPTPIVTTWPRLVETSEMISVYQRVQSMVSLPSPPPTPELSPVRSVRNEFPEQRPNFKSREGFEASEDDDNDDDDDDDDENYEDDDDDENEKYENDNDNENKNHEDNNDNNNENHEDNNDNNNENHEDDYEEIHSIIAGFSTWGNEAITKWSAGL*
>EED80660
MSPILRSIIVATAIALYLPVVSANYAGSCQDEYLDGQNLVATCTADDGSQVSSTLNLDDCVANYGGTLNCIPSGEFSYSCDVASCGLTGGEYMKCYCGNGKGGQTASIVDLERRVGVLDVAYYMYGGAFYSLVLLRALNLPYVLRMDLHVA*
>EED80661
MIVRELCQRTYYRSLQMVTKRNAVISTTHKGVAFEKRSLALLEEHLSMSLRRIGGKDDGGIDLLGWWWLPIIQNNPASAGRTQIDDTHNGQVPSASDGGDAPRARLRILAQCKDEKKKTTPKYVRELEGVLYRYLAHVHASAPTTQSDHASDAEPHADWLTSVDPVVGLLISSAPFTKASLLRAHSSPLPLMLLHIPPTPTNDSSSSSVAANPELSHKLSFELSSDEPSSESNTEAPDGIIGSLVFNAALGGASGLLQGHVQPRWEYAAISTSLGSGRPGLWFEGRRLQSWTPERQMSPLAVT*
>EED80662
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESQHITVQNQQALVDSYKRQVDALPASTGSGHSHQPKIGEPPAFKGSEDKTKLEEWLDLIVLCESSQPSRSCKDQLIST*
>EED80663
MDYLRVAPKPRFLCSSIESSSHTFYNDGGVVYLCQGRIVPKVMLRPLPVEIWLLIINELGAKREYDALKACAEACEGLIQERAIECIPDQLTFRTPEEVGSINVRQRCAGPEKVHIEGGRRRGEGRISICLCLCDVTPNALGWAASGHDVPLRPHMLSELTNVHLGMDFSLCSDPRSVHDLLILFPSRRLEEIKACLSTSLC*
>EED80664
MFLPLPMVIDGTLLSLVDRTLLSLADRTRTRAICPPPEATQSGFLKLNRDVLWVITSFLTPLEALSLSRTTPSGNVRDVARARAFSSLTVHKTNNYRHDFRKIKRVCEFLLDDIPDRVVHLRKLNLQINHGPDIESLDELRQQQDLKDATSRLTELLYFARGLQSIRLENFATLIGIEPRITDAIVTMPNLRDLDIYLLRDGKLVWEFLSRVRRNLRKLRIADLALPTRIRPNVYEIICAIKNIQIKTLELDGIVYSLPSKCATTLTFSLPSVHELLINQSRFSMALLVNAFPNLRVLRLGEPPMTADFGSWATIDFADAGSWPILDYAEGPSKFFDRWSPAFPIRCVSLTDELAGRITEPDASDRRERTLQLAERVGPRALEFATYIFSSTDPSAGCGSGPCVRYVRDTAFQVAKQVCPEGLAFPILVDPRPGPYLPPPEPDMMRFYDVCTDSGQAEYFWAPLVASVPELHFLNLTLRIPPRTNDLMAVLNPYMSRQKILCDAFKCLPRLTLVRLSVIYDTFQKDGSASWLPASITSKQARMLASTLVETSIRYVSLSFTAWRYRTNKGRATQEELVRSAAWKIFDRRGVKSLEPITQSHEEMVYKRIMDTGSDFTTNS*
>EED80665
MDFPLQAIYRIISWNLLSLIYRYHYFTSLIDRTCARVLGLPPESGFLKLNEDILRDIISYLAPLDAFRLSRATRVVRDIARPRALYSVTMHRTSDYGHDYRRVTKFCDYMLDDICCRLIHLHELNLHITFGADSDHSGDIELQEARKDATGRLVVLLQKACNLQSIRLEAFFTLIRMEPNIRPAIVALPSLRNLDISLFRNTMLAEDRDGGIRYDTTYEHGRNWVSMREAICAIRNMQINTLELENLQRESPGEYPDTLDFSFPSVRELVLDKCHFSMAMLIHVFPNLRVLRVAEPPLTQYDAFWVDFDDADASFWSSLDHVEGPSEFFEKWSPTFPIHCVSLTDQLAGSDVMPVSNPRIVRDTILRLVQQTNPRALAFPVLVDSEPTQPAAYHDPPTPGSPRYHNLKADPGQADHFWAPFVASASGLRILNLTLRVPPSTEDLMAVLKPYMAILRRVFRRLPGLELVRLAVIYDTHQEKSFADWLPVLIAPARAEWLPASVTPDQVDRLASRLLKTSVRCLSLSFTAWRHRKVKGGTRVIVGCAAWEVLPNSQGVNTLERITEAREEEIYGQIMASDPDLITAL*
>EED80666
MPPVFVNSYSLPTPQNVDPFAILTSTEPYDINFAFPLHPVSLSSPRIHLTRSRLVFAVMDRTQSHIIPSESEDRADEMHETLAGMIAIGYTSTKHLRADIGLIMVLPAFQRTHVARTATALLLRYCLELPTASPPGLGLRRMSWVAHPKNVPSIRLAQRLGFMEEAQLKAWFALPEDGAEDKGGYAVRRRDRQDHEKIVVG*
>EED80667
MTTNASAARICTYLLGDIPNRLHLVRDLTVRLDFTTYVNPDGKPFYSESAITAAHLFASVLGDAIYLRSLHLTSVGILLQVQPRIGPALSALTNLRLLDLQLIGSTDGFTVLREIRCRPEELKLSVGTARTAGMHTVDVNEVLSCLQDMQTIKRLTLSHINEQRFDDRLVLKFSDPPSSWSTVHDLSLLRCRMPMRLAVLAFPNLRVLRVNQPISCPPPKPDSEQTVCWSNLDFVEGPIELLYEWPFTCPIHHLSLQSVLAMPYHGSTWGRPPPGADLAATLNLVKRASPRVLELSIMAYPALGPAFWAELARQAPGLRSLAVKLCSFSDDEEFLTRTFMDFMETLSAALGSISTLVHVRLCILSSLLPDDPTHVLPEKLTSTFIKALPSLCYVSLSMKRHQDLTESPWEGQDSWRIISSDKERKLESIESKLEERMRERIVALEFNPAVDLDESRI*
>EED80668
MSLTSHDIYPIAKRHALSVVTMQGNAITVRICTYMLDDIPGRLHWVRELEVVCYDVCNTVARLLVPLFKNAIHLKSLRIPYLVDMLLLTQPRIAPALSALHHLKILDLKANSVERERKEELFAIQREMLREMRSRPVELSLWIPSFSDLSCIQHIQTIKRLTLSRLIMDNEEYINNAEGPQPLLSWPTVSRLTLDCCRLSMSEAVQAFPNVRGLRVEYPMGSTSMRSVCWPHMDYVEGPMKLFEQWTFNCHVHYLMMTPMLEFESADDVTVLDTVRQTSPRILDLSAPATPELDTFWAKLADTAPQVRSLEISIWPLCSEMDLVFKTAQQLLMMAISQSPRRWTN*
>EED80669
MLRYLPDSFKIRRFTTRQLVAVAIALLVVLALYYNALPHYSDGRRWTPPPVAASRTPPAEWQRRADQVKQAFLHAYHGYETHAMPHDEIRPLSNQFKDNFNGWGVTLFDSLDTMILMDLNDEFRRALPVVEKADFMPSPNVTNKHVGYAPFFETVIRYLAGLLSAYALSHEPILLQQAEKLGSMLSPAFDTPSGFPLFGVNIAQAQGIGSTTGILAEIASCQLEYTYLALLTGNKTYWDKADGVMQGLAQADLTALGGMMPRRWNVETSEPTDQFLSVGAATDSAHEYLLKQYLMTAKSDRTSYQMYLRATNHILTHLLYLSDKRGLLYVTDVSRPGGGPSHRFEHLSCFFPGLLALGADQLDLSLDDLDLSALGSEGLRSYEILKHYDLRALHKWAAEGLATSCYLLYADQPSGLAPDEPPPSAGNLWIAALERWREGGQRGSPPGLGEKPTVRFTEEELKSVKLRAIKAERRDYYLQRTDYLLRPEACTVESLYLLWRTTGDPIWRERGWAIFEAIERETKTPSAYASIKDVLKSPAPQLDDMPSFFLAETLKYLYLTFLDEDPLPLDRWVFNTEAHPFPVFTWSSWEKEKFGIGKLPS*
>EED80670
MKRHVSAPPEEPARRMGVVIDNVFLEGIINEAKERKEKERQTKATPDTTQWTWDSSWLNQKHLSGEEWMNVGRNACKEWFDEEEDNSVDWELYGDGEHLHNGVRAHFVPGIVPLRFLLH*
>EED80671
MPALKQGEFVGSLDCGTTSSRFLIFDKYADIVAEYQTEYPQYYPEPGWHEQDADEIMASCDVCITEACKALEAAGWSKDSVKVIGITNQRETAVAWSRKTGKPLCRAIVWDDGRTKNTVAHFERKLDYVGLEVQPGVFRKAKNGEAALKELVRTGLQLSTYFSAIKLRWMIEHHQQVKKAHEEDDLLFGTVESWIVYVRDHSPPNDAR*
>EED80672
MNFERYSLTSVGRKGRTEVSLRTATGASPPDVSTEPMAPRRHTTDAQYKFLISHFPAFVAHQKMNTVGEFWNLVFPLWFKSWPEEEVLFGTDPGDLSIRQLEQAADVCQAHKKNWFQNKVLKNCRTQNITLIESFSGLLQPKGTCAQQWPEIFSELYYNEVIMPIVQAEVKTLEKQPSCAEMIQLVKTKTCWHGTVLFSGPSPKAGGKLESILYIFDFIILETLLIQLCQLPYRIIAHNSQQAFIQNDADMQHGISTKEYAQLPEEPSNPPGSSQPEGPVISGRGAGDTEHFVDVSMGGTLAKNYTNLAEDLAHVDWAVSNSVHCDSDIYRDFKSDFAGHIVRILRETRPKVVSLPVLPWPDFNRAFWTDIAEAVPSLRSLEVELCIFQDETDLIPRLIRDMGALSAIIGSIDSLVHIRISVRCSLMRDISWPIRFPTIPDPFIPTVLEADQMASALIEHIPSLRYVTFLSTYSTSGISLSWKIGYSEDRCSLVPIPRHVAEDVRARINALDFDPEVDLNGKETGPWPSTYNHAWSVAVATQTARDMIRPPTNTQKDSEVQVVARRAEDDIAKPVKSTSYALEASRERQMRDRRQIDKRPIMEGASAKMHPQN*
>EED80673
MAPQASTSDQRGLLGLNEDVLSHIISDLPTRDALNLSVTARGIHLIAKRHALSVVTTRSNAATIRFCTHMLRDIPGRLHYVRELTINVDFRNISNAERSPILTSALGSKWKERLGDSPAARLLVPLLENAINLRSLSLSPMDILLHVQPRIGPAISAITQLRYLDLQCLMDDAETCRMLEEMRSRPEEVGLSVYYHPKSINNILSSIRGMQTIKSLTLSPDGVAASCDDLDDCLCWPSVSTLTIHRSCLPITLAARLFPNARKLRVASSYGHPEGASWSSLDYLDAPVLFLRDWSLPCAVHHISVHSDSGHCDSDIYRDFKSDFAEHIVRILRETRPKVLSLPVLPWPDFNRAFWTDVAKAVPSLRSLEVELCIFQDETDLIPHLIRDMLKRSQGALSAIIGSIDSLVHIRISVRCSLMREITYPIRFPTIPDPFIPTVLEADQMASALIKHIPSLRYVTFLSTYSTSGISLSWNIGYSEERRLLVPIPRHVAEDIRARIHALDFDPEVDLNGKETGPWPSTYNHAWSVAVATQTARDMIRPPTNTQKDSEVQVVARRAEDDIAKPVKSSVRSKIWSHLQSFICLGSKSGKTNERPEADR*
>EED80674
MSANLKDASQMRPLPFRPKPSSMLWGHLLSNDKSISPLPSANQNKSLSSLAPIAPVDKAGTSLRILLHDTQANLEKFSDRVTKLTGGIDETKREIGLVKTLFHQDREKLLDETVDLANRCQSEIQRSLGTPAQATSIDELRSELSAVDRKLESLDKKIHMMYMTQSQALQTLQDQQGKMLVALTPVLPLLQALPLHIESARNQIKDDIRQLHSSIIPKRSPHEVPADSPSGASVSSSPMNHRDKSQPNKKRKLDHNAASQHDRSPIADSNVFLTRTPLATVPKSGVASTPSRAHDVRERLPPSSYYHSLSRRPTASEHRRNQFQTPRRVPLADLLLPNESRRSPAAVSTPGLVMNTGVSSTALQSPTCVDTLKTSSLAVSDPKATNRINTGGPQPLETPKKVDSLASSTTTLSQRSSGPGCDVQAKVNCSDARASKHPLGDDRTSSPLSSVSSHSATSGHNLLYALHTTPQYASSMATAREPVMKQRSPPVTRSVPRTPVSGNRSQQQDQAITTYGLSSISLIPSGGTTPAPSTKPMSLKDRRAMHSTPALIDETSANVCAFASSCITYTRHPQYITELELAGMVDEPLCPLSTAEKLGRLRRLQHMRCHTKLVRGRTIPYYYLKPFQVAACGLLVQCDDKDEINFFQFPCPFLGNEEREWTIDRTKINLRIEDFHKPAMFRILSISQYGSPHPLAARSQIFLDNGQSKRAQIRRKRYGRIDVWDWKTGGCLWTLQTDLGYMGFASFNFLNNHNIYALLDDSVYMFELPTIVNHTGSPTVLTLDDAPCILCMPVIDKQTWAHLSSVNRCDRPRRDARYPFYPSAKRDLFTPLVSTEGSWAEAFVIPMPVMQCWLKETDTMEAQEWSQRFPRIHREGLPYCASAQGMQLTVANVEYTKEGRPELRVDFMDYSLGWRRWNGESALWGCGWTYTARIEARPALEDFRGGDLIIIPDHAILQEYVLEETEDPAWQIYHMVPIDAN*
>EED80675
MRQLRTSISRRDISLQHQRGVFSS*
>EED80676
MQQPILQFGNHKSCFVYSKPPPRVASDSSWHGHIHYPELKEWGAAVDEEELFLTSELEEFEDISVDSVWKEGYQPPRLPARDIAEDNHIVRPAPAVETVKRRASTDLGKPLVETEEPPRKRRRLDDDSLYTALPQPHFLPSPSKLRSLQTPIVDSAGLNAPRKTRPISPHSAGSLKDYLEDPYARAAWIVPVRGFLPWDCSSACMLSTKVAQGEPEQHKDQQLLLPCPLGGGCDDMNVEGRSDITWTADALAAFWNFLLSLRSACTLGPLSLSFHAASASHTGRSASSFPSAGHAQDTHSSGAHSRNTGTATDARPHNLLSVDHIKVYHDAPYSTQIRNVLAAWRYTYSCFRGAAASDSAQLNGLDIDNHDSNTMHSPERAVAGHTGRIRLFKGAKLVLVNERSKGILIS*
>EED80677
MTGKLGILARFSLCLLLAFDLMSNTKAHSHFQRSSLTTRSDSQCQPFQSNLGPSSVSSDGSKDFVAISPSGSYSTSGSGLELYLKKPKGAITTKDGVNDKIGDGATINSTFTLRYGKVTIAMSGPAVSGVVTAAILIADQNDEIDVELVGGDPTHWQTNVYAPSPEDTQPLWGAFGEIEDYPNGGTLDEYHQYTIDWNAERIVWSVDSTDVRTLRKGGVWSALQGLFVNTRLHRCIDQTQKNGALHFPSHATRIQLGIWDASSPAGTAQWAKGPIDWTTAPSKMTANFKSIVVECPY*
>EED80678
MQQCFRRLYATIPDAAAVARTASTPRAVRLRRLRIRKTKHPEFPAESDATPEGLTPTELSRYKRELAKGSLMRSDGTDVSEKEWLDRLNARRSRLRGVREVVKEDGTTEAQIVGQKVYLPNIVFRLVRNHTPPGQPYNPYEATFRIPQSVTKTDVRSYLLAAYGVQTTYIRTDNYLAPVTRNPSDLSITLRKRHKTYKRAVVGLVEPFYYPLAVEDMPRAEREKRQEWLETSFRAKAFDEQRKKELLRVSRMGSKDWKWRTGVTASRGNILRLIAERRAARELAVQDAKKRIQESRKAPEEVATA*
>EED80679
MTPERAKANYYARLKVEHGWQRQNLNEVENLYFRHTHMHRPPPIIPAGGRRTAGLSLASASSTSNTLSPVNEPRLNPPAHAILPDSQFTGTAGQGTDPFPPQASVSLAPIYQSHTQLRTVGAAQIGGPSIGTGSNGHFVAHAQSVATPSTPSSSAAAFQLAAPPPFGYPPAMPPQSFAYAHPSHTPSSMPPTIPYSPPPTAPPNGPNSRHTVSPHLPEPPQQPQQSPHAQQGQQQRRRRRQPQAPGQHPQFRPIAPATTRPQGSPAPAPAPAPPSPAPAPAMLNFPPTQGSGMTYDTFWSSHSSLSAQTFRNVILNSAALFAPPPQGEQMQPQGMGEPTDSQLGAAYPNSAMHSDALNLNGMTWPS*
>EED80680
MAKAFADGSRPAGPAYHAPLMTSLGSPGGGRVKAGAAMPDGCHHVRLGVILGRRCSPRRGELLVWARARRAVGRAQRASAGCGRGIEFASARHQSSLINGSHRLPKLEMARSHRGRGPGSQLAALMAGNGREPVGLRVGEAAAHLDGVRRWMAGDGPRTEGTAEGNMDVCGCFDFPPAHDATFHVAGLASQPVPIQVILRHQGSINGSWHPARSPLNGVSEWKARVPGTAVWAHRMIRGRRIIGRVPWHVQYTPAATPTPATHASSSSARTSRNGVPTLKGD*
>EED80681
MAKKAGARNPHYPERWTFLMHARDVRSEEDGFWSAPRLLKSNYRLLYASRVHMLVIFFGILSKSIVFSSSKPPSATQVNATAICEVRCFKWRISDTTEDVIEFVETHGKPEPPHLCAMHHTAWTLTSDRIPPPKLKRQPAPSKGEHKPQEPPKSEEVRRLEELCDALQSSSGREKDPKGGCFCQARMHPLSQHAPICRSCGLILCTLNLPHFACPHCAAPLLIPAARDALLATITASIVDTLAREEAARERAAEEARTAAGAFPVLAPTFNAPGADRLAAHPANQSHKVLSLNSKTKRVTVASYRAPSPAARPQGKGKGKERTDEEDERRVPPPPQVVVHSAMDVGVDNPWTNLRGGRATYVPSPIKGRGEGKGRRDKGKQNKEQPAS*
>EED80682
MEKDWSAAHADLADLESQVSIESLFPDTDPYGLRSRSPGGPVKHHHQHQHAAHSPSGIAKKTENWLRGPPSSWSRSAILGFYSPPFVWRTRLLNAIAAQQSNFGVRPMDTYLAPESAPEWPPSVPPNSEPDDAGDASDLDLDLDAASPAPELKIEEAPQDVAACGRHTPGPHGADRRRRSATTAGRRPPVGGPRARPLGAKSRTKKRTPKEQEFSCVYCGAKFSYLESVLLHVVTYCKGREGEAYGCPLCQKQGALKWVWTHCRDVHGMGPRQTARLMENAHLLYFLLSSGSFRGHGTATTHIHTTSHAMDTPADWESLLYGSAPTFPPQDSNNSSFGYARPTSPPPPPVLPEPNGDRRNDTTTSVERRAGVNQHRGADDGRRWELTEHHARALDAIAERLWREYGGRLEMTFRYARAVDAIVAQLERYGLEKEGPYGRAAVSRLLRDYKKRVQTMDRNTVGANTILRHLRRNNSSRLEMTTPDEGEMNFIIRRLLRSRGTTSRGGIKPNTQAVADRVACLVSAITKQQRQFHPYVNQPAGESQQPQQRLVTIPHWCMFCGQGFPLDHVRWAHVIYECAGRRQQELGCLLCSFSYKAHDVYLTHFIEVHGHHTSEFAMFLHDLEYSVTINNQ*
>EED80683
MSSX
>EED80684
MRRSWSKRVSKYSVKDGKQRKLQAAMDADVARAHVEVEVDLVLNRGGDDGLQCAHGLGPVSVWRNRQYFEEQRARGLVHGQRGLARKGGVVCGVGSPWKRRSSHAMSIASARVAQSTARNADASTADERATMYGRNTGSLVAWRDARRSEIEGCVLRGGIAAGRPGDTARRPAKTAADSDARTAQSWARAVTIDGRAKRDTESVQQWEMCLGHASCPITHNRRTRRPISEGHKDKARENMSPWTVTVSSPHHRAETVCVE*
>EED80685
MCPTHTDLGPRRAAQETQRRAERSAWLGEDGGRGEGQSKRRHRQDLDSRSSRPDYRVKVADALTNTAGTFDKSRRLEHEIPILPDLTKDYKQQHAAIQEWSCCNMIVVKAYAITARESAAPEKYDFKTGSRVVETGRSRNAKARKTSSSSSRIVLEQRCERRGARLSTGVAMPGPPAPGYSRAQAFELIPDDAGQRVSTDSTATGRPGHNEGRLNQMPINQALQTELLSAHVLEEPGPSSVGRTPRLNEDVLTHIVSYLSTHDALNMSLTSRDIYTIAKRHALSVVTMRSNAIVRICTYMLDDIPGRLHWVRELQVCFHNIDGCFFFAVELSDTAARLLVSLFENAIHLKSLRIPYLVDTLLLTQPRIAPALSALHHLEILDLELSPAESESERNAEVFAIQREMLREMRSRPVELSLWIPSFSDLSSIQHIQTIRRLTLSRLNSHNEEYPNHAQGSQLVLSWPTVSSLTLNRCRLSMSQAVRAFPNLRELCVRYPSSGLTSTSSVCWPHMDYVKGPIALFKEWSFNCHVHYLMMTPMPYFESADDVTVLDTCSRQLNNCSARRYIGYLRCRPTSSYATAGLFVERLKGFILKDNIATGCSGNTTNSSKDCRRGEDDAIKGEGRDRRTKRVTERLRQWEMRLGHAPLPIARAQSPNPPPLLNECPRHHFQQTQ*
>EED80686
MIHSFPPTTTLFNTRPLHCPSGCRSTTQR*
>EED80687
MSNAAQSKSPQILSSVEVYKFSNDGRDYGNVTDKCQQALGGKYGGPSCVVKLIVDIAVGAGSSPGRFQDHNDLKTAASCLADLLEKAVNLHTIHLGSFGALLSVEPRIAPAILALPSLRSFSISWLSDGTLARRFLSLMHHKLDKLHIQALWTDEKVNVYDVIGSIRNMEIDTLELEDIQGEPPRGHSTTLGFSLPSVRELTFRKCRVSMAMLASAFPSLKVLRVAGLKLPYRDDYWVSLDDADAGSWTSLDHAEGPSWFFEKWSPTFPIRCVLLTDRFACTGRSPMSDPQIVRQNTLRLVKRKCPEVLTFPILVNSAPAPSGSPTPDSLRFDNSNAYLGQADFWQSFFASASRLRVLKLVLYVPRKTKDLMAVLKPYMRLLSDDLGGLRDLVFVRLAVVYHVDPASKLPIPMTSEQEDMLASTLLGGKSIRVASLSFSTWQDMAGTKRLVPVRSAAWRRDNRQVVDRLEPSTVEHEEEIYKRIIAPNSNYSTDL*
>EED80688
MAPQASAPDQRGLLGLNEDVLSHIVSDLPARDALNLSVTAHGIHLIAKRHALSVVTTRSNAAIVRICTYMLGDIPGRLHYVRELTINVDFATSTVERSPIVTRSEGSVWNERHSDEPAVRILVPLLENAINLRSLSLSPMDILLHEQPRIGPAISAITQLRYLDLQCLMDDAETCRMLEEMRSRPEEVGLSVYYHPKSINNILSSIRGMQTIKSLTLSPDGVAASCDDLDDCLRWPSVSTLTIRRSCLPITLAARVFPNVRKLQVASPYRDPEGASWSSLDYLDAPVLFLRDWSLPCAVHHISVHSDSGHCDSDIYHGFKSDFAGHIVRILRETRPKVLSLPVLPWPDFNRAFWTDVAKAVPSLRSLEVELCIFQDETDLIPRLIRYMLKRSQGALSAIIGSIDSLVHIRISVRCSLMREITYPIRFPTIPDPFIPTVLEADQMASALIEHIPSLRYVTFLSTYSTSGISLSWKIGYSEDRCSLVPIPRHVAEDVKARIHALDFDPEVDLNGKETGPWLLTYDHAWSIAVGAQTARDMIRPPTNTQKDSEVQVAASCAEDDIAKPVKSSVRSKIWSHLQRGGDIVRVSDTGVTMWEIRIRWRLATRVTVCSIRVPLSGPAFGQRRTASWYISHDRNVVARAHNTWKAWTCTHQKNAFISQDSRQHSDTMINMNVLLIRGRRAHGHLLASAPQANGIASGRVTITKRMLIRHLGEAWFAPGGHCFCIGGLLKRADPRGQERARKLGRASSRGPEAPIVVYNDPARCTYRTRLSLTGIATPVDKRAPAPFAPLFQQGWSFVAVANHAAGDTEMVSPAACRSPAIDRMYKARSVSPHRPLKQSGVPKGKDADHCVMRNLCSVSLLRGTLHKTPDTSQENGQPLSDLAAQMQNAQHNGLHGVQIRQNDRSGIAVLPDEIMVEIFVTVVALLYESEPTIGVMFPPPRPHGQLPLHPSWGPSPLPRDTWVKLCHVCTRWRAILIGYTQLWSRVPAARSDEWITTVIARSGGLPLDIAIDLTLFTRWFTPALSPLRKHMTRTAAFPWGADVPWVMLENLSVNHCHNDPTVPEVLSTRARLPRLKVFKIRSLSLNTTVGLPANSIALPDLRHIEVVGRARAIGLLFTHLNISCCMHMAVNMAVHWPRLDKEAEQRVISCMADWLQLGTKPLRTVHLRHESLFVGHVTGSDQDGVEDMFHLALAVEYEQPRISLTMLCEVLQLSDVRTLRVSGHLLRSPSHGTMTCHPFEIMRNVETLCLCNVPRQRVTELLGAIRTEPHAPHTYLFPKLRHLELCEIDLGGALHELCDTLQRRLEAGIGLAQMPLRLCANIGQREVEQLTLVVDAVVWDGVVEKIHLMSTYDVGPSRFNIIGDWWVLPKLRVTRSDSGSAGYDQDLYPESRLRLAGPGRGRIDRDHLELMRTINSLRAQELGLLHETWRYDQRQF*
>EED80689
MQPEYPNPRKHDDDQDRGHGRLPSKLRRIEDQSGFLHRGARLFGTPQSGSQQTSPNSDTPSPTRRRRRHSTSDTTTRKTHRRVTPSTGGIGPLGPPRDGRHVANDDLREASTSSLRAYGEQHLEARPGITALLPPASTSYRSAALDDALSEWPTSPGDDNPSTQDTHIFRSGELRRDTGIAESRQAQCGYGQALEAHLTLRQEPPLLDRRETGGSAVRQNPAPGPSSPRSSGPLCISPSVYELEHTGLHNADVDDGAEAEHSSARAVPFADRPGSPPPMPRLPPEHAATQHIVPHTPPILTGFPPPAIPHNIQHTGIRPPSPSARMWNPQSAVVVTARRLLMPEEPQSDDEFRMAAMTSDTFLSSDDTQRYLFTGQSPNDAAPYGQLEAAYYHSQRQQAIHAYRNGLLDPRDDYWWRWLPP*
>EED80690
MSLTSHDNYPIARRHAFSVVTMQNNAIIVRICTYMLDDIPGRLHWVRELKVCFNSMTHDFPPAVESCNTAARLLVPLLENAIHLKSLQFPYSVDVLLLTQPRIAPALSALHHLQILHLTLYPDSESKAELFAIQREMLREMRSRPVELSLWIPSSSDLSCIQYIQTIKRLTLERLMLHNEEYPNYAQGSQHLLSWPTASSLTLDSCELSMSEAVRAFPNLRELRVGYLSSGLTSTSSVCWPQMDYVRGPQVLFEQWPFSCHVHHLTITSALYLGSPHDATVLSTIRQTSPRILALSVPEKPELVDTFWAKLAGITRQVHSLEITLSEVYLVFKMAQQLGIRLGVLSNLGRLIHVKLTYSYPVNVTPVTPDGVSITPMLDIQNNILERLLHVLIEHTHSLRYVSIEFIGHSGPCTPSRGSWRIVRSDKERTVERISQYDAEQIREIINARDFDPASFI*
>EED80691
MPDIAPKREIKQTDPKPSLPPGMVLGPDGKPCKICTAFRSWKPTAQNDNAQADKSSGKKNTAAMMAAFASGKGFASTPDPDVRPEHCPPDVEQLGRATWTFLHTTAAYYPEKPTPNQRANMLTLLRSLPVLYPCSHCASHLDSNIRDHPPNVSGRVALSRWLCERHNDVNERLGKPKFDCSIEKTDERWKDGPSDGSCD*
>EED80692
MPLATPSVSQRPSIQHIGPASLLTVPPDAYSDSRTHGPKGPTRHVRLSRATSRGGGAIAKGEDESRCVVAGRESLRILRFAAPSTVGSAHPAEHKHATGRGGYRVEASRNFWDGSGLHVESASTDVVWGHNTFSNKILTSARNGELIMWDLNKSGPSKYEHETILVPFTPSPILQYSRTIASLALLTVIYASGWDLNVGQRGQLDRIPAAHSGPILALDWSSTSASPSPAQQSTPNSWYSSSGSALGLLDDIIPGSGGVSKGSGAREGDGAGLGWLASGGLDRCVKVWDLTTPPGRYHIHHQPAYTLRTSYPVRRVAWRPGYDCELAIVSNTDLGSTTDMHNPTASPSTAVGSAPGLFSTMSSPLLGATSLNIIQSISSIEDGSQAGSSNVNDPVEIWDVRRGYIAKWSVNDAVGEGGVTDVAFADSHAMWAQHSTGAFSQLDLRYSHKPLDAIPRVAATWDAAGSLAFVTDRPRRWEIPYDDLDPESAATMQGWQGSAKKPGDSPFVPVSQNMGTVVYGDCDDLDAFVKLAREYIYEGNTKTNIWKIEAAQTWFLLENLLTDLVPPSPPPTSVHQAAPALSHSISAPAAIPTLTSIQPITPHPQRSMSTNHDSTIKHKDKSPGSLSKNSDDRPGSSKQSPQRLTPSSSTTSSPHHTSSALPQLPATLLARRESNAGLTPLMRPRMPSSLRRSSFAQSTYSAHSDSPTDSSRSRGSHKGVGDGALEDSDSDSEGNQGATTGDALKTKNENEDEAHGRTPSHLHSRANTPHPSPLSQVADAHSWTEDEKEDEDSPSPASTSDSDSGLSSSRRTASRRGKRSRTRSRTRSRSSTVASLVVSPSSRRMFIRRDSNSSIQTVTAVNADQDFDDNDIVRSVDTVQVRRKVSAPTVASPHHRRVRSQAISSEFFPDLDHYVSDDAVAPLDGGTVVDVEYCQAIRDAEFRYRELGWASLREAFESFADTGGVQLCAFLAIVAPQQLKVSKERRLRLIQSYIDILSRLRLHTPAAYMRKFVDEEEIRATTTSLPQAHPCARDANAPLRHLPVRALLFKCPVCMHGGHQECYRNYYTRRPLVELAGPEPPESRRPRLSTISIPDLGTVPKKSGRAPSRSRSATGIDSDGSSDDSHGMGGSTTSGGDLTDNDVPTLRQAMHGHPCAAGCGHFCWAVTKS*
>EED80693
MATGHPHVTALPPELFTNVLSLLDAHDLCICKTVSRYFSMTIQSVPALQYIIELELAGMVDEPLCPLLTAEKLARLRSLQHMRRHMKLVRGPSIPYNRMKPFKTSPGLLIQCNDRDGIEFCQFPSTILGNEERRWTIDAASLGVVIQDICVDRSQDLLILVGLPSIPDVSGHHGGLMLILSLSQDGDPHSLAAQSRIVMQNVDSRLMHIEGDLVGNLVGIPESFLYGHIDIRSWKTGEFLWTNESFLIALRDNSTGGQ*
>EED80694
MSDYSRMQEQDPSLLYVDDAGRYPTSPRPRPRQRSHASNPSVQPSWNSNFFPPPNPAFLTPQTPMPTYMPVQSPPPSQGRTVSTSQQPSSQVMEPVSVQTPEEYYQEPHSSQYQDQEQEQGYQDQELEYQDPDYTEQSAEHMDFTPGDIEAGYQGQQQKGKMGFVGGFVTQLKNLPRAITRNPLRERKTLRKGPTVLYNLDDPAPRYEDPGQPGPSNVQYVDAINMPSGPPMSDPVSYADPNDEQFTDVHLDNPHSEEHVPSHNGIPGPAPLGSPVPVEPRLTADYAKMESPVRTAPPDDSFSAHITRIHNFLVDLKNLPWFSPRVTIDYYPEKSPRARDPKVKSGSWYTTREHQQLDLLETPATRKAEIPRSYDDHSLRRPRTPSALSHGESSCPSARRQRRHTGSSRSHAMSSPVSSHGRRQSHFSNNGAQPVFLFPSPVGSPHLPHISSLNGLMGDLDGKSPIQMYMVPAGSPVMMRHLSPPPVSHPPTAIQFSSMSSTGPLSNAGDTSMANIYYHLLSLLQFMDSFRGSRTKLDELTRTDFWFADGNIVLVAEHAAFKVHCGQLQRHSEVFRDLFSIPQPPDEELIDGCPWVELHDSPYDVLNLLSALYDGLYFTKSSAVAFPAIAGVLRLSTKYMIEHLRQRCLARLQLDWPSTLVGWDNREKQATDCFGRYIPRESFPHPILVIQLARELALDELLPAAFYDVSRYGPRKIVAGTTAPQRTLPVIPGWPTTSLPKPSEEPVRITLSHEELYTTLVGREAGQRYLAAFIDKELNSRSISAACTNQTHDSGRVCRESFYFIMLNILRSVGGIACGRDADPMFSLVQAVEMLSRTDFSDGVKQCGLKICTADSGILPYNSNGNRRVKIKHLKDGNMRLGKANDEVEKLRNKYSKAKEAKKDLRNGEYRKYYHYMKGWVPIFDRPENAHLVPCMHDVPTLGVEAMKALRYPGMAAIRERYVWITDQHVIVVEPLYKYDSSTSSCVKRTPEWQNWIDQIREVFVQDQEGGDWRYAGRYKFTQFPVLLRKQARALDPSILKALTRVTMSDGVPSHFRSRVHKEYKYGNVAVACVGIQCVGYSKELLDLLLVDYHPKVVSQEDPSTSRDRNDDRRDSASGGPAALNIDADEPSSSNPVTIAASEKSRRNGSKRKHVAEETDAGTLRAKSKSKNKRSEGGYEYCSEGETLEGVPATAQGFSMMNSTSSAEALSLSTHRLFWKASYNWVGPCFSI*
>EED80695
MPGKQSAKYQITNYCFDVVAPDDNSALLTTSDLLTPVSVSPHGALPPSFILEKQDSGAFHVLIPGGPTTTTRKAIAFNNLLYAERIPTNEDQKAPSVPGNGNIEWEILSTVREGTSPDYTEINGIYMIAVEVVDLFTANLKDPIVERAYSVSLEPKWRPRQWLWRITPIDPDSGF*
>EED80696
MSTYGASKITIVGHSLDSLSSGAAISLLDSVYLPLHISDATFTFVGYGLPRVGNQAFANYVDAQPTSVTHINNEEDPIPICPGMFLGFVHPSGEVHIQDSGEWAACPGQDNPSTQCIVGDVPTVLDGDESDHDGPYNGIEMGC*
>EED80697
MPNTVACARHNLKFQQHVPWCLYSQDKGLSTSAVRPSAPSHTLVPDVYTVGRELFEQFTVFRHSVLEMDRIYETLTGSSMLRDYGLFEGYSSNGKFKDVWPISLILQSITMFHIAPFDLLTSFGIKPDIVVGHSAGETAMLYASGAAPKEMSFELAVIRGRVFAPLEVLGGSMAAISCGEEDTEETVTSVRSELPDSVFEIACFNSPSAIAIAGHDIAITRALEVCQTRGVFGRKIRTSVPMHSSMMEQCGGDCCRELRALFERYPGQHVPQIPTYSTLTGERLSDSIDADYFWRNTRSPVRFTQAMERLTVSPSCIFVEISPHPILVSYISYMARDASPVISTMHRVKPNMPSVDHIDLLRVCSELTASGFNEVNLTALNNRACYGFDVSLPAYPFSTKSNPLYPDTPGYAKQMEPHLGPLNHRYLRINKDTHPVLAEHVIRGEPIMPAARFSEMAIEFGATALLHVDLKAILSLSSEIPPAVEVELKSSYWKVQSVTSHDWHSGKVGIVGTMHTLYSSLSYFSAYGPRLRRVTNVYYSRKETLIDAPLLGMLLKRELVSYLTTVLSEFLVLFFEVFAGNKEQRELYASRVQFGVVRTADLDKVLTGEELPEHPHYDIVVVFERSSEQDILRSVLLHCGSCLAPGVISIVTAQLHGSCEYQVEESIRGPYEQVGMAVLGSTQGDSVTPSAAAIVSQRIDYRSTTDTTLFAHDALIFAYSHGQEMTLQQYFRNLDSSEELDMFNSCAAIVSIVNGTKSPILPASTCVMGVLDGVPVQSSICHTDLVSHVFPDVLGLATVGPACLLGFLSAVLAPGPSTFRHLRRLQSMSMLMTHAHAPAGRAIAFLYFVPKVENVKLDQDAGMQDRARVGKESFHLIISGHEGTNAGSITSMQSLLLQGQGRMFLWKTEDEGVTNILRTDVCSIHDALAVVVPCWKNT*
>EED80698
MSARSATPASTPSLVNRRLASLLVVLEAPPAADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAKWASESWVEWARGDWPELATAIDTEVERRLEEQKRLAEEEARHVKEAAKRAKAAEDRRLEDERRRKDEENRLRQAAEDERRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAAALEKRQAGQKVSSMPRRVGSKLTLR*
>EED80699
MAIVSTNLLDSFFLSRFGRVEACVAIVVKPLQQALQDADQIYATILATAINSSGGGAPPGAPVAEAQRDAMRRAFKLAERNPREADFIELHATGTAKGDPTEANWVGEEFSREDEVLIGSVKGNIGSGIGGSNGHAVLEGPPTRGSLDSRAEIMAAQPSLLVSAGLSSRSTVAFSESITNMATRSACEVFARATHVLKFVRDPKRERSTKIYRVCLSSVKRVRNIQDGSRKVCEVLQTMPNTVACARHNLKFQQHVPWCLYSQDKGLSTSAVRPSAPSHTLVPDVYTVGRELFEQFTVFRHSVLEMDRIYETLTGSSMLRDYGLFEGYSSNGKFKDVWPISLILQSITMFHIAPFDLLTSFGIKPDIVVGHSAGETAMLYASGAAPKEMSFELAVIRGRVFAPLEVLGGSMAAISCGEEDTEETVTSVRSELPDSVFEIACFNSPSAIAIAGHDIAITRALEVCQTRGVFGRKIRTSVPMHSSMMEQCGGDCCRELRALFERYPGQHVPQIPTYSTLTGERLSDSIDADYFWRNTRSPVRFTQAMERLTVSPSCIFVEISPHPILVSYISYMARDASPVISTMHRVKPNMPSVDHIDLLRVCSELTASGFNEVNLTALNNRACYGFDVSLPAYPFSTKSNPLYPDTPGYAKQMEPHLGPLNHRYLRINKDTHPVLAEHVIRGEPIMPAARFSEMAIEFGATALLHVDLKAILSLSSEIPPAVEVELKSSYWKVQSVTSHDWHSGKVGIVGTMHTLYSSLSYFSAYGPRLRRVTNVYYSRKETLIDAPLLGMLLKRELVSYLTTVLSEFLVLFFEVFAGNKEQRELYASRVQFGVVRTADLDKVLTGEELPEHPHYDIVVVFERSSEQDILRSVLLHCGSCLAPGVISIVTAQLHGSCEYQVEESIRGPYEQVGMAVLGSTQGDSVTPSAAAIVSQRIDYRSTTDTTLFAHDALIFAYSHGQEMTLQQYFRNLDSSEELDMFNSCAAIVSIVNGTKSPILPASTCVMGVLDGVPVQSSICHTDLVSHVFPDVLGLATVGPACLLGFLSAVLAPGPSTFRHLRRLQSMSMLMTHAHAPAGRAIAFLYFVPKVENVKLDQDAGMQDRARVGKESFHLIISGHEGTNAGSITSMQSLLLQGQGRMFLWKTEDEGVTNILRTDVCSIHDALAVVVPCWKNT*
>EED80700
MSTPAAIYHAAQNLRIVNFVLPSVSIVLTIFRLYDRVVHRRVWWDDAWAALTMVMAIMFLVIVELFVDYHVVSPAWVSILFTIIRLTPLGNLRKSLYIAAIMFGVAWTILFAQVWWVCEAEPGWKQSPSPQCDLGENVAIAQVICDVLSDSILIVAPIRLVWRVRLSRAQKIRIMAIFSSTLLTTAVSLNHAYWVLNNGGLTEALAAVIQTSVSLIVANLSVIVAILFRISTDENDSRDTPMLMRSIVTFGSGDPRRKRTKVNVLTSTFVTSDIPDSSEDPAIHIDTFNVNTADAKLANKVAASSEVELRVLDGIEGDYKAGQAFM*
>EED80701
MANSGLASTAGSTFIGVLLGLILYGCSCAQIVIYFRRYSEDPLGLKALAATLWYEQKCGSHLLFTWHLLVASHAAPAKLAVGTSTFMMEFFFASLSILVVQCYYIYVVWKPGGVGIWPQSAILRRNTCLCGNVVPFTSSGSSNFGNTRCIRNRCVYYDFFMCDSPWTQNWDQEASTTLMATSRTENVIATLVIYAINRGIFTAYVAKFMTINGAHISVLFQALPTGAFYHLHLLQDAVVVLVDDRPRPGHSAYVMLLLLGERGAELTYPCSPPVYVNSLLALLNVRQNLRGQMAGPEHVSMTLRNHERLPGNDGTLPGPNIALKFAH*
>EED80702
MPGDFDRDKEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEYPIPSTSNLVLPTPSSFRAHAQPPIASSSRLPVIPTSDLAPPPPLTPSNAALNSNPAPPAPTIPSTTTTSSSSPAPTTTTNMSQNTTAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDPRATMTGKPTYEHSILAPTIQESGRWRTWISSSENALVSGFTMRQI*
>EED80703
MLRTDQLHTDQRAQPALISPCQFELSSPSLRFPKTSPVVTRSQAREAASRSAAENLDSSSRTHSTPSPTIPGDFNRNEEDEINQELQDDFDKEPIPSTAKERTSSPELLGLNTFDCDTLTSDLFEQSGSSPEPENPFPSTSNLVLPTPSSFRAHAQLPIASSSRLSVIPTSDLAPPPPLTPLNAASNSNPALVAT*
>EED80704
MAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGAEQTCHYCGNRGCRVGICEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGSGTSKGVAAPSSTSISTAPPPTIPAASHAPPSPPAQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYHDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVTRDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANG*
>EED80705
MPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTLSPELLGLTTSDYDFSTPDLFERSSSSPEPEDPIPATSNLVLPTPSSVRAHAQPPIASSSRISVIPTSDLAPPPPLAPSNAASNSNSAPPAPTIPSTTTSSSSSPAPTTTTNMSQNTNAPLMPPRSHSTAPSFDPSEVRSLRRYFQDLEVLFTRCQITDEAAKKQWAVQYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGTDDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTQRLTSYRFDVEHFDNDISMIIESLSRTIATLIQPTTHATHSHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNRSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGSGTSKGVAAPRYPAEARRRNLPAFDEVAYRDAVTPKRVSTEPVASAHIVEIGADKVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNTIRSIIALVDNKEQIKCIVDPGSQIVTMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTILSGVANRIF*
>EED80706
MAPYIPFVPTKAFAIATEEEWRNAIFQNVNVSDKQAALLQTVTANAAELTTGRVRDWIGRLTLETSRHYDGYLQSLLQEVKSLHITVQNQQALVDSYKRQVDALPTSMGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLCESSWPSRSCKDQLIST*
>EED80707
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDHIDMQAGPSYKYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLELVFAQMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPDICNELASLNITFKYEV*
>EED80708
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSGGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED80709
MAACQGQVHAFDSQDLIDVYIPDGPETILYRCEQQPCPNRTPRSIEEDYPHRCMHVNPYTDERNTRSDPEAPSHLDLPRGTLALSPLPPASLKLSPSPVKLEEISLQTLRQSQSLRRVQVKKESRSPSPRILLGPPRRQRSPPRQQSLTYVTPPPNFLRRLHRRSAERPLEHSLLSKFDQRKATTAASAPAASSVPPDAGNVVPRSRPRQGDPEAPPPPPL*
>EED80710
MSFAPVVKSVKSSDGVTIYAEAVGNPQNPCLVLVHGLNLSAATFNNLFADKRLLEKLYLIRYDMRGHGRSGMPATADAYVSSLFADDFAAVIGAFNVKSVIHLGWSYGCTIAVDICQYLNPNPIAGVVYLAALPYVGPVMQRVGTPIVLGLLPGLMNTTDVALNGRTKVAFMDSLFTHPERVDFNVITSWIGQAVLQPPDVSNLILTRSQDPSKLFEAGRDGLPLLILNGTADKQVLGDVVVKEMSPYFKDVDVHMVDGGAHALFYEHQDEVVTALLKFAKRVSERQAATFLNQNLPKSLVTSPKLVLVECDLSASDLGLESGLSLEGIHQSHLISAEKMRQYAAP*
>EED80711
MLAQNPSTRATISPSTASAPIEHAERQYQSFPGSQYVLPSDTTESDRISFSEELTDTNASRLALQHRVLIRAFEDRLILPPVVISGEESILDSGTGSGIWVLDAMSRLPASVKLYGVDIESRLFPIENESVISRGNTHFSVDTITKLPMEWSDTFAIINQRLLVAALQSAEWEAAAREMYRCLVPGGWVQLGEVGPWKAGPVTHKHHSLVHTLFASKGLLLDCAEYIPALLRNAGFINVYTEERAIPVGEWAGEVGIDGRDNFIGVFRGMKTPILNAGGLGYVSTEGELDVLLNDVQKEWDVTEGAEFKFFIFYAQKP*
>EED80712
MSDSVNNLLGSLLGTFCFAILLYGSATSQAYNYWWNYPNDVKFHRRIVISVWSVVFHRLCENDLTVPYQAWEHHDVHGGCARKYRAKVEVTPFLQGYIALRRAAFISVKSGFRLILKYARESKKCTIMLGDGATMQLYNTFSGLPWTQAAMLSARIGTCVLLFKYKTLSRFAESQGPLIITVCGLSLGAAVDLMSPHPPMCSQFALQHDNFVYVGLVEVQAKLYANSLFATVTERAAIRAAAQEEEVFEANGQVPVPRSHNLPSLSQLNAAYCVLPEAWPKAQNGRAPFLYSSGAHISTLIEHVVKPSAGTISNDKLRSPDLNSRSITVHLDVRMNLVLPRTNAFGAVVCHITEDELLGDGE*
>EED80713
MYGVQSSAGRNDHGSGAVLGALTPSAKALISACAGLRNLTCLEFKCWVRMKTRASNADKHPGRIIVQDRQIRRSSAQVAQDEQQKAAARRQAQQTRQEAIKKIAHLQNQSQRKDTDEQTARALPPQLAHKSVSDDLQPNQTVAVTAVGLLPAAKRKAEDNNRTTKPDTKRMKPVHPAGVISGWTARNSDTDGDENPINSYISDNEEEGQYIEESSDRVTSQGVVQIAQANADDAIRTEAAQTIPHVDKPTEKKARPTLEDLPAGCSQKRWKTVFVPTWLEFIGGLPNPYDLQDINIVNVLQAFFDNVFVDIQYSISVHDAVYKLAMQKVYDWRSMINKGAISALIKYWEDEQLTDATALIGAEPRWAPIHLFWHSHSARHFDSVSQQFDFAFDALRHLRRQKRWKTVFVPTWLEFIGGLPNPYDLQDINIVNVLQAFFDHVFVDVQYSISVHDAVYKLAMQKVYDWRSMINKGAISALIKYWEDEQLTDATARAEYVKKALTGPTPPFLYRRIKYDADGLLIHPIIAETLASHIQVTEEAINGCDKYCRGALVLALVAAERAHSLAKSGNIVKTNADRFNENAWAPRIAFYGKSIEELNNDAWDAILTKAEMVARKRGLVFGVDADSDSAILGNPAELASVLYSDVESDEASDQAIDTISFAHVFVIEKSDWDSISPFLTAVSNGCPEDCAHKLANIYLPTMGVVPQQPYHIALLLVTGESKSEGRYDEGGPKGSRTTRAEARILALARQRGTGGVRTILSTYG*
>EED80714
MSLPSHPDLPRQAPASPNLSPVQVKREEIPISLEELRHRAIVDLEEHRWSGGCCGISSMPLVDSISYCETWDSAGAETSVWKDDLAFGVSFALAVPDVDLRELAGAYPAAMIAAVMARLFRSAVFMDVDGSLLSRLSMIIKMSLVLIIPGGTIVEVLDVEAVAWLAAVNESSRRVNLCHGVRVVGVVIGVLEMQSGLEPCEQRWLESSKKCATLFDSGETVLCNEMFRNGHEVAVVAA*
>EED80715
MSEVDMAAAAIEKRQSGQKCDRCAGYRSAPVDCVWAENATTCDRCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRLASPGPSVADASGSKKRRVDEPLRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIAHRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED80716
MWSHGVVAPATGGKSWADQGTVAEHIVTLRAIVVGDLTEEWYLYSIAHPVRTVRDVRHNADRYYPAEVVGRLLGMYRALPDDASEDEVKRLFGELMSDGQVHLPVRLLTRDLMAAGFPVVRYEIRWTPEQLRPFGYVTHGTDRALWALRIPNLEPQQAEVARKWLDAIDAEVKQVEEHGNGRDLQEVLALNEDRTIGWKPDERWNELMRLRAALPSEA*
>EED80717
MEVVLVASSTKYELVASRLWRRLHDALVLVIYKTNVDSHRGSSYPVSVKPHRKSPEFAPLLVVFFLLVPVLGAPLAAVSPFTPAIAYFHRRSVRRAWSLLTFYATNFIAHAFSVPLTVEVESPHQHQRIFSPIPWAACISIFLPFHGLGRDILLIAQQWDLDSLRTAAVHGALVVVARNKKWRPQEDEEDEVFVKLPEEFDGCSHQAKDNPPAEFQLTEERHILVPEKKQHTVLGELKPPAGYSLVIPTDRAIIEQIMDSAIISTKGIKVHSPPNILKILAAIFQIVSASLVLAFTLGDQVSRFGYAAYGLSVVPYALMSGANVICCAVVGEYNTRHVLRTPILEEAAKRKLAIFDGAVGRLGESEDVRKSKDEAQNSPKDFTPVHLRLTKSEEQVVLAVRKGEIVKNFFLIPEKTDATTSQDYGTSGLRLWSLMALIRGARKVVVGKVIILWDWWQLVLERGLYSPASSTQGEVQRKTHVARSRAKGMLCQYKQDHRASVAPVMRDKIGLPKQAHSREREGNRKEERQNTNKGGQGWIEILGYVSEEGGIADAARRKDDVGGDERARCLKRGKLLAQTLGLASPGCARDQLAQSTNSRCMSI*
>EED80718
MQSRWICSQHTATRIVTRNTCAWLVTSLLSYSSSMISPTQ*
>EED80719
MAFLRSAFMLAAATLVTPLVSASGMTVNLTTPTVWTRGTNVNETWSSVVGPYNSGLFSLLLVNTNDTGVTLYTLATNVPAYYDIIHFTVPTDVPVSSNYTLQAVNLTNYEDIYDQSGTFSID*
>EED80720
MTIDGIMTPPHSPQRGVMMHHVLQAAGPLSTQFDIRLPQDRIPLDMKTLAEQATQPPVSRMRLDVIGIFLIDIYSQHGTTLTVGNVLSQLAQGMSAQARGPELQRFPIDTQQRASQSSAVPAPGQAPPWIASTRVFSATQNRLAISTCESRLAQLVERVTSTLVDMTRFLNCLTRLAKLTPYRRFRVGSRPGRTQALLERPPSENTNISPTAERTVLISTALDPSRGRVQGVVEIRFPAILDEEIHEVHVKLRGHVRTSIRRSNGNGGSSTTREELDIVRQSRSLWTRGSAYPPAGSHTLTIPFQFELPHGMPPSFSYEHRGVTGSVLYTVSAVGSRAGIFQPNRRSTYELEVVPADPNGSMIADALRSGWQGEWTKRRTVDRIRKGFWGEYANVEMELRYPSMTAFPLHTDIPFSVTITTLSKTCTPGDSKGCIIRGNVDVETLPREWVATGSGSGGGKWRQTVTFKTAFQLRCPPTFRCATIANEYLNDLRTYLLSVSPIPLCPLRMAGWSYWRHVKPAIDEACRILTAATFPLYRWMGMGTAYLLFFIPVREGWSDAACTQIAALAMGCVKTYYLYSVTRGRTRSGNTGLAFTVPWTTRSDLVLAAVAAGSFVSAITLSTPAASYPSTVIYESWAYAVGDPTSFTLIFVMA*
>EED80721
MASGRSAFVLAAAMLAAPLASASGMTINLTTPTVWQQGTNVNETWASDVGPYNSGMFDLLLVNNNSTGATRYTLATNVPTYYEIFWFIVPNVPVSSNYTLQAVNLTNYADVYDQSGTFSVD*
>EED80722
MHKLSKFLKQQMHPQPQLQAAQPQTSAPSLSQDMAFPTELDFYRYRKQRGINLGSWFVLERWIADSPFRLAAAPGQSDLDVARGANAQAVLEQHWDTWITEDDWAWIAQRGINTVRLPIGYYHICGADPSVLPGTDFAEYAHVFAGAWDRITKAIATAHRYGLGVLIAPGKQNADPHSGTSSSPTFFTNPYNMSHTIHVLSALLWHLTAFARSHNPPLPNLVGIELLNEPQHHETLQTWYLDAFRALRAVDPTIPLYIGDVWMTDQYADFLSGAATEFAVIDHHLYRCFTQQDISTSVIEHTRVLSDPNEWTPQMFARVAQKLEGSGCAIIVGEWSGGLNPGSLQGIGNEDQARRQYIEAQLRLFDRWCAGWFFWTYKKEQKGDKGWSFRDAVEAGAFPGRVGLSPRRPFTDDPERTIRRDQARNAALGMIPKPLEPRRLTE*
>EED80723
MFMRFRGGGIGHMAIAEVTERLLQESHEDMQDNLEGHPTDKPSIPDALRPARGRDQEYADVRHSEVVLGNPPNTLTVGMLCQEGPNNIQAEEADYGYIDNDAEDIDDGGEIGTDDEDALGPEDGEDDGDSVTTIQRAASILEGPHANGPIFPVLGCRTTGSPSYYSPPPRGDQCIAGDAGLLYLRVARKSNSCAYETLSFQ*
>EED80724
MSVYATDDDAAPRQYSEEMFKLSPDLGSRHRIYLACALQPTTANDPTSPHRPHIDGVVRFSPPMSSSAPDDLENELLGRIEYDKIEIFGRLKLQLVDDNLVHQCRLAFDRDDRVAESKMELKTLVASANVLSLDKLEDQQCRSLLNNGEWELEMYPHLRALFDFITDFSPATCLRRLVETPGPLQDDDNSHTLESPKAVPDFSLLSEAPLQAKASEQPHLWSDTPLSPIYDMWEHTDVFIRVIRSLTSVVIDFDLGQDRTAPPRLELSVALWSWDLGVGAKMIMKTAWRQGYRSSESIIHRRIKGAHRGLAKPLVGADVEYRAAGKRPERMSVQYLRLGQNDVNDGSPHGWATTMGIRSELELLLGVRAALDAHEFLCDQGIVHRDISRSNILLRDTKYDPDSGPDGGEGFLTDLEFARIPDAVTVIEGVGESQRTPTRWREHGAPLTGNVQFMALDILRAGNGSIEHTACHDVESFIWVLGYCVLRKLVNTLKDKVPRRDPGRKAVEEAFHDAFGHNNVRSVLKSRVACLPLTWLAARKPQETLSSIKGNVSILMAILFNDLRFVLPIQEEADPHVEKVRRALGYPATTSPKPPLTHAILREMLDTTIKNIRTQAAQWPYSGLRTKRPAIVAKFDG*
>EED80725
MGSSSKRVRFASDHRVPPSPGLSSSSSWSSASSNGLYTPSTSTYYHSHIIPLPQVTPYLSPVELAQLDTPKASAYTPAKSAHLVVHPCLARGHSVLSWDMIREPYGLPLPPNILAESATQPPVPRLTVTIGSGYPWRVDVEPRTSSYITVADVLHCIYRNLRSIVGSAEWDHPSTPAELKVRVSAAYHDRLRRYPDPDPRKAGIRRIDYLGDMRAFRGLEPCKSAGHVKGQPDAATLQVSLGRAS*
>EED80726
MAVSSRSYKRKALVIGINYDGDAASCSSESASLYGPLRAPRRDAMDFRNLLIDLYNYNPEDVVLMCDDSALPHLVPTKQNMLPVMRTRFLANITQKMTTGTKVLILAMGHEGVGNEEKYIMDNPALILPWLASAPAAREPTPVGDTDDYLDHYTCNNLYRPWISKGLRGSKTLQMRVARKDAIDLQEPERKRSSQSPLRLLLPLQLQRTVTSVKRACTLDGRLTVDGAAAKLGIKPPKRSATTFSLEMARGRLAFGQPGMLLAQAKSILSLVVRRCLSPESTSKCDGYCVHAQVDVPHVISVSACNDAQSTWERGKKGSATQDLIEVLRANPHPTLQQLYTDLSYKRYEQSTKLHDWSCRMNKRFHDGKLTRAPVTEAVNFSDIQIGSQEKLFHRAYHVFASTSHLPGPLSNTLTHANAVTLRRGLTEPGLLVPAHIRRTRDLIRRLAWREAHEGNVWCLQCIACSFYKALDPLPMSLRDAVAGRTCSPYSHTASGVPTGRQRCPTCDKKAIACFSPPLPHKGFCTVPFRWIMPCNDNAGRYTGSSVRRKDDVQTVAKLETVRVQQSLGSASWLPLLLSRNSILAVMWPEFSPDAGVTSYREASEAISFRPRITPRIDGAVHFSPPMSSSAPDDLENELLGRIEYDNIEVFHRLKLRLVDENLVHQCCLAFDRDDCVTEAKDKLKTHVAFADGLSIDDLDDQQCRSLLNSGERELEIAWIAALGHRTFFDFITDFSSATCLRRLVETSVPLQEDDSSYTLGFPKAVPDFSLLNEASLQAKASEQPYLWSDTHAFCVVKPSNKQSPKPGDPSNVVSLITLQSSQYARLNACSRPFRLFAVCLIIFGSGFCVGIFDRGGLMLSPIYDMWEHTDVFIRVIRSLTSVVIDVDLGQYPTVRPLPNEIACAVLEQSEPPAHTSYLVEPIGDDERVWCTIGSPVWSSLSLFGRGTWVWYVHEYDVNGRCLKGAKMIMKTAWRQGNRSSESIIHRSIKGEHHGLAKLLVGADVEYRAAGKRPERMSVQYLRLGQNDVNDGSPHRWATTMGIRSELELLLGVRAALDAHEFLCDQGIVHRDISRSNILLRDTKYDPDSGPDGGEGFLIDLEFAGSSDVVTATEDVGESRRTYSRWIRRGALLTQTFGREIFQFMALDILRAIKNGSTSIEHTASHDVESFIWVLGYCVLRKLVNALKNKVPCTNPDRIAVEEAFDCAFGHANVHLVLISRMECLPLVRLPSGRDKQAAMSGLAREYQAARGNDAGAYVRVKFVGDSMHRANFRNRIGDLRPGEGNMMIPRGSGVTWNLVLTSRSMSGGISGSKVVQGTYRCFRGCVRGRKGHVCARAPADAFNVELHDLPDAAFSALIDSAIESFAEKEPGVSFVHIHPGVVRTRLLHPALKLLFYPFMTSPEDWGERGLAPCAAIRRDVMGMKRYYGMDEARTRLWEHAKEQQQLAVRTTA*
>EED80727
MELAGLPDKTFVNENFEYAKRSWAARSGLTLRPALRKAGVTTTAVVVVVAVAAPSDRRRRHGPIPDTACFVISGTAKCEVLLHAEDACPIQRAKAPAKQAPPAVAVVGSLKADVHVWTLSAPDKHGRLSETKIRLRLRREHRSRASPVVVREKIVEADPVQRGQTPTAVGARSRKSPPTVRARHELRSSRRILRRSAWGACERWNVQWKCSSPRAALQASARRRAPKPVSNWVTIGFDVLMDPRARERAIVWVHHRDGNEYRAGCGQDAQAVPRPQDAMLVWTRRFDDNTAATAVVSPAAPRTLTGPQSRAAMQNVEPLGRQGTTPLSFGRACSASGCQRQHSWFRGVVKFVSPDSGTPQILCWGSHCLILGRSQGQSLLTPEGEDTWSIMALELSGVTAVVFAWWEEVSMVYSARSIERQGVCPEHQLRPF*
>EED80728
MTYAPRPQKRKALIIGINYDDEDLSSSQASRDLGQLLASRKDAIDFRNLLVGVSIDVYDYRPRDVTLMTDSKDRAHHLIPTRKNMIAQIRSLVRGARPGDTFVFYWLILSKARSQDAGHADQIPCKDHTEEDDMDEVLLAVDHEGTKNKGRYIVDNIAYAVIATDSPEAACRCPSSWGAFDPFHAPLKFSSYSRPAVRQDDAQFDDVKPKSALRSPFRKLMPLPSLSLRKTVTRMRKVCTLDGKRSADGTAKKLEHRIPKRSPTSWSFGSVRGRVAFKRPGIAFEQAKRILQLVVPKNASPESSRKCDGFCMRGEIQAPHVVDHRRSPECLLSLMCRSFKRYRTSCKLHDWSHKQKQLAQEQRRAEALAPRSGSHRGTQTPGDIAIEMVNFSDIQIGSQEKLDWNEVFTF*
>EED80729
MATRCSSPPDSVLTSWSRIGSRFIGFKTSVLNCGCIKAALMRFIRSMRTVPGKDGAIAWGLSDTASVCLSVSSSGVSPASSRTNVVLPVPFSPSITIISESVKLPLCTVSLKLPSVFCRVLSTISSSAVSIILNESESSRNRRFSVGM
>EED80730
MDFATTPPAPEVRYNFRKVDWTALRDDLAERLLDIEPPQALRDIDHMTSKLQAITDLITSLVEKHVPKVRPSPHARRWWTDDLANKRKEVNRPVAGVQMISGSGFFYTTAEENTPHPLKESFGRHRRIKGRVHRYAGFAVAGPAAEPHRAFISTMVDHEGSIPTLAIVARRPHNFA*
>EED80731
MPPHQESMVDVEGKWAINDLKQTLEQRGTEPQEDTNVWIRYNPALSYPGDEIEDERKFGEADQRQVVHDFLRKAEIGILAIDATLWSDGWLENVTFPRQVLLLQNADPLKLPHFEPRHLAFLWKVRRLVIDVPWNHVAVMCLPPVNPLKRYLVYDGIASHTVMHFFEGLYNLTHLCIRINVGRMAEGACPVTKWLHEIVRAKPHLSQLVLQCVDDVSGSPCSRRHHVWRLLNQYIVSNKLRQVNLIPFAMKLSDEWHTLGETGEFALWEKARKPGGQLRAVLNMAGLRPE*
>EED80732
MAAAYATDVPFNGADDDDGMPPLVDAPAPSMTFTFATDMTPITFSTDPLSFELPPDDIPADLSAYSPHTSPALGPIRVSHAKKRDASYIPRPPNAFILFRSSFIHAQHIPGKIEGNHSALSKIIGKYWKALPREEREVWEAKAVLAQAEHRKRYPDWRFRPAANALAKVKDGPKRRVNKKGRGEAEKEEHSREKRCAIIADLLVAGKTGSALEAAIKEYDCTAGAATKIKEESVGGADAPVSRQEEAKAQSPATVEVPIEHPSSAKPARKRADTADVRPMTPDPAFDARFKVPLTAMFKRSSSAPAPHTRSGTGSGYFDARKFALVAHGDLAAAGASRAANVPYTNYPTAPPGKHEDAPRAGNLVGTASDGTGAARAGGVSVAQPVIPDFFAAGSFSPALGQWNEMYAASPAQSGCWSPPLPAFVPDADNFSSPLQSPMSAPFNLRDAFPDADAGVFGAAQFSHEPHQSSYSSLKGWAGDAYFKRVNPEFAFAPPFALPPVADAAMMHDPLEGSVSAMHGDWYGNNGVFVASLNRPYLRWDFFDGSEGVPIFPQAHALNCLPDDRLFFN*
>EED80733
MKLIAPDANDEKSELPFINTVTGGRLEIGEGLQSCTTRVQTAECTIRGERVTLIDTPGFDDTHRSQSAILKDIADFLEQTYEQNVKLSGIIYMYRISDNRAGGIARENFALFTRICGRDAMSNVVIATTMWSGIPEDVGVRRERELSSETFYFKDATDQGARFRRLYNTPASAEEMMDILVANSPRTLQLQGELVDEHRPLLRTSAGEEASGQLRMQASRQLSGINHLQNEVRAANSDPTTIDRSEQARMQAQITAMQETLERIRGELENLAKEPPRKRPRWMARVISRGRKKLDAIFVRKCREGAGCLSDGGLEL*
>EED80734
MIIDSAAEWSASLNGDWEWEQVKFEERSQLVGFARLLKNRPYLCAHVKVIEISDSKTILQVKKHKKWQLLATFPLMFARKLPAVHRIYLDDGPLHTTPVSPCFHPSLAEFASISTVVVRDLTFMSVHPFVRLLLSMPGLRDLTCDGLRWPQHDVERSQLPLQASSPQLCVLRLLDNDVSPESTRNAAYKDIAEAFDIMTICHTLAEFHLIAQLELVHFIDTGLNRLVSRPESRLRALSLSLSAGGLGVYDIDQPDLHDWGVQADTQVLSHDLLIRQSQEANIDLSKQTDLEEFHLTVGHLDIERSCTWVAVLLKQLELPRLRQCSLRLVSPSDAVNVLARVSLLLSPALCASIDAALTSLPSMTLQHVQLRIEWYTHTRTGSPQERSWRMQLMSRFPALSNRGVLAIHISLEDIPSWLVTAEDHT*
>EED80735
MAPLPALTQVRTFVQFTRWGYFPCIVAFIVAFLPRTTHGAPLGLDTSLYCPKNLDILWNLAKFLGTNYIAHAISVPLAAEVGRFTQRVTVRSPTHWAAIVSLFLPFGGLGRAILLVAEHLESLNDNVRAALKHGSIHIITRTEMWQPPDDREEIVFVRLPPKWHDLPSDHANNGYAAFKLVSERESHIVIDHHDRKIHGELHLPRGYAVAAPLDKSITESIIDTRLLDTRGLSIHRPPQTLNLLAAVAQMVAACITLKSTIGNQVERFGYAAYGLSVFPYALMSAVNVICSAIVGDFTSGYMLRTPILQEAERRGAVFDGSIGRVRSLYAEPGYKGKSGFTAVGMSIKPENPAVVNSRKILVVRDGEKKKEFLFDPERYNTDIDTSFFDISSITHDGQHDENEVKPYKKRHWLRYFAIALAFLITMLAPYAVIYFFSGFRKGQSTTAQRRWMMSWLVADQVSAAGTFVFWWIWQKHGNIIPIAVHYAGVAGLLVPAIGGLVTVGAMFLEDNQFGPCGPS*
>EED80736
MASKVQPHSPFSRLSRFLKSGPSSPVPQEEDPENEDWYIPYNGPYEQPQTPGMQHEGERDSWGQLVTGWLADDGRNGSDRYGGSTRNRAVSHASALTNSSGVVDPHRQSSHAGRTLARPPTAPMAHLAQVGGIGEVPVPPREPQTPRKSESNRQSFVNLLARGFAVRHSASAGQLGGGAAATPTTSRATAQDPEDTQTLYVRRHPYAFPFTAPLTRDRSPSTSPRPHVTARDVPNHKTAHAETPAYLKPASKPRRSLKASISTPNLRDPPSSTLPPSGHSRSAMPKGRQRWLSPETWCDAIILPRPRFAIRVDPGGGSGRIVSPPGSPVWPPEANPFGQGRPVTVVGSTVETDVVRRPYEARPSVQEVREVAPVAGPSRVHEDPEERAIREEKVEPATVREDANAASDKSKLKPPRPKSFAWDDLALPSPAPSLSKVLEEGKQLEEQRKAWQSQATRSFQNKRTRSMSRARAKSLVQHNGRASAMDVLAERTLLGNQAHPPKVHIRLHPSQSQLFHVSEDHDAATGTGTGAGTGTGTGVGVATFTSSMSQGHTRSHAHSNSVGTNASSRVQTDESFMWGASGAPGGHKRTHSLGKSALRLVRTTASSAANFCGFASAERIVDGDPGTMSTVAELSGAEMLENALQREDTRVIRLRDQKRTEDRNAGRKNGVVLITPATPSASRSPELSPGRWGHSADAGPSEPIGLSPVPSTGSNSAEGVGIAISTPTPSDEAARQVRPGREPLRIAHPYAQGGFFVPRVEIDGETDAGLSTLPPRAENAFERHRQPVIVHPYSPYAQHRYSGRARSHTVQEPVDASDYQEIELSQGGSMFAELTPGYIREFQAEDLRYSPSIVVQPVEQEQRHDASSSGPMRVHPYASQSKRVSEWGFADALTHTMRGRASPDSGLGTSESHAVAQDADVGPPPLPSVPDSAIHIPEPPAEFFNGMLAVPERSPRSTQERPGIGREATQSSSNHTFASSPLENTTPPSFRRHGSSSGMFTDNAAHSAGSSPGLVSHESSPPLSPRPLNSSGDLERFRNLFYQPPARNRSPSEASSKRDQPRQPSDSSPADLSSESTRSVSGLTTLARQLADDLEELREITGNRDTDEHVEASSPMWGRRFGGLRGQRPHEARPDPNIVLSQTSSGSSPSTEAASPLHFTLDQHASLAPPTINVPEDVESASLNSSDFEPPAQDEPNGTVEALATPSPLSNPQRLSSRLSLIHFVHGNERSRREDRSYGRVSSQYSSLGAPISDGARSSFMTNTSRMSGLSDFPVPPSEITPEHMSVLNSYFDESPLAQPERPFDPQFASRAEGSLVRESSRGTFGRQNDIGHAL*
>EED80737
MVYNTNGAGSYPVSGQTIIDTANTILSTCSKACGSYSTNNDCATCHVTLNYRA
>EED80738
MSSGPLQGKNMRLTTTTNVNYTLNAHIQVRDDADEEGTYHATNLVRMTSEPSRRMLRRRARTQKLPDSGDGQRGSFKEPAPVLTNVRMGCDRAWSESVEVSNEQQTVSAQAREKAVVQVFISVHAALARPGHRADGTQLVCVKFVSPRASQELMAPRRHRLHRNNNSVKRQRKIAIVLHQISPRYHALGADVLDLEKEKGPKWRSAHVEAENKIVPGLRIHGTEHYDRKDAVARCAGAASFVPSAPATRAPFFESFDHQTNALAGLLSTSCTKVVSHGLLQASESTKLTGAVREAVVAEQERSGSERRRAVGAYIPSTVSSQYSDMASEPNKSSNTGGQEHVQPHPPVAYPQQQFAGHYPPPSPYGPYFAYAPLPDGSHDPNAPNGAPPPGPYLMPYPPPPPGMIYAYAAPPPPPGYPPYMPGAQPPQNTPRPKRKQVKMACTNCAGACKRCDESRPCERCVKYGIADTCVDGIRKERKKGIKRGPYKRKNRTQSGEQATTGFSSQANSDDQASPPAPYPVPPESNYWPYYYPPPHGYVHPGHDAHAHPEGTPNGASPHVPHPPYYPMHPVYAPYHPYAPPGAVPYATPPVPPPALSTVAAPNGKNNDHTGAASEANGEASASKKKRSRTKTGDDGGAKARKTKYLSSDSQTDGDAGRGKDLHLGGPPEGGPVFNGMDSRAIVAV*
>EED80739
MAFLRSACMLAATILAIPLASASGMTVNLTTPTVWTRGTNVNETWSSGVGPYNSGLFNLLLVNTNDTGVTQYTLATNVPAYYDITYFTVPTDVPVSSNYTLQAVNLTNYDRLFPPGNCLLRMSEWMNIKAEHERCLGLTKHCLHLPSWPFEASHSVCGLGLQTPAVIYPGAVVDESWVSEPSDLATFTLLVFNSDLDIALALVALVNTSEGHVHFTTPDLPLNYFLGAVNATANDEIYATTTLFFIA*
>EED80740
MLHTFMTKAQLFQPTKVGKTVLAHRVVMSPMTRCRANAAHVHGDLAVEYYAQRATVPGTLLITEGTIIVGKAGGIPFVPGIWSDEQVAAWKRVTDAVHAKGSRIFCQLWAIGRAAVPQVLAAEGYEFVSASDIPVKPDSKVPRALTIPEIKEYVQLYTDGALNAVRAGFDGVELHGASGYLIDQFTQDVSNKRTDEYGGSIENRCRFALEIIESVSKAIGADKVGIKFGPWVTLQGMRMADPKPTYTYLVSRIKDLYPEFAYIHVMEPRVDGNVDREVQAGESNDFLREIWLPRTYIGVGGYTREDALEQCEKTGELIAFARSFISNPDLPLRLAKNLPLTKGKREDYTPPFDGKGYIDFPFAEE*
>EED80741
MTSMISQTSLPQDSPAGQRYVSVYALHAGSIFLPDSDVFEDSIGKDGGLTVPSFAFLIDHEVHGKFMFDLGLRKGGKGYPPAWDETLIELKVDCSKNVADLLQEGGVQPSSINSIIYRYSKACGPHLHFDHVGDLTPFPHAELIVGADTAALMQQTYPGDPTSLWPEWPAGQKVRYLHFGDADAPARPIAPFHSFARALDLYGDGSFYLLDAPGHLPGHLAALARVSPNAFILLAGDCCHNRQCYTPGVRLVSRENYHDIETARDTVERLKRMNGEPNVVLVLAHERERLEDSMPLFPQRLNEWVTTEIVRKRHE*
>EED80742
MTIMPSYLRNLFGGSNASSPAGTSKSHSRSRSAGPAPANIYAPRGSMDSGANVPRNYSYTSQATNPSPLRYPTDTRTLHGYGRRAAATPYAEPRAHVIRRASYKAREPANYAMHTPSAKYTTPPSSRSNSSSSLYGMGVPRTPSSDAGYYSSSSDRRPPFRHNQSWQPGSSAGSTSSYGSVRGHSPVPSGSHSRPRTPCLHMHPLLAHTRLHHAPLNYDVVFTPSARTVLDRATHSPIPAHTLSQPATEPPMPAGARLVLRSHKFPWPVVVTSPSAGSASPGPRFTVGPPTHARSASGGSISNLDVLYAVHTTLMTQITPEEWEALGRGSRAQQKVTRAYERRCTRMGGGWEGGVRRVDWLGEKTRLVGVEVDKSGGGGGSGKLVFSRA*
>EED80743
MAQNVDTAGSGPTGCQIEHMFGTAGISFFAPSSANVFTSMGESVPSIVPTTKLPMTGRNYTSEAHVARREHSADTLHGKHAGTLENKWLTYQKLWKHVVKSTRMGPPR*
>EED80744
MSLSTQQRTDIKRIIEALGRQAIELQQQRGMLIRLIQADPSYVVSGAIRHRCGGHLTHSQDDVFRISRGVRYLIDPDPVAGRFQYTESVNQLIVKVQRIEASLARIKEKTTELHLLIPPIECLPAELLVLIFQAGSLSEPKGLHPFPMIVSAVSRRWRAVAMSTPTLWTNLYITPVRPRKWIPLALELSRGHLLDITVDARIDFSPSSATVKTCMAQIKPELHRWRSFALMAHHRHVMLIVGEELADASAPTLQRLRLSLAGTDGTGNLEVYIPRLFSEGAQALTSVRFDSVAVPWRREPLVGLSTLDLRWLWFRLSYSSFEGILAASPNLTRLILRGKHVDVRPHEEYSPIHLPRLRSLEVSGDNFCLLCSLLIAPALETLTLANVDEGEFREFMAWLPYSGGRYTTLKCLMLLNVATCPLSWDFVAAFSTITQLIVINSGANQFLEILRPKWLQSATGVMHGALVWPRLRDVTMLDQTNYDDLYGMVAERTAHGSPLRRLIVHTEFVHRNMLTPLLQYVKVDSVTYLDRDL*
>EED80745
MATHRYTDVWEIRLTPPPSPLLPIPAPWVSALLDPERRLHTGETIFLLALFGNGESSAVKRSEGQTFAFVVARTSPHSRDRKRTEPPEDTHSTPSIRSLPERRIPYAKKLLPGYADVTQSTPQFELEFCIPMTRHREASDVEAHSSLESLLSRPPLKKAVVIGINYSGRGADEEFTPLHGCSRGAREFKDFLIDVCGFESENVILMVDDEGHPLQSQPKERNILRELKNLVRGALPDDILVLYYCDGTGKHCGHGLIKDNVLRKILVVPLMEGVCFRIWITITATGVKLMLSVLLGNGRHT*
>EED80746
MGAVAGSLKADAHAWALSAPDKHGRLSETKIRLRLRREHRSRASPVVVVVVVQSARCAWRGGRRSWKEIPCRGGQTPTAVGARSRKSPPTVRAQNGPRSSRRILRRSAWGACERWNVQWKCSSPRAALQASARRRAPKPVSNWVTIGFDVLMDVSLHRNEVSDSHGPENVLSFGAGCGQDAQAVPRPQDAMLVWTRRFDVNMAATAVVSPAAPRTLTGPQSRSAMQNVEPLGRQVSAIGSRGVVKFVSPDSGTPQILCWGSHCLILVRIPSPSLWDIATKSRSQGQSLLTPEGEDTWSIMALALSGVTAVVFVCLT*
>EED80747
MGSSSNASSNGLYTPSTSTYYHSHIIPLPPVTPYLSPVELAQLDTPKASAYTPAKSAHLVVHPCLARGHSVLSWDMIREPYGLPLPPNILAESATQPPVPRLTVTIGSGYPWRVDVEPRTSSYITVADVLHCIYRNLRSIVGSAEWDHPSTPAELKARASAAYHDRRRRCPDPDPRKAGIRRIDYLGDMRAFRGLEPCKSTGHVKGQPDAATLQVSLGRAS*
>EED80748
MDQGRMSQMRVSAGSAGCVAASARSAGASGSEEGGASMSHARVVCGATSAGWMRTWLAAYESESNEEKDAKDAGESGTKGCFCGSCCAYCRWGGVVGPGEDARDALERAGEGGMSKWTRFVVAGIVGEEGMWLRLRLKSKAGRLDSITTSGRDLSEFVTRQLDMTQHVHHQVTTPPFQSCYPLRFLPKNGLCGRADPLGQLESRGKESERKIDLSLRHLPALLPRREPLRSGEGRSSGQPGPSATRATYTQLVELPVSVTTERVFHSGLAVNPPATCPFGLALGPRCAPPPNQFQFVPTPGAAASGAPRSISSPRRAGRDRCFGPVTFQFSAAFCHIRSFGVTICFHVIQ*
>EED80749
MSSSAPDDLENELLGRIEYDKNEVFDRLKLQLVDDNLVHQCRLAFHRDDRVAEAKTELKTLVASANVLSLDDLDDQQCRSLLNSGEWELDMYPHLRTLFDFITDFSSATCLRRLVETSVPVQEDDSSYTLGFPKAVPDFRLLDEASLDAKASEQPHLWSDTHAFCVVKPSNKQSPKPGDPSDVVSLITLQSSQYARLYACSRPFRLFAVCLLIFGSEFCVGIFDRGGLMLSPIYDMWEHTDVFIRVIRSLTSVVTDVDLGQDPTVRSLPNDIASIVLEQSEPPAHTSYLVEPIGDDERVWCTVESPVWSSLSLFGRGTWVWYVHEYDVNGRCLKGAKMIMKTAWRQGNRSSESIIHRSIKGQHPGLAKFLVGADVEHRAAGQRPERMSVRYLRSGQNNVNNGSPVLHRLVLSTVGRPLWEYESELELLLGVRAALDAHEFLCDQGIIHRDISPGNILLRDRSYNPDSEPDGGEGFLTDLEFARIPDAVTVIEGVGESQRTHTRWREHGAPLTGNVQFMALDILRAGNGSIEHTACHDVESFIWVLGYCVLRKLLNTLKDKVPRRDPGRKAVEEAFHDAFGHNNVRSVLISRRACMPLVWLTGESSKPEHMLGPIRENTSVAMAALFGNLRNLLSRGTFYPVVAAAMRGSGLAAEDPPITHAVLREMLDTTIKCTRTQATHGWETQESRN*
>EED80750
MTNDERTNNDLGTNKVNELRWREARSDDSDERKANVDEQRFDNRITAKLTTYLASEVYIHTKEPRQDDVTTMLEGRTMTYKSIRTSKPVWLIETPKSGKPLSKSGWKLGPGHKQASPGSPD*
>EED80751
MIVSLSRAVLGGDRQQGLLLDYEMCYRGIYHDVREKLDANRALFFGYLLSVLDEVQEPAWAKPLAVPTYQIRALEAVPEVVLEMVPEMVPEVLGAGRREAELAVPRHRSYRGAKSSSRSWRSDLPSTPREEEGIENMVNNHLMDPEKGVATSSEIPHSERIVQYAEVEALCCGHHQSQGTVEMLERMAYPQLCGVGPHYFAVTRTGADCAQVYRTDHSARVIVESGSNESVQSVLD*
>EED80752
MAPPAILFVFSEPGSNVTEEEFHDWYDNEHIPLLVDISAFRSWTRWAAVDGTKPAYGAAYDLESYDVMQQPSYKALVETRSEREKDILKRIQVVDWRIYELHGGPTTPPSALFDPAKPASYAAFVFITTKPEFEEEFNKWYDEEHIPLLAKVRGWIRSRRFRLKDWGHMGVEVTKEPPKYFAVHEWATLDGTRSEEYRAALESPWTKRMEETFLARDVRVMSLLKTWDRPSLL*
>EED80753
MQGRTIARHSPSIL*
>EED80754
MDKTLDEIIATRPKGIRRTTNRRGSAKTQVLGSPTAPIAKARANAAANGTKTAAPTAAQPSDKIIVSNLPPDVNELQVKELFHTTVGPLRDVTLHYDSQGRSKGVAAVQFQRRGDGTKAFQQYNNRLIDGKRPMKIEIVVDPTRPAPPASLAARVAPAPAVATEVTRTGGRPRRGRGGPRRKNERPAKTVADLDAEMEDYTANNAPAA*
>EED80755
MARNEEKAQSMLYRFREAQAAELGLGTRADRRPKMASACKSLRECERWRGEILREISRKVSKIQDAGLTDYEVRDLNDEINKLMREKRHWENQIVALGGANYRRNVAMVDDDGKEVPGTKGYKYFGRAKELPGVKELFQSKKKEEEEESQAQNFYKKFQNQGPAYFGDLDEVDGKLLDFEMHAEDEEWQDAYSHLRTVLGLPVDEPIPPIPRPAKTTATITITDASSTEPSPLDTKRKASDGDVDMAPADGADDHAKRQRTDAPTQTGAGANAELSPQEAAMTAARATAAFISFLSPENLLPPKMPTREEMEQFLLDLRKKALVEEYFGDTLS*
>EED80756
MFLQVIRQLMGVAHPGDTIEMGLFIEKPTHTSDSASSDTPRQDSTGQSSPIHEHTGPLRCTFHLMHRYASAHSTRSGAGTSEDGSVPNSPPSFRTSPSFKTLILRRLLRHVGASLEEDVPPRSFSAGKTYALTVQFERGSPSVVDPAVGASLEDTVYQGFPDFKISHEPTLEQLSQFAESLKGRKVTLFAHSKGSFAQHLTSYLTAWGLDVTHVSTEPGADTLPEHVEQVPEPTSSPTASREELPSAYLDQSSAPSPSTSRPAVADALSFCLIDDDVAVLRSRLQKARAEQAYPLHLNTRKRPSLANNHRPRSSPQVARVMGLTPSTSALFAPPPVIVHFTSLANFKLVKDVIQSILMPGAGNASRVPEVIVIPKPAGPRRVLTALHTAITKPIVDPFFYPTATSPISPGFPAITPFFNVSGPAKSPGARSATSVRTASDKSARSPKEHTPSSPRGVSETMEYFSDAAARLGASPASGLVIQSPDGQPAGIFFHPKAKGGGRSERTTTPQSERTGTPSDHPGRSRGVSFRRTADEVKSGSSTPEIATTPRRRAAAGTDGDAGADVQPVEGAPPKGKGRLAPHRGESLVTLGPAGSPAGESPAAAGPPLAPPRKPTQPDPLVRHPSDPPPPTSPSRPSPQPGRRLRRPNMDGPSASAPSTLQKKGKPSDTNIVPPISVLIVDDNPINQTILSTFMTKRRIKYDVAKNGEEAVAKWQTGGFHLILMDIQMPVMDGIEATKEIRRMEKYNVLGGFPSTPQSEGQRTPSEASATESRSSTMSTPPYRSSVIIVALTASSLPSDRVAALAAGCNDFLTKPVSLQWLNSKIIEWGSIKALQMWADIRPDVVKSISSGQAAQAQNVARRLHVPEGRLTPTGSRSRSSSIVHRVPFADGAGALARSLLAEKSATPSPPVQDSDESPGAFSNTSGGSEAVPTVVAQDSHDLEVGHAVNGGAPDSAEAPPATDSFFPPAADASAPPDAPKIDSQPGAADAPPATLSFFPPAADASDPVQAPKNDSQPGAQANSSGLSADLAAADVPELKDAAEAADSPTAPSSDERPPAPPPPPEPQ
>EED80757
MYSLYKQATVGNVQSPRPSVWDMLGRAKWDAWAKHKDLDSYEAKWLYVEALLKV
>EED80758
MFSLSARTSALRSASRTFSTTPSRSADVAKLMLIGRLGKDPELRSTKNDKEYVQYTVATTNFPPPTPSADGSRGEAKTTWHTVLSFNPGVNIYLQRLKKGSQVFVEANFELREPDPNADPDTPQGQRQIFLRHETIRVLKAPRDVSESSLEEESES*
>EED80759
MSMAAHPQKAIACGVNSSAENLKDGKNENCRVYTVKEDKIYPSNTQSTLELDSTEDDFQKVTVFSPTGSLLAVAGTHDLSVLHYPSLSLAASPIHIDKGEIYDATFSSSTLVVATTVNLLVYALPPSDADAKRAKAYGKKKESTLAKLELLKTIDRPTLPGKDAGSSFRAARYHPHDEKVLYTVLNTVPPRTRTKSSPRRAFRRGRSRECASIQRT*
>EED80760
MSDLLSPDIREPSSPEEDGNLKQSLEIDMKALVGDAVGNMSISPSSRDVVLATRNGLFIIDLEAPLNVPRFLPQGGTWDVADVQWNPHPSRKEYIVSTSNEKLTGIDSWLWAWDLRASQKPVMGLCAFGLFSQLNERQVVARRSSGTVKMDICSRPPIKTKYSCGIEERDEIVTCSLDKSIKVWDTQTLGPKLTISTMYPVWRARDLPFGRGLLSLPQRGETALEMYSHDTPDNPVEVFEGHEDVVKEFVWRRGGHDCSGFQLITWSKDKTLRFWPVDTEYMNVKNLKTLQKAGKAPPTRAASEPHRRELKVSFSNPPVGTDLPPALSAPIGYRGILAEVRAPFPPRPAARLSTGRQDRNTTRPQSQDLDKDKSVAQSKPIPITQEKGRTMTRGLLGGRSAQINTSAWLSSVKFGTKRDGSSGPGSGAESGEVSRFSSLSRPPSQPDSSGSRIVINVQKDSPVGAKGEDGNREGEVGQSLQEEITFVTNKLATYKVKLERAEWSKKPTCTFGLHGPWGDSTSVFIRISFTFPRDYPQAKHPYGTPSVDLEKNSLISMKSRAFILRRLRAIRENDRPCLEKCLRFLLFGDDEERINRHPGMDSESSSDEDIPSIARTRKDAKPLTVRRDKNLAEPRTSQGVFGELVCFFRAPPRIVRNIMREISVSPSVATRRTDAASRQLRSPFVLSDAVHRLAHAAQDRDAESVENRQAEDAHNVLRIVADLFTFSQQKPRRLSEHSRQFEDKGKYSLLPTRSTVYIKNTSGLVGIDVGAARDYSLAVNIPSNFCRINAQIAKKRGRADHERVFRLLEAVFSGVVGQGPQELLSRSTMRNVPAITIIHNLYSDLSAQKDIQMLAMLQNWYSKLQKIPGASQFIVIPYLVSRFAFSNFSAIGATFIIAFVF*
>EED80761
MAPAATLDVQVQPSNGEPSRTLPKPQKEMTKAERRELQERQRAAKATKQAAPSNVPAQRKERRASRAADTARPTPAPVNKPLQKVPLVAAADASVEKARGLRIFAHFGLPKPASIAKGEIHPTIVRLALQFSNFKITGANARCIATLTAFKTVIQDYSTPPHTTLSRHLMTHLSPQISHLVAARPMAITMGNAIRQLKLEISGSDIDLPEQDAKDALCSKIDHYIRDRIIMADQVIQETAGSKIKDGDVILTYARSSVVEKVLLDAHTEGRDFSVIIVDSRPMLEGKRLLSILSAAGIQCTYLLLPALGSVINEVSTVLVGAHSIHSNGSVFSRAGTALVAMMAKRHSVPVVVCCETYKFSEGVQLDSFTKNELAPIGDMFSSFPLTKPRDALTLQNRPNLEILNPLYDLTPPSCITAVVTEVGVIPPNSISSIPLALGRLL*
>EED80762
MSYLLKGGIIATFTAGADKPQCCKSDILVEDSTITQIAENINAGPGVEVIDCEGKWISPGMIDTHRHIQSALTADEVHIGQLAGCLDALHSGVTTLLDHFHAATTPEHANKCLEATIKSGARVIWCPARQSGPTQVFPTLEWANDGEAAKWQMEMLRELGTKDGGKLTADGRVTLGLAYDLVGMGPVSVHQAAIEFAREIPVALITAHVVKAPRILTFRDAGLLGPDIVFSHCNCLFERTDPDDEMWEAMKEHGCAIASTPVDELGMAHGRPVAMEAVRRGVKCGLGADCLSINGGRTHEEIDKHGLVSPRNNKHHSADAFRLATLGGAEALNMQDIIGSIEVGKKADLVVFDTDSVNLAGINDPISGITFHATNADVELVMVNGEIVKRDHKLTKVEWGPVGRELKQKAAEVRERFPPERLEALWSKWYDSNGAPVI*
>EED80763
MDFVSKNLDQTARPAGNVEMSSVVRHIKGQIQKARINSQDALAAALDTAFRELNARAQQPDDLDSEIKTFLAMPPTDATLRVAEEYLENIKNPPKPPLGLTWKDILAEEPFEGQHWEGAYNLPPGSTVEDWDTHSGGSTPSLSPWDDSDDPDESLSSSDSLAPTAEPDELDAAQKSISSSHPRMAFGHRQDVEQLQARQYWRPGWRPDIPISQRFDIGDASTLAGPEKEIYIYEHDAVREVLTGLQGRKNVMMKWEYTGNEAFSFVPNTSMRLLHLTAGAQLSIITSFTQSATTLEHLRKFVSAVYKKASETPTHTHTEPVYLNSVSRRNPLTLEACAAAVDSQLQKFNAWCASREEQICLAQAGVRPPLVVSLLSLDKAIKDEFSASFSVILDVLKEVVRRALRNSEPLKEIWTYPELPMRILPSAFTTLLLDSLLVAVQERNSMGDTVTSDVLMRVFTQTAEPIWEMVGRWMKNGMPVQEMGLYESRPPATKLDDEFFVEDNELPLLDPDFWADGFVLKNSQEDESRTSSVPAVLALFAQDILDAGKAIGMLRALGTSATFERGTEQQWLASWRPFTSLIESPPAFSDKDLSSKVDPATLPGQARRVAATNDDLAGLIQDELLPHCRLAQEMLQRVIIDECDLWLHLSAMEDLFLMRQGDALSRFVDVLFARMDNKQAWSDFHFLNRAFRDVVEASSYKWIDASLVRFSHRGSKDKSITRTVRAIDGLLLEYAVPFPLTYIFGPRVMQAYSSIFSFILQIRRAKSVLERILVFYALRSKLSWFVNTLLDFVATNVLHSQLLSFHEAFRQAKSLNDMIHLHDDHLTKIQSRCLLQQNTSPFQRAIISILDMALHFSDYFVAFAGDTTHDISRQSIATMKRHRSRRARRQRKNVIGFSQTLKKADVSSESSDSEFDDDLAEGRVPPEPSFSLAMSTVSAPDESFVDRLDKMSSELDTHVRFIRRWAESLAAGSGEAAPTFGMFAFALDDWDR*
>EED80764
MLADALQGMRLTSLNTTSKGSRRRSAARDIRVENTGDAVSPSGRLANKTISRQVKSSALIAPASDGERTTPAAEEHRSPGQSRPLAPTLSQQETQLFLDRAKSTAQGHATILKHSVSGLADLIAGAEARGLKVHIIPSAETSGDTDTALVVIAKDEELVQAVGHVGVWDKAVVDKAFEREVRRGYHPALLTGGGVNFACGYQSPGEFEILCSVCPSCGRTTRDLLRRDPSASCQAAALTVPFSYTMSTSNQRELPPFPERDEYGGDELPTYDDLAAQHGPNSRFGRWRSWIEKRAAERYVDLTPEELQRRRARGWGEGATDTTSGPGARQLHIQTSFASAPVSPPLQPPTPTSPTALIPEAVSPSHLEVYQFGSRFLPHTTAPIRCLLPILNDRILLIGHDNGLSALDMFPREWADNGLTEKGPADAEAKPIWEGEVVYQMSILEAVSTGQGTPQGVVLALVGPVVDWSKEQEGIRTLRMYNLASLISLAKWAIAQKGQHPLNLRQPHSSGKPGQSKKHIRQQGSLTKGLKNLVLDSPIAQPQSSSDQSYEPRTSYSDVADSPMYSKRLPPRPSERSDSVDSQSSWDVVDDLPLLWAAHYTPLASVGSRLHNTSVLFYDLWRNENQRSRGGALLAVVTKSNIFMYEAPKGERAFRLIKNESVNNPSLQEFYTPLTARSITFVQQTVLDSMSRSPSDVSPRAGGSSPNYRHTRGVSLGMHAHYYPNQLSLFAIFEKKAGLIRIADSAVGEVDLYEESGVLQQFLSPSITAGSAPRKSRASWDGRGFVKEHKGVWVPPVRFTLPVALNRSLSQSMYVLTRGKQSHIVPHPLPPSIAAVAPYRILMWSFPPTHVGCRVVVPPVNAENVPPFLQVIAFGEDGVEVQEIPLASLSERERKGKRREEELVRASADVGGDTGFLCIGGQWSLPFHQNLGRSSSVESYDSTISNDSWSTEAQLEKMRVHQGIYAWVRKGLEDWRIVWVGGSGTGHQDESDL*
>EED80765
MTTSCTARMPYVTGVPTVGPAVVAISQGDAVDRTLDSRGAPNMHVEAPRNRRVHFHATVNAEDGAAHADARHKGLPRAIDDDLWTASVAPEDVSRRGFRRQECDRGSPQRAAQYPEHHARIACLRASKSAFGSFQRRNPPLPAPPPTSGIFINGALQHCAAGPAFKPAASHHRCMSRTGGASMRAWTLPVQEQVIVARSERTLPIALVVAHPHHSGPRQAITRHVFMPSPPLGPSITLWPLAGPVCVHGGHTAIRKQPAPRGRSFTHPHFPGFSRHFYPTREGVRKPHGLRWPPLEPAGTAKPPAIALASARPSYDTDLPAAVPLSAALAPRHGIPRGWTLAKGRRAQALTGWGGRRRDAEDRRQRCVASSFHDVPYPRSSPPVPRQMEIGAAQGLLFGETTQEVAYMESSEDGEPLACTRTERKVCCTQDGRGSREKQKVLQDGVDGRALGQRWRGAVGHGAWECVTCCVPVADGGTRFGRCARDWRSGRAGAVGVSVVGCFWKRWEGSAWTLWADSVVFVRVPPLAPRLWVCGRLRAKPFSAHAQRSGTPGHSPRMLSAQNEYPSVLLLHAPRSCTLFLLPPARHMEPLLFDCTSYSDASTPRTPSPRSDMQPPFSSPHYKPSMDLNPARYIFDGNHDDNGVVPEHHTLEAAHMWSAPYPGSYNPPGSRGSLLEELYDHDMPEHNAGHDSYLDQRHQQHPAHWSHISQAIHGEPSLPMQRHPHDIAMSRRNTFPYVRQDRPDAMYTPPPFLSNDHDSVNSTRYPLRKRLIPARTHVSCDVPMKKHGKKTGALYSSPAGVGAHCITHTTLAWKRRALLLDGDDVCETDGVRACLKCTGLRRFALIGCGMLLPFASSPNDGRGRADLARARNRSPGIAIAPPAPAGPRAAGVAESLSAVSVYPSPQKPRRVRGAPCARCGLSVDPRTAVRLAGLWTLSERLRAPRGSPPPPSERRVLPGGPRVQVGRPVHTRRRAERDLAGRACVWQQPVTAHARHPRRSVSEPAMEGGVRKLRRGIFAALPYAPRAASPHVQLRYRSDRSTRAYTQQRVTPRTLLQTPGGARPRAGLPVLRQRATSRLLKFWTQGSRGQFVRSRGVGGAFQDLSILTVALDVGPTDVHGANANATPGSAASWNDPKRPLTLPRPLTNYERFSGRVPYRGGTRAIPRTVFGRHFVESAIVADEFPALLAKIMQIGVHSCHNPSYFSDVTQTQQYISNLSGRVGGQALSVELAPGKTPADTKCCAGERDTKRALSATFVRRRQVSYRVLERACPKPVMTHALRTYLLMLSSAQPAILSKLLWARIKENECGHRKRVPPSLETQQLPDWAKVREVRPKRHLYCCLASCAPWGPRTRIRGQGTPVYKDSTPIAPKGV*
>EED80766
MSDTIAIASPADFHVHLRQGDLAALVTKHVRQGGFRLAYVMPNLKPPIANAEEALLYKAQLQAIDPTIEYLMTLYLSPDLTPDEIRKAKRAGIGSNRILVVLPQILTVASSLTRRTIRFECVKSLGDTVACTITAHHLVLTVDDWAGQSWNFCKPVAKFPDDRQALRDVIKEGHPRFFLGSDSAPHPPHTKSTATPVHACAAGVYTSPILLPLVAQVLESFGALDRLEGFVSTNGRIFYRASASAGENVVIRKVQGKRVEEKWVEGEQSVVPFWAGRDLSWEVVE*
>EED80767
MAKGSQLSQMKAALNQAGLSRPQQNGKKRKRAAPEERDKEKKAAKLKEIQQKLNPFDVKVTKLKHDVGGRKIVGTVGRPAQSKQAGIEQDSVCLTFNARLAEEKNSPEGVRGEGPGRRYRRPPVW*
>EED80768
MSQRIEQLKGPWLAVRKAKVEHLQQAQKTLISRADQVLRSLMYKASPELSETENKWFEELRRMKQEVVGASTYDPRSLAFRAKMLRKEVGRLTPQLKELQERHRQYQMRLTRTRESLEFCGRLEEQLGLKESIPPPPPPPNTRHHESDPEEKLRKEAMQCQNCFVSRADGAVLHRCGACKVDFYCSRKCQKEAWPIHKARCQINKKAQGGRTDRLKILRDFTQKHRPSISEAGPRALDLATDPTRCKRYMLVIDVLPRPGSTRVETLFYVTGISVMRHEDFAAEKTEEMRSQLKYAHDMNVKHGSLGAFLVALSCAKEMMANIAPVGFGQEVLDQTTEVEPGRPWKECLLTQLTGDEPARHRHIKEKVYTAMNMQHKTKKKTPKMMNVVATQQTHRSSVNSKVSGLEERWLYNPKATQLTKAVR*
>EED80769
MPRLLPRLLKALQTRRPLLLPQDPPQCPPISPEGRTQSIVLDSLDPILALSMYKRHKAPAPRLRALKSRSSQKRDHEAQVPNLRSMSEEERRYWASPYLRMLSTPLRRCFATKRYLPRVRLAPVRLPGPLAGKATQILVPDGVEHPRFKPRKVGQGHYVLCWKAIIETLAERGIACPRRTSTGTP*
>EED80770
MLGSKPSANGLASSARSVAAFAGGNMSTGVTSALSRKKAVSARVESGRLCRKSTRTSFFERWGFSKSSSAWHPNVVKMGRLALAFLTLYDKEQLHIYNVTPGVDLDLKQYWHCMDFLRTVSFGSEASWRRFGLGGIGSGKALRSEDVLGNASSLWV*
>EED80771
MASPSAGDTTKQLWDEALTRVLATLKRNSELEARVTELELELSVWKQAHSGIVEMAERDKKAHNAQVATLNRQISSIGFLKASLSSLRARYRLNTLSQDQHPLILCVIDGDANIFHPSLLVQGQQGGRQAAQELTKAIAEYLSQEEAQVFGRLSFWVTVYYNRRGLLSALQNESICNGEQFEGFLSGLSQASPRFHLVDVGPGRDGTDVKIKDGHDGTYTSTLMALEKEELLGKVILLHGGNVPPEILQQLPVHIMPVDGLFMLHAPSYVQRRPGPIPLTGLKHNVTTHGGLISPESETYSTIATARKANGTKPIDSTKGANCKYSHEWYLSPEQLDILSKNAKKAPCNYLKNGMFFVDVFKLNPTHPAPLIAFLIVPSQGHICPSGVRCFHLSKGKCWFKGDGMHPILVGGED*
>EED80772
MSNPWEDPNVPRQSPSSPDELMRTPNRSPQRPQSRRSPPRLAQPQPSYPQVPVNPRPAPPVPPPNALAIALSQIATLLQNQQQGGGRKPVVNKPKDFDGNKDEYEKWKMEMRLFLADHQINDDNRRTNIIISYIRGPKVDAFIRILYNTNCPGGYWQISSAELWGILDDHYVDASLREKAQQKIEYVRQGNRSADDYIVEFEDLASQAGYNLGDEHVVRLLKRGTSQNVIDQVYMSGNIPTTYKKLCGAATTATQTLLEASRQHNRLVKLLQ*
>EED80773
MSDMSTFESLVADLTRDAQRVQPRDALQFCATWFQSRLEEQRTRMRDVLAHRSSNARELPADHYMDRPLSPNSSPSVPPPISPFSTPFARPRASLHNSMSNPSPFGTLNVPGNALLPDNRDRATPPTFRVDPDEQSPSSPLSFQNPFASFANGPTTPSPVDYLHTPDPTILARRPSVSAESIAVDSEIDEPLPVFPKTPDQMQRIRTSIANNFIFRDLDEEQESGVLGAMREIRVVKDEVVIRQGDVGDFFYVVEDGWLNCFIRPEPLPPAWLSGTAETYEKFSQPGYHPDFGRQVAECREGSSFGELALMYGHPRAATVLAMEPSTLWSLDRITFRTIILKAAHRRRTMYEQFLCSVPLLTSLEPGERSKVADALVSRVHGDGEAVVRQGEPGDTFFFVEEGEAVVTKTTKTADGEKRETEVGHLTKGDYFGELSLLRLEPRAATVSAVVRTEPAQPKLKVAALDANAFTRLLGPLREIMERNAGQTYGPASRLSR*
>EED80774
MALFDIPGWSVPSAPVTDAPTSRKRKRPSPQDGDKMSNASINFDKLMAKLDSGAANTTKPTPKRQRKTEGKNQERRLPEPSKSSPRAQPSGAERSQKSTKGKGRKDATKAKKNANKSGHERESTVPSKPTKPTSDGMEGLTVLQAGMKNSLEGARFRWINELLYKSDSEQARQMIRENPEVYEEYHTGFRHQVHSWPTNPVQHYISSLSSYPPKTVIADLGCGDAALARGLVPEGMTVLSFDLVSDGVFVVEADICSHIPLPGAENGADDRDGTSEGQGAVVDVVVCALSLMGTNWPKCIREAWRLLKPNGDLRIAEVASRFTDVDDFVNLVSSVGFRLKSKDDNNTHFTLFEFKKVARKARSEKEWEQVMSHGKVLKPCEYKRR*
>EED80775
MDLSLSGGQLPLASYFSRGSQSKTSKAKRNSERVTDPGDSPPPRKKGKGSSERRPGHNKESGSQAQGTTCKQLSEQGGSKLPEQGSTDIGESSAVNKAPGPVDRRGVLSRAQTSADHQKDKDTGESLLPTPPATNPRSRMVETSRAVPGPASRSPVAPLSSVGQDLKESYAPPMTPKTPGPRRRPAEKHAAPAPTLNQTQGDGTLSPRRTQTTPNKSHSSSERFALPNPINTPVRTPFRSPATMRRSDKRDGGYMHPPSSPCSRDIVPSSQTQELTLSKGADFADLKSSAVNKAPGPVDRRGVLSRAQTSADHQKDKDTGESLLPTPPATNPRSRMVETSRAVPGPASRSPVAPLSSVGQDLKESYAPPMTPKTPGPRRRPAEKHAAPAPTLNQTQGDGTLSPRRTQTTPNKSHSSSERFALPNPINTPVRTPFRSPATMRRSDKRDGGYMHPPSSPCSRDIVPSSQTQELTLSKGTDFTDLSPSTPSRPSLAHHSIFFDRDGNEVIATSQSQELELSPFVMTPSRIHPASHDEVVPTSQASEEELQMWTPTRKRGPWLALSGVVDKAGSFPWTDSPRPSRNFGAGEEKESRAESVAQEPPWCPSEDRPRYETPILKFPHLVKLRVHDSTNPTSLEDGEQASIPESPVSNARASYGIDSQLMSSPLCLPAILGVSFDESSAGESINSSAMPTQLREFRDMFQSVPDCIEDDGEIDRTSPSNHTASAAVAAPPLKQGHPPPVTGHDSESETEPESDDAPHDPIGGNGPAAVVTPPAAGAPRYCYMLSPTEGETLPSSRIVDDVPEHMAIDDSDEVAEDWIPGIDAGASFLGSSQESSLPPDATEFLAMFSQPASPFEYRTRIPHHNASFHEVNNFMVATIVKQGGIRMGTAHASMPVVIGQSALASLLDLYGIFIYIGFSSLP*
>EED80776
MDIALQSLATPHRQITILDAPGHKDFIPNMISGASQADCALLVVDAATGEFEAGFQRGGQTREHLLLVRSLGVSQVIVAINKLDQVEWSKVRYDEVCSSLKPFLVQSGFHPSKTKFVPVGAMAGVNLTAREGPDALPLKQWYKGPTLVDVLDILDPPSRNVTAPLRFPISNVFRGQVSGTGVAGRVCGGVVQVGERLRILPGDGTAVVKSIESDDKVLPWAADGSNVILYLTAVDPIHLLIGSVLCRPNSLVPLANVFTARVIIFDIDIPITAGAFVELFHHSRDVPAAVTKLICTLDRASGTVAKQNPRVLAKNVSAEVQITLRNTTTTGPSPKSQQIPLEPFAVNKDMGRILIRREGETIGAGIVLEIVS*
>EED80777
MAYPAYEAYPQLHRSLSYGQQYGYPRGDYAAYDQPMAAITPGVYGECQTYPQTTYPIYAPQRTASQYAYDDTNYRDSYFPEHYQYSSSAYGTPHATRMNRRRSSMSRRRMHPDGYRRMGSTLIKFKRKGGFRSGITLGEAMSNAHLSGNDSYTIYDLNADHRGKIVLKLRWTGYTSMTYELPVDGYDGRVELQTLARRVARACVHFIQTNMVPVSWDRVILYHLEEISVGTWQPIISSS*
>EED80778
MEDVGVEPSEAEGEAGGIGRRTTVHEKPQWPFLLTGLCWRRSSSTDLQSYVLKWTIPKIWTTTVGCMRMRKPTTVSPRSPRSPCRY*
>EED80779
MVAAGSITVAVRVRPPTSWEGARLPQQTYDNCIRTDGALSTPAKSTATNAPLREVIQIVDDRVLTFDPDEKDPSRAFVERGFVPPGTKRYKDRRFIFDRVFDYQARQQDVYHGTAKPLLSNLLEGYNATIFAYGATGCGKTHTISGTDADPGIIYLTMADLFQQIEDKREENIIDVVVTFLEIYNEEIRDLLAEPGTPTPRGGLQIREDKNVKVVGLTELRPRSADEVKEIVLLGNSRRTQSPTHANETSSRSHAVLQVHVTQAPRTASITEQRMMGTLKADPLAQTVMIVCIAPTSLHFDDTQNTLVYAERATRIKTKVVTRNVVNVDRHVGQYVEAINRLNLEVSELKQKVAGKRDLEAEKDRRKRREAAAEVERAKSDMQQKADQMQPSIVDGASCAGKIAVAEAKLKVIRARLAQLDTQASSSSALPSDLEAERELLKALAGPEEEVIKAQSALNVRVQRSSNSSAMFDATLRAVGERRSDKLDEFAVDNVKLDARWRKAEMERVKAEARQEVLQEAVTRQAEVVVSLIGMVSRCTVMLGDASRILGTAVAEGRDGMEATVKALSSSLKHVAEGNDEVFKTLLGHSIAAYAVGAAPQGPSSLDNFKGYPTTLGIGRAASGPIAPQHGKPRLSRRSSSYGASVPSSPLRKAHKSPRKSLRSSMASAALPYRRVSDKDKGKRKGVQWRDEAGQGDIDDGGVGAVGPTITVFSSPDTTTIFSAGASTVGSSSASTSTRSMSGLSLESEWEDEKTDDSLQFSFAQPSMHDASSSSISAVRKRPRASRLDPSFLKSKSRGSTLGSLAEDDEDCAAAQGSPTKRLGPLSDRNMNRASSPEGSTRSRATLG*
>EED80780
MAQPTRRVSSYHRDNFDADWTFIENGIDLYMSSSVNDAKKIRASCRWLTMYTVVYELCHAMPHPRGEMIYGNLSQLITTYVETLTAVYITREKKRMYHTSTHVSGAILRSIARERNGELDDWGPQNQIVALVLSDDVQDAAAKTDS*
>EED80781
MEYPHEPCVSSQLSIQQFVDRAQEVLANEDSDDAVSDFVWFALAGRDVSHAEQKRIFVNARQHVDTVLPHQYSIRRDYDSLIGITRSLPFNDTLYLYSFPPIREAMQPSDNPHVKFAMPMANGATLKVPLQRIPNIAFGKLSHRGQSRLFFPALWASGEHLWSITQATYAKFYDTILLPSIRHVSAVSAAHWPISYSSAMNHARDARGHYHYQTLDVNYTDLVELETQLLERMDQDATFKGAFWEHELRGTKDATGHEFEDVDAHRDRFESFISILNMDRVVPAEWCVDVAVEISIAGFNVAWLTTPALPFTHACYRVVDNAMWGKAFDNYFPVDPTARTGPTQNFGSVLYRSEWSVIVSQLGVDSRTTVRRELKRKFDDFIWIPYASDRIWATTPQRGKIWRQLPEGPRVCAPHLYVNPRFAHKHFTLRAASNEIGEDSDVDST*
>EED80782
MNSQMQLIVSEVSSLSSRVTVESAERGIETKEAQCCRFGRIVSQHIGSPVTQPIHNTAGVGELRTRRGPSSHRMVTEPLMGWESGAAPAKWTPSLPPSWTQTPHGRQLSTLVSADETVSVEGATLPAAACRSERRSIEPMSAVLYIAGTSGMDAREHMLGNARGSAPLRVTEQEDAAELIDIGVGDRGDIATGEGTWELRCHSASGLLADDGGRATTGGSAASVGLTVLEDCGGETGEVGKDESKSTCVGDIGLKGMNTLD*
>EED80783
MLNHLGIKQYETRVAQDRRDAEDRAVLPRQLFVDSDQSAIPSCAFKFSAPRRREYLCMRIMLARAINGREDEERYSMPVPVRGLCLYSEEAAGAPDGGNKDSTARGACEWDRADRQGKTMVDGKTEKRADLTAGVEEALFRDELRRQKRTVDASLQDRKERRPGGRKSSARRRAVSALLAPPPLISPQEGPPRAIPQTFREETRPEGAGTAYGEGGGKECARGRLDKGGRPFTHKERQVGKDGAQACRTSLTPLLDNVKTLVHADAPWYGRYIQLQCSNRGGIGAAKVLAHGFNEPRLSRLPYEELSSMLLSIPHRSRFPIDPGVAITVLDHALNIKMTYGAVMLSFLASLGLRVRGLTANAKGAPALYNTCYMQMLTRGLNMQLLYDISVSRVMYRSATCGKRATRTPFAVRNAYARRTLCSLIGGRWVRFGTGETFAPIGRRTGSVVVTMVLGYVWYRTACALMRKAEDQDMGIQDALPRSEGGASSTREWAFGMGAVRPPYLPLLDATYSVRLELNRRTGIARCESSFRTFVSKMQNSPSNNLPERALSTAGAPNVQRAGADDRERGSAGMDDGEIVEMDGWMIPHPRMRAPPSVVRAPVTDAVASPEPVPDAVAIPEPATDTVASPEPVANTVASPEPDKKRKSRNRCASQRSAAARRRRAEVAARVTELDNMIDDVHTGMERSREPEGSARRQRAQEWVDDESEDDAAGVEVHGVMRLERNSVTGSPVPVLGKRRLVPYVDIVLQPNRRAKKAVTATEPADELSHTKALKRAVFDMAAKKNAALKAKQHSEVPVHQRRRWNAEAKAATAVENRQRPPKVNHKAKKILHGNVRRNWHKNHFQQQNKVVIMGKRTPKEEIGLLQRGILLIVSQ*
>EED80784
MATVALADPPSDISSETPESSRSSLATPASVESSPSASTSVCTKKHTSILRASTSFTLPSRTNVTFAPLPPIEPRRRKSSVQLGVAARSRLLHHRRMLREHGIPPTPSNIQALQALEPPPPAPVWDHDLASPAEETEPDDLGIDPAEQAFAAIGRFVKGASRTLFRRKSRKDGERKDVPIVHGVKDSTLDGAQEHIPEPSVGNEEGGVWEEEVGGKTWKQLREETGSAPSTPSEEVKESCVGTQTVEVPADGSPTAIPAS*
>EED80785
MRNARNDTESYLVIPFAQYLCRARDPLFWVQRYAHVLVSDEAELDPNTQTSSPLSSSLVQFGWERFRLISRDEEVGPNPLVEQLQAQLREQEQRTRDMEESRQQAQEQLLKILEEQRSLREMHTNAAEEMRKAAEERTRAEAIQRQAEDAAREAEAARQRAEEANRLAEQQRLQAEEGRRAAEAQRQQAEENARRVEQERLQADQARVYAEDQARRADDDRRLADEQRRQAEEEKARADEAKAFAEEQRRAAEEQRIRSEEERVRADEEARRARLQQEQAEQARAEADRKAAEAQAAFEKAQQDLKEGIRPILTPTVQEFEETKRRVQYREGIFHFAVAGISGSGKSSIINAMRGMRNNDRGAFVARTGVTETTSDISRYPDPNTANPWVWYDIPGAGTLAIPDWVYFNAQGLYIFDCIIVLTDNRFTQTDEAIIRNCERFNIPTYIVRSKSRQHIQNVLNDLPLEDDEDEDDPQRLVTAREKYIRETRESIAKNLDRAELSSHPVYVIDKENLVQIAKGKKARDALDERELLDALIAEARKRRVRMVVR*
>EED80786
MAQLKEMWFADKHHSISVDLPAIYVAKGVPPHQCRLELLYDFLDAHDFMIGKCTYSAPFARGGPAAFDFSRTCMFMKMRYRRDCGGNPARANTLEDVRFEDLADFLAHDPRAIPAVVAFQEECEQQEAQLRAKTLPNGRVFMGLLKEYYTLTHGQEALMQKMDHDGWLAESCAIIGKRFTRHMVDACVAWGVWRRSTITGSGCISRPRSWWQWDNRRILQ*
>EED80787
MSGVVLRRSARFVHTASTFAPASGPGATAAPTQVHDEDLSDPREIPLMDARPAKRARVERNTRAILISNTQNHKAKDRAVPSKAPRKPAHHKAETVEPVPSDFSSRAKSQWKIGPHVSAAGGVENTIINAAKVGALDIFIQIPYEGIWIFTVPYSASRKLPRQFGQSRQYLRGMHLNDSKGALSSKKDRHENIGLGELGLAAFAHVLADPRTRYLPLILETPAFDTPGAGGGLGATGGMDVWRKEVEVLNRLATTPGNEKTHEAARQEIAAVVKVAAAARDAKGKKRAVGEKSRSKRKRRTNEDDEAGCGSCDDGGDVED*
>EED80788
MQAAVHPPPLSKTERETLFLRCVAHMAGTNMTTGWFFNSPETSIKRDNMKEWLLWALFSARPDGMKQEWVEEIEDYLTRVEEATGSKLPRGCSETVKSMRTTLDPVVTVHRPLFWYLIVSIVDTITCTLLLRGGFKHFNTSSIRCFPPRWISLLSQPSPQSDLVYWYRPHRSKTKPPVLFLHGIGIGLWPYVTFLREIAAEDPEVGIMAIENLAVSMHITYPPLHRPAMLSALTRVLDHHGLPTFILAAHSYGTVLAAHILRDAPLAARVASTLLVDPIPFLLYLPAVAYNFVYREPRTANEWQLWYFASRDPDIARALSRHFFWFENMLWKDDLDGRSCAIVLCGRDQIVNAKQVRRYLTGTDETTFRWEKDGLEVLYYPDLDHSQQFDYPDRRQPMVKIISRFLHEGYDGRKI*
>EED80789
MGGVTSVVTAVTGLIGIVVGLIDGIRASSAAGRIGPNPVLRELQERARRQQEQAEQADRARRRAEEELAWAREQQRAAQARAAEQTQRAADERARAEEAMRRAQEEAERADELRRRIEEETWRTEEQCSQADEARQTAEAARTRAEQRARRLRKDKLRADESRKKAEENANRAEEEAKKAEEQRRRADEERKRSYQAKGAAEEQCRKAEEARVRSEAERARADAEARKAMLERQRAQAAEAQARKAMEEAEAARKEAEKALREGIKPIIIPTSQEFEATQRRLHYREGMFHFAIAGVAGSGKSSLINAFRGLRNSDRSPLVARTGVVETTNKITRFADPNPANPFVWYDIPGAGTLNVPDWVYFNEQGLYAFDCIIVLTDNRFMQTDEAILRNCARFHIPAYIVRSKSRQHIQNVLNDMPYDEDEGVDDAMRMEKARRAYMRETRDSIARNLGHANLPHQRVYMVDKEYLVQVSQGKHLKDVLDEWDLLKDLLSEASARRVKMVLSSFMPISTCAFGLVNENTTLPLAQGIHEASKTMHSQLHAAVWLEILVQHRNADTMGAGIGRLLWCGLLYVTVGVIFEQLKGARDEGRIGPNPTTERLEKRKDTTQGEAKATDDASNAAENETRQRNDGRRELQERLRQKLEERRDGPTRVERQASSSSGTWDGRNIHSPTRDDVEQAKRRLQYQDGQFHFAVTGIAGSGKSSLINALRGLHNGAHGAEVAPTGVTETTHTIARYPDAARPFIWYDVPGAGTLSIPEWSYFDSQGLYIFDCIVVVVDSRFTATDVAILRDCVRFDIPSYIVRSKSLQHIANTLIDMPHDASMPRDEAGRRKAATRRYTSETRESVTRNLERAGLPQQRVYVVDKETLMQITNEKSPQSPPVVLDELELLRDLLTEAQRRRICPSLLLETNFIPLAYLDIFTSMGQVFALAAIPIIIGVMGLIQGLQNDARSGRIAANPVMKELERRMEEERRRAGEADKERRRAEEQQKEAEEASARAAEDARRAEEDRRHAEEARARAEEEARRAEANRRRAEEEHKRAEEQRLRAEEEKRVAEQAKAAAEEQRRRAEEDRQRSEAQRAKADEEAKRAKAEQEKAEAARAAADEAAAEAKARAEEAQKALREGIKPVIMPTREEYEATRKRLQYKDGIFHFAIAGISGSGKSSLINAFRGLRNGSRGSLVAKTGVTETTSRIVRYADPNKANPFVWYDVPGAGTLSIPDWVYFNEQGLYIFDCIIVLTDNRFTRFTSTDVAILRNCARFQIPSYIVRSKSLQHIRNILNDMPYDEDADEDEGARKDQAIKQYVAETRDSVAQNLEKAGLPQQRVYVVDKESLVLIANGKVPESLLDELDLMSDLLKEARRRRVRGLESPDPVAPSSSTAWRTTDTASIPRDWVRALLRIPQTSMGVRASVVNAVGVLVTAVTGLIHALRADAASGSVGRNPVMEELEGRMRQAQRRADEAERDRAAAEEERARAEENARRAEEARERAEEDARQAREQRQGAEEARRKAEDDAQRAYDDMRRAEEERRHAEEARRRADEATQVEDVERRKTEDEQTQVDGERRKSEEDAVEAKRVTQEAEQGILAADRRRAEAYAGLERAKKALKERINPVIMPTEVEYTATKERLQYTEGFFHFAVTGISGSGKSSLINALRGLQNSSRDPRVARTGIVETTSEIVRYTDTNTANPFLWYDVPGAGTLDVPDWNYFNTQGLYVFDCIIVLIDTRFTQTDEAILRNCARFQIPTYIVRSKSKQHIQNVLDDLPEDDGDDEDGEDDARMEKAMEKYIAETQDSVAKNLARAKLPQQKVYMVDKDFMVQVVKGKQPKDFLDEWELLKDLLAEARIRRSLVIGVGVNTTSKRITARKSIFVNRKVEYAAMIQGFRRALADDRALP*
>EED80790
MIIIVGGSLLAAATAGATIVVGSLLAVATATVTVAGSLLATAAGVTVVGSVLATAAAGTIGGIIVVGSLLATAAAAAAITVISSLLATGAAAITIVGSLLATATATAIAVVISASSVMEAIKDHMGGDSSSAGRQKRNGHILKKSRGGYRRLRKGLKQKRERPWRGQKRR*
>EED80791
MYHHSLLASWAAVAMTPIGNANWYIEDTRVEQERVLAERARRKAEDSARRNAEACRCAEEQARQAKLEQEKALADKAVAEKAATEAVAAAEETMKALKGDIKPVITPTLEELEATKKRLGYKTGYFHFAVAGTSGSGKSSLINAFRGLRNGSNDPSVGKTGVTETTSTITRYRDPNEAIPFAWYDIPGEGTLSVPDAEYFNVHGLYIFDCIIVLMDIRFTATDEAILRNCARFHIPSYIVRSKSLQHIENVMRDILGDDEEEKDDKACMDEAVEKYVTETRASVTDNLAKAELPDQRVYMVDIDNIFKIVKRRKPKRAIDEYQLMDDLLSTARYRRIKPKRSPQSLLFSSAASALARSCGVP*
>EED80792
MGVVESVVALCVVGGATGIGFGIFKLVTSIQNAHSRGTIQRNPVMEELEQRITDEKAPLIAKSDTEDDEPNVTEEGTLIAIEDETQRAVEEWREQQTRQADEGWREMEEMRIAEDTPLIRVQEELAAAERLQKEAEDRARRAEDERQRAEEERLQAERQKILEMRIAEDTPLIRVQEELAAAERLQKEAEDRARRAEDERQRAEEERLQAERQKILADEAKAAAEEQRRRAEEDRQRSDAERKKANEEAQSAVAGKEKAEAARADAQRAAAEARAEMQKTKDALKKGIKPVIVPTREEYEATKKRLGYQEGLFHFAVAGVSGSGKSSLINAFRCFNNNSKDPRVAATGVVETTNEVTRYPDLNPANPFVWYDVPGAGTLKIPDWQYFNQQGMYIFDCVVVLMDNRFTETDIAILRNCALFQIPAYIVRSKSRQHIRNVIEDIVGEDSPISDAVRKEAHKRYVDQTKKTVDDNLREAKLPTKRVYMVDKAALVTIAKGEKPVRYIDELDLVYDLLQEASKRRINTSAFDGLLAAGAYVSSTMSSLANTLGESLADPGFTDSSSEAFFMSGGAAFY*
>EED80793
MRLNVRCVSAYAFAIENFKRTPEEVDALMSLAEEKLLEICQHGDLLGEYGVRLNVLGKTSLLPPRVQAVITKAEEMTRHNNRAILNLCMPYAARNDIAFAVDSTIEHAIAEGWDREITEDDIAAHLMTAAAGSPPLDVLVRTSGVKRLSDYLLWQCSENTQLQFSSTYWPDFGLWDFVPIILDYQQKVWAGASSSKAVSS*
>EED80794
MTSSLGFRLSHGIENTNRPPIPQAHKWAEAYVPTPARPLLDMSQGVPGIPPPQEVLNALGAAASDPSKCGYVPNVGELTLRKAIVEEMKYRYGEDTDVTPDDIAVTAGCNLAFVTIAMTLGDAGDEMILPIPWYFNHEMTLTTLNMKTVILPTLPEDGFMPSPERCEALITPKTKAIVLVTPNNPTGAVYSPSLISAFAELACKHNIALVIDETYRDFITTGPPHSLFSPSPAWSWRTTFIHLYSFSKSYCIPGHRMGLICASPALIPHINAALDSIQICAPRPPQVALAPLLPSLRPFVRAAADAVAHRHTLFAQCLPPRWHIGSHGGYYAFVRHPFVRVHANEVCRRLAAELGVVSLPSGFFGPTQEPQSPERWIRFSVANVSDEKIKLVCERLKESEEVFGWEVGP*
>EED80795
MTQLAFKKQGHRRTARHTTSEASNLVEQGSKANVVGPQSGAGEDFPRTTSRVLAPARAHSTRRIWKALYTVLNCGATRRRGVRATNGTQRRLTAKRGGARRGVRCSTGDHGMHGEEGGCWLNVDGAGRWWDGMAPVRTGWEHPELEPDRQPWCPGALMAARGGRGGDAAIAARHGRLRREMRRSAGRGRRGSGPRGVPVTDAQRTWQDPASGSKKAAGQSPGRPVGRETREPAQRRQGALRSGGAVSAQGRDAGTEPIRASTVRTPAGTRRAETGSAAATSGSAHGVDARQDVRASPPNASDDLARRSVEPPSQGGLDALHAGRTVLVAAGRSPAGSVWLGPHMTAQRDLTSVRLYKDNIGGCWSGCVVAARGLCTWSEARRVGVSLARRSPGDIFVRGARYSNRFAARRGRNPGGTTVADAASASTTTSSPSNGANSKRKPSRRANTAERRATHNAVERQRRETLNSRFLDLAALLPNLSQIRRPSKSSIVNSSIAHIHASRRHRLMAARELRLIKCEADALRRELNEWRDRSGLPRVEEPVRGEGFQMILSGEVEVLSAGPIEEEDGADYGDDQYGDDDYAGPGMMSSHGNDDGPEDVRAPLAHAAAASLMKSEVSPFAHAVPSGGLHLQTMVPRQGGHSNGTPMIVQSPTSVSFENPAMVSMYEPHHHHAHPPMGNAQFPGQFFSAHGGAMAEDNKMWHGPMYQGPALSAGQQQFTPPGTAQGNAAYYMGMQQRQQMLGRGGMDDAAPAAQRRERSGSMASNGSGGGAGYEMPRRMGAGGHFGDGMGMGGMGMNVGMMKGAPISVGGGGNGHGYASMML*
>EED80796
MAGVLEFDPLPLLALLARPLPVLVFAAAKAVETTVLLSVSASCVATTDPPGNNVLVRTVVKVVTCDCAVLAAGALEDDPPLVSATELADGEDVDPVPEEGFGDEEAAATEELLCTGDAVEEAESWESPPSADVHDKDVVALGFRDVTLLLSDVETLLEVTVANSVAVGEEMQDMTLQQRGDLPKARSVARATHMHGNYVQRVVIK*
>EED80797
MFPEFPQELVDEVIDHLWDDVTALRACSLSCSTWLPTSRTHLFRQVDLRDAAACARFTKLLEASPVLVQYIRKLSIDAHHFSYDLSSLRNGDSTWLPLVPPLLGALPRVTELEMTSLNWRTLQLDPKHTELFYSMLGNVKRLALVNVHFESSSDVLNILSAAKLVTELHLDRIYWNCWSWPMPDVLSETEEMTHCGYLQRIVLRSGSPPNAITDWLLATGSMLDLREVQVHWRERDSTKALGALVRASGPRLERLYLELTNGVATQAAANGDLDLAHLTGLRAVYFDGLVLPDCCEWITSMTAQLHSDRLEMIEVSLLARWQDDLRAIDWVQLDKTLAHERFACVTLIFKVNLAIYNANNQDDAGDIVRSALPGFRGTLVVKYT*
>EED80798
MSTKSFAGERLARIQTCTILVTLLHTAVRPSTLSFSHPEFLERGMNARTGNLRFHNLGDGETQIEFWASVHKNHNGIVAFERLYIWEPVKLSQNITTEPSTWWPQFFLERGLFKYKTMEEYFSSTVAEHEVIDKTQPLFLAGRPGGKGLSQKPATPGAISHSIRNLATAAKLPTAGASAIRRGVADQCAVILGRQLAAFMLGHRQEVMLLDTHYARTAAQVPMVGIMTGEIPPETLLAQTSLSTMESASLAVRVLANTSASIQGPRSRTPKVLTPQERQQAM
>EED80799
MRFKCIKHLEIQELNFNRAEVGHWREGVEEYANLTLDEVWDRLGLRDKVIPGFATLQDPDGTFDAWTKQGQAVLKGSKATLLTPRWHQLVGLLKLLDNLFLGKPMLLMDEVGIGKTMQAVGLCAMYDYARQYYVEHECFPGQWAKVKYPHTEDGNLPDRPMIISVPTSLVSQWTEEIHRYIAKGSMDLLPHAGTYSHRGDWWASVYAKSKHPPSRQIILTTHSAIASDCDAVFRINDLRARKGRRHGDVQYKATMGDDARKKTLYGHKYGVFIVDEAHVMRTPNAAYCAARELRKISVMSMAMTATPVLTMATDVWHIGCLLGIAKFDNVENDVKLHEYKTKLAAALRADRMHAKSSGADKNIVTRVVRGKEVNKLVESTFSTLVDDIMEDIRKRFDGFVIRRTLHSVDWRKKPISGLTPYKEQVIMLTLAEEEYANLDNIADEAANNSKGGAVVYSNGKSFYLAVRRALLHMACNPEFIWTMPATLQDWQTQSTAKIVAMADLIRYHLESDGRCPLVNSVTNADDEASAGLTPRAKLHLHNRLVPDASDAIAEAGDPVNDSKPDKIVLYMAFPSTFSVLLPILRLYGFAFVTVTGDMPPAKRAAMLKQFARGGRDGARLLILSAVGLVGVNLAIACILIIVDTLWSAQQDQQLIGRLWRDPQAKQVLVYRLIARNTSDVFLNNISFDKSIMHHAFMGSSMSLKRVFDPQLEIDVDDSELQGEIVTDFTEEQIEPPCVSKPSRGRKIANANEEHAEGSSTPETRPKKSRAKRTQQGEPMDKVADKPGPKKLTAAEMAEKKQKEKAEKRAAKEMEQASKRAVKEKEKADKKAAKDQEKAEKKAVKDQQKADKKADKKATKGKQKERPAALEPERSASPAPPPTRTKCIPQKTLSQEVVSSSDDERQGRVLRRNQDLVDDSEDDAALPGAEGDAGRPPSLLGLLARTDVDDLDAVPKQADPSGDAKSTQLPEDIELNDSYPKDILRADSTKVKSTSGQNPFASDRQVPTQYFSARRVPRHGGGTSSELLGSLTPPIRSGLQVLTI*
>EED80800
MRPRRTHESGTQLPAVFATGVVGEYGQLAQAILGDEAIMMASVVHEHICPFLNSLMIGTWRRWTAFLFRQHKHLDSKLSAVREKWSDLQNGEAIITAHRIMQFLSTVTQLAELVERLHEKEAMKEMQNIQKHLTDMLDLISGKDIAGGTITLTKALRVALLQLASQDEIEAIRSEIISIVHGEPVEIQELNFNRAEVGHWREGVEEYANLTLDEVWDRLGLRDKVIPGFATLQDPDGTFDAWTKQGQAVLKGSKATLLTPRWHQLVGLLKLLDNLFLGKPMLLMDEVGIGKTMQAVGLCAMYDYARQYYVEHECFPGQWAKVKYPHTEDGNLPDRPMIISVPTSLVSQWTEEIHRYIAKGSMDLLPHLVADDPTTLDVQLDVPTYPDPPAGPIRLAASPPRRLHTGMRIRENNLSGTIGRVTEWTRRTIVYSLNVHSVGSTHELRVPRCHASLRGWDWLLFVASYPWLAENLEGEIMHELPVSADHRAKVIPRSLHASERHVCATTGQCPCRRHSASASWTHARVVGHGAPRAAAMSSDSEVAFRLADKKMRDARKHGDVREKGRYRASTLYGHKFGVFALDESHVVRTLNTIYTAARQLRIQSETMVALTATPVMTKATDLWFIGCMLGIPAFDEPQHDKELYQWRSQLATAMRNDRASAKHSGSAMKVVSRVAHGLSVEDDALENGFSKVVDAMMVDVRAKYDGFVVRRTLGSLDWEGKAISGLPPYAEHILMLKLTGEEYKNLDTIANEAAELNPGGSIAYNSGKTAANRAMWAEDAGTPRVRLGRTPAWGHAGCGLKTPAHHANTSDVFLNNISFDKSIMHNAFMGSSRALRRVFDPKYDLDVGDKDLTHEEEPQELPDVQGASGSASAKSNIPFLAEDFSSAGQQQADPEPQPKQSAKAKREAARAAKKQLEQQERLDAQREKEQREREHEEQQEAQVAKRDKRERQREMRERKKLAAKQAQSSQAPSASGSRRARKVVLSDAEDDEDISAQKVRSPPKGATGSRFEPLSTYAHESDMNISAESTDDEIRTRRLLFEPREESTSTSAVSGTLNDALEKFTLDAAVQDDVEPIPSASVDTQSQQRSESPLSDVPMGEADDAEPPAAGSSKRPAQGPTGESPPSKSTRAGGRRGVNKPARVPVVVSEQPKPQPKPRRGRKPKRG*
>EED80801
MWAEDAGTPGRMRAEDAGTPRSFYLSVRRALLHPSCNAEYKWTLPTSREEWEAIATAKISALITILKYHLEQDARPPLVSVPVEDPPRPQSPSSDTSSDEPAAYEERPANNLAPDPDAQPDPRDAHSKPDKIVVYVAFPSCFDPLLKILQMYGIEYETLTGTMSGRQRAEALHNFMQSDAKGVRVLVLSNVGAVGFNIACANILIIIDTLWSAQDDSQLIGRLWRQRQLKLVHVYRLIARNTSDVFLNNISFDKSIMHNAFMGSSRALRRVFDPKYDLDVGDKDLTHEEEPQELPDVQGASGSASAKSNIPFLAEDFSSAGQQQADPEPQPKQSAKAKLGRLTFASAVT*
>EED80802
MTGWADYLDELDLLKSRRAIRDLVSFTQLPTAKEPVLQELEDWVWHYITWIHDSYVTKAPYLVRRMILHCPIDESNGEAFRPLPKHQCDRGGTLYRYSQMMTRFLATIMRSIDDRHPCKYQFPLLETQVDLITALHNSLRDNKTSLSAFHTVWYFLIGEPFAFTEVDKWKCPVLCWLALASVREDGRFIDANEYTPLLAEWEYLMRITHLTQAYRNFEAECQTTDIRSNFITICSVQFSQFLKEGVNSPYNSVREHQHFASSIAKNTAAAPHITWSSDMTELACDGNNLQLSRLRFGLNAIALDIETRITKLMNGHIIPINVPDSLTENMTNRDLGYGWMELPNLLAKSFPLLEILQDHPHFKICEVEHNGKLHWIHRGIMAVLAEFTVINEELAILCHMLPAPPPRGTELVETRIRNGQIPRNLYKDRGTWLIHIHVKTTNIT*
>EED80803
MTRAPCYERRANAIWAMPAVARVVSTVDDCRVNNQRNTTRNDRLGRIRASSGQPADSELSVAHPPWMHHARARGSTLADPPVPQLFIKDVKSSNGTFINGERPRPEGAESEPFELKSWCEFGIDIVGEDNKTIIHHKIAARVAQAGPTAYGVGALPAAGKFSFAPVQLPNAPGQQRRPSFQQGLIGLGDRGRNMNVPGKSGLTLDHILSRLQGELQKSRNTGAELHSLTSAMNEIHDTLGGNLLPNLPPHPSNLPPVMPPQSQQQQEESEQQQAPQAPSPSDSDKIRSLETMLAEHEAIKREVGSLRELMEECKREMDTSRGRSGSPSGRRQYGHDDDSHYMSDDDDNVHSVSTIVPHELDRVDEEDEEQLATEEKRSSGGGGGKSWVARACQSQRERVQVQTEATEQLAEAMCSEQPPDSAAQEAEQRTRESLTEMVNEWKKNEEWTEEREDLRRAKDEWETRIRVVEDGVGSNISKVESMLGTLAALPAQQHSFLNGNGKLTHSGGLVTPPSPRSLSAESTRPRQRRKRSSSSRGRSRSRSASMAAGVECLPWTADDSSISDTESHAASDRATAAGEDEPTRSLKGMPFPITPEFSVLNHPLSSSDDASGTATDSQTQPPPKDLSHFNLSAAFRYLFVWIPLHRFRTAELLVMPFLCQMGSIGPVPF*
>EED80804
MYERASGRFQCAELGRIASHLQVLVITTSLVRLPSVHAESLNSEAAGDGDGRTFMSQVSRMLLKAEINLDPAARPGVPAAAGATHESELGGLGLEQASQKLGFGAAHLRKVRGILPLTSNNMAHLSGEQVTEPRDGSKDEDQHPDDNNDDLHHERHRNAQDVQDCKLHKWLLCGRKYNQLTKKGGQSAGLLNCTERIHEAVVTASVEQNVIEQAACLIHRPRVEASLDNLVTLSTRRRAFVSTERGADSADKSVNKAQAHSPPSITVACCTSRHLGGMQLKADAVFRLFEIEGHIVLYTVVVMIGKPTERTKPGNREKRDSQILMMQYLSRVHLDAPMSPMELEVYHQMRNVIGIDPAFYEYIFTVDADTAVTPESLNRLVAAVADDSSIIGICGETRLDNEDGSWWTMIQVYEYYISHHMAKAFEWLFGSVSCLPGCFSMYRIRTADKGRPIIISNRIIEEYSEPNVDTLHKKNLLSLGEDRFLTTLLMKHFPTFRTKFTSAAIARMIAHESWRVLFSQRRRWINSTVHNLCELVFLPEMIGFCCFSMPFLVFIDLLGTLILPATVVYLFYLIIVVATGKAAFPLISIVMIAAVYVSSRPWGNPRLVIGEGSNKKVIMNGDEKFDDSMIPLKKSSEYEAEAWETGSRHSDKSGYNNKPHSHQSPRNFHEGSQACKYCRDANPLGHKSSDSNLRGQASQANLSQYGAQPMMSQYILPQLLFMPMGGRPGVYGMMLNAPRNTIMTNLNMYGGEGDASGSPSGFAPPGRIPAMQRPMSMFSLATSVLSARLLQR*
>EED80805
MQAKTKTFIPAVVPTLLAYTQRKKPAADVEAMELEHGAPPVGVSQSRAHEQADEDTREYDEDDDTSASDDKHRPHWQRTAWEDVAVGDFVKIVDNESFPADILICATSEEENVCFVETKNLDGETNLKSRNACAVLTHLRTASTCADSHNAFHVDCDRPETNLYKLNAAIVGKDGEKTSVDSQMVLLRGSVLRNTGWVIGLVLFTGLDSKIVLNSGGAPSKRSKVERQMNPQVFINLGILAAMAVACAIADAVLEQRYYPRGAPWLYLDNQGDDNTHVNGIITWAFALITFQNIIPISLYISIEAVRTCQALFIYFDHEMYHKKTDSPTLARSWNLSDDLGQIEYIFSDKTGTLTQCSVGGRPYRGTSVDEESTTKVGLPDDGEEHAAPSLRSQSPALMEGKKTPVSSSSEDVPDPLAADSPCLLYVQSLLLTQEQEEQHQEQLPQ*
>EED80806
MNVLKSDLQSMLNLISSGPDSSGETKPLPKSIRTALLKLASQPQVESVRAQIMTIINEEQPEVVALDFESLPEGTWKEGTEEYATLTLDKAWEHLGLGSIKRIPGFAEKLDLNDTYDPWSIEGLEVLRSEEAVPLELKWHQVIGVIKLVDNLLAGKPVLLMDEVRIGKTMQAVAFCLYYDYMRQYFDEHGHFPGKWHRDSTWWDDVYAKSHHSPSRRIILATHTAMSSDSEVAFRLADKKMRDARKHGDVREKGRYRASTLYGHKFGVFALDESHVRTIPRLPQISVHNVYVPARISVPFWKRDVAVQNTRGRLATKACGDTREGCTHGVRPRRTRGRRTCDGVGLRHTDKHAALVSAACVRDARSLAEPIQVLYILHASRLSLSLFTLPLPLPLMPTPKVPSAFLDLVGANRDLWTWEPWIDFTGLSDAPWSGKPGSKPKGWTDDDVVSVRAMVNAYWTVAPKDRMPTLVDLKTSFWEFQRDILLAHHYHRASSSSQVEPEHVRLVGQLSYAHDGPLLEALNLGLQPTETHYIDRSLSLTGSSTIVITPGTGYFRVSKSLLRLTTTRVLDQGFGVGLVSLTKPPLHQSPIFAFQGLEPDQASRHGARALDPLWGGDGIGESSSFVKTIFWWEPFWMSISYWDKQMDLPFREDRFVSRAKMHEIEMLGLLDHDVLSNIEIPFLPAPEAMTSSFTSAESISRAVSQEEADAFDVDVFAPIASDSPAVTSRNSVSSLTSSAPTVISSRLTESLKRSSLTSESSMTSVIEESVQQIAIGDSTSELENRFLSAAMNTLSTSPSQSSMRSVRSARSQNSTISARSSTSPRLRATKLNISVANAKASSRLTPAWLFSPFRSGPSQPQTSPVSVSTLSASPNKVSSASPTKPSTTTAVPSQPRLPFPSTAQPVSSTQSRHVQRASAEPSNGHRDSFDRPVAATTPKDESVVSKRRTLSKTTSISLPATVPSAVRTNPSKPQSMSNYAQSSLARRWQHIFPGPISKHEIKWKAMVMPGCLPLSTEQLPSTSELETAYDMFSYEFVIDPLEMRPFLVRPPASAQSAEETREQWALVVMRGMVAVRLAQGFQFVLRPSKSDPHEAGVHDALRRSRSYIVEDEYSSRPVGVRDALKSPYEPIYLTMSNEIHRVSCSGDIVQVRRYVRRMSRSQPIEYQCLIWPKLGVGYTELSTSFNSHGLENYGWNR*
>EED80807
MATFRSRVAVWYSKASNFNVEALFTHSRELTPRTVYVHEDLPEHFVDPKGRIKREYVFCSNQVITSKYSVITFLPRNLLEQFRRVANIFFLAIAILQFFPIFPTVSPGLAILPLLVVLAITGLKDGYEDFKRHQADHRINRSRTRVLHGGVWRNPNPMQAKTKTFIPAVVPTLLAYTQRKKPAADVEAMELEHGAPPVGVSQSGAHEQADEDTREYDEDEDTSTSASDDKHRPHWQRTAWEDVAVGDFVKIVENESFPADILICATSEEENVCFVETKNLDGETNLKSRNACAVLTHLRTASACADSRNAFHVDCDRPETNLYKLNAAIVGKDGEKTSVDSQMVLLRGSVLRNTGWVIGLVLFTGLDSKIVLNSGGAPSKRSKVERQMNPQVFINLGILAAMAVACAIADAVLEQRYYPRGAPWLYLDNQSDDNTHVNGIITWAFALITFQNIIPISLYISIEAVRTCQALFIYFDHEMYYKKTDSPTLARSWNLSDDLGQIEYIFSDKTGTLTQNAMVFRQCSVGGRAYRGTNGDEESTTKVGLPDEEHTSPSLRSQSPVLMEGKKTPVSSSSEDVPDPLAADSVGLSDGVLAHFRDAMLSSDIVSAVSADADAESAKHARLINGFFTVLALCHTALASIDPITGAIAYKAQSPDEAALVQAAADVGFVFRGRDKEVLTLQTPFAGAFERWELLNILEFNSSRKRMSVIVRKLDEEEEGERLFLLTKGADNVIFERLVPGEEELKRSTEVHLDEFAGQGLRTLTLAYKTINEAYSGVEDDYEVWARKFQEASVSLDDREAKLEAVYEEIEGGLSLLGATAIEDRLQDGVPEAIADLKLAGIKVWVATGDKVETAIAIGHSTNLIGREDNIIVIRGGVDGQRNVYTQMYTAVEEFFPESGILEEEGVSLDVVSETQRSYPLQRVNTAASDLVGHNNGDRPGGFVLVVDGGALATAFGDDRHKHLLLRLAMQCEGVICCRVSPLQKALIVKLVKDGLGAMTLAIGDGANDVSMIQAADVGVGISGEEGLQAANSSDYAIAQFRFLRRLILVHGHWSYARNGSMIVNFFYKNMICIGVLWWFQIYCAWSSQYVFEYTYLLWWNTFFTIAPVIAIGLFDRIVDDHVLVALPELYAYSRRGGYYDTKLFLVYMLDGIVQGVYSAITPGWFSTPIYGNDAYLWPSAYFWFCILLTVVLALLPRYLCKAYKFAFYPADVDRVRWLHKIDPNRDFSQYKETDLQGLRRSASRRSTAMAKRPFAHFAGSHTDMSTGMRSQHRGFDFATEENGVALQRMQTNLSERQSERQKHPFRRRRNGSLLPSFSLPRSLRRRRPPPVPESPPTPGSSYSRE*
>EED80808
MTRRVSRSTVQGGIIDLPYDVLLHLLAFLPVDAIVHLLTTSRALYSLIKDEEIWKEVSRRYGVHDARCFGNHSFFEVYTGLLHTYGCLIGTTWAGDHPFTGGVLQFRLDLEGHVRPRGIIGEIWAFRSLEPEEADAYPPPEPPQLTPAIFITFPDLLPADCRIASAPEPERHAQVFCICLCESAISDEARDIRPLYQKWHGAKMQVGSETTQGTLIHTRRGSSVHPDFPNDPRAAWYDPKRVFPRLKPQVFPIVDATSMLKNYPHLRVPELFATRTEYIKPRALTLRCLAGCAMRGSSLLTYHNHTPCAPRYYPLHTSTPHGIEPTASDWHPRSLVGLWLANYSYHGTECIFIAWDAQSRTLSGTKITGDEHVPRGIVSWMLDVSRSCVIEPEERSTCDRAFRGEASKYRLFRGTGHLSARGYITGEQDTPPIIMAVVGADDMRMLWPEDGDIFHYTRYRESLADVWRAEPCELCGNVNAGEQILRAENGEG*
>EED80809
MAALGSTARNGAPAPLNGSPEKSHDEDQHRREGDLGPVYGFQWRHFGARYTDCDADYSGQGVDQLAECIRKIREDPTDRRIILSAWNPADAPDAPRRLSCLLYQRSADLGLGIPFNIASYALLTHLVAHLTQTEPHELIIQLGDAHVYRDHVDALKEQLERTPRPFPTLRWNRRVEDVDDFKAEDIAVEGYQPYPPIAMKMSV*
>EED80810
MQSFTQTRYDLHDQKVSQLSKEQELGLAKSRKKFDIREEYFRLSAAQEEDWEPQRIERPKG
>EED80811
MGGAQSTPTPPPPQSTPFLGGLGPVLIAGAVQAIPAILNQVQAARNGPGAGNTGANPTLQEIEERLRRAQADAERAATEVETAAERARLQREEMSVYETAKHVLEGREREVERERQRAEDLVRAVGEEMEKLKTAHSTADRKAAVLQVALERAERQLKDHIQPIISPTLEQSEETKRRLQYQEGLYHFAVTGVAGAGKSSLINAFRGLRNGSKSPFVAPTGVVETMRGITRYPDPNEDFFVWYDVPGAGTLEVPDWTYFNDQGLYVFDAIIVLIDTRFTATDIAILRNCARFKIPTYIVRSKSLQHINNLVDDILGDEDDEEWEGEGESRWESARDKYKAETQKNVTENLEKANLLQQTVYLVDKNTLVQITTRRPIGKQVLDEVQLVRDLLEQARSRRIADTLYIDYEPGRVHQNLFVG*
>EED80812
MGNEISTFRTLVLSIQAARANKEIIPNPIMEELEARAREAEARAREAEARARKAEARAWEAEAREKESRWKEARRAAKENAKRDEQERAFAEKRRGEQKKKRAEKQRKAAAAEESRRRAAEDEQRRERARTAAEEQARQAKLKEKKALTAKEMAEKAAGEAIAAAEKAKKALQEGIKPVITPTLGEFEATKKRLGYRDGLFHFAVAGTSGSGKSPLINACRGLRNGSKDLSVAKTGVTETTSTITRYADPNEANPFVWYDIPGAGTLSVPDWAYFNYQGLYVFDCIIVLMDSRFTATDEAILRNCARFQIPSYIVRSKSSQHIENIKRDMLGDEEEDVDEKACMEEAIEKYVTDTRTSVAGNLAKAQLPDQRVYMVDIDNICKIVKGKKPKTDIDECDLMRDLLSTARDRRIKPKLCGLIFPTAWASSCFGVFVVGRQSFEYGRLSTGPETICISCACHIML*
>EED80813
MSHLVPPQEEKVTTSTNTWQGKLPVDHEIPPQYQSNASGANLDSSFLVPPQEEKRADTSGKGVDRLEKEFESRSVRNDTDDRARDRQIASSVLSGAAGVTGEDVGVAENQRLAKGATIFIKTDSAWVLHNPPTESEESYWEHIEIGCVGFTANQQLARGPSLQLIDPIIPKHRPMTSTRDKNENDEMNSDGMRHTWDEAAFFSMINAHRDAPVDAWQKYRLWRESCGIKFSLDTVEVWYGDAPYYVRIVDQPGSLSGGILRLKYDLPQYDAHVLVHGDDVRTIEALPVPPEEPYDPDAVDPIDDDAKKLFSMLPLVQFDDTKHFAKPARTLKEIEILLKCQGSPYIVQLLGRTLDDKLVFPKYRYDLFMASIIHRSVVDIRKWMIDIVDGITYLHSLGLTYRDLLPRNFLYGKSIVICDLECNLASRICAAPELHTFEGIPESAFTPATDVYGIGVLLQHLCYANNPRSPYFDWPVPPPFDKIYEACTQRRLEDRPALAQIRAWLEEHKGGVFLGLLEMFIDADDLALFTIRHDAFTRSGTEGEGPHLLARGAEAGHQGLIYSLLHEFMHHIGLSGVSAISCRLFDCSSKCKIKRFTIAEVERIERAITLDRPHHDFLGQTLMFTAAFWRRLVPRSFSPVVSLSVFNMANDTVDDSAITLRRHPKYWLDDGSLVVRTQNDLFKVHRTLLHRHSSIISSLSRDQSQDGGESRLIDGCPVVHVPDDLDVSSADFEALLEHLYHDFPLDPEAPFARVASVLRASSSRQLDFPAIHQLARQRLESLFPTGPQPAFDIENPDDALTLAVDYHVYSIQKALFYTLATHANLDHDEPEEYALLGTSAQGAPTNANPLSRFNLSPTLTTRCQVLLDDLIAHFTPILFTVATAGHMACTDVFAEKWMPLVITPALANNGLCHPLETLQTIMDLDWAAEELCEECVREKRAEWRDEQRTVWERMDGWLGLEGKV*
>EED80814
MVFNAKSGTVLDLSGADRQSVIGHPAHWRNNQQWEFIPSGNGYAIRS
>EED80815
MLVPLTLTRPSSQLAIAT*
>EED80816
MGGGGSHDDWEARQREERRRAEEEQQRLQEQQRQAEEQARRAAEERAIAEERTRQIVEEIRRAEEARRQAEEEQRRAEEARRRAEEERQRAEEARRRAEEEARRVAAEQQRREQEMRVAEEQRRAAEEQRRIAEENARRVEEERRRADEARRRAEEEARRAEEQRKVAEEQRRRAEEEQRRADEAKRLAEEQRRQAEEQRRRNEEERARAEEEARIARIAEEAAAQARIQAEKEAAHARMAQEEAERALQEGIKPIIVPTVEEVAATKTRLQYQEGSFHFAVAGISGSGKSSLINALRGLRNNSKDPRVAAAGVVETTSVVARYPDPMRNDVVWYDVPGAGTLDFPDWIYFNDQGLYIFDCILVLTDNRFTDTDLAILRNCARFKIPAFVVRSKWQQHIENILDDLQDEDNEDEDARLTRARNKLVAETTASVAENLANAELPPQRVYVVDKEALVQVVNGAEPAHLFDEYDLVRELFMMAHAGRA*
>EED80817
MRVFNRCPNTILQVLQVPYESSYWYQKALITSELEDIFANAPRSPTDIANAHVLDAYARATGKAPSGTAEQQGSKKRVPCPEDDCPICYENMHGATEATLTFCDTCGNGLHTECFQQWAATARQKGQQVSCVFCRAKWVTSGPAAAAGASRSSEGYINLGSVAGLSHRVISARCIHDLKEASCHKILVVFPLCCDVFLLRRCPVGAVAFARDVSQVIEGVGSLKIL*
>EED80818
MFKHSNFASFVRQLNKYDFHKVKNSDDNQFGEHSWTFRHPDFHVDRRDALENIKRKVPAARKSSTRNPASPSPPSATVEALQAQVERLSRDQEELIAHVRNLESNYQNVLNEMVNFQRNMAQQDGLMQNLIQYFLQLENAKVKAEELSASNQNVGGFQDANPFLPATEAQRMMGAYPDGDIARASLAQMNEISRRAEVAGMSFTAPPPRPQAQPPQQQQPQPDHSSMTRIMQAAAGLGADTPVRPMSRQDALARIEELSRQRPASAQGQALAQPQASASTGASAAAAAASAAPGPGDAFGGDAFMLSGADGTGYTQGSLTHEGLQVFTVGHLMPKSTLDDENGNWAFDAAALSGVVMPTPQGMGGDPEQEDEGSPKAPYSISAAQAAQARAGAYAEAQAAARPGSAQKLRVRRSTYVPGWAVPPRVLLVDDDAVSRRLSSKFLQVFGCTIDVAVDGVGAVNKMNLEKYDLVLMDIVMPKLDGVSATSLIRQFDHMTPIISMTSNSKPNEIIKYYSSGMNDILPKPFTKDGLLDMLEKHLMHLKVIQTMSKVPRSLGIPPLSDSGFDQALTMQASTSVADAAGGFPLGPLGEDEGKINPLAGMGLTDEQYTLILQQIVSGESFMGIGPLDAGDAGGAGKRTLDDAGDPRSAKRSRFEVVRRRPLVTRSSLLAPRPSDPARARGCTPRRALPIPPAPLVPLGAPKMVLSQACSATVALRRGRLRPAFPPRITILPQAHMTLLPFIYVHVCFYA*
>EED80819
MAARMVRSYHSCRCW*
>EED80820
MVLALLASLPRSRLAAVQRRIAPLLQFDVLGLLPDEMALQVLSYLPFEALLTCALVSRRWRMLADDQSLWRVLCTEQRWEWRNPPVPRDRGYVSDLDDAEDSDDEGMGDEEDSELAVQDMLLDDSGFSSMLVDPASASLTSTRGPEVVIPRRRDRESTRRNSASASLLPQRSTSPSGPDYKLLHQTHTKIHNRILSGSYRLYNLQTSNALNSHTNAIYCLQLYTYPETGVQVLFTGSKDRSIREWDLVTGAVTRVIADAHESSVLSICVHDGILVSGGSDWQVTAWDLKSNTRIKSIRDHRDSVLCVRYDGRRLASCSKDRTVRTYLMPDFTPHHVLYCHRAAVNAVAMSATHIVSASGDRSIRLWDAETGTLLRTFENHHGRGIAAIDFRPPVILSGSSDKHLRLLDITTSKGWSTAPDFIRTLDTANETVCETCGNTTRVATAAENVRSPGWPRAHEDLVRSVALSAEFVVSGSYDYTVKVWNRKTGALVADLAGGHNGRIFCVGFDRAKVVSCGEDQRICIWDFSHGMDTSFVKL*
>EED80821
MSSQPSISRFLPEPKTVAAKLGVDHGPIRLVQAGLPEQLAELGWKVQFDGHHQFEEIDTQNDPPIGKLKNPRLVSRVSQAVAQVVGGHAKKGVLPLTLGGDHSLAMXTISGTLE*
>EED80822
MSADLTPYNISFTDQSAFLRFFPYRDGPTDTQWNVTYSRSSQSAWTYDSNFGDGTSSHVTTLKDAYILLDWAGTAVWLRGVAAAGSYTVQLDGGAIVEGQGAQDGILFKQSGLAYGLHQAILVVVEGEVSITGATITVGLCSSGTSVQTRTVPAVFPNTSANPFFVTDDTYAPTTLYANQSQPYAVLATYTYGSALAFSISGAVGFELYGSDDWTQGLFTVSVSTGGDDAISTLPSASIQYSPRSRWTALAQMKYVAAGLDETQTYQIEVQNLGNTFNLAELVVYEAVTSGSGTTTVTAVVTAPAVSGSPIAAESRGSSVSGNVAGILIGVFIGLLLILALLSTLWYWRRRRQRRRRDEHTASDSDSEPVTQMHATETSWPVSVFQPGRVEYGRLEPNRLGTSRALDPIQESHDEIMVVPR*
>EED80823
MEKQDVENELRSTRVQQLSTDFTIQQLTLQPVADIHAENQQLKSESDSLREETANAREDARRSRQDIHKMQTMDDKLCEQRANVADLIMAESEVVLKECMVDLSSELAKYKAMTATTARATESQAESASDVFLTHLQRGVPRFDCFKDLFRPFEAHTIIGLRRLADRFMWHLCPETGYFIAPEHTLPVNVQVPYKDDPLPHAPRKRTIELFCQPLSFGAVWYTGRYEVGNAETMQPDEYKLLPEEVGRTAIHGIVVDMVDCPQIQQYVVEKTSSSLEHWALQDKYLGGQMTALRYPVRRVGYMAGFDHLKTSQTL*
>EED80824
MLLFRNAFLPALSAAAILAALVPCASAAPWWPMHFVMVEEPPSSLDALPTVLPASPTAPSLSLAPTATLSEVLSAGITSLSSAYSPVNTTPAVGDADVPDVIPPDIASDTSVLAPQPSSSTGGAPEGLSANQSNGAVITSPGRGGAALLIGCAVSVVYLAL*
>EED80825
MSPWCSCGAADSDVPASATAGGRRRMRRQAGIGGSRPGAVRAARAGGLAIVVAKEEVHIVELGLVAKGARAVGRGVEGAAADGVVDDAALAAAEDIGADDDGGRDGEEEDEAEATALTEKGVHWRDRALAGGDERAEGACAQYRDADDDSVELWPPSSVDVVVAVGLADVVEVEVDIVSASGVESRKPAGGRWAGVLS*
>EED80826
MPTLTIQLPDGIISQPTLDEFRERYAALQQAILQQRTIKALEQLGEFPRNLWIKILLHISWRDLMAFRQYKIELGHAGLIDGSPEAPRRRRLEVLHKLQRSWRTPSFSIPVYGYLQHTYSVLSCGIMAQLVAADTIELSLLPSSLRGQLKPLLWKTITVPNVSNIMCFYVDPAQDLLVIQDSMSEPTYHGRTHLRSLEMNGNKHPLARGSPFLGAPSRSVIVQGALLGFPYLCGMHVLNWRTGNAVWRMQFDVAVDGCAFLDEGFLLVSETDRLCVYRLNPHAPCDWQYADRGSYVCSMHYPVLYDDITFRRTLIDQEARSYRSQDNAPFHADPAVRNLFSLTMYVQKYSQAEHIFHILLPATTIYKCIRRVISRKVARDVAWENWGPMGTRMFEQPTGPSKTFVSSSVLASQCLTTCRAREKERPHLISIYETNPMLVTREARKHRVREGKSKMIAQKILYDLGPSNLTAEYLFKDVITTSWPYRLVQVEVPHAVGDIVRTVLLEDSVLVQVIGNRQVHHTLGRGGAPIEDTPPCPRALSVRPEEIDWLRKLTGE*
>EED80827
MSTTRSERHSVANDSSAFPVVAFESQRAADDVSQSTTRAVPAYAAVGSPDYAYFEWDASQPVLSPAISASPPAAVISASTPSPITFSTSTSSTLRPAKRPRTDDDAPRGSLKVPRLAQEGGSSSPETATTEIPPKRKRVRKSDKGLDHIPRPLNCFILFKNDYIRRQRESGYEVMSKNILKEIGAIWRSLPHDQSQYWKEKAKGVKAKHEIVNPGYTYKPVHKKKMGRPPKGEPRMSDNAGELEGEKGKENDLRPEEDKVDKPADTLTKNEDGRAVETMRAVAVRQGDSLWRLQAQAHLPLHVLPLPQSALTVQYAETTSGWPNATQAVLQSQSQFTSAVTSFQQAGPSCLSSPHAWQPAHLNPMHSQNMNAAAYNGVVWPDVAYSATYHLTPGGYYDPSSSCVVDRAFSQSAPPKPNAQGPSEEDVAQMLAVLEEQELLVSQHRDSPDLETPLTFPAPVHDRLMAPEELPQSAGRFSDMLRDIDRWYMQSEIEPSAAPNSGLHARVRTGTVKCRSHGVVGRPTGFGPTKSPPPMAQGTVLAQRTPRRWSDNEANEQNGGRAGGAPSELQASCRTSGARRGGSGQRGIKSQSALLPQDE*
>EED80828
MCCYLGNCSVLAESRPTSQLIMTPNQDQSNMHKLESEPVWTTRRRLDNLTVRSLTRCYMGSICIIHEENSSYGTLPHTTLNLLSAIPDVASEVTLPQRYNPPDTTFTHNKESISLSTMTTSRDERHSMSYGSFAFPVVAFEDQHAADVSQHTTRVIPSYAAVGSPDYAYFEWNASQSIPSPTISASPPFFVISASPPSSVPSSTIPPSTLRPAKRARLDDDVLQGLPKVPRLDKEAGPSSPDATASEIQSKRKRVRKINKGPDHIPRPSNCFISFKNDYMRRLRESGHEVMSKGIAKEIGAIWRALPPYESQYWKDQAEGVKGQHKNVYPGYTYKPIHKKKTERPQKGGPLIQNGATELKGQEGKENDPRPEEDKVAKRTDTLTESEDSRTVGNMRAVADHQHDSSPALQAQSHPPLHALPLPQGAVTAQYAETSSRWSHATQAVLQSLPQVASVVPSLQQARPSRLATPHSWQLARPNPMYPQNMSAAAYNGFVQPHIAYPATYYLDRDHAQHGVPPQMNGFQVMAPVPIHDATQCPVYLPSQSSLYAGSVAALHQHPATVQSAPPYVMQTPGSFYDLSSPYTGNRAFSKSAPPNPTAHGPSEEDVAWMLAVLEAQESHISPHRDSSDLGTLLTFPVPVQNRLTAPEELPQSVGRLSDMLRDIDRWSDSQ*
>EED80829
MSMFWEARSSDRYRGTQVVASFTNIDRSEGDDNAISFSGPEAPCMNYNYRPAFNPLAGDSFGNPAVSQAGSAGRWSQIRGHRNLSEETRGALVPSFTGMSESEEDYDLYYTPLDFEDSLLSLGGTPRKSQTHVPPPELQPGSGSECESQPQSQQSLPPASETDEFDAYDLSEFTAEDFAAIDAVLSRPGAPTTVDATNQEGAQSKCGGPRVEIELEEHADCSALVKVPAPPSPYPAPDVVGWQLTNGRRPRSPYQQFRRQKISLSVTDLVSPAWCEVQFDYGLRQKRFLKPEERPISFVTADGKKIDVDKRFSSRKYPAYVPTWSGEQKVHKVLEREIRPEEIVVEIQTPEERWALRLINGVGLLCKREMPVFGIVHDQVVVGIIDELLRKPAEATQPPPQPQASPGVSKRRSPNKRESPSTPTKKNKRSRKTPSPSQPKLTSYFHAAVQVPEREDEGGLLDTSECTSEGPVFPSTPPTVASCLPEDFSCPPALIPSHKSYTLHISDTKTRRHPSLPPDEDALSSRLQLMLYHRLLSNLLATPSNLSPSAGGRLLDFKSAAYAGFSSDLGFDAPAWCLNDLAAQWRQVVEALAVDSVDKTLTLVYRLQPLRSAKTRETESGSKHPATSYMTEQERTNLALAIEASLNGAPNQFDGRDDGLARAIEESLQTARAVGQISFNGVAGEQSGNADSEESTPKEVSLELPAVLSSMPDPGLGSSDSAQTPAIAEREDTSAESSVAPLVIDSLSGEDTSSEDGDTPMPVSELNKKAQILGSKQFTMDDNVLDDYLTSILRWWHGQRPPKGVDIELTRRCRLRVEREQS*
>EED80830
MRGKYDGLRSQNVTGAGPMARESRYGGLSRQPSTFARANPLEARREAERQRESERPRETENTRLKETEKDVVAVLRSRPSAARRHKHSSGGKTPSRGNSIDLLSSNPAESSSASLPTLPPRPQPSMDEDEPPLPIQSTRADPQPVLRESPSKRASYIERSPIVLQKPLEAESVLGTYQEPEKAQPNRGVERERAERQRRSPERTKGLVARIGTGTKVNLTGTSHKGLKLPPVDTKTASRSGVKSSPNGLTENWSPIAASSEHPTKSPSSSSSEEEPEDLQGYAAGRHDRRTRESSRTGDRTGSAVEDKRRLARSKGRQSSVHDLVDLWGANNGPAAVPQEPRREREKSTGASTTTRQADKRRSVIMPAPSARPPLGARLRAESPAPLIDTSISSVASRLPTSQVPPPASPHRRQPSNVPGARHTPAPSAVPTPMLGRSRPQSMFVTSLSKTASTDTNASTSSATTHTSSATSTGQLLSPPPDPQSRRASRRSSISDMVQRFEAIGSSTKGPGTLAPPPGPAPKPAGLSTKGGPTPILASTSVSSSGGGDVPSPSAAAARFPRLSPTTSPVMSRANLAVPDDAGQGRGESARERRAGSPAAGLPSRASPVGRSEGAAAVNGLPGRRSPLSFARGADTQERPASPFPLRKAVANAPLAAPAPAEEQPSPVRSPSPERPYQGVSKLIDRWNRAVDDTGAVPGVGPKKGGFPVRRAGVVGDSGHGR*
>EED80831
MAAVAQHAIPFSRPATPGHAVYNIGFYGLGSMGYFMARNLAAHRAANTGSGPLFVYNRSSAKCVQLQKELGADKVRIADSAEQLVLECDVVFTSLASDAVVKAVYEKFADALKVRHHGKPIGAPTDQKQDSRGNEHDLPDAGSSGELDTILSNIPHTHLVTGPVFGPPAAAEAAKLICVLAGDYRSKKEVAHILVPAVGRKAMDLGGNLEKAPTFKLIGNSMILGSLEILAEAFTLSEKTGISASQTYELVKDMFPAPPFINYGNKMVTDSFDGKTGFAIDGGLKDATHVRRLVTEFNSPMPVVDAAHAHMLTARAIHAEQIRRNSAAYEVIDWSALIAGTRVAAGLDAFDSAQEVC*
>EED80832
MSDVEQIPAERIEQERKPRKQALQIGKTKRRKSGVIGGGMAKKTVKPKVPASTVARILSASAKLRFLLTSTLIALMSSALHTLKKLPKFPSLSRNCPPVSAAPDASSHQRKRSKTDLSSSQTSSINQPLGEIKEDAVTDSQREVEVPAPESSIASRLRARKPSADPQAKEARVRPGNANSQARSPASTAQHGGRKNGGRRQLTHPPVAPSRSRSASKSGDLHNSQKENTSDARALRQEQDGHMHRSGHMPGLDKSSVALPPAKPTRPIEFHFHSDARIEARKAELEKSGSMQTRSRSHAPLPIPDFKAMHAAQESALAARKEQIMPVVPMEMELNTDVRARERGKYDEARRERELEIEQQMEERRRQRELEEEKEIRELRRRAVPKANEVPEWYANAPKRTGKPKTGRVLGSYEISDNSLPRAGLMHTDRRLDIRFELSPIVGRACGASDRPPNVRGTWQGPQHPNSVPWAARRARTRGPTHRQIRPDARSVSPRVGVSITPRRLEAANTRRRRPAMIPFAPDTDS*
>EED80833
MSLSEARAHTDMGTELAGAKSARTCERKCEDWINANTFGRGETAVYCAGISAHDSEGAHGRGSWRSWRSFAVLVLVVWAWAWDKRIVGSSRHSRGVAVPGGDGNEGDGGKEARFTVHEAHAWSGGWGAPRQSTEHTARLDGGGRNLECPRLQHGARKRGEAVRRRTGARDHSAGTHVRAYDFIGEQHPLALRGCRRRTIRDSVRSDKRTECAMGAIVRGAGAHAEALKKTKETRARAGRVLAALRRQYGCGMRSRDWERPSDLYVIKSPGRRWAQRGPFPERMDSSTLHSAAARSRRRPIAFRAVGTRALRYSCRAGSGDGALRPLQAAGKRRNVRMDVSFRVAVWLQRAAADIGVSGIRHQEYVPSNVHAAQAGMTAGAEHRTGITACPGFAGPGGGGRPGAARAGWRGGRARGCSPLLLLLLLLRARCAWKAVQRIVGARSSRMTEGQLNRARQGSRRGQGVRDGSAMREARRRQAARELGW*
>EED80834
MARSQKPAKFAKKPSHKAANALGYAKRQSRKTKESSSTSVTDVYEFQQEKVRRSKVKLQYERDELLGAGARGSESEDEDGGDVRRQNQPRLIGEGEENEQIDEDEDEDIDSDAAFDESDEERYAGFSFSHKKQTASKNKPSQNSSGATSARSVRFAEVDLNEDDDNEADGHSDEQSDGNSEGAASDDEEEEEEEEGEPGDFLDVLDVLDGRAHLDSEEDMGDIEREAGSKQKQDKMASQAMGRDGDVDMDDDDEAERFGSSSEGDEDEDDEEENEEQGPEGQISASEEEQEQDELALQNLGHARRCRRSSKSQE*
>EED80835
MAPGPMEESEEEFWQEENSGERDFWKQALHQADVEDGVLHRARLLCTPMSSPKPKSPSKKRSKPKRMAEKGVATDVASGVFKRKRKNITSYGTMTQTSSTSQSEEERYYTAEGSDADEKEVVDTDVDPTPPQTQESSFAFSTQFPSRATSMTSVSTVSSLSSASSKKRPSSPGPRVSIKARKKTRQMDSSSTSSIPRDSTSTLHVPAPLRFPPPACHSEMVPLPQITPSPSRPESVNSAATTMKGDDPQTPSKAAPLNASAQISRTDRLRQSITIALQSASKSSTSAIRASAADPVIIAHSRNVQAMLDAEQVSWGVQYEIARGICSGLWTWQDVTMEKLERLRGPNQMAAPRVHAVMLSRASDRTPVTDMPLWIELDREQAALADDPTRGLGLQGEWQGEANWYGGRIQQVARLVKQDKGAPTPYTVVLEKMLKRKSTRFARFLGSRRVLQVSIPQELMRGAEVSCVRDFLAQRFVLCGRVFVSFATKEHKVFMMETNEDFERVADSRGDQHRISLEQFVDWHNPLALNAWQPTSKWITRFELGLSTSMPVLRFRGVGIDIEYIDDLVAPYHSSLKKAPTENIFTDGCGYMNGAALTAIGRLIGYSERPTVVQGRFAGSKGLWALHPEDQEPNALPRMWIRSSQQKIKHHDEKLGPAQLTFDLVAPPRITIPARLSMYTIMNLSHNGVPDETFIKLMQDGLKKEVEALTTWDGPHAMVLLWHAVNQVGHVTLSKLRQQAAGGARILGFGRAQDRDDWEDDDVDDGLEDETLEVGSLEYEGEPTSVSAAVLELLQAGFQPLKLPLLYEKLRKVVADRIEDYIRNYKITVPRSAEAFIIPDPYGVLEEGQIHFKSTQNLKDPLEESNPTIVTGDVLIYRNPTRVPSDVRKVKAVSHPKLDKYVNVIVLPVKGSRSLANILAGGDVDGDVCTCIFDPDLVDSFENSPINDPPPGFKETFFEAEGSIEQVFGLKQRLAELGNPSQQIELSKVLLSGLSEVPIGLYSTMHENTAYAFGFCTVLDSRKTGLRVKDGVFKKDKQQYDKEKPDCMTKSSTASTVLNGPYKSRLRRQIRRRFVLETLLDAGRTLQSELLAKYDGMRADLAQQPDNDLLRPYQQASRLATTIATQGLRSLQSETTSILEHVHRGLQAWRSLSSKSPQSPAKASPSKSRVDAKAKAEKGKPYKDLAISFMRDLHNVPHFAEFPVRLDAILASCAYQENPKFAFSVAFRSLCKIKAEASGSAALSRTFAEVMTVPSAAVKVLSQT*
>EED80836
MSSLSSVVSNLMRAQMGTSVSGTVTDEDLDRHVAELILKEAKQKAEQYGKDGIRAYLPSGYSESNAPKANKRFLSSIIRSTDDHNKTILRAQALAAQEVRAEREEQERRERRARAEEAAAAERLRRLMGTSGRTDWAHNWDRGERADRKRRERSWERRSDYDEDDDEHRRHRRRDGKSDRHDEHSHRNGKQSDRHDEHSRRKGKQKASATVELHDTQSAPSRASSKPKDHELAADDLPSRRPTPQAEPSVHTTRSSAGSSRSPPPPVSSPPPLPPPPPLPSKMDKYFKDSYDPRLDVAPLAVPSVPATGLISDDEFAGWDAMLEIIRQRREDKAEKKVLERWGIGKDKSKDKKVKKGDDDAATRWSQDSLMEIQYKKRGSVREWDLGKDGL*
>EED80837
MASTPRWRTALTKALSLPDNKGQNVYQLATIDPNDSPRARTVVHRDIIQPSDCPHLPVIITTTDIRTPKVWQLLAYGRTEICWWMAGSQDQFRIYGPVRVIRSPNARAEIRAPHIPSLALDRFDEQGFDWEKKRLEVFDSMSAHMKASWCRPPPGSVMESYDDAKKWPQTVPKLGEAESDEDKRNQEQALRNFSLILIEAVEVDWLQLGVHPNQRTRFVREEEDWAEQIIVP*
>EED80838
MAPAEPPLGALVEVPAGRGLVRFCGGTSFSAGRWVGIELLEANGKNDGTVQGIKYFTCKPNYAHQTTQPSTLRRVSEPLRDPEPELEPEPSSPIVPQEPSRPSTPVRAQDDQEVQELRAKIRVLEAKRADDARHVRELETRLSEAESFVALRPKLQAKLSSQQTELITTRRELADAQQLAQMAESRIVDAQEQLEMAMLDKEMAEERAEMAEAELEEVKENLAIVEVELQVLKEGGERAEEVTETPVKQSLAYIQLEKQNERLKEALIRRSKSMRYCCQRDLGRSSGAAREEQYREENGVAPDVGPKSIGGPATGRLNEGSGTAGAQAVPRDVVGKKSLQAAQEPGPGREAAVVADPQLSAEREAGIAQSEISSESRHGPRQCQVACDQDATAVKEWIGFVCGKQEAVLQLTSAYHSGAQREFMMSAETHGVRPNELPEAHERVETSEELRKKEAVVIAWGRGEGTAHGVYVLARQGAFTGDRSTLQSLAAAKGAGDQTRLPRAAHQGEKGFEFAADT*
>EED80839
MANNAERQPSAAQPVEPESVPIEGSLETSYLLEQIDALRGTVRFLRTENSYLRGQDLLKEIESLPPLPAPITREPTPELVSSSLSDSDSDSDDLSSPPTLRTLSAESKLLYREVIKYTCSPRVVDLSAVRARRAEDGEKGVRPWIPKKKTPAYHVWERKMQGERLGKKLHGLLERTSALAASR*
>EED80840
MHASTSAKKQEARIAALQEEIEDLQKTLGEGEDAAKIVSRHITLLHQYNEAKDAAQILIGRAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYISLATRVARDRPNTMKAWYDEVRNADAAEQGALTSRQPLPPHREEGSGN*
>EED80841
MLLRGGSCTLEDDALRTTTNGRVELGDTGESVLVTVDERDRLKITGEGDGALDEGVVAIVIPLALAGCPRERGRKKWLLLGGGSLRRFWGSLVTVDEEEEFEPGVCRRECSCSGTNIGTSLPRREFCRNRSDPLLKRYNARAHLRDGPSRGDVSREDWPSEECPWEFDDEDPYDMRLWNMVSLVLQLGSSMEVSE*
>EED80842
MPSAKIPGALLNLYETEGQPWQWSTWQATTGLTAAPWSGAPGSLPSEWTQDDAAKVKAFVANYLAIPSSNWKRRAAYLKTSGKRGMKRKEALDDASVNSETDSDEEDSDAVGRKKWSLTKALRVALLQLASQDEIEAIRSEIISIVHGEPVEIQELNFNRAEVGHWREGVEEYANLTLDEVWDRLGLRDKVIPGFATLQDPDGTFDAWTKQGQAVLKGSKATLLTPRWHQLVGLLKLLDNLFLGKPMLLMDEVGIGKTMQAVGLCAMYDYARQYYVEHECFPGQWAKVKYPHTEDGNLPDRPMIISVPTSLVSQWTEEIHRYIAKGSMDLLPHAGTYSHRGDWWASVYAKSKHPPSRQIILTTHSAIASDCDAVFRINDLRARKGRRHGDVQYKATMGDDARKKTLYGHKYGVFIVDEAH
>EED80843
MPPGERKATAGILLPDGIRVLLGSASTRTEVDAPVRRTIPRLPQISVHNVYVPARISVPFWKRDVAVQNTRGRLATKACGDTREGCTHGVRPRRTRGRRTCDGVGLRHTDKHAALVSAACVRDARSLAEPIQVLYILHASRLSLSLFTLPLPLPLMPTPKVPSAFLDLVGANRDLWTWEPWIDFTGLSDAPWSGKPGSKPKGWTDDDVVSVCAMVNAYWTVAPKDRMVFFKDRQSGAKGKSKASSPLSAADLGVLHTDARNKWSAWFNELGREHLAKLVDDMLMEEGHHPTQLMKANATQKMPTMAAAMVTSIYVDLAEQLFGRDALLTDTVVKSEVITFFNALLYATWRRWTMVVSRQKAQLASKLDAVHTRWFELSANEENVTVFRLTQFFQTVTRVLELTEALSDKTAEAEMNVLKSDLQSMLNLISSGPDSSGETKPLPKSIRTALLKLASQPQVESVRAQIMAIINEEQPEVVALNFESLPEGTWKEGTEDVEDDALENGFSKVVDAMMVDVRAKYDGYVVRRTLGSLDWEGKAISGLPPYAEHILMLKLTGEEYKNLDTIANEAAELNPGGSIAYNSGKSFYLSVRRALLHPSCNAEYKWTPPTSREEWEAIATAKISALITILKYHLEQDARPPLVSVPVEDPPRPQSPSSDTSSDEPAAYEERPANNLAPDPDAQPDPRDAHSKPDKIVVYVAFPSCFDPLLKILQMYGIENTSDVFLNNISFDKSIMHNAFMGSSRALRRVFDPKYDLDVGDKDLTHEEEPQELPDVQGASGSASAKSTIPFLAEDFSSAGQQQADPEPQPKQSAKAKREAARAAKKQLEQQERLDAQREKEQREREDEEQQEAQVAKRDKRERQREMRERKKLAAEQAQSSQALSASGSRRARKVVLSDAEDDEDISAQKVRSPPKGATGSRFEPLSTYAHESDINISAESTDDETRTRRLLFEPREESTSTSAVSGTLNDALEKFTLDAAVQDDVEPIPSASVDTQSQQRSEFDSGHLVDVADDGCLGRHCESVLDAVDIGPSLRAGLLDWCEEKLDFQEHLAAIHLRDCLGTHAAETRKSVHIFVKDDIRQEIQTPRGANGSDYAIGAHNTLRRCVAEDSLKPLA*
>EED80844
MDWSTYNAALPTVGVVNALGALIALWVVYAIAQHMRRRAHCTPLASPPNPSFLFGIGRSLFKHPDPAAVYEQWAEKYGSVFRIPATLGYSRVVLCDPKAIQHFYSHETFLYQQTTLTKQSIESLDVDEPAAAREGQRKALTPAFSNAAIRRLTYIFFDSAYKLKEMIPPLSRCRLDSIGIAGFSHDFGTLHGKHSTVAETFDSFSSLKPTLIGVAVFIVGLAFPRVMMRIPTAFRMLVKQLNASMAEVADDLLANTRKESEGEDKAEDKSIIGLLVKAESHQSDLHISQDEVMAQMKVLILAGYETTSISLTWCLVELCKKPEIQAKLRDELSQISSGDPTWDQLTNGFPYLDAVVHETLRLHPPLGETTRMATEDAIIPLSTPLKIPTGTVVDSVAIAKGDIVTVPIHLMNSWPEFWGPDAREFNPERWLAEDGVPKKAQELQGHRHLLTFVDGPRICLGRGFALAEFKAVLSVLIRNYTFEFRDGPDTQIERVRGILPRPGVAGEKGAKIPLRIFPKFGGLETTLYATNREGGKTLGKAVGKAIGKAVGKAIGKAVGKAVEKV*
>EED80845
MQQPAPPPASPISSRVFRSAGGFSLAYAMHWPACPSLSDIRAAHTSHSLKPQEDTEKAEGGNGPARDGEAKIMPSGDTLARVRALTVDPGAPPGTSGFQDRDGELVTTTRAADDNLFAIDDEASTSPAVGITISPWLKSPPQPGATMPTLESCHPGCSPTRVRLARGAAPTWICRNPEPLQTVRKVNIRKRGSTPYQMKLPGMSVRAKVRDGSVVAIQHVPPALSHTLVRDPPLPVLAAASSRLHSSLNPAALSYVLQPRVIVGSRGRPGCAGVRPPPLPAARSDEALREWARTHRVGPFHVPRLRQGAALAHHRAHMQWTAAPNATPRPTPVAMAVPLSTLVQGRTRVLLRSQPHTPCVVVSASTPAVAQEPGEDATPSEAETGTALGASRSWDELLEDLLDATAEFRRALAAGSPILGSWQRDPAHAGDSEPAHGLNWAVWEQRVLLPAVQLRK*
>EED80846
MPTAPPIAPSYPIAACPIAMPFQDFYNNERISAVNENTVIYDTVPEQFASFDYRPPQHIADDDQSTRPGDTENGGYGPRYSMQSIWSVAPPMAILEASLQSGNPRSITDQIIHCHPPPMQNVGINQSGMSYNARILTHSTQSGQVGNTWEAPDIPTMAGMSSELDPFPHIDLHILDLVAMGSGHGNLEETYSRYTPTGVSSAPTPGLQAVGQELVNTQHINGASGSNIATEAPQDGALLTAVTSDAPAYKFRRPRSSTKADSKTTSRRSRAPAVIATTPDDTAEGPIRCKICKKKFSHKQNLNRHVRIAHLGGCKWDCIICGTKISRHDALRRHLDNIHKLSKLEAKHIVRFLGEKIGIRNRARGKGKSVAPLVIQLRRQAAYGELMIERPLVRRKEAEDEPLYPFLALRRAAKAAAAASEVDVVPPRTPAGTRRLEPEVVRTLSNMNGRHKALRRKTRHESGNHEEHQYDEQAWREYACSEHESEHMGEREGTASTVLVQGCSVKAKVSASRPSRSRRGWRKLLGLVEGDIKRAPKSSVTKLKGARVKPKSTFKAIRSVAGAEVKPHPLEKRVASVCTSPEHSTTASVVEAESVQVAMPVEGEPREWGLRMEDFAFDFGSCPGMPAEVLAALTEPVRVVAVAEAQEMPAPERKIAEVTAMTVDAEILSPEPTRDTYSAFVVDMDTAHAEASSSTEEEMEDVCAADDVLVEMASPVVCSADPVPVDEDSDVLHAEEQAVEMEMDGLDSVVAPQALLAQTEMIVAYPPTNAGLTGAPVELISAAIDIAQPEEDIEMGHQEQPLTVEEDIDMDVQLVCVNAVLGVVAAALIAGRPGGDNIEQDIDAALDPFGSVQKYTVAYLDQEDAYVGDITLGGGALNEDHNTTYDQDWDATFVEDVIASSSPNKEKQNGLPAMPLPELAVSGSDIGEQVVVAGLEHVSIAPTSSAPVISLQTLLDAIAPHPALPDHLLEDPVPTAGPMFDMHSLYSTLPTNATEGAQKTTNAGSFARATRSGKVYGGGISKAKKTFAQSARKSTSSLSTGLLDNDAFRAVRNKSAAKQKARKDTRKRYKKISWKLALQDVESAEPKGRCGRFLLSAVYGLISNPKTGRREKMTPITTIKESTDDDDDLDVLCRMSASLSLATSSHGRTGTQEATDSANDLLGAFASLGLSTDAPAASSTGGSALDDLCDLFNALV*
>EED80847
MSIQDISGDERYTSVCLHTTNLVLTSDSSDLPFAAATSRLGCEVAVKARTKAVEKTLRFFDVQDAVEVAGFHIPIVSSIEAFDDVCLYLYDAALVRDEQVLVVWCDETTAIVEQYRRMEHRLRHFVSDCTCGGGQYPLDLDASVKEQGDARYAGYDGNFDETVRKRLLDPSEPVPKGPPAVNSASPLGEMKVPTQVKASSSGSAGVLSAIQDTGARVYGAVNACPRTMGKAGTSTKYDNVSKDMVVCDPSTGNGNQHVDSLVGREKERMSAAPAGEAYATRPYGRTSQPQSRGGKYAPPTNSTRVGEGVGHEQSSHQTSRDTTGSQEPLPRITAAQKEKWRATDRSIEDEDAGSSIAAPGVMRRSQPSRNAKRKSPSTDNNDRIDGSSGSRNKKQKKTHAAPPPRQKQFVCPREKCEHEAFGGRGDFHRHLVSCFEIKQFFCLGCGKLFARKDATIRHVRNTSCDRSQDPTGNNGGSSTQIGIRDWESMESVQVSDATIHLRATQLGVSMEDLAYVLSFLTELETALLISDNEDNDEGAD*
>EED80848
MDTIENQITILELLEMSIQDISGDERYTSVCLYTDQLVLTSDSSDLPFAAAASRLGCEVAVKARTKAVEDTLRCFNVENGVDISGSQIPIVPCIDALDNAYLYDGALVVSTDHNINSQNAHDGGQRDEQVLVVWCDKTTAIVEQYRRTEHRLRHLVGDCKCDGGRDTSNQNASVENRRYTQYAGYEGIFDEDVQERLLAQNPDPLGVIPKELPAVDPALTLADPSVGSWVGSQPGRTKGRKLKAPTKAAMSGGVRKSQGIQDRTACVSRVAIPGPRTMGKNKTHMRYDNTPIDMGADDDTDSGSDSNDEYADGLTRRRTKRAHAVPDAEAYATRSRGRASQSASERHQQHLPLRSTAGQRGGGCRQSSHQTSQGTLETQEPSSRITAAEEETWSAMDDGIKEGYAGPSTATRGVKRPSQLSHRSKRKSFSADCNDINVCNVKDKKQKTASSAPAQKKGFFCPRKECGHQSFDRYHEFHRHLLGCLDIKQFVCDCRGKTWARKDARDRHVKETGCNGSQDSTGDGGEASTQIDEVNDAAIHWRAAELGMSMENLVYVLGFLTDIDGYITPRQRVDGDEAGKYHAHVCCLMRRFIRLSQ*
>EED80849
MVVNDVLINHGGGGGASGSPCRGRLQGRTLSALGGTEDAAGAEAAVVALRWSNFERSECSSGLSAESAVKAPKEVRWGGHAQALTQGLEGDLLAFDLDRRQFEGGGSWGRQGESASRQIEMQGCFEGRADVVLAGLPCSAGNLPRSIGVSGWGTAAAHNGSHVGWGKDGKEPRLRVREDKYRSLKRRYSEGAHHPTYRRGRSSDRGNTDLEGCWGRLTGSYQSDGQAVRVGPCVLVRAQHADAAPGAVDNDASISGM*
>EED80850
MWERTLQDLIRGLRANKKDESKFISQAIAEIRQEVKSKDMELKAAAVLKLTYLDMMGYDMSWVSFHVVEVMSSPRLHLKSVGYLAAIQSFQQDTDVLMLTTNLLKKDLGSKPEDIAVTLNGLSHIVTPDLARDISHDLIAMLNHSRAVVRKRAVIALYKVFVKYPEVIPYGITRLKEKLNDADAGVVAATVNVLCELVHRNPRDYLALAPQLFHLMTTSSNNWMLIKIIKLFGTLCPHELRLVRKLQPPITDLISTTPAISLLYECVHTCIISGMLQGPSGNSLARTCVTKLATFLQDPDQNLKYIALLAMVKIVPTHPELVAEYQDMIVSSVDDQDVSIRMRALDLLSAMVSRHNLQPIVQQLLSHLVRSESAVLPSAIQSLSQYGSAAANAAPKASNAPSQSPAYRITLAQRILALGSQDTYDNVVDFDWYLSVLVDLAYVAGANVGLQIRDQLIDIVGRVRAARRYAVQLMVKLLTDDSFLTNAAEEGSCAEALWAAAWICGEYCGELAEPQKLLTYLLQPGIVVLQSDTMAVYLQAAMKVFGHWAADQADRWDSDDLPKVRDIVDMVLDRLGDFVTHVDTEVQERAAEMLNLFRFIRADIDAY
>EED80851
MADADLYNLEFLSLVAKITQEIDNHTGINDKTLAEFVISLHDESKTLPEFKQQLKGVGANFPESFVENVDRLILSMHPKHKKKSDSGKENVAAETESTLDEVDKQKRIFPGLALKDQDWQPSVTKDAIMKEVDDMMSQFEGAAKRARPKTPENDRSPKRQRRSRSRSPPPRRRSPSPRGRSYGDRYDDRRGRNGDRARPLDERPVLFKIYNGRVNGMKEFGAFVQLEGVVGRVEGMVHVSNIQQGARTNSAADLLTRGQSVKVKVMSVAGTRVGLSMKDVDQATGRDLTPHLRIKSEAELAEEDSKRSARGANAVPLNSRGNGKEEVVRSAKRLTSPERWEIKQLISSGAIDASEYPDLDEDFANPMARAEVEEELDVEIREEEPPFLAGQTKKTLDLSPVKIVKAPDGSLNRAALAGASLAKERRELRQQEANEQADSEARDFSAPWLDPMSKESDRIFAQDLRGNIRGQKAGEQPKWKEATFNKATTFGEITSLGIQEQRKSLPIFKLRDALLQAIGEHQVLIVVGDTGSGKTTQMTQYLAEAGFADKGKIGCTQPRRVAAMSVAKRVAEEVGCRLGQEVGYTIRFEDCTGPETRIKYMTDGMLQRECLIDPDVSAYSVIMLDEAHERTIATDVLFGLLKRGQHETRREKAARGPTWYGMAIETLHLVLGNGHRADELSDAVGSLKWFSIPCHSGRWPIEVGEVIYTSGRVSELSNPPRNPFGRRRAGFKCPIGGARNGLCDHVTVLPLRTEDDGISRTGKERN*
>EED80852
MAMQLTSSGPALAETAAWVWFDGPIPGPSRLPPAASEGPMRQRRRRLSQSHPFKFTIASLTFSWHGGRKVKVRRPQYCDTRSILQPAEPHRMCCSIDQGVCVGAPAPALLLSVRADEDDRLTPPSPADHVGAHAYKDSDTTVTIPNDSVNMQQTSKTTTRPFTIRFCYALGAFIIGPLYLIAASGLFIIRLLWHEDRLRGVPRCPTSNRKWSLSRPRRQGKGPSSVQEPTPGHEPFSSYSTKGTPPAAPTGSSRPFAKKILAAPAKLLRERSFLLRSHICPNPLNTLKAARCTSSPPRRLLGLTRAATANSTTDFEPKPNRPGNGPGFRMTSMLGILRPKSRMEPGFKARSASDPTPYAQPAHPSDQSPTESRRTSEDTLVERDPPAAARRYSWAHVTHRRAASTPTPPVVTRALTSTAVSPHMRREPSSRPRRASEGSASANTRRRDTGELVRYHPPNMLQETTFTQTFTNPFKPQPKRAKTMSTSTLNNSDTGRAQ*
>EED80853
MRSAILAVFAFAAAVLAAPRDAARLAARAPTPVDAAPDAHWPQPSNHGWKKREQLPITPITDVSRQLCPLSMSACPISAATPTTLSEWISNGFECVEFNEDLMSCGGCGTLDEQYDCTAIAGALGVSCEVGSCRVHSCTAGYSPALDGKTCVPTN*
>EED80854
MDGWRDHLHFAFLGEHVLLPNLHLDSFSKFTVASFLTVFVCLTERLITHAIAKHWGPARVRRSRFRNALWRAVLYWLVTFARLMYMLIAMTFSVGLIVITVTTLSFGQFIIEYLDSASHNHPPDTEDFKEPLLPTHNYLSGPSSPPSPPSYPPSAAAPQPAPRPHLYRPAQAESQSQNNYPYATRPHTRRTRSKPENLNLYIHPSESNIARADAAVHELGLYSSGSEIDYEDEDMQARAHEPGEGWEHGTGREVARELMGKY*
>EED80855
MIMRFAGAQHARRDWTVRGERPTEVCKRPLEGTQAHLDRARGRLLLRVEELQRHKTPSQNAECEHEAYVWVRRQLSIPNTLLHRIAIAQSKAARCAGQDHITAETLLHPIPEWGPVPEARRDGNTSQDASPPDGLRRRPGSRIAGPAVQGDGNRVYGAWMGWTWTEWLGREAWMHRRQIVASRRSGMGWTEWIGLERAHMRSVHARARARRSSRPHTAGARSRQAAPALPLQYQVLRTAWQNDVPALEARRPSGEPCNRVLAKRAATIRIRTAVELLPEMDVSRCARDEDELRTGMHDTTQTHRRDPEHRAENSSPRRSSAPGRPMTRADGAGTARSPAAARSANEASGRGAATPAGAPGLLRATREQCGRRCAKGPREGATGRIGRGGARERQERRARGDGGRGEGGKAGEEREGGREGEVGTGNEVEVEAEAEAAKQALVTIDGFCETSSRWWTSALLEGPDCTNGTEEEEEDEDKGTAAGGEHGQTRPREVEVEAARFRHRADGCSPQAEADPACDGERFLIQYLQGAPQIRLALPQRACSSWRRRWPGVPTGRMYLYARASVGRTSVQSAKMQSCRTGAAIQSGGGEAARWSWGEEGSARQVLCLSPLDAPEASFARRGGHLNGSTVACGDEPHRVWWPSDRAWDWHLESAIRARRVSRPVTPGGGILVADEGDRIAMRDASAAIEWLMEAPRALRWTPVANTADSSEMLYKVRMTAVMSTRGRGRARGRTRMHRPQRPIGVSGKQEQRGHRWRAPSAHGMCVRARGTRSLNCYGDPGAAPLSGSVGALSIVGWVDGDTQGRARGLAGEKTGVAAGVEEGDPALRVACTRAARVRRQYDGGGDTTAAGSATCDDRT*
>EED80856
MSPTSDFVNTTSTAKGQKITAGLVAKPVKGSQSTITLCKDIIREFFDKVQVPLPNFTRDPQLEARVADIVRTWGNEEHLRPYVVTSLILTVTAYSHIANFETRVQITLFTIIIIAMDDPVVFDSLATREFHQRMCTGVIQDEAGMLGAFTKILESMWDHYSGFSANTIYASALRFVNASIIENETDVTTLRSHALPFVEYKRSMTATTEAYACFIWDKARFPDVKVYMQAIPDILSFYKEELAGETANYIHERAYVTGKSIPDTLRNLINETARAVERVRDILGEGEARAAFENFAAGYIRVHTGNPRYRLKDVIGEIWTRLQNTMGGRELLKPPCTAFHIFTSNPKRKCSNGTPCGQDALQARQAPGWDGYPLKGIPLPPYLTSGYETSLLHASSPLKKSTGLYSTQRSATDSLRGVVCGNTMAILSARQHMAVRDHRENDMVTRATRAFESLAVDWAFNDLSNIGSCHFRKQAATHDIRAVIAEFLDEIHASFPTVHERDHELEACVHETIASWGLGQYVGIQHIETAITGTTSAYGHISSIETKIQIVLFTTLVLALDDPVVFESLSFHELLQKISVGSSQDERGFLGEFTKILTGMWVHYPVSSANTIFVSALRYVNASVLERESVHSASRTLSYVEYKRSMSATTEAYACFIWDKQQFPDVKAYIQAIPDVMLYVSYVNDILSFYKEELSGETDNYIHERACVTRKREIDTLREVVSDTLAAVERIRGVLGEGEARDAFESFAAGYVRVHMINPRYRLDEIMGKPHIWTRYESMRLAHCYSHEIVTACEDMKQRQ*
>EED80857
MTPPPANESVVFCDNRGCSVWKVKGIQLKRCQGCKVKRYCSKECQTAAWPSHKGQCLEAQAARRVCNTGEQRKLWNDILRWADRNRTPCYNGLLAALDLHNRPQAQQELLLVVKLDYSPCAQHFNDRFLTTKVLVTSWQKVKETKVAWIRQHLAKIAASRACLEEEVMSLPQLVVYGVGAVVLIADFSDQTAWEGSTVILELPYRIQNLDLLARPVFSQAGWQHELMGELNKTCNIRHDIS*
>EED80858
MSNASQENSQTASEETATVVPRRCLEVVERHNRGTLSYGRAVLQLSQILGDVSGGMPPDEAEGVVASYVEKLKRGGNANREASARPEEGAEVDETDDAVDAVGKPAASNKLQHKRRRTGDDSSDEDEYWQRRRADPSKYAWTAEGFLDEHFVMHPDARRTIELIREYTKDLRHARESLATAVRAPEFPKSKWTCILGGEPVDLDEVICAEYAPTTGAKQVAKLGTLEITMPDNTPVRRILNASDWLLTWQKAADAIVFAFPHQRGELTAYAQHILRTFKAIAVAT*
>EED80859
MPATASGKGAKSRASKAEAAASNVPSRSDSMMPESASAHRPKKRGSAAARQPADISADPLPAS*
>EED80860
MLMNGVLALARIDAKLFEFLERYFRQLEGPLALQVWGRFILMAKDVTSSMREFRVQAFATVKCFTVIADKICQTTALEDRRMRKELQDTYSKLLDLTVLANRPYDSGSWIRRPQKEALSTSGRESPLLRAVSDTKLDEKMNASSTSLSESSKPAYGIDLIEQVNLYIASSMLPNMRKFLVEGDKIVSACNSVVYNVVNPALKGKTRPLDVDESVLSILQEMTRIGAAIKAWRSPVSEAFNDNRCFNSTPDAGGKWKGMVKALFDVDKTSLSELLGKITTAPSANIFTNREYEMLLRSLNMRRLSYVLLSSEKNHFLTQLPAIQEKLVDTLRNVSSPVVQSEVYLCVRVLLCRLSPHNLSSFWPVILTELAIERDDQYRLFDQVLTSLPSDGAEELNLVLATYRRVPDVRVVACSLADTT*
>EED80861
MSDTPGDERTLAGDIQRPVDVIEAEAVFTELSRQLTRRSRASGKQSSENEKGGTAHVQDLEKAHSDEYEETFDLREYLSSSNDATQAAGIKHKHVGVTWENLNVQVAGATGHKVYVRTFGRLLKPGQMCLVLGCPGAGCTTFLKTLANERREYANVSGDIRYAGIDAKEMAKHYKGEVVYNQEDDIHIATLTVSQTLDFALSLKTPGPNGRLPGLSRKEFNEAVKNMLLKMLNIPHTANTYVGDEFVRGVSGGERKRVSLAEMMATRAHVLCYDNSTRGLDASTALDFVKSLRVMTDVLGQTVFVTLYQAGESIYELFDKVLVLDKGRQVYYGPHFMRACVL*
>EED80862
MANRLLSVAREPPGVNKRWVVGAGMSSVPQYSSLDMTDTCRCKTECNCPSDGCQCAPTCGCDSGCHCKPKPDPACGNPHDAIPFLRAYNPSWTDHFYTTDAAEMKHAVADLGFTAEGNAGYVFKTQVGATIPLYRLYQPTALDHFYTTSESEKNTAVAKDGYVFESIIAYVYSSQICGSIPLYRLYNAAVIDHFYTISASERANAAASDGYTEEGIACYVLPDKA*
>EED80863
MSINVYLTRQLGATGPIVRTMNSEPWMSLLPYRILRSIRYFSGVWIKSPDDPEDGNIRKAMPNFPAEHLQNDLMLVNAFKPVAARCRAVSSAQIALAWVLPEYPDYTNLGRLENVKSVGIMLKDEDVKLCTRR*
>EED80864
MSINQILDWSSCGNATYAAPFLRAYSTAQTDHFYTTSVTEMKGALVKYGYSSQGDAGWTFTAEVGSTVPLYRLWNSNQSDHFYTINETERDVAITEGYASEGIAAYVYATQICGSVPLYRLYQPLKLDHLYTIDPAEVRTASTTQNYIEEGIQCYVLPDPTD*
>EED80865
MSITQSADWSSCANPVHSIPLLTAYNPAQTDNYYSINPTDMEEQVLLYGYQSRGNAGGAFTSQVGATVPLHHLFYYSSATGNDNFYTTNATERDVFIAQKGYTDRGIAAYVYETQICGSVPLFRSFNPVVVDHFYTNNLTEDRLVSTTKNYVQEGIQCYVVPDPTDWFHKH*
>EED80866
MIHVASLLHDDVIDKSPLRRGVPSAPLAFGNKLTILAGDFLLGRASTTLSRLGDNEVVELIASVIANLVEGEILQLKSVHAEELGLGGVQSVGAENFNIYLQKTYLKTASLMAKGARAAVVLGGCKEGEVWKEIAYAYGRNLGIAFQLVDDILDYESGEAAMGKPGGADLKLGLATGPALFAWEEHPEMGPLIKRKFQQEGDVELARDLVRRSSGVERTRDLARMHADKAREVLAPLPESDAKGALEVLTERVVKRTW*
>EED80867
MDAPALSMQSQYNAVRCGRTFPSYALRDVGLSKTTAGTPHPTRCMRSIGVGVSASVAVGYGARATGDEEWGQDTDAGYPPAASTRADRRNVMPRRRHRPPARPMRQARPGDRAARLAANACMQGCSVPAEVAAPGRGADQRYPVLPSTGQLSGVISAAAAVYVRRALAPAFAECTGVRVAGEAEAEAGRSREGRGFGYLHVWVQAGLRGTYIYGRRTRCEIPGTRWPTGSARVPAQFGGPLGRLAGEDECAGRGSAASRGCVRGTAADPSVRTWEARSGEGTRETRWPGREGRHTSSRKGLTNACAGVWRWAGGSAGSGAQQRPAPKAGGGDRGRSWACRWEQVIGVCAPPSHGRARRAWGCAMAGISGQRRREGAEGV*
>EED80868
MTSSTDNRKTMADGFKAEGNALYTKGDYKAAYDKYTEAIKSDETNAVLFSNQAACSLAMKEYLNAASDAIQAIRKDSNFSKGWARLGMGRHELGHFDGSIHAWKKALECLPQENPSEVERRLKQQYEAGLKAASDAKARPRQPKVNVGDLTADDVAQGILPISRALALLNKLPAGKQYNSSAWVIVHAHRAITLLSDGILRDVRASTLDGPILLEMFHTQVALENGVYNAWAHDAPDVVIEKASKRLELHGWDAVMPALSLTVRWIYNVLELKAATFTVFLNRFWILKGHLARNSEPDQSAAMQYYDGAVSVLDWGSKTRDAPKGPGSIFNKAFMRGVVRLRIESYRMAYKYFDKDRYILLLDVIMTLAKNLIEEIEANPLTEAEESQIHTGLALSFWHYPRASAYATRGLCFLELGLAARRHGQGRIATKSFADAAEMYMTAANCLPEDEELHAYFLKIAFETYWFRGLPLRESLALCSKINRSLPKVAEIWEYSQFSNRWSEHVDELKRFESEALDGLLEGRYTMETPAFLHRAPETPSQLSEDGALAAFVMLRSRHEMPSPTWMMTSSSVSHPWIFISVYDCHHNAPSDDVEIALYYAQCGGMILAILIGICNTVRARVTPGNEKKVYPSQEEVDVLADGTCIVHPQPTKTDVFYDASPPHEGGETAGGVTETREHSYALSVADQRSSAEAIEIKARLVAPPDMLDASHPIKTESPPLQLIKTESPPPSTSLWNIVKHYGSYSDCFQSLDWSHSGTIDGRQDASCFIFPSCQEATASPIDRCFSRSSFSTSSSESDCFSTPEPGTSTFASAAAAGPYQAAVPDIAMFDSSSAAPLDAYSNLDFGGAVDVTAVLPSDCSDLSVPQMQMPGVTFPWNPSHNMSGAHDYSQLSHPDLALHQLLSQFVASGPDGSAFTSSYSIPPQLLPDTTSESSSFITLSHDLPHATVIAQIPIHQPRPRKHEILARRDKERLEKLAAMTIDSLPPTCDFPVAQEPENPDGMQGIDLHDDNVSAHRLDSTPSNVVGPSICLGSGGTLSPSALLLQSVSDSVMHGPLPHTADASSAIEAACNWQLEELFPPSGLVMAWSGNAFLVNFKHDRTYYVSFIQTVSSAALSLFCVPDPLVRSVPHCFSPSRLPAPANLHSALDPKRLAVTPRTNASRPLPRGPHVQTFISLPALGSLAQHTATGRGISCRLMSIKALFYAYILGGITFLPLVIIGAIFYTVYTSVPISDTDNDDKKLEVAEPVDLPSTTSAPSLSDVNDLPKVRKGWLTVRRTFKEQPSEASYVGMVRGFLDARSKDAKRSRPKDLWYVVLKGKVLYLYEDESMTECEAAIELGGHDVVIFPEGLADGELFSKRNAICMKPRVAPHSKELPSVTREMVMESENVDEKVEEMGGGPKEKQRERERLVEVEKQREEARDQALDIATPWFIFVKSVVEMEDWYHALVHASDHPANAPTLAPLEPVFWPADMNRLVETLDEQPDIIPMRWLNALIGRLFFSYYRTQTLESYIIGRLMKKISKVKRPGFLSDVVVREVSVGNKAPTFSKPMLKELTKEGDASLELQLHYKGEVRITVEATATINLGARFKTYTVKLVLALVIREIEGNLLVKVKRPPSSRIWYAFTQMPRIVMDVEPVIQESVVMPNMDDISFFESSRYQHRGGIWADASRRETSPPYSSENTSQDDSRSTASAPAAELLRPTDPEHPLIQRSHSAEEAALKNKISSEPQILLTDPRRTSPSVPSTPDTGSKRRSWFGAPRDDEPSTPNSLHPHQDENDESEQRDNSAIILAALAGVLVPRNLGTTLLGRRIFRDRGRIKLCVFLMAAQDHRGEVMSMGYAPPPPPASAEQKKPAIQSVYRLWKNPSSSGSQPRVAGALPETQDGFAGRDEDAAGDAQSEMLASRPVPPPLPPRSNPAVALQGRQDAAGGGASSASAVLQSIVSKDRTRRESLEPAGEEGANSTSSASTSVPAGVPPPLPARSAATTVKPPALPPRRPSGLTAATV*
>EED80869
MSALLTLRESILPLRVAQSSVRYAGRKESGGDPKVELIRRALYPSNMRNGPSPTGTWRPDVGRRLQRAVPSAQAHNTIERAWLLHRRHLRRTRQAELERKFQCMQTAMETLRQVDPKLYLEANKEEDPRARSKAQAELAKTLRVPERKALESRVRGLFPRELRIPTDTPSRDGWNYDWTPVVPRV*
>EED80870
MESLTPQEQGDVEMMISMMGGDLSPQVALNLLRKHNGSIEKAASALLEGDRGSSSDAMAVSPSPASRPPLRQGTPLQPDKNVIDLTREDEENELTRALRASLQDQAPVFGPSNRAPDPNWAMVPSNVETAVGMSQDDQSLSKAIEASLKYDHGNDVFEEVPMEERIRKGDRPVALRPTQGSLAYAALILHGLFFIPQVKHALAPWRPYSDASQDAETSEKIGLPSSGPELTIWSLMEIFVNMDLAQMNELNADNAITAFAAEHWSTPVERPGDVSFHFYKELAYVVEPALDPDDISPSHLARLFHFRYGNSQDDLSDMPFDRRVDLAVVRVDIRGTEDTNDLVSCLATELGLADPAGDPTRQQAIHAMSEVVAFQLVRDNAAPPTYSAASGAGRVERTPFRYPKHVHLDQFMKENLLLANQKRERQRSLYAEVDALVAKKQSLTRFKDRDILADLQSSLHYYEEIAEHGDDPERKSALHETSLRLRKILTRIQNELQTIEASITDLRKQAAKALECPELQQQRYDLRVVLVHDGLYGRNHIYSYVRQGDVWWKTVDHVVNEVGRSGVDHGPILIRIFCCTKVPEETVLNDTAGLHLGAGPYFLIYSRALPEEQENNSVKHNNALFLSTLPPEVVADLRNSTSPPSSPCPSEFTMSSNTAEPPTSREEPMDLVD*
>EED80871
MPPKSVFRQPGTQHFQLVHRSQRDPLIHDPEASQHVLKAFERGNVVKGKSRADLERILPSADLAHDTERANIGEAAVYGVYYDDTDYDYMKHLRPVGLQEDGVDSILIQAPSKPQPKGKGKAPITLLDLPPEALPSTAEAPRNFESQENIPSSIAGFQPDLDPHLRQVLEALEDDAFVDEGLDEDFFGELVAEGELAAGENLEFEFQEEGIEGDERAEVSAASAEEVVEDGEEESWEARFSKFKKAQKAQPRSEGSDIDDFSEGGDTVGSLPQMSVIGGKRRRRGASDASGYSMSSSSMFRNEGLTMLDERFDEIQKEYDSDEDEEADDMSDASGEAPELITSREDFDAIMNEFLDNYEILGGKMRPVLAGETAADKLDTFRKALGQVHLREHDEDSEEDDILMPLDIDEKKDTWDCETILTTYSNLENHPRLIKSRQDKPVPKIRLDPKTGLPVVLGKQPASRREDDATSSSGSDTEDGSRVQRVTVTRPKNESKEDKKARKQAIKVERQVRRADKKATKEQFSVEAKRQNRTLANKEKSKAKQL*
>EED80872
MTYTDIVCLYLKKLRQAVMQDPNTPTPTLNYDILLDVFRFLDPWTDTSWPGRPDVVKLRALARTARSCRALSDIALDILWRKLDDIGSLLVLLSAFKFFDCIEAEERVTIDTDTEIAYEPLWLLREEILPGEWTRFEQYARRVRILTRLGPSKLEPAALVQLFRLTNGKPLLPNIEEIEYEEWHAFDPSILFLVTPSLRILRVNVSRNTVARDPQHKRTNVALDLVLRRSSGALEDRDVSLTDVPLLFGLAADLLPRNQCSNLTHAAFVGWEPLMDFNLLRGLASLPNLTDLSISLHREDQLSDYFRAHQSFQSLRNLRLNGAATQLAPFLEAEAVSAPHLHSLEVEIEWYIDRAPELCHRSAAAICANYSASLREIKMGTPRGSHSNGNTLPESPFLAAFEPLLGMHDLERIHLRAHRKWSLVLSDEELHRMADAWPMLTDLRLEVPSANSSLSPASVLYLASQCPNLRTLALPTVDIECLEDPETYPFLSHGLRQLEVGDDFYGLKSQRMAEFVPLLAGFVDRLFPCLDLDRCVFRASARVGLFKDCHAVIDALKKLRFTRRVA*
>EED80873
MQDPNTPTLNYDVLLDVVRSLDPWNDTSRSGRPDAVKLRALARTARSCRALSGIALDVLWRKLDDIGNLLALLSAFMFFDFVKAEDRVAIDTDTEITFKPLWLLGEEILPEEWTRFKQYARRVRILTRLGPSKLEPAALVQLFRLTEGKPLLPNIEEIEYQEWHAFDPSILFLVAPSLRVLRVNIWRNTVARNPQHKRTNLALNLVLRRSSGALEDRDVSLTDVPLLFGLPSDLLPRNQCNNLTRAVFDSWEPLVDFNLLRGLASLPNLIDLSLSLHRKDEMSDYLRAHQPFQSLRKLRLYGSATQLAQFLEAKAVSAPHLHFLEFKIEWYIGRTPELCHRCATAICANYSASLREIKMGTPRGSHSNGDSLPEGPFLTAFEPLLDMHDLERVHLRAHHEELHRMAEAWPMLTDLRLEVPHPSSFVSPASVLYLANQCLNLRTLSLPSFSDNFYGLKSEGTEYVPLFPGFVDRPFPCLDLDRCVFQASARVGLFKDCHAMIDALKKLWLTRLLRRVFEYEASATPIDL*
>EED80874
MTDTRLRVLTLNCWGLKYVSKHRTARIAAIADVLAGSDYDLVVLQEVWVYGDYNRLRAAVSTRLPYSKFFYSGALGAGLAILSRFPIIGATIHPYSLNGSPIEVIQGDWFVGKAAASILVAHPILGQVQVFNTHLFAPGGDSGPLHLQAHRLVNAWEFAKLARQAAEVGRYVIAAGDFNSSPASLPMSVIQEHASLTDAWVVSHPNAPPLPNALPTPLDAVNIYGITADSPLNSFSAGKRLEPLARKFQGKRLDYIFFRQPSSPPASDKTPILKCVNTKVAFTDAVPGTDFSYSDHFGLEATFEISLPEGGDVTNPDNTYIPASAEPRSPSFVLTSVPNPTPTPPRALSPDSITEILQSLTACYRFSLYRARSQLTVFVVCILLLLVILIGSAWLPSSWITPVVFFLTIFLAWLATTFLYVGFVYGRWEVNALTNIIEELELYRNSLLERQN*
>EED80875
MHDGILIGIALLSEGSAFGLARHLPPLTRGRYHCPRPIILDSQLRLSPDCKLLKNYRAGTGRRPWVVCTGYEKKTEAQEKEFNQTKQALRQAGARIVDETGSKGLISLPMLMMSLSSMGIRSVMVEGGARVIQSFLAEAESSDIVDTVVVTVAPTLVGESGVGYGQSLVRNKLPKLQHISTEVFGSDAVIALKVVESTELSGLDTDNITIVLADEINLVHFVVNADRPRQNRFQVSDAGCVLIDFLKDIQQRGHKTLGNLPILSGSPFGAWRMDLQCGSPSDAFEFLRAYNADLTDHFYTIDHNEMERYVPNGGYASQGDAGWIFPTKVGATVPLYRLYVGGSETDHFYTTNQTEKEIAISSLGYTSQGIAGYVYSTQICGSIPLYRLYQPSWVDHFYTISWAEDQNVTYTKGYVEEGIQCYVLPDIVVTSTTSSGSASSSSSTPTPLVTEVETSTPASTTSSLYSASPTAGSTISHESGGHLAHIVAPIHSSWRFFAFGVSEADVGDVLRKGPTKVIVPSAAVENLSNMLAAPRRYRGPIERALAYNMHCHSHFSVTFLLMGSAFYVWYLNNTNGHIVPRDSRRRLYYDGGRLINTTKRGEGQASYAMSPSTATSEMSQEPECSDPSLALKFLRAYNPSIMDHFYTIDPFEMDRFVPGLGYESQGDAGWIFPTQTGVTIPLYRMHSQTDHFYTTDEAEMKLATAHGTYVLQGIAGIPLYRLYKPVDHFHTISWAEDQNMSYIEDYVEEGIQCYVLPDIMATSTSSSSSTSGPRPTSLVTGPETSAPAIATSSLYLAIPSANSANSNKLGGQTAHITPVTAVVSTMLFLATMIPCFRRQRDEYVHFARLGNHKGSSC*
>EED80876
MATTKAQALRLPATRLRLQDIAYTERQVSGCYDYDIDHVVPCSTTNQTPSLSPTLQLPVPMSTPFSSVTQLSAVSTHHVPNASSSKVAATPLPLSTPSPDPGRRSRQAYRESYNGAPVSPRQPMEISHSTATLRPQETHTADGDTSAADELECLSHASQATRTFIHIEEYSIPDVPPPPYDE*
>EED80877
MIMHPPSARLLCLGWLPILLVPRVRASFTISPGGTTLQQCLPTDLIWTEEHLWAAPNAEDSPGDPTLHDFGIINSSFILWTVNLPVGQNVSFTYVQTANLYYIYSSAEYMVVPGQDDSCLTGSSSTGTSSVLPISVSSSASIISSSTSLIPSSTSITSGSTNTISSSASAISSSASASSDPSASKTPAVANADGNKPARNLAWVAGLVVGLAAVIIGVIAAFFITRPFLHAGTLPNNEMSQPRREGAGGLEVAAHLLGASPQIPEGHSAITPPPLSFDRGPFTSHDQSHDSLLHGGTSEKEGLSGETLSSFLKGLVGLTSNATAASEINISTSPSTSRDVDGGIAFSDDGVPDILPPDYEDRRPATIQVR*
>EED80878
MARDRMGIPPLPAPSNSDVLLYTFDDELMETSIRNIAFLRRNPPCWVTPRKETGCLPGVMRRWLLEQGRIVEASEGELSKRDLVDEEVVLTFNGVEGCRWGRI
>EED80879
MGSGSHREVHHPTLYYEDGNVVLSAQTQDRELHYFRVHQSILCRHSPILADMFSIPPLRVSASRDTLAEVYDGVPHVQMPDSAEDLASFLHVLYDPLGTAYKRFNPDTPVLVQGTLKLATKYECDALRTRIVENLEADWPQTLAQWDARRAEGILARSEHSQQFTGKVNGLYLDDRLPEPASAIRIASDYHIPSILPAAFYQLALLSTDADWDTYRANPGKHLRFGARTARWRLLDKRDLMRLVHGQRLLASYTREIGTIIFGKRCQRGIKTCAKARGDCWKYFQEDAPNSMDDPLDILYDCSRLDTLFTDIPCAACVADIATMAEKKRRELWRSLPAFFNLQ*
>EED80880
MYDEVKEIELASLVRYRHALKASSAFKNEMVEGTGGSIPHAANITSENMMRISAQTWKNVWNSIVSKCDPGIGVTDKGSADSSSANPSPLDWLISMTDSYQMTVLLLENANIPLCNNVPTSRRDLFPNRRRLQRTMTKAAMAPMITIASPVTSPATHVRFLVDIFPSALDVADAEEPELVLRNVVLLEDDTAVEEADGVPDELCPGRQESVCPATTMY*
>EED80881
MYGTLIPPHDRHYASYQRAAETQQQKTCCHLRDIHGAHNAGTSAVDSSLADFPALGMIAPQSVVHHMENTAGYPVVGYYTSANVLQARANMLQPSLGACQYGAPGDWPAMGVGWDSASYISAYAPVSLANGVLSQTASARQFNHADPASSSSQHPFDIAAAAGETISMPSESGFPNHMSEPFDTLVLLYPSSDDEAIDEPSSCPSPVPSLQYPDSEPGMDIDLPAPSHVPTQSHRLLSPLPPLGLSQPLSVPRRDTNPDPAVLHLLRDEHGMLLGTKDRPIVIDL*
>EED80882
MSRRAHNVGQSTQVTAHSLAILGEHTHTLDSVPLDLSRSFADLRELDAVLSSSMTLLAAKITELTVMLENKTESKEKCLWLLAQIAEEATRLKVGGDDKIRVACHAADTLRAHNSHMKELMNAIPDRDFDNIEPLGRRTIFPHVATRSYMPLGGSGEGGRRQRRAAHSSLLTSSAVDASPNKRKRVTGRDDEAEVVAKTPRKDRQGEPSRQRVTARNRRPERATSPAESLVSVASHMPPAPQATGNPPNSRASIKRSRAAHNANTPGHNTMDPSPHIFDLWSPPEAHRYHLPLWYQERCDHKRDRPSDNCRYYVTLSFLDIPDLASLSHVHPVLAQYAEDPVLHRFRLQVVAPSRVSHSLFRQSSAGVPLRPTVPDLVHRGIIRGLGIERRWRAGMYFHSQHMVTQYENSLRLQRTHAGNVIESTLRRRSTSTLSHVYSERMLPEESASPAISPSLIPTMRKLKWSLQRDRLAKLVKARSDLVRNGGVVTWLEGRGKTVMRKENERVRLALCPGISSIVQFYEGLSR*
>EED80883
MSVPSPPSAANPDVWWWNAGFFISVHIAAILGVWLYPYYAVHRATLALAFALFQISEISITVGYHRLYSHRSFRAARSVRVFLALMGAGAMQGSIKRFTDDPVHDPYAATRGLLWSHMGWIFFKPRYDRLKVIEQDDLRSDPVPLALLIGFVLPPVAGWLWGDPYGAFVWGALVARVLIWHCTFFVNSLAHWDGLQPYSDENTSKSNLHAFPHDYRSGPCPFDWDPSKWVILALHTLGLATGLKRARPDDVSEALIYMAHKHHAPLNSEPPHSSESSSEDEEMWKGAVWTWQEAAGYVRQGRGAGRCVLVVDGFAVDVTGYLGEHPGGATLLRKHSVRIGFDPTNADDKTWQEADWAFHGGYNIHTRAAHKRMRALRVAKISGLDIVKDRSSSI*
>EED80884
MSKREASQIAAAAGVDIDAPRAKRRREVPASTDNSGVKAEHASPGSNDISKPKTEGEDDKGIKEDPELVKEKGLKLWQTVKDAVNKEGRPLSHDFMRLPSKRQYADYYQQIKRPIALDDIKSQLDIGAYQSFEDVRQDLETCFRNAKRYNMKESQIWKDAKSLHKLVTKEYSKMTGTVDEGGEDADDHAAGSDDEGTKKKKPPNLTRLLKTRLQKVAEKTDEEGRVLSTEFMDLPNRKQWSIYYKTIKRPQCLENVFKHLKRKEYHTSQEFANDVELVFSNALEFNQEHTPIWEDALTLRDYFRQLMSDLPPPHAIPAYSPAESSGKIKLKVPAVSSSQLPAQPPTDAAPSYSVHSSGTLRIPAQHAAATNGATSQSNAVPEQSKSPVVVPKLQPSAVSHPAPQNAITPINTVVPAPVPAVAQLRGSTATPNTNMQSNGYPYNSAYTHHYPNTSYHPNVTPIAPAAVSTAAPAPSTQAIPASTPAPAASTSQMQTPPPPSRRHPLKCATLVTKPLDRHLVLNHKDGVKVWAMRLSGETGVRVTGLVLVSHGEDEESGEEEEMEQQEEEEEEEEQPKPKRGRGRPRKKSKPAEPLKPADIPKAKNKGKSVANAAFTLEQLELKLNGVLVTRTPESNEDWDIELPVGMNVVELGEKGGAPWRIYLDRLNL*
>EED80885
MDVSKALLWLEKQQTESAVKKAAKVADRTANEGLIGTTVLSSGVANGRRVGVRAAMVELNCETDFVARNELFANLLEDITHTAAFISEPANAETFMQPFSMETLQNAPLLSQTKPSQNGKATVSEAMRDLTGRVGEKISLRRALTVVRDPFTSSQPDLALRVAARVHQSVFNPTQGRIGSLALLALKSKRLSEVIASQTFQDDLDKLCQALGRQVIGFPTTCIRSPSGTTDEGALYDQPFSMFIGPGNDQSVGAFLQSWAQERSLVNEDEEQSAGVEVLEFAKWSVGEVV*
>EED80886
MRVAYRELDLLMLTNPVHSPFLILVTLFEQFTLYPRLHMDAQMSTCGPQSQGILENMTYYEPDAEHMYSFADRDMFMRFRGGGIGHMAIAEVTERLLQESHEDMQDNLEGHPTDKPSIPDALRPARGRDQEYADVRHSEVVLGNPPNTLTVGMLCQEGPNNIQAEEADYEYIDNDAEDIDDGGEIGTDDEDALGPEDGEDDGGDDPCTVEGYASL*
>EED80887
MYVEIGYTLPEPIHESGPDAGRTQPAPSEQAQSSATTSTSHRLLAEPLIRFRVGQRLAAACPGRKGTRTYLQNYVAGFRLRWWVPAAALSTLEREDAKAAWVSQGTIEQIQA*
>EED80888
MKIFTLSFLSCSSSTASS*
>EED80889
MAQSPQGDIQERIAAARREAESLREKIRARRESSADTSLRAMAAEVAALPRIVMRPRRALRGHLAKIYAMHWATDRRHLVSASQDGKLIVWDAYTTNKVHAIPLRSSWVMTCAYSPSGNYVACGGLDNICSIYNLHSKEGNNVKGARELSAHSGYLSCCRFLNDRQIVTSSGDMTCMLWDIEAGVRVVEFSDHTGDVMSLSLGPSQNVFVSGACDASAKLWDIRSGKASQTFTGHESDINAVNFFPNGDAFATGSDDASCRLFDIRADRELNSFTHDNILCGITSVAFSISGRILFGGYDDWTCNVWDTLKGERVGVLTGHENRVSCLGVSADGMALCTGSWDSTLRVWA*
>EED80890
MRFRQAPKCSQTVLARRERKVVAPTKKQLAAKARKRALKTRKNIYEHERMTLQDAINVLRSVEVAAPNSTYEIVIKTAMTKGTTIPKGRYSLPREAKTQTRDRILVFAEGKQAEEARQAGADIVGGPELVDGVVNGRHQASLFLCTPALIRAITPKLGRVLGPRGLMPSERRGTVTDNVAGYIRKLTGTSEWKGDKAGTIRAPVAKMHFPVEDVVRNIRYFMTMVKRATGNQRDPEAQSDKKDTSKKPVTAITRVVLSSRQGPGIQISDL*
>EED80891
MSDVSGIASESSVPNTNVEDLPDEDAEQIRLSRRIQESLEPYRKTFISVSATLRFPPPHPIRRMKELDDELTRVKREWEDEVIRREEKSHAHHQQEVTVTQASISAPSPDPDDIAAQIESLPLPDIPSTPVIGNNDATPAEGPGFFDYISTSSGTIAASPMPCTPSDEPPVEKTTVEDIVLESRLSYMKWQHAEQRRIWEWYLRKNRDDFDVEKYQCISLWEATLPMTEPGLHRACSPPQLKYITFYGENDCTLGQFIDKAVMDTLVRFLDPKAICENKSCNQPLARHCKVYVHNETRLVIAVEQWDGHINRANYLSNAPDTIITWSACRVCGSATPFIPISPEMQRYSFAKFLELHFYPADVQLVQGAGCLHNIYQHHVRYFAIRGMTVRFQADPVILHEIVYPSMRIRVRPEMQLEIRNTDFDRLHRRNETWYTALIDDLKLINIDAATGDEESDARLTAEINQLIVKAEWEKQDIAKLINTIYKETPSTDTLALNQVRAYRQDRIVAWQQDFDKLPKPRVTQLFDRSSRRTSAFDSVRAIFPRRSDYYGSLDAQQGMSEPEDNGPLLMRRVTGDSFTSTASDVSETESVTDNSEEKISAPDVVSSPTEVTLLPPSIVDIAAAKSDEESDSTIGAIRRESVTNPILSSEGEPGTERTSHHVSRLPRRASNHPTVAELVKKYQDFLPAQGVEELTKTAFAPTAPVDESEQEMPSEMPPRVRSKSRRRVVNRKSSISDFESGYAANVAPRYLTHRRPLGVTPYNSRIPGPTVSSFDSRDISRRASPDKRPTTTVANSDVTLKAGRPSPPLTRSNSVAVGAKKKGKATARNLPAEKITGPRPTSSSGPKSTLRRQPGGGGKVSNIAKHFERITKDNERANRRYAVIRGRRPRPVASARAKVEVLDSIKDAIRDETESSDSSEADDEGDGDEETEPQVEKKRLEASAPAQAPSEPEESAASAEAPLEPPTESQGAELPVAETTLEPEITVQEASLSVSNSPILTAMTHEPAPLASPAPEFEGGATGTERHSILKALSGFWPQTSQPRQRADLDGDDPMADPEHIFRNSSMVVRTDEPTSIIALALNSPQYRELLLASRAEKRQSKEPKLSDGGEVFMPDDRSIAESTSTWGVVNVESSGGVDPTEELRVPSSKQPWAICKCVKWDASGGKSGSAFLKTLDDRFIAKELSRAELQAMETFAPAYFDYMSSAVIANRPTLLAKIFGCFKITFRKTQKQQGSGRSKPTQMNLLVMENLFYDRRFSKIYDLKGSTRNRHVQSTGRENEVLLDENLVETAHLTPFYLREHSKRILRGALFNDSKFLADINVMDYSLVVGVDSVKNELVVGIVARELG*
>EED80892
MPPVRAGRISSALCKCNAQQSALWNQCDVITHEPPDASCATEIHSTIGTTSRSRNRGRSREPAAARESPSWSIEMGVHDMEYGRGTGSDALAAKDAAAQKALEELKKAFSSRKKLRPCAGRTVVSVKGLRAKEI*
>EED80893
MKFTAAAAFAALALMTASPVGAGPIAYGVCQTGCNAVAVACYAAAGFQFGTVVAAVAAPATILACNAALGTCSTMCATVALFAPTP*
>EED80894
MDDLKHRVEQVEREATRISQVIPPLVSNAQSLKERVDEYLRFDTHFLSAWRNLQTMMTSVEELYLAEQASSDTSSLVAKCKQQSLTTARGFRMVHETMKNLLHDTNTVSVEAVKISADGERALKSIDSAMSIAHVIRRNVTDLKQIQEQKLSVAHKAVEDANDAVAKLKEVQSDAELRKDVRGAIRWTPFFLGPGPLIVSEIVFANAKRDLAKANDQLRSAQSDRDENCDMLGAAQYQLDLIHEQLSNIDGLRGRVQKQISHLSNSRKLSIRLKSEVSSVRNAALDLSLILSTFAAKSETLGAKYSARGFADNIRNIAKFVSGGDMSIRGTPGEPPS*
>EED80895
MVWPLSLLWSSNSNSNNDDVQTRGEASNFAVAPEAKRSSSSSNPIDLLHHFESPGMSLPPSARVGDPKLKQRQGQPLSIWQLGKMGTFAAMKAIEMATDVVYHHVRGPRRVSWGIEMTLLSSIMRDVGRHSHLGDMALIRMLMGIGGWIPLPSDALATPVTFRVRRRNLPGILTEFDAAEDGTRELSGEWVVGKRTWQRLQKEWRAAKQQANSPNGGSAGGTSPQLNMSQHQARERVILYLHGGAYYVSSAASHRLITIPLAKHLDARVFAIDYRLAPETRFPGPLHDVVSAYLRLVEDLHIPPENIIVAGDSAGGGLSLALIMYLRDNEFPLPSAAILMSPWVDLTMSCESWDSNAEFDIVPRPMPGDHLNPIACYLGEHMEKYLTHPYASPLFGDFKGLPPMLIQAGECEVLRDEIMLLAHKATLAGVEVRHEQYEDAVHVFQTLPFLDTAQKAFISSRDFVQNFLPQWQRQSPQALQGSTERGLEQEIDNDSARVVRGDGMETGSRREDIGSASDEERECSRRNTPESDQSVSASSSTEGDRSWASSWSPPPSSEEDNSGPSTPTEYATVAVSPVHDRPRMQSRPSLRRLKSTFSFIADASTSPQTAPLMPPSIRRSEASHPDISSLVQQFSASGPANQTITYKPDDSRRRRRARTLSGKNNNHL*
>EED80896
MAVLTQSPLPISPHALPCPSLASSQPGATAAISMRSYFDDKPRVAETAHIECTPRRQRLLPEIPPPLDPSGSSSSVYSNESADCHSVLETEDAPTSFLDTAQYAGYAHAEPQILAPSARAAPAHSSGDRPRSKYDELVDALMHDPPVLSTPPPVPPKSDVRKAKSVSIVAPSDEPQEDVYPYCDHTVSEPGHDLTFTIPEASSSGRAPHGRTLASQSLSEHTNPTHGYTSRLSWADKASIPTYRNSFPLAGHQLPSTLTSFPATPQHGRRKLKKSITRPNSLMNPLPSPSSSCTGTGSRIWRALSLHVPSGHKDESPRSLKASKFFRTRSATHTSFLDSDPMAMRRWTLAMADVPDEVLVQELDKLWKEGRSRVSTSRTPTSSQQGHGSDCDSRDWRPSFVYGNSSGSPWRASTRFQLGGESDSEDEEGLEGTVEEAEEDAEDAEEWRTARRALLCCRELVRTERNYQARLRQLLNAQSSHPMFSLLLSYVPALLEASDALLVRLVDDPSAWGVSAAFIGCEEDLEAAFVAWCGTVGEFFADPEEKDKRGRKLARKVNDVSASAHGHDEFGVRQKGGKGAAPAPAPAPAPPLSTRSLSQGGLGLPKPKVPEARRMSLFGMGESGGMFTAALGTGLAFGLSPVSQPHGYVKHGSTASVGKVTGPAGSLSRTLSAWKRKSMPSSLYHLPSSAGTLPSPVAPTAEEKKLTVRDLAIQPTQRVMRYVLQYKDLLAHTPVSSPSRGLVERALESALRIAKKCDRAQGNSAFLRRP*
>EED80897
MSANQACGSSSNATLFLRAYSVNGTDHFYTTDHVEMEAAVSSEGYTSQGNAGWVFTTQVGATIPLYRLFNSAITDHFYTTNETERDSAISQDGYVFEEIAAYVYATQVCNGIPLYRLYSSSLSDHFYTINATEMNVAATTEDYVEEGIQCFVLPNALAESTQSSTQSPTQSSAQSSLQTSTQTPTQTPTQTSTQTPTPTPVSAPKSTGHVAAIVAPIVAVVFVSLVIVIYLWFQRRKRALRAKSEARGDGEVPSASLLPTSNSVDAVPQVGIPVVDAEVSGEDALDLDVEPKPEGERYLSFANISMSDLQPPPYSQSDEEDNVLDG*
>EED80898
MEYQKGPQVRSSKGSSIVVEVCIDSVESAIAFVDFSALRHPASRWLFSSAANGGADRLELCGNLGLGGGTTPTLALFRAVKRAVPGTPIMVNPSTPHEHCARTANPELDIMLEDIRILKQAGADGLVFGVLSAEGFVDTDRTTSGHKPAAPSALPALRDLLQKAAEPTHPTPASTPAILVGSGINPATVRPVLDALLPHGLREIHLSGGSWVPGEMQYRPPGMGMGVGGDGEWGIWRTSEERVREVRRIADLAWEEYINIEPGETL*
>EED80899
MFSLSPNKAQEGCDDNHPIHIPEVSRQDFERLLSLFYPDSAIQGDLTTAKEWTSVLALATKFQFLEYRELAITRLLQLASPIDRVLLARQFDVSPWLRPAYLELCKRDEALTLDEGMRLGMRYVIMLSEIRQSIRANKRPSLPDGNIIAFINQKLM*
>EED80900
MTVRTATLTASGNAPPSVSATDSDSSSDSDVDSHDSDSDEKAKKAPPTSGKLEILLDDEDETGPAVISEAQTRTKNEIPETEAKVIIPDIEEVGSDEVLEKVGEVMSIFDKVVIVKGSAPEYTNRASERALDSDTLLVFEDRKVLGYIYETFGPTSQPLYQIKFNEKYPLNPERVQLSRPVFHVSQRSNFVFVGQLRRLKGSDASNVYDEEPADEELDFSDDEAEAAHKRALTERRRGQSVTSSRHATPTPSQMRDQDMADDSYGANPYDTSGPYNDMDFGAGPSRPAPIPYDDPYSDSYGVDDAQLPSVEGESRSPVTSRRREDDDDASIDGRINDRGRGRGRNRHPPGRDGGGRRDDRGRGRGRDRPRGNRGRGRGRGRDDRGPWAGHERGRQPSSFSDAQDAPAPRPLSPTSLAIARATGQYADGSAVGPDAQSQASGWSHPQYTADQQYNFSFGYQNQYVQPHINPRFASNFGMNFGFQQGNQYMPYGYNGVGYSGGGNPSWDQEYSRNTGAQRLNNEGGSPRTEEPTGP*
>EED80901
MKAILGFAVANSSIHDSLVSLLVPSAGNVNILDVFHRCISSGIVRKSIARPLSEAVVALAKSDVLFASLRNSDAPAIQLDKGKRKRDLMDDGSYDAPAMPPPKRFALDEPDLRSQVSEAVRVVSAAFLPLLNTPTSQPPDPALISSIHPQLHQVFLFAVTSAPRAGERTPPLQELAGLIQMLGVLSGIPIGAPSPHAPWAPPADIGTAVYPCLAPGCAKTFHRLYALRAHQRSHTLADRPFRCAQCPASFVRNHDLKRHERLHDRKAWRCAGCGKVFSRRDAIKRHKDSRGRAGGGGDAVCAYAEIEEVEVEKDEDEEASRRAKLWSDIAANQAASTGHASGSGGAGTEDSQPEEGEVDPRIVAEAQTIVLQLHGLLQGYVARGLGGPPLSNQSHAQSSQATLASVIAHSQQHHYSIASPTHIRGQANEPMQRSAIQDTAPPAPPLPTSLSLSEEQTRMLEQAIAQAALAAQAQAEAEAALEEEDEEGSDNDEEY*
>EED80902
MRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATPDFWKKYM*
>EED80903
MSVWLGAMRALGRAASSGPIVRRMRKMSRDLRARHRDDRAELRVSVAHRRRCRRASKAKSKCWETESKCLVGCDCRKEVYRGPEGLGAYHRLTGNPTLVGPRREAAGDADRLDTGYGTVRTYDVQDAEKKPLDTWSEKPARRVGVVVDNVFLEGIINEAKERKERERQTKAIPIPPPCSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDSTQWTWDSSWPHQQHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVHVHFMPGIVPLRFFLY*
>EED80904
MAHTLVYPGKYFFYPLGNTPAVCLTRDIPPEEPANVLLLGCGDPRSVLFTIFSEPQKSVYNYTLKSIDPDPSAHNVLLLTLLADSQLDTNSIWNIDSTFTSMMPRKLIQLSDSLTVWMKSPYGRYIWMSTEYTLSELRRHWTLYADMPDLPSSRVKSIRDKFTQIAKSKAEPYDSYWKTGTTFSDQSLINAAKFLNPTFAYSFAGEGFAVHYGTDPMESFYLVALFGNARGPITVSDVVKAATAEFSDWCTAFSSAVSDSSRVLTVRFFLAEAMAACRALHDFVETGTLETPVPVAQWNKQLILFNQAEYVSRAAPARFNVIDTSNLDDHIGLLNVVIAATPLLAPPPRPIALGCDEFPQRLYANLTVMPLLLGVAPIDYVSGFTSRSNTHELMLYLSQKREASQFQQVTTWKRPVSGDAYAFRGGGGCLPPTFDSIQLATLLYDIYHDLFEQEDASHFWRLNQGNIIKAISRSNIIHYTRESFVLLSKLVRDRLSISRERWIEVMNRFMDLQGEDQTLQMDSCNLQEFHLHLHRHGIYTHPLLVDEAPRIGHFAAWRSVTSLVRVILVVPRGKLSVLDDVLMKSPPLCYNAISEATAFGRAIPIETKAHPRVTFEADPEGWGGTASLVASFTMASRYLTDIEPAHRLRVSISVRSTHASALLTDALGVALIVHEARLMDETAVFVLPEHPLPTRNQAGASGVPRSPGATIREELGQSHSVVVELDEQCELVSALTSRISVENEDAKRAFAAGALPSVKQVSPSTIRLTLDGRVQDVTYPFPVIGGQNKLRLARKSLYIEVVVPIYGPFQPEGMKLNPFTVAAIEGTLDLWSIHRVNLSTLPVLDTKASKLADWLNPHIGSMMSRRERSLRKQHKEDTLMYVKDTIHAILVRASGIQVGPPCRLFALRDHTTRNSDTVIFVDTIRYDMHCHTVVCDGFVLPLTIDLLRRLEQPFCKLIAPGRMVNVTVFGDEMRAWKQLFPALAERCRTSWTHGPNCEYRSQGKIPLTEDMEVDPLCSCGRGQDTERMQTVDIWRPFAPHVTRIAISPLFAVSYLETIGRDPDAHRCFVCRGKGKPRIRACGGCKKVRYCSAACQKKHWKIHKSQCKP*
>EED80905
MGMHVCSVFFVTVIVNVDATPDSVLTRTLSGCVGYSLQTRDKGSTADQYLGPWSPLQVSLSKDREGADDAPAKVSPSNDRDGVKGPPQKIKLASAKVSPSNDRDGVKGPPQECRPPMIGMGRRLNRALQICSFQADGTKAPRHGAGLCVALGISTRFNARDGETNVRQHPRIEQRSNKRPTSFGHHNNEDVPSLLHRDAPFQASNKQKASRRKKREGDDGMALRSSCSASVVFCTRLDQRARDPERASPVGSGQRAVVASRRG*
>EED80906
MALHNSLNGRYRPLLNISCMVDRSYTQGMGQHFSLRNASHIIGTGSNFGYVSSLIHEQNDERELTELEDRVNALKEVVASEESTTEIMSYSEDELLRIYEDLLAPTTMPSVQESQPVLDPRAQEKDSEAVRYIAERLLESDALATSSTSTLSSQEYRPVITRLQEIASSVETLRLSVPTFDSKDKMNQASPAEVPIGLLTNAEWSSLTRTCVAANDGNAAEAALDVMKRFGASNVDECAIDVLSLHASAGDVASVEQCLQKYAAPSLTDVHRDLHVKAHAKATPPTTFPTSTLDLLHHYEALGTPAPQKSYTRAITSLLSTQSRPAQGLAQAWDLFAHMRYVAHPQPDVALYTLMLRACSHRAVPAEPERALDLFTEMVVDRQLTPTPGAYAAAAYAFARSGERRYVQEAFRLAKEMLDANRDARGRSAFAADRRLFGALLEGAKRIGDLTRTRWILAEMVREMTQRSGEQEVLVNDLIMTHVFHAYAAFKPPFKRSATLIVDEQAPSEPPSLVQAAEHGQPPGLSRTETGLPLTSQGSRFSHIPPQSRGEVAREAKLLFTRIVEDTRAAPSSLDGDGDSIASRPFRHVQLTPRLLNAYLSVHYVHSSFDEWSGLYRTLFDELDVPRNPKTYVEALERCAISQKSERRMALMLADEIWVVWQGIEEAWRTRDNVQQDEAIKMIDARLVERANAAMIRILALLTSTVNVPDDSVPPLLTFNELEVLHHRLLAVGDRVGLGYLKWLCKAYENFLRERRDATMRAIPEGSDSEKPDDVSQPSHES*
>EED80907
MPALGLLLEYPIFDTYTRRIASVNENLQPSDPEYRQPIDFEVHRGAIDQFKQEHIYSRMRSIEDTEGVFDAWVRFIDSYSGNDLLYLNPKGVIPAAAVIKKGERRDNPFREKRRFDATSFSHNAPDEVRLDEDEEDEVDEVDKSKLVDMEG*
>EED80908
MQHTRKFATAPLAEAPPGSPLKKGLPRGPMPARHPLQIRTSGAPKAVEKRSLTKAARPQSLSAVPVKKDAVTTRDGAPAFKVKPGTGEGVDVLSQSVLYDDILPSPDALSPILDRPFTDVNSQLMAAAANSVRQVRTNIEIFRGPRPTRPPPAGKENASPKENRPSFARPTKTSAARTRPAPIMPFRVSAADRLAQSANMGTRTKETDRLQVPGTVYGRSIPRPAQSKNAAVRASPPRALKPIQPVQPRTPPPVKKIQPVKPNVESPKRGVAGGVRAKVIGTLASVKKGVAKIVDSNKSKKLVVPEIVLTVPDDDDEQVMAPSDIVIPSLSVSSSTDLLTLPGWGYDSPVTEEVEDVVPPSAPQPNPEVEAGAIRPVFLSPGWEKALRTGPMRRHTAALIEEPVEESDESKTVLQDAPKPAIPNTLVNEGPVIKEGPIDDNARVAEGTLIMADILMLVAPDPTEDAVTSVFQDDEDNSSVESSDAGSYGTATTHMEEHFPVYEANHFPEDDVEVTDEQSEEFATFRYAFSFVLGVFISACTVSPVFENAYSSYFIDDILAEYLDEDPEACDIYSSYEDCGPASDEDPTERIRSSESLTSLLKEVDEAFSLFEDDSVASLVRMSAEADVSRVTQAQQEFGRSSPVPKLLQTTMTTHESEPTAGVDKELPKDVVEHPEVTHALEGRGSAAAPGSPRPNRYWGVSSPDGADYTIADDVAPRFTASEKEKFRAIVYEDDFELEIEPEIEPESEYVTTETTVSAAQETFVGYLPWSAPEFILGNSRGTALYGTIGFHSRPSPRPVISKFARGSPLLKGKSSKPVIQPKLPFQSSSMTPATPQRLMPERGLARKHSSSKLAITPAEFEDVPLDAVPIKSADSQPRLWYRLFSRRPSTPAAQAMRSPSSVSSDVASTTGTTSVGSPDAPSSIIVSLTADFPPSPTPISYGASEMMERSYFSDDSSDMSSEHSMSSSELSPNIATSSAFSFSPSRPSSASSRGWIQKDATRLDLIKDFFSRLF*
>EED80909
MLSKLITFTLAVALAFAQVNAESHTVIFTNKCDFGTPILRASDGEILSVGGDYTSDGALVGAIAMSMLTPLSVRLSKYPGPCGDNGEGCTVIETTLQNDYSSTDISLVPPHKFSVTGGFGYFDGCNGAGADCAYSTCPTALLYSNQTWLQVACTDLNVNLAITFCD*
>EED80910
MLSELTTFALAVALAFVQVNAESHTVTFNNRCGYGTPYLRASNGAVLSTGGSYTSNGALVGAIAVPQCTYSYLQTGSCGNNGEECTLIETTLRNGYSSTDISLIPPSPSPAASATTTAATAPVLTGALTPM*
>EED80911
MSDTNAPLVSPGRLRPASNAATPIANIPDDVLLDIFRIVVEQSDYMTRKLLRKGKTWLAVTHVCTYWRNSILDTAELWTRLRFWSFFNQKLARAFLQRAGNRLVSLEYRGSGPRPLANPMTIASFIDSNSSHLGALSIIELDETETAVFCGKLRTPAMNLQRLEIQSHVGQHTVVYPIFTNTLPALRVFRITGLWITLPHAANLRELVLLECRSVPFEALLKYLHEMPLLEVLHIDKARDSRREWPPVSTLPFKPPLLMPHLRSLTLSFTHPEDLFVALRLIAFPPAAAVHLHFREMQTLTITAFPDVPPSLEAITSSISDASLTISQDPMWTVVLRTINRDLQLQWSCEVAEQDADNLVFRLQRTAFNALPFPSLRRLAVRSTPALRSDAWRVLFGPLSGLETLEVDVHQTSLWTLGSEMCTFVSDDPIPLPFCPQLKHLRVMRLQDEGENLFRDITQVLWACALNSKSLTFKATTTMVRTRPADPRTHEASTVSYKSRATLTRNKPGSRPKYIEIHDQRLPVSVVLDTLFSFMAERHRIFNRRVAGEPRPWTEDEILDNYPFTNVFRIYDRTTQYILRHVIREGSQDLHEACFRVILFRFFNKIGTWKFLRSRLGELTWRDYDVGRYEEKGSRLLVRWVGYGPDDDTWQSENDFGEARAVMEQWKGTRDRIGEKVEEFRAMGDHYRKRKHVMVAVEREKYQW*
>EED80912
MATNLYEVLGLDRNASPEEIRKAYKRRALKTHPDKLPLNLTVEQKAAAAEEFRKIGNAYEVLNDEEKRKVYDRYGVYPPPAQPEPQRRPSTRGDRFFSSDPFSDPFFSRGPSLFTFTDPFVLFNSLFGDIHRTFFDMDDSDPFMSDPFFSDPFSPFGRMGMGRSMFGGSLLAPHSSLMLGGPGSMFAQLADAEPSGSRRMYSSATEAIGRSGQWVSQSTMSRTINGRTEVITKRRDAEVNIEFCVFEPGNEHVTLSSPEGERYLINGVEQSLPNGHAIQSPPPPQQTRQQSITAPPPQPASSYIPPPNSYHALPPQIQAQYQTPPQVQYQAPPPQPQYQTRPPPQQRHQVTPSPEPQFNAQSQLYSASTSTAPVPPRFSSSANTNSHAYRTLPPPHIEPIPVQHQRSHGHSSIHSGHSHHVYDKHKDRERDRERTRSHRDSHDPHSYRDSRSPRHRGRDEQVREGDHSSDKGRRGGWIHGVAEFLKHRIRPQHGH*
>EED80913
MAAGKTLYDILGITQGASADTVRKAYKVKALETHPDHIVDRLKHSVHTQVRTAFDVLSDPAKRRAYDNGLNFMRTRVNVNVNMNDMQAKLARERAEWARQAETRHQERMKVLREEMLASQRRYQETMAKAELRYQERMQAMEDELRRKREAERQAEGNISETYDLAGRRSYG*
>EED80914
MAFLSLLFLFFLRPRSLVMYDPTPLSLFGTFANVDKDDAQPSCIDPRELILRRYPPTAEAQTGTIDSTAYQSPVDPSSEPDLADGMLTDDDEELYEDQSESSPMSVEMDLPSDDMNLRIGFELEGCPPGTGIRLSRHEDFWEATAPHVVNGHKAVRDYAPDFFRYIGTVVVNIRWPQRPHSPIQLGEFWVHNKGRSQTIADLLDWVMGLTLHFIELYLLYDAALHVRAPSLLTADIGSTVANEGHASTLPMAQDDRTLPVELRNLIIKGPSSLRLASISPFKQGLKGPLPGLSSLPYLTSPRMETVLQINKDTYPWYKKNSTSLSAEPGDGLSHPAGQRIPLYRTDLRTHGACLADLLHESYWEEIAPVLFGGNDLAEMHGRTRSYASIVFTVSECEKETPDPNAPDYYRFGEDHLTLDDLWIVQIYQMSGNYFRAEVQESLLSFGVYNLLYLVNCRNIRTITHRSDYYESTMIGFLENANTV*
>EED80915
MPTELPYAADAEVSLSYDELEVLRLQYQKELSQSHVTTQTKFNYAWGLVKSPMREHQAEGVRLLQELYRAEPSRRRECLYYLSLGHYKMGNYDEAKRFNSLLMEKEPTNLQAQSLASLIDQRITKEGYIGMAIAGGAAALGTILLASLIRRATRK*
>EED80916
MADSGVTDPALAAELKKKRTFRTFSYRGVELDKLLDLGNDELVHARARRRFQRGLKRRPMGLIKKLRKAKKEALPNEKPPVVKTHLRDMIIVPEMIGSVVGIYNGKVFNSVEIKPEMTGHYLAEFSCSYRPVKHGRPGIGATHYIRTLRESTIELASENEPMQLSSSLP*
>EED80917
MSSSRAGGRATSPTSQLLKSMNMTREDLRRHSEQMRQFLTTEQANEGRNFAPVPNGHHSRGRSRAGSSADASVFARSPSPPQTPVKAEPIESTLPMRPLDTMELVMERKLKEKLRRNSSTHARRVEQHVPSTPSRSVTPQIAPETPHHYKYYSSRVVNEALSSQPYLPPFDAANGALPRTPLGLPPSRGADSNSARRSFGRHIYSTPRTAAHTPTPASSPPRVINQVSSPAPMRSSPAPEEHDELPFILPPGPYCAAKPEFAYAGLIGQAILASPQHRLTLQDIYEFITIVYPYYKRGEQTWMNSVRHCLSTMAVFRKVPRGRNEGKSLWAIFDDDVAAFVGGGFRKTLCKDMVKLDQEKAAKKGPRKRGRLSSTTSHF*
>EED80918
MLLAVAGVSTDTGHTSTQGSGTWAGPHNDNAIVDWAWRALHMSVVTGKEVVKQYYGQAQDTSYYMGCSTGSGFHSASIEVQTFPEDFDGVIVGSPANWQTHLQDWSIHMNLNVQPSNSTRFINASVWENVIHPEVLRQCDAIDGLADGIISDPRFCDFRPETLTCRPDQDASTCLTLDQIAAVQHIYSDYVVGDQQWIFGSYYPGGEEKYGSGLVSSKPFSIGQDWFRYFVFNDTKWTIEDYNSSVIPIADHINPGQANAIETNLTSFMGPGHNGKLLQYVGWADQLISPGNSLHYYESVHAWTNANTNMDIDNFYRLFTVPGMNHCDGGYGANAFGAVQQASGGMPPLSLDPEHNILAAMVQWVENGVAPANLTAVYWNNNNVTDGIGFTRPLCQYPKTLRYKGGNNATADSFTCV*
>EED80919
MSGALDIRTVLLSNIQAARRAIAQSDWYRFADWQLAFELDVKMDSGLEEARLASLSLTSESSFDSDNCDWQHVYFDFTNIQFLHEYSRLEGLLQTQDDIRPIDKVHAALYYIRHHYFLELRRSGLNALLSIPILKSSSSLPSLALTSASFRIHSDQVVTRKNWAQMASSFEPVLLVLGMTAFRSPPSASLEGTTDWIVNWQRSSSEAIICLSRDIVTDRTLLLPLARISTMTTIVPIISPVDTIGEWTPQFTALVRDQSRKNRSCSWTTQEPDKEGSLKWKWERRVAFHYEQEGDGIASGACSASCKTCNYVELSSTPARDAMQIKMWGDVKIESSVTLETAQNLGLAQPISSVS*
>EED80920
MSAFMENTGSTARDFQMLERNLLSHVKLAMLLSLLSSSILLRTRLSGGSSTSSGDPSKIQLPIASLEFAAALCTIFAGMYQYFRTWKDYQTLRGFLTATNPPRLVCQPALAPSPCTVMVSVHAPSYIPPLTFEPFVLGDGSKSLTTTLSIPSAADDIPCAYSRLRGPRIRRKHWNSPPHLQHLPAPFDADPPAEPQRPPLKATSGFALGDSPPLQVSGVTSDDVRTQSEADGAPQWVPPRLRDRAAEDLAVLRITGRLASERRRGGRAQNHVAPSDEEFPATVTGAEFPSIIKRSDSGAGKWKIPWVEEAEGSEELRTDDNIRENTGPLSLNGMEIDESCYTYDPHDAPEFLVGTSTSVMLQATPGFHSRHHPRKRPVRKPRNRFHRPTALVLAPGSQLDHQASEGFTEPTGASTTTAPTPSTVHSLPPPAFDLSSLELLVRAGPARPHLVPIANAAMDALKILS*
>EED80921
MCAMRWIPLESNPDWAQKAGLVESQDQFVDVYGLDPELLAMVPQPVKAVILLFPITDSVDARAKQEDERIETEGQRPVDPTIFWIKQTIGNACGTIGLLHALANTNVTLAPDSPLALFIEECKDKTPEERARILETTPLFASIHSEVASAGQTATPSADERVNLHFTCFVHAPNPPRSDIVSQDLPRLLELDGRRIGPIDRGESAHLLEMLIHRFAGRSQTTSMHFSMMALAPAE*
>EED80922
MINEFTNDLSAIWGVSGNRLSVHVNTLPTSVTAAVQVNLRYFHYSRPAMSGPSKTKNDTEWKAILSPEQFRILRQKGTEPAGSGKYENFKGEGIFACAGCGTPLYKSTTKFDSGCGWPAFFDAIPGAVNRHEDRSFGMTRTEITCTACGGHLGHVFKGEGYKTPTDERHCVNSISLNFVDEPKA*
>EED80923
MTVLLALSCLALAGQAFGAFPDCANGPLTTNTVCDTSATPLERATALISLFTLEEKINNTGNTAPGVPRLGLPAYQWWQEALHGVAESPGVIFAPSGEYSYATSFPQPILMGAAFDDALINHVATIVSTEARAFNNANRSGIDFWTPNINPFKDPRWGRGQETPGEDPFHLQSYVYNLITGLQGGLDPEYKRIVATCKHFAAYDLENWEGNVRYGFDALVSLQDLSEFYTRSFRTCARDANVGSFMCSYNAVNGVPSCANSYLLQDILRDHWGWTNEDQYITSDCDAIQNIYEPHYYTATRAETVADALNAGTDLDCGEYYPENLGAAYDQGLFTESTLNRALIRQYAALVKLGYFDPADIQPYRQIGWANVSTPEAEELAYTAAVEGITLLKNDGTLPLSPSIKTIALIGPWANATTQMQGNYYGVAPYLISPLMAAEELGFTVYYSAGPGVDDPTTSSFPAAFAAAEAADAIIYAGGIDITVEAEAMDRYTLDWPGVQPDFIDQLSLLGKPLIVLQFGGGQIDDSALLPNPGVNALVWGGYPGQSGGKAIMDIIVGNAAPAGRLPITQYPLDYVYQVAMTDMSLRPSPTNPGRTYMWYTGTPIVEFGFGLHYTTFTASLSQPSAPSYDIATLVSLCSGVAHPDLCPFASYTANVTNTGSSVTSDFVSLLFLAGEHGPAPYPNKVLVAYDRLHAIAPLASQTTTLNLTLGSLSRVDDYGNTILYPGEYTLIFDVDAKSTS*
>EED80924
MHWTLTIARLFVELANFKKGQDLPQIYTNMSQPTSMARSTALMLTILISDLMFVSPVAVPRIWIAEVISRQISRLWIVWNYNLYVLILPIFTTIGYLDSLNDCIPVASVGDLRTLKHLPDDSILTHAMESWLTAQFAFSSMYVSVQLMHPSFRDSFDVTAVSSSVNIYCTAGIAWKVWQATHSIAHVTYRGSKLVRIVRIVIESAAAYTAYKLFYFGTYVAHSDLQYFALDMDSPVVGITFILIIVRVGLGWTDQAQPAADLLRRSTAEQTVDMPPFGINVTQVIRHTRDTSSSSKIGPELV*
>EED80925
MDDLYANAWSDPPDPPLAGLKPSASSGSSWVSPRLPDLDEEADLAAPSWSTGADIRWSEPSDNTGFSWSQAEPDLAWSTTNKYESIQIGQSPSVQTEQETPSFPLEEERACTPSPPPSPCSPSPGTPASVTASPERINIIAVSLQSPEFDPPPTSADLDGGFGTFESAIVPDEETAVALETTDVTADAWGTAWAASEGTETNTAAQQADEWEVAKQQKAKRDRRVPPESLATILGECEQFIREAWPAPESDPSKKDDWRSSTNGGMEAVEGLDSFIQTFLPPLSLQPPVQFGKTTVAKRVATSVRLTKNMAVTKGSPMSHYLAAKGSTAWEAAVKQRKEVAEDDIVPSGWRILEKEPASGAAAETAKEKKPAGRLFSFWGRRESGITHSRTPSSKDAEENAAVGSAKGAGDPPSHSRRPSQDSVRSSMRSSVDTVTSPVQTAASSVSSLPTTVASTASLSGPASSATASQIPSYADAPEPHVDPSEAPQPAPSAVSRFLNRFSRRRSAMGSGSPRNSLALSSEDLEFLSDIVPSASDENESDHDGGANAKALAAILKPPSLPPILPPPPAPSRSATTSSNSPASAGSNIESPIASLDSFFNALESGASGAAGLSDSPHSSALPMQPTLAAPTLKPSRPSTPSVPAIPTPSSKRDTRPSSPSPLSVTSAKQSSAPFYLPSPPSSRSQTPVSVPTPAGPSMNQDNKSRSGSFRENAPPPLLPPPRPSSSTYMRQRPAETENQPDTPTSGMPLAQLYPNARMVSSQTTGGVDAGRRPTPTPAFSLPPPPSVRSQSEAHLPPPLAPPPMASVQSAPPKRPASLPLTSFDDDDDEFTDFLSSATVPVQSKPIMPARPSMSRKATPTAIPKASNAAGPALTLPIPGTSPPARRPATSAAQTFATASDPFGDDDFSDFHFSSATSLHPPQSALSFDSPSFSDFSASTSATLLHAQSETSPVFESPAPDDSFSSEQSFLTPARPSGFDVNAISPVLRTPSPPRPISKMPPPFSLPLSAAAAEQDSQRPRAGSNARLNKAASHLHALNLVERAAQRPGRWPAPPSPLPKIPGPIPGPPSAASSAHVDLLGDDGSPGRPSAPEMSMSLSSPAVVGSRQAAASNGAMSGLGFGNISIRPTPMSHSQSLQGSLFGIPQSVSSAGAMQSIPLAPRPTTLAPSSSQSSSKTGGLSAQDLLFFEGL*
>EED80926
MSRGQHHSRFSKVISANCSTDMAGTSCMGWA*
>EED80927
MTPRRTRGTLANSPLLLVACPRSTFEGSAEYQRLLTTVIEESDEKTRIESAFTLPERIRARKEETSRQRSVLAEHRSRVQTLVTQINEIHPRLEEQLLAALATLPPLLDAARTAHADVLALTLEAALLKLSVLRARAHIALYGHAAPSNPRATMARALAAAQEKLRAKQRAQEDEERALDAQLAAYEGALGFVGGREGGITALYAHLRLRAASRII*
>EED80928
MSPEVEKAALLPSSAPELQRKEPEKFTPQRKWAIAILSLLALLQIVDVVDLFRGHESDAPLCSQAPPLIPSTNVQLWGSLGDTYGTEAFKNRAVDLLAGAVRIPTQSYDKMGPIGEDPRWEAFVPFHEYLQKAFPKM*
>EED80929
MLDDYEPLIPNEVTDYYLQRVGFDCEDVRLKRLLSLAAQKFVSDIAADAYQHARIRANAAGGRVRAQPGPSSARVGLHSYITGTFNLNAVQDKTKTTLMMEDLSAALSEYGITSRKPEFY
>EED80930
MLPTPAPTSPPSSPRPEVTAAAEDHPIVYPPPPPTRGSAPFQVLAALFDKLQGERRPEKRRRLLDSWFNKDRERAVYGLKEKNLAKIYIKLIPLNPRDPDAIRMLNWKRPTERNQSSGDFPSVLYEVISKRSSVVEGTLTIHELNDHLDEISKNMGHSTTSEEQRWIARIILKDMQISVKETTVFAVFHPDAHALFNTCSDIKKIAWELWDPKLKEMQGSSFIIEEKLDGERVQLHKRGNEYFYCSSSWATNRKGKDYTYLYGKHVGEGSLTPWIHKAFDARVDEIILDGEMLVWDPVSERNLPFGTLKTAALGQFVACNTDRRKSVLLAPAIFDLLYLNGTPLLNKSLKFRKRNLRACLKEVPGRMEFAVEYEGRTADDVRTRMEDIMASRGEGLVIKHPNSQYVLSGRNSDWIKASCWTRGFNVKPEYMDSMGETVDVIVVAGNYGTGRRSGGVSTLVCAVVDDRRPADEVEPKYSTFVRIGTGLSYADYIWVRQKPWKTWDPKNPPSFLQVAKKGSEDKGDVYLEPQESISYGRDDALSACDSDPGGPRHFRLYDCDRYFFHATSRRMEEDEYVQDDGSLEELALTDQHEEPLETNDKGDATQEDPSIADWFKVEKSSNRSEIEHDDTDSETEPDSDNDDVNRDEDIADEDDTGDWVNVDNEDSLKTQEDPVQDASESEPQFRMGETEEAREYDQELIFRHLCFYLDSPANAKKNSMSVKSKNQDELNKGFEKLTTLITEHGGRVVDLDEPKLTHVVVDKRDLSLSSSRLAWTKKHCLMKMASAWQLALFLVLTPAPTEFAP*
>EED80931
MIFNGGCYCGNVRYQLNLDSPDDARMSICHCRNCKKFTGSEFGITAKIPKKAFRITQGQTKQHVSNNGSSTLTREFCDSCGSGILEYGGNAGENTYVFYGSLDEPDKLPPKGEFFCKNRAEWMPEIPGLFHKREIKE*
>EED80932
MSTPLKGSCYCKSVTYAVSGAPVLSAFCHCTQCQRLAASPFVHTIHFEGSAVVWTHSEPHDDRLDTFVNPTKPWKTRYRCKNCGVCVASRNSKTGSCSVWGATLERDEDGKIKAWDVVKPTAHIFYGTRMLEVNDELGKWEGYEGKSNRVA*
>EED80933
MRFQLARLAALATYTLALFHVPVTFAHPRRNALTAKYHAAISRHIDVPPPTPGHFALHQRHAPRALLDVCAYIDLDVLEDLGINLGIPLGAILDLDVCLCLSLFPLVLETDVQLAALIPLLGINRLTEILTLAINEAPGSQHCTCPDNSEPHCTKDDPCGWHCKPPYVKKDGQCVCPAPYTECNGKCGSWPHGCGSSVPRALAADPHTAVASLADAQLTCKSYETVCGVYGGSSRSFECLDVDTALESWMLTYFQVAAA*
>EED80934
MNGASMNNHLRSGDGRLKTSVMDDREPLLYSTQTLYFTIKDAPRRHNDRTHHQKLGCVGYKTWLGTTRRCPQHLALAPFATRHSPLEMTYPQADSFVSYALDNSLDTSGMMLSLRGSMRLDSCLPSQVEQSVGSSANPRTPWTSENSYLDIMRSSEYMFTHWYSDLDPPKARRSPIRVRRRNVQVGTIVPEPRATRVPKLVQCTVEGCGKVLTKGAMRRHKETHKEQDKWRCCGIPIEMAFGLANADTSSVRRMVGGCWEVFSRKDALERHLKNPNNPCIGDVERAELLGRRAIRICGVHRPEFAGIGTRSSHRTHSGPGKQNLDEQHALQGLMMMAERLPSSGANTRTLRLAAPQAASVVDASTTAIKVPSRPARRKVVARQEGTRRSKRLLEKASIAPALSNERQGTDGSKPRSKAETDQCVLRASNGSGDVEEEPTPSEEKSSSTKRTKRKRDENNGYGAQITAAKKKRTCDKIAQQTSSLICNPADHNPPPCPCIASAGAMRARCSLWPFRMLSPISMVDWPVFYPPQLRIVFNARAAGPCEKNCPKRGCVRPYNTEAVHQFGEKGRLRSKATTRMGTTRIE*
>EED80935
MPSAPHIVPESAPRPLAIDTHFVGETNAPEIELAGLLQQVVTPSSDESGRMLAVHMQTPTASAFDEDLLREHDTDVELDNLFGDSITADTHPVSSVVIPGLPEVEQEPTTTKYANCIRGATVDRHMLDGQGDAITLNDDGANCNDERGVTEVPSSAGPSLASLSTPRSQAQHDAAQTTEHPVEATGVNAEYSLRRSTRKRKRVEPAPSATSIEPTQSSDPLQQVRSGSRSASGRETAAITADGRFACPHCPARTFQRYHDCRRHMDTSKRCLGWNGIVYPCHRCGSEYTRRDAVKRHMDDKPDCAQDGGEKASKRRRK*
>EED80936
MATTLTLFATVASSMPYLPESPRAAATELTVSLSANEQLAAQIFTALRRLAWPLPSSGTIAGSSTLVAPGLASSVDISATAVKMPTRPARRKITARPEGARRSKRLLEKESHSSVQSNETEKTDGPKPDSKAEMEQSVLQPSNGSIGAEGQATSSEEKPISTEKTKRKRDENEEHPAERRAAKKKRTTVANNQQSRTSRLSPLSANMPGFYPFQIRIALDAQTQEFGERNVSQGCMYTTVYSTATGRHYGEKGCLSAQSDHNGGDKRDRITHLAVILVVLDQFCVTFIFDTQLDGCYR*
>EED80937
MTCALDLSDFIDVSQLSSEPDSYLDLRADFLGDQAPLQPLPISRVLRCQNVPLELPAAAFSAWSDPAKVFLSNGHLLARSPSAFDILSRPTENGLVSGIALAGQIGSSLPGTAATPSDRDANNHINFNDACGRDIKTDTSSPGADQEPTTTIYDNDIHETSVEDEVDKSGWNGKDAAEAADESEARCDDKNSISNILPLATPPPATPLSDRSLSQSRPSSTTLHKAPKATVHQVESTGVNAKHSLRRSTRKRKRIEPAPPMVPVVSNHSIRPPKRARSSTSVPGKGKATAAAVVKPAPSLVPLKTTDGRYACPHCPARILQRYHDCLRHIDTSKRCFGWNGIMYPCHRCGSGYTRRDAVKRHMDDKPNCA*
>EED80938
MPALAEDLSGSLLSTFCIAIFLYGTATSQIYNYWQNFPYDYKLHRWTVGVVWLIDTIHTLYSCSITQNFTLSAFWSLAVMLAGIVQRFKFDIITQFRQNPSPVITASCGLSSAAAIDLLLAITLVYYRLKTPRRAHEKLHVVEALQYYLVNTRLKQWNLLVSYWNTRLVSLTIIPTFTHMWHTLVFAGLIQVQAKSCQNVRKFKLQSRKQEI*
>EED80939
MSVQGFDLSEYFDNLPLLIAPYEQIPEQVEAYHLPPTPPKLFMPVSPQASSHLHQSAPPYQEFDELLLDGVGWPSSSGMMDIYHSEPRASSAAVQSMNAYTGPYGEFGVSEFYAPSPPQVTYPQPAPTHQAALRLQGEPSTAIYLSDNQWPSMTGLPTVHAPLTTPSPSMTLASTASSPSSMAPVSSRYFGVPGNVRELSTPLHETYDNEHGPMTIFSPMPPSPSPIAGPSTQQYTLHKGRLIASSRRVAAACAPTSGSGTHYVPSLSSSGLPTGGQKKANTAYEGYVPNAATNGNTDVFLDNNNNNNIDYCGKAVGKHVADNGDELADAVQPAAKRPRVSPSAGLSSARTKTDAKPQRKGAQSKPAAPPLPDPREQHVQQESRKRKHKGDDDDITTISIKPSKKARQAVTQSQHLEGGGSKRSNINKNLFCPFDCRKEAFHSKYERNRHLIESCQRNPDRQEPQLPCPHCGKNLRRVWTLKRHLTPRTGECIRIEKERKTLASAEQR*
>EED80940
MATTLTLFATVASSMPYLPESPRAAATELTVSLSANEQLAAQIFTALRRLAWPLPSSGTIAGSSTLVAPGLASSVDISATAVKMPTRPARRKITARPEGARRSKRLLEKESHSSVQSNETEKTDGPKPDSKAEMEQSVLQPSNGSIGAEGQATSSEEKPISTEKTKRKRDENEEHPAERRAAKKKRT*
>EED80941
MDHEAPSYLDQRPTADALQKLEKGEYVELWYFTLEDCASAGNERLTEDEVCGSAWVNNTLALWPARSTPRISLSDDKLTRFQYCTDDGDFQLAIDVADWLATHVAMLIASAGIHRHRHPQLACDEGNISNIKDDVLDETLRGILSNAQEERIKSATADTIHSASPFVHAIHFESSAFAWTHSEPHDNRLDTFVIPTKPWKTRYRCKNCGVCVASRNSQTGNCSVWSATLERDEDDKVKAWDVVKPTAHIFYGTRMVEVNDDLGKWEGFEGKSDMVA*
>EED80942
MAYSPPTSFNPTYPLDVWGLSSTSERSLCLDPYVDTQAVAGVSALWPAEPSDSYFYAEGPLGTRKKSDSVWYTNIHSIRSRKHNYARMHNIIPGDRPYSARSSKHLRNCLSIKRPHSLQGHFCVNLGSIIVSVSQAPEPPLPSQPFHIVALGVSALFFITISSCRRNVQVDGKVPAPEAINEPGMVKCTSCDLVLLKTSMKRHVETHGLPGKWSCCGVPVELASEYGIPASAEPYEHKGRWMVGGCETRCSRKDALVRHLNSESKECVGDVDVADALGWLEDASQ*
>EED80943
MTTSALDLSDIVDVSQLSSEPESSSPDLYAGSLGCQAALRPLLIWRALRSQNGPSVSVSNAHDGRKHSAVSAFSPYTDTRDVFLCDDYPPSQLHSAPDIVPESTPRPLAIGTHFMSATNVPEMEIEGLLEPAVTQSDHQSDRTLAVHMQTPTASAFDEDLCREHDANVKLISLFSYGITADTDVVSSIVVPGLPEVEQKSTTTKYSNRIRGATVDSDMDRHVLDGQVDISTLDNVEVTCDDVHGGTEVSSSAVPSLASQSTPPSRPRSQALHKAAQTTEHRVEATDVNIEDSLRRSTRKRKRVEPAPSTMSANSTQSIDPPRQVRSGSSTTSGRETAAIVLPEPATPRAVPVETADGRFACPHCPARTFQRYHDCRRHMDTSKRCLGWNGVVYPCRRCGSEYTRRDAVKRHMDDKPDFLYPFETAGHAPARSVKLRGWKPSPSLAMPSHSNNSNVANAPDVSGAEIPGGWREHVASLEARKRCKSALKDCGTGHGTDTRTAARGGEHTALPHNVGAPSGYKAWLVTTRRCPQHLALVSSATRHSPLAEMSYNPITSSATYPLDGPLDASEITLLFGQAMRLHSSSPQQAEQPVGGFPTPWITENSYLDVMRPPEDVYTPQRHPDVQSAVLCNAYDAMLFASKYGSEHVIDTAECLLSPPIDPKLIAHPRSYEIASALDDFVHAANSPDTLPNVSTCSDATLSGYSPSPSPYATYSSSNSDNGACDYKFTCSLLPRNLSSATTSRKKRSVPYHPSRPPSSSLTSTSSPSTSQTRKSKARRSPIRVRRRNVQIGVGTICTVEGCGKVLTKGAMGRHKETHRKQDKWRCCGIPVEMVFGTMNRSSDRRMIGGCPLVFSRKDALERHLKNPNDPCIGDVERAELLGWFGDASKE*
>EED80944
MSVQGFDLSEYFDNLPLLIAPYEQIPEQVEAYHLPPTPPKLFMPVSPQASSHLHQSAPPYQEFDEFNHAHYTPVPSNPLQLLLDGVGWPSSSGTMDTYYSEPRASSAAVQSMNAYTGPYGEFGVSEFYAPSPPQVTYPQSAPTHQAALRLQGEPSTAIYLSDNQWPSMIGLPTVHAPLTPPSPPMTLASTASSPSSMASLSSGYFGVPGSARELSTPLHGTYDNEHGPMAIFSPMPPSPLPIVGPSTEQYTIHKGRLIASSRRVAAACAPTSGSGTHYVPSLSPSGLPTGGQKKTNTGYEGYVPNAAINGNTDVFLDNNNNNIDYCGKAVGKHVADNGDELADAVQPAAKRPRVSPSAGLSSARTKTDAKPQRKGAQSKPAAPPLPDPREQHVQQESRKRKHKGDDDDITTISIKPSKKARQAVIQSQYLEGGGSKRSNINKNLFCPFDCRKEAFHSKYERNRHLIESCQRNPDRQEPQLPCPHCGKNLRRVWTLKRHLTPRTGECIRIEKERKTLASAEQR*
>EED80945
MEVCGNVSQLLAKNLRCLDFDSLEQLLSLDARIGDAIQALPRLQSLKITLGTHTYSFMTFFRTPLRSITLRTIPFFREAPFSIWALLANFRDFLEELHLSGGKVNDNEDGMHWPLMQNLTISYAIVMAPVAQSLRPILSRAFPQLRSLTLSNNKYMNEIQQHEDLIDSATWQSLDFLDISAAALPMLRITCPVTHRPPPQYGLASREGVHALALPYDGVLWFAAKRGLGQGIVGLEVHALCILLDLPGRDVPQGGQDALGFCPILAMSITSLRYISVEPATSTPQAPRKWWRITRYDAQTEPYLEQLTMPMSRTIEDALDHVDYTSPSWVDDIDHAYRRDKVTTREIHCSANIARAVNSSV*
>EED80946
MGVHRAITYDCPTAYHAHDFPLTIPPALVDPGLVMLTVEESAHYPLLGDLSDDEWLSLTSAGVGYVRLGPDQRRFVVTMFHEMHCLRVLNLAIGGSSRASMDHVTHCLNYLRLMALCSADITLESGDFMARDFSVERVGETRVCRDWTAVYSTMHDNYA
>EED80947
MTTCALDLSDLIDFSQIPTHPDSHPDLHAHSLPAQVALRPLPIWRALRGQNENLAAARGVDDRRKPTAAPFSAWSDPTKIFFSDVQGLPQFRSPFDILSEPVSNGHVVSSSDQCSHENSLGDHNDNNTFVDMASRQITTDTSPSDVDTRVVSSVAAPDLHEADQEKTSTKYVNYDHDTLVEDEADKDNLDGQNPTQTADEGEASCDSNNIVGKVPSSAGLSPAAPPSDRSAHQPHLSSQTLHHVTQTTDHQVEATGLYAEHSLRRSTRKRKQIEPAPHMVPVVSNHSMRPQKRARATTATATASKGKARATAAPEPAPRLVPQKTADGRYAGPHCPSRRFQRYHDCLRHIDTSKRCLGWNGIMYPCHRCRSKYTRRDAVKRHMDDKPDCT*
>EED80948
MAPWPSPLICHAACLSSTLPPPRNISHVAENMHDHIRSGLPALSLLSFSSHLLLAGIYSRFLYQTIDGNAFASARTQASLGASALMLGFSAMSFLRIALAARARDQRMLRACHRTTPAARIFAVVTAVVALYTTVTAEVDCISFVDGGLGRDCRLLVDGAPPSVVVMVAGFLATVMAIQLYTMVLLEAVFAHNEQSKVLPPPYEYGLPQIISVPPDHTFSTTHYNKDGAVCPLETLMSKDYWCLTSRTASKGGPHPAFDLEDRTTGLQHEWLTRSVASVAVAGEGLL*
>EED80949
MADQLNLEQISEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLTMMARKMRDTDSEEEIKEAFKVFDKDGNGYISAAELRHVMSNLGEKLSDNEVDEMIREADVDGDGQINYDEFVKMMLSK*
>EED80950
MTPSAFGLCDVIDPPQPASKLDPLDLHEHSTVDHVNFETPRPSEVWGESTADGDYAQEMRDSNYSHKPTTVTPFFPWSDPSNIFFSGISHPPEPLFTVTHLLEPTDQVPGYDLPSAGRWSDGSDSISPSLHSPTIRSLCNEWADDCSPLEQTNEEYMPHPSKDQGSPYNIVFISDNMPALPDHNEDLIAQHRSDPTNLLDASVNIIADLHALLSSAGTNVMSSVVTSDLHKVEQNATKTGNAHCIADAIVESNVDVQHIIDEETIQADREYDGHILVETPPSACLLSSSHQPGLQSRVKSQVRHKRPEVKEVQNHTSATVEVSLRRSNRNRERTEATPSTTPIHSEQPLXRARIGNTPTPTGNGKTAAMVASKPKPIAMADGRFACPHCPAKKFERYHDCRRHIDMSKRCLGWNGILYPCRRCGKKYTRPDAAKRHMDDKPNCGQKGGRKKGDQAYWTQNHVATNGRPQTIGGIDLVQRNDPRIIVADLFLDALSTIRYRIVHGLAGNGSAFVGGGPPLSMSFSQVNEARRPVPAHARHIGSEEAWLGAGGEVNSLPRMTQEFRSKTGPSDVTTAIERLSMSPLVNRVEKESLTHSASLLISE*
>EED80951
MTPSTFGLSDVIDPPQPASKLDPLDLHEHSTVDHVNFETPRPSEVWGESTADGDYAQEMRDNPSNIFFSGVSHPPEPLFTFTRLLEPTDQVPGHDLPSAGRWSDGSDSIYPSLHSPTIRSLCNEWADDCSPLKQTNEEYMPHPSEDQGSPYNIVFIFDNMPPLPDHNEDFIAQHRSDPTNLLDASVNIIADLHALPSSAGTNVVSSVVTSDLHKVEQNATKTGNAHCIVDAIVESNVDVQHIIDEETIQADREYDGHILVETPPSACFLSSSHQPGLQSRVKSQVRHKLPEVKEVQNQDTSATVEVSLRRSNRNRERTEATSSMTHIHSEQPLKRARTGNTPTPTGNGKTAAMVASKPKPIAMADGRFACPHCPAKTFERYHDCRRHIDMSKNCLGWNGILYPCRRCGKEYTRPDAAKRHMDDKPNCGKSDGRNKGVHTGVSHKIRFKKRPSCFCDAQSTTWDPMDTQPDQADWTQRHMSTNGSSHRFNIAEFFLDATPMIRNRIVHEPADREHREGHTSDEELLRGLRTQTFRSVLPENQKAVFSEGRVPTPLQGVFSYSFLHGESSETRWRSAQIISIKYPIDPAAAGCPRRSKFESHLTLSHSITIAVGYQKQATTANQPVRTCGSTPGADSRAP*
>EED80952
MSYSHFTSFAPTTVYDPSGVDGITRSMEQSFRLDSHRDTWQEHSSDDFAVHQCDAHYEPLAHSGLPVVFNAAEEYGTQWCSGSTPFAPCDTHTGILLASDCTPGCSPVADISHIHVDPIHVAHPSTWEIASALEDSPSATRSSSLRSSSGSSTSLSLSSPSSLSSWRSDTGAVDSGSSRDILSPSQPSPDSLDRDMHSSALRSSHVEPSSSVRGVSGPSSRRPQGPKKHRRSPTHTRSRLRQVKAEVLALKVSIARGRKECKCTVCEKEFKCRSELWRHMKAHAGEAHGKKWKCCGVPVELAAEYDIARDAKPYIHNGRSMVGGCKTKCGRRDTLQRHLKAKAGICVGNVEKAVALEDHRLDWACQNAAVCSARSESEPMSFVKRRRRFGTEYFVVFVEHMANPNRPPASEWDDNVDVLQRLWHERLGLPRWKPKLLLIMEHQREVALASTILRDE*
>EED80953
MKQNAAVLLVPGVRVPFRHSPNDFQTSHRVFGSQTALIGIGLIVSLSAWLIRSVAGKRRLAVPTWHDVHFLNMCPVCRHLLNTRLTSAQTSMGDDSPERAGSLSEYPLSGVETAAARQQHWGWTFFLFASCISVLASVVNVSLLSAAHAYHTFSSPVKTPSVYMGLESLQRDPARGCRSRTTFPQSFATFHDDDVQQMTPVHAPDDKTSIAFGGKISAHATFYVPDYGLENCTMSVKNVERPPSPPDAVQDGDVEIWMLPEKGRLADKVYIDTLRFSPGVESTSRPFFCRSRSRMFFQWVCPQKVCHIHYSIEGVTTMSWISMSQ*
>EED80954
MSPNIALIVVGTFCLLTSLSSLFVAVLNSRHAQHKGLSEYTFLGDDHPAHYPLELETVALTPENTVHYRIYTADAAAEWESIFPAGGGFLRLGESQRPLGLAMFHQLHCLARLREAMNTRQSTEHVHHCLNYLRQTILCDANPTLEPVIPILGVRSVNAEVPRLCRDWTAVYRLAEENYRMTKGASR*
>EED80955
MASYLTVPRLSFAALYVAAITYGINVLLAAICTYFLLGPGRREGKYHYILITYTFAMSIATTIYFISGSQWSEQEFVQDAGDSAAVALLMSSPLAEAKNVAIVVNIWLADSLIIWRAYTVWEGSLSVLSVLVTVYLADVGTKYGGDAVVHFGTAFWSLSVALNVLATLLIAGRLLYRRRNLFGGFSASSSRYTFAVSVVTESAALYTICGLIYIPLYAVNSVLQYPFSALFCAASFTAPHLIILRMALGVAVAQSPVVVSPNNSMKFNFAQDQSQSASRQSESIRHPTHYHYRPAAGPQPVSIPMVPYKPSRVHGDKDPQVYSVVHSWPSHLKSSYSCPSSGREPLKLFSTELELRPNLLSGFRMTLVIVERDNSIILHLRTALLKFIRDMKRERSTRYIAFVEQRQTRKERTEKQAQICYFLASDPQANEMLAKFIGTDRETYIIWRE*
>EED80956
MSYSHFTSFAPTTVYDPSGVDGITCSMEHSFHLDSHLHKYASIDLVPSWAESRTDDAHCERLSHSGLPVVFDAAEEYHDTPWCSGSSPFAPCDTHTGILLASDCTPGCSPVIEGDISRIHVDPIIIAHPSTWEIASALEDSPSATRSSSLRSSSGSSTSLSLSSPSSSMSFWRNDTGAIDSESFHDVLSPSQPSPDSLDRDMHSSALRSIHVEPSSCADWMSGPSSRHPQGPKKPRRSPTHTRSRLRQVKAEVLALKVSIARGRKDCKCTVCENVFKCRSELWRHMKAHAGEAHGKKWKCCGVPVELAAEYNVARDAKPYRHNGRSMVEQRKGQREAPFSNDPVTGSNTYKTTQPASATDIRPPTLDPPTLQHTICRALKNMQRIIPTTLDLYMAHLAPQTPPATDGDGTEDRPRRHVPCRLRRMLDKMPFHATDSDNEHRNLPPRLRRMANGPKQMIALKLVASKAKSKRSAARSKGPRAQAETQPMRRSARLLEKADAANST*
>EED80957
MKKAQWAAQLWVNYIADYECFLRTAAACKQADDAAGEDARFVHERRFPQLVKAYMDHEPPSYLYQRPSAYAFQKLEKGEYVKLWYFTLEYCTTLARDEGYNISNTKVDLLDEILERLLSNSQEERMSKILFVTVHNVGTSQGIVLLHSGDLCGIRCSSTQRVLPLHSMPASIREPHDAQLDTFVIPTKPWKTRYRCKNCGVCVAGRNSQTGNCSIWGATLERTKDGKIKAWDVVKPTAHIFYGTRMVEVNDDLGKWEGFEGNPTGSVLNSGICHTAIASSRLPDLIHKVGEFPYNRMSLLNFKPSVSATELMQAINKQLCAHVNDSALSMFVVQARVYVKPSPQFLDTIIVSNDHQTFARVLLVVVGPCGNREAQSGMRKSVSGGGDALLYASRLDTEAKDTNSGSEEGTRKQWRVALAAA*
>EED80958
MSSGSGTLPWTCTLRLRVWRGERRVLELPVRGDGDEESRTDTERSDVEARRRERDDPDSLALSVLPGENVIESGEEEGVHGNGANTGDDDGAEIDAGMSLDGLSAWKYESNNSADLTAETLATACVFTYGSRHKDRSKVDESPHTSMGSSRGYVGLKEEGGSYDISRGEWRVANKTRARCWGHLRVVPSHVLYPDGAPTLCSRAVWSPPLAAVLAS*
>EED80959
MQVGNGFFAFGADISGLQTFQPWAIMFDWGWKNDSLPAGLLYMDGVGNVQNITEADLSDARQELDLWSGTITSTFAVDGHNVTVKTYTAQSSSTVGIAIHSTRVQDGRLSLFLDFPWNDGSQKFQAPYVGYWNDTDSHTTALTAGPGLGENVLWQINHTMSAASFYTTLGGGDNFTASRVSPTTHKYRLVPVEPSSFSIAVGYSPSNSEGVPSVAEIALESISSWKSYWSSSGFVDVVSGLTDPRADELQRRIILSRYLMRVNEAGFTPPQESGLVDDGWSAHKAKKAYKHGARWSKMTDPTGRSAPGEINELLIWQQPHPLVFAEYEYRATQSRETLKRWRDVVYETADWMANYARYNTSTGVHDLGPPMYVVSEDTSPNVTRNPAFELAYWRLGLDLAQAWMERLGEEVPVAWGEVKENLAPLPIKDGLYAVYEGIESDFWTTTEYTNSHPALVGLYGWLPQTSNVSLEIAKATAERVWTTWNITNLWGWDFPMLAMSAARLGDADKAIDWLLDPYYQFDDVGMPLGGAQVPTPYFPGSGGLLYAVAMMARGWDGTQGAAPGFPREGWEVKVEDISPAL*
>EED80960
MSPAYLYPLETTLSQSGYDPDTLYTGLPPRGSSSIVSSGQAAVDADDTLDFSLYEPYGPTVDISGEEILYINDTLNFLSYEPYGPTFGMSREHTSVSTDPSFILPDHPVMHFDSGLLEAIPATSYFSERHAEATSPPMASPAITFSTWSPSSSSAAHTPGDDFDNNASAAWSPPYQDSTFGTHVWHQETPFLPFTETARYVSELDMGYGNGIYLASTPVLYPPLHSSPFASTSALPTSFSVPVMAPPAATANRDVGFMPPNHGTATGLASAPCASMQRVPRMTASGPPMVERINIHTEYGHCNSDNSTMLVPDMSNNNIGFFPEQDATTPSETSAGPSHMSSHVRTEARCGSKQSMHPQALVELPKTPGLPRTAGKRKQRDDDLTDDPTDDTSSRHPSKKAKKATSHADSAHTSYEDVPQPAWYGGCIPPDPKKPHPCPYCTDKWFSCSYDVTRHRESVKSCLGYLEKGEIPCNLCPSIYTRRDALRRHQKEIHRNGYKTMAATRQS*
>EED80961
MAVGGESCEPSRGLQHSDGETDWGKQPESSPPPPYEQGEWVPPKPKPSSVPATPPVQVTPPTPRSRKSRHIAATSYMPIPPEFSCSAPDADPELDTDKGEDDQMSWIGDKLALLIAEGQRALGKEVVVMSEAPEDEEGNGMDNWVEEDGGRAVLAWRPATLLIAARLAPPQRVSTSIPGSPHCRGREVSIESDRFASMSFQEDESAWQTPELWEAMERARQR*
>EED80962
MTRADGIASHPT*
>EED80963
MPTRPRGPFCHHIGGGEGASGSPCQGRLREKTLSAPGGTEDTAGAKAAVVALRWSDFKRSECSSGLSAESAVKAPEKVRQEGYIRQGLLMQGRALSAQALAQGLEGHLLVLDRRQFERGGSWGRQGESAARRIKMRGCFGVQAARVIFLDRSGCPIWARLLLAMIEDSLRAVWDVDVDEILGVECVDLALTSSHDGWGEDGESIVGVHTTRPVGKEIKGQEVETEVPRAAEAGLYTWGDKGGLCVLVRAQLVRAQHANTAPGAVDNDTSISGI*
>EED80964
MDLFDAWSTNCSVLALLLGFNLIVWLLSVHCRVDRSGIRDTAPCSRLPLQPRANASSSTTPMQVGNGFFAFGADISGLQTFQPWAIMSDWGWKNDILPAGVTQEDILTYRGVVWDGVQYEFAIHGVGNGQNITEADLSDARQELDLWSGTITSTFAVDGYNVTVKTYTAQSSSTVGIAIHSTRVQDGRLSLFLDFPWNDGSQKFQAPYVGYWNDTDSHTTALTAGPGLGENVLWQINHTMSVASFYTTLGGGDNFTASHVSPTTHKYRLVPVEPSSSFSIAIGHSPSNSKGVPSVAEMALESISGWKSYWSSSGFVDVVSGSTDPRADELQRRIILSRYLMRVNEAGFTPPQESGLVDDGWRTRKYGKFHMEMFFWHSCHWALWNNWDLLGRSVNVYSRFLPTSIERAQSQEGYKHGARWSKMTDPTGRSAPGEINELLIWQQPHPLVFAEYEYRATQSRETLERWQDVVYETADWMANYARYNTSTGVHDLGPPMYVVSEDTSPNVARNPAFELAYWRLGLDLAQAWMERLGEEVPVAWGEVKENLAPLPIEDGLYAVYEGIESDFWTTTEYTNSHPALAGLYGWLPQTSNVSLEIAKATAERVWATWNITNLWGWDFPMLAMSAARLGDADKAIDWLLDPYYQFDDVGMPLGGAQVPTPYFPGSGGLLYAVAMMARGWDGTQGAAPGFPRGGWKPHVVVLHQKPSALTRENRYTTRHHTCNFVAKYVSKSFAPSARRSSRFTHLTFRQHSTISVLSSSDLSSRTPQLPWSTMTVLAGRVAKLERSGVYSRKKAQGVIARGP*
>EED80965
MSPAYLYPLETTLSQSGYDPDTLNTGLPPRESSSIVSSGQAAVDADDTLDFSLYEPYGPTVDISGEEILYINDTLDFLSYEPYGPTFGMSREHTSVSTDPSFILSDHPVMRFDNGLLEAIPATSYFSERHAEATSPPMAPPAITFSTWSPSSSSAALTPGDEFDDNAGAAWSPPYQNSTFGTHVWHQEAPCLPFTETARYVSELDMGYGNGIYLASAPVLYPPLPSSPFASTSALPTSFSVPVMAPPAATANRDVGFMPPNYGTATGLASAPCASMQRVPRMAASGPPMVERINAHTEYGHCNSDNSTMLVPDMSNNNTGFFPDQDATPSETSAGPSHMSSRVRTEARCGGKQSMHPQALVELPKTPGLPRTAGKRKQRDDDLTDDPTDDTTSRHPSKKAKKATSHADSAHASDEDVPQPAWYGGCIPPDPKKPHPCPYCTDKWFSCSYDVTRHRESVKSCLGYLEKGEIPCDLCPSIYTRRDALRRHQKDIHRNGYKTMAATRQS*
>EED80966
MKLATTLVPLLFATACLAAATRTSPSPRAHRRDVIAARQAHVRRNLLDVCAGLDTDLTVLGIVTGHIDTCLCLSLLPDFLQADATAKAAVTLAGVDVVTAQLEALINDAGNSESCSYPPHASPVCSSDNVCGFTCTDGYTPWTPPGASRPTECVCDMPAIECNGVCGAFKNGCGSAVPVPLRRRADPPLCKGGHKACGIPKESKGRSWECTDTMSKPDSCGGCMVPSPFTPYEPAAGIDCTVIENAINPTCEQGRCVITACASGYIPSPKGDACAAVTISNRDTVETVVQDGVVAKLEPVLALAEESVIRIGVARNLDGAAGAVVDEIAAALEDISVAVGASARVNLRDVVGDGADAAGGAGGAVVNGVAHVVGAAGAVVNDIPLTAGAVAGAAGGVVEGIAQGTSVAVGASVGAAFSHELFYFGNIDG*
>EED80967
MPGPLGGTLGTFCFAILLFGSASAQIYNYWWNYPDEPQLHRHAVATVWLIDTVHTAVCIMALYRWMIVDFNDYQLIQNLSWQAATISIMFEVMLSGIVQRSGSVNLLALKCAQFTDHLIEGSRKNITLCATMLLFTYNDIGNHVDRPSRLKFKTVDAFGASREPLISLTCGLGLATIVDLMVSISLVYYRLKVPRRADQLVSLASVLTLTEANLHNISMSEHATIRTEASEAKELDLASRMQGQPNTNPSARRATRHRPKSKFAGEDLFTITNDIPPTPSPISSIGRADGADAEADPKATSFLHMENGHEANTLSDAKAQALL*
>EED80968
MPAQSTLSSDDKSKVKAAVPNNSNKIHTAALARIYYAHPNPNEWSYAGLQGALAFIHDKSKGALYLRMVDLAGTRGVIWQHELYEGLEYFQDRPFFHSFPGDECMIGIVFAEESEAKTFYKKVTTTKVGTEKPKSSNKKKASKGGKIDKSMISGPTSGSFQHVAHMGYDPVKGFTSSNVDPSWSAFLSQLEGHGLSREVLEQNMDFIKDFVRDAQKSAPAPPAAKKKPPPPPAPRGMPPPPPPPPPAGGSPGPSAGLPAPAPGRDALLASIQSAGVHMLRKTDPNATPARPTSPPAEESASSSGGGGGGGDLTAALAAALLERNKKLGDSDEEEDDDDDWD*
>EED80969
MATLYDDARSGSLTLDKLNTYLKAAPDKSIIDKPGGSEQLTPLAGACLGGHLDVVRLLLNNPYRNAGPNAPSINDLTPLYYATRESPATDRAAIVRTLLKAGAAVDATSAYDGHNTPLMNAISQTRDRDVVHELVDYGASLTIKNDNGITAQTLAVRYRMTSDVLPREKRNNTLSLIVHHIISIILYIISIVNSGILNSIFDAVKILFGISGKEDAGLKKEIKDGGSVKAFTDNLKDYVKDQGLDKFFPRNEPFFATLAQKAAALRDDPNTGLNTPNNLKRLIFLSLYQPIIYCDDSGSMAGGDRYEHQREIVSRIAHIATKIVPDGSGVLLRFINHSSPDDMFSAEAIDAAVRAVRPSGGTRLGSVLRDRILEPYLYKILASPNKRLERPLLICTITDGCPTNEEANTFKNEIVKCRDRLRESGFENPGKAVMFLISQIGDDADATRFLDDLNSDDKIRDMLYCTSDRLDRMFLQHKNNERSLEVWLLKLLTQPIMEGMSLPE*
>EED80970
MSYSHFTSFAPTTVYDPSGVDGITRSMEQSFRLDSHPDTLQEHSSDDFAVHQCDAHCEPLAHSGLPVVFNAAEEYGTPWCSGSTPTWEIASALEDSPSATGSSSLRSSSGSSTSLSLSSPSSLSSWRSDTGAVDSGSSRDILTPSQPSPDSLDRNMHSSALRSSHVEPSSSVRGVSGPSSRRPQGPKKHRRSPTHTRSRLRQVKAEVLALKVSIARGRKDCKCTVCEKEFKCRSELWRHMKAHAGEAHGKKWKCCGVPVELAAEYDIARDAKPYIHNGRSMDAAVCSARSESEPTSFVKRRRRFGTEYFVVFVEHMANPNRPPASECTDDVDVLQPLWYERLVLPLSYEPRLGEAKIPIQSRESRNIGAKPFWPRQDYEAKQRKGQREAPSSGDPVTGSNTYKTTQPVSATDIRPPTLDPPTPQHTICRAVENMQTTIHTTLDPHMVHPAPQTPPATKGNGPEDQLRRHVPCRLRRMLGKMPLHATENDNEHRNLPPRLPAYDRARAGQESTGTLSHESRMPLASNATPEVSTDRTAQAVPFVQEPSQPRLAIPAPEASSLRTPTVLPPATGLPPAVPAGECGGNGPMQQSAPELVAAVAGPKKSAARARGPRTETEKKPVRRSARLLRKINGGNRDQAVNTDGTAHGSIADVEMSTQPANKANDEDVGDNAPRADTGAEPDCTASTQPNLKRKRRPRDEDEDTAAPEGAARKKARK*
>EED80971
MFERITIQIAGPGSKVASVTTDAEVLWRTRKNFVFSIMSPATCHRQKLIWLTRTYPLIVIALVGEYIEDRIIETMEKLAILADPYHYKVHIFCIHRGDELKAARLIDLTPKWHLAATLYNEVPRMSRNQFVQALSMVESEDLALSTPSDSNGETEQTGGDDRAQKSDGESVTPNQPQDAGSAPDLKRNANDENVQRHRGYLRRDILKKDTRSRSMMTFESVSATIGCLRSKKIEMTKRKCTFNRLEMQHPGNVTAEFSLRCVFRTASNRATMAFNIRPSGEALSAKEAWVALLGNVGIPEGVPSPFGAPARGRVVGGNVNEVVVAVLALASFAMRTSARVVLDQGVWMGRPGSVPRGTHGVLTCGGGVKAIVTKWLGGPEDPPTLGAKDERHMAGLLERNPFDARDVYLLYVFPTEASILQDITDRQNRRLAECVSDSFSTRFTKGDIDNLSIAVVTSDGPVFERNSCVIRGNEFTSPPTPSHASYRIASVAKLFAVLEGHILAERGVLSWDDSVEKFLPDLIFKPANQRNTGEDMGITVFDLATNMCRLTSPPRTYSAYSNTATDLLGIALVAANRTASKHPEHSPAEYAELLQRGIFSPLGTNGSHSLTTDANKLQVVVPSLEPEIADLDFLDAVNPAGGQFSSLPDCIKVTRGLLSPASPESLVSRHSMYRWLQPVHSFDEDDWTEIGFIWETIKARDDSEDLLEACYSPTTPSGFQPGMNEMLAEYSRDLYAGFWLSEDGLSNATIIVEKGTLYVESQLSCARSYVVSNSGLIRDRNSYESYHEQRPCSRHLPWLKHPSTLLQSSTSLKKKILRAIGLDKTTCLIGLVMVIRYSLTY*
>EED80972
MFERITIQIAGPGSKVASVTTDAEVCPRDSDNSYIRTYPLIVIALVGEYIEDRIIKTMEKLAILADPYHYNIHIFCIHRGDELEAAHLIDLTPKWHLAAALYNEVPRMSRNQFVQALSMAESEDLALSTPSDSNGETEQTGGDDRAQKSDGESAARCRERTLAYTLRLQASENETDLRRNANDENVQHHRGYLRRDILKKDTRTNIKTKSRSMMTFESVSATIGCLRSKKIEMTKRKCTSNRLEMQHPGNVTAEFSLRCVFRTASNRATMAFNIRPSGEALSAKEAWVALLGNVGIPEGVPSPFGRPGSVSRGTHGVLTCGGGVKAIVTKWLGGPEDPPTLGAKDGLIV*
>EED80973
MSYSHFTSFAPTTVYDPSGVDGITRSMEQSFRLDSHRDTWQEHSSDDFAVHQCDAHYEPLAHSGLPVVFNAAEEYGTQWCSGSTPFAPCDTHTGILLASDCTPGCSPVADISHIHVDPIHVAHPSTWEIASALEDSPSATRSSSLRSSSGSSTSLSLSSPSSLSSWRSDTGAVDSGSSRDILSPSQPSPDSLDRDMHSSALRSSHVEPSSSVRGVSGPSSRRPQGPKKHRRSPTHTRSRLRQVKAEVLALKVSIARGRKECKCTVCEKEFKCRSELWRHMKAHAGEAHGKKWKCCGVPVELAAEYDIARDAKPYIHNGRSMVGGCKTKCGRRDTLQRHLKAKAGICVGNVEKAVALEDHRLDWACQNAAVCSARSESEPMSFVKRRRRFGTEYFVVFVEHMANPNRPPASEWDDNVDVLQRLWHERLGLPRWKPKLLLIMEHQREVALASTILRDE*
>EED80974
MSTASAETFPSRPASSAVWERALERHREDTAIDITSDAIAPELGSICNHDTLLLFAKERRGACNMDTHWDTRTSDTLRPILVDLELLLGIVTGTAPSVAKKDIAYKAIISVIEQVSHVPDELCSTSSTTGVEECCVQYAATIVVLMGLALARKDILMKDDSVRMLLEELRLQVDDLRWKIGPSEVQCQRAWGEIQDAEKQAHLDLHNLDAIDTISDLILRLNAYWCQTKVRSKDQTRLLAAARSMLDPHTVSLREMDKNVHVTAIGRNRMYGIVVDVFSRLTTILQRVSSHSPTEEVISASVLSCRILLEMTEVILLSVGVIKGRKVWFSIKLWTGIEKSVLLSPMESISMQLWKDAITMYTATTGKDIISVEMLQGIDSVDGLRSMLDTEAHSFTHEKERYGAIHKVFEPISKCITQILDVVSDAVESHLSHSKVIFGAIGLLFKAARVVGATYNTVLKLLTTLSDFLGRLELYAKQECSAALKMILTQILCQLLGLETKVIAVGRISAFGKAIVGLHDTEVHDALAKLDQLIDSEGRLVEATTLRIVSDTGEALRVVDIKVTEVLQGVGRVQTGVQEVFTSVGQVQEHVMVVRSILEQQHNTVAAKEAKLCGVDITPAPLPTKQAICIGRDENIDAFKALLLQRSHVLILGTGGVGKTTIALELLHHPEVASAFSTRYFVSCDAVLGLEAFRLRVADSLGVSPEMRGQQLLSGILRTLRARPSVLCIDNFETIWEPPQSRKDVEEDLAQLAGVDDVVLIVTMRGVERPGGIAWAPTTSLRPLSVDDGLTVFAKVSGAPVDEYGEELVRATDGLPLAISILAHLTQPEMETTESLWKRWKKAGPAVASRDDGANERQFNLGTSIDLSLSSPRMLSDPSALTVLAIIKELPDGLPQSPYLLDEFQKFLPADVNLQRSLQTLNRVALVHIDKRSGENGRYLLLAPTREYCVRLSTLRLPDDTTHAYRTFYAALITSFRYGETDARAHAIVRPELLNVSSILTEWLKVSHPDAGVICAVLSHADWSQYIGFPTSTLLRQVMKHPIDDKELLAKCHQCLGDVLYYTNELQEAEQNWDMALIGHVTAGKLAEAAHVHHSLEQLYTRTDRLDKAEDELTSALRLHQEVQDSLWEAHDYQALASVYRRRSQLDKAEDALMSALRLHKEVEDSNSEANDRQELGELYIRTGRPDKAEDELTSALRLHKGVHSSLGEANDLRALGEVYQRTGRLYEAEAALTSALRLHREVQSSLSEAHDYHRLGTLYLRTGRLSKAEAALRSALRLHKGAQDSLGEAYDYHALGELHRHAGQLDKAEDALMSALRLHKEVQDPLGEANDHGDLGTLYMHAGRLDKAEAALMSALRLHKEVQSALGEAHDHRALGALYHSTGRSVEAEAEFNASLRLHREVQSTVGVADDHHALGELYRHTGRPDKAEVSLTLALRLHKEVQDPLGEAHDHQQLGDLYLCTDRLDKSEDELTSALRLHKEVQDPLGEANDYQRLGVLYSRTGRPVDAMTSLQDALHLFEQIGNNLGRAHVLQLLGELYLHSNQFQEAEAAFSQVFGLHEEIQDYIDAQNDLKYLVKLYFSLGREDDAAGALQSSSNTFGSVYIRMGRLDEAEAPLREALQLHQGLEGVDEKIARDRALLDLLRYPVEMPPFDGIGNAQQCYQTVFECHLDEKESRDTFTSINGIADTTNTVASPGGAGTQLVSSAVASGLHTVEQMTTNNKHVNCIPSAFVEGNTDPQNVIDQEPVLADSADGHVLAESGLGSSSGSQSIQSCSSAQVRDQVIQTTESQVLATGPSAGKIVRRSNRNRERTEATSSMTPIHSDHPLKRARIGNTPTNKSKTAAVVVSKPKPIAMADGRFACPHCPAQQFERYHDCRRHIDMSKRCLGWNGTLYPCRRCEKEYTRPDAAKRHMDDKPNCGQKGGRKKGGKKENKPKGD*
>EED80975
MDRAISDTKPTCRVTGTDVAALFSRLAQAPS*
>EED80976
MSSAIDGTRNSYAAALVSSILYGFYLLLAIQCIYGLLTKHGSTSGRNVALGYAVLLFIVQTVYYAAGCRWSAIEFVDASIDPAVFASELSGNLSLLKDTMYVINIWVADSFLLYRVYIIWSLHYVSLLPFALYLASLATGIGLLVETGKPGAVFGQASIINFGTPFWSLSVATNVCATVLIVSRLLYHHGALRRSTTRNPALSGTSPAVIMFVESAALYAVCGIIYIPLFAVDTPVQYPFSALLGGVVSIAPTLIMTRMAKGMALTKEWSDIPLVDMPSVAQPAPSQSTETIPKHQIA*
>EED80977
MSCTPNLNSQGRDDPVEKFFPDFKYRLDGVKPTNKDATGENMAVTLFDLASHMSGMGRDWPPGTVHNWPKDMMGGGPPPTNGLPFPDHASLFRAIERYRLTSPPWSYPAYSNTATGLLGIALVAANRAASKHPEQEPAEYAELLQRDIFGPLGMNGSHFLTTDANKHQVVVPSLGPEVADQDFLDAMNPAGGQFSSLSDCIKITRGLLNPVSPESLISRRSMDRWLQPVHSFDEDDWTEIGFIWEIIKARDSNGRPRKIYWKLGAMAGYHAAIAIHPGASYGVVVLLGGHYPDAARLAYDAFELFQPAMDEVLAEYSQDLYAGFWSSEDGLSNATIAVEKGTLYMERMFLNDTDILPKFYAPGRLPLRSSERRDEFRLDTGIPGYNGKVHMGCYPYWNGQDLWGLRNDAPLNLLYFDGAGSARAMHVPSADVVMRR*
>EED80978
MVALSSVFAALTSIAAGLYFTGRWPGTSPALQAADDVERFSCRPFLPKLFVDTPPPADHPLIRRAAERAGDFFSTRFAQADIDSLSIAVVTSDGPVFEQNYGVIRGNESATSPLTTSHASYRVASVAKLFAVLEGHILAERGVLSWDDPVEKFFPDFKYRLDGVKPTNKDATGENMAVTLFDLASHMSGMGRDWPPGTVHNWPKDMMGGGPPPTNGLPFPDHASLFRAIERYRLTSPPWSYPAYSNTATGLLGIALVAANRAASKHPGQEPAEYAELLQRDIFGPLGMNGSHFLTTDANKHQVVVPSLGPEVADQDFLDAMNPAGGQFSSLSDCIKITRGLLNPVSPESLISRRSMDRWLQPVHSFDEDDWTEIGFIWEIIKARDSNGRPRKIYWKLGAMAGYHAAIAIHPGASYGVVVLLGGHYPDAARLAYDAFELFQPAMDEVLAEYSQDLYAGFWSSEDGLSNATIAVEKGTLYMERMFLNDTDILPKFYAPGRLPLRSSERRDEFRLDTGIPGYNGKVHMGCYPYWNGQDLWGLRNDAPLNLLYFDGAGSARAMHVPSADVVMRR*
>EED80979
MCTAAFKVLPSRPASSAIWERALQRHREDTAIDITSDAIAPELRSISNHDTLLLFAKERRGACNMDTQWDMRTSNALRHILGDIKLLLGIVTDATTLAVKKNFTYKAIISVIEQVPHVPEELRSSLSTTGIQECCVQYVATIIVLMGLALARKDILMKDDSVRMLLEELRSQVDDLRWEIGPFEVQYQHAWREIQDVARQANLDLHNLAAIDTTSDLVLRLNDYWRQAKIQSEDQRRLLATVQCLLDPHAVSLRDMVKPAHVASDLWKRILGLIVLCVEAAQGREKIYGIIGDVFSRLYTILQRVSPQSPTEELISMHTMSCRILLEIAKIILLSVGVIHGHQVVLSIQLWTGIEKSILLSREPLRSTICRIDGLVAKLLICISPSEIDSISKRLWRDAITMYMETTGNDIGSIETLQRIDSVDGLRSMLNTEIHRFTCEKQRYGAIHKVLDPISKCVNQILDVASDVAGSAAKEVGAAYDTILKLFTTLSNFLGRLELYTKEECSATIKIILTQILCQLLGVVGLATKVVKKNRISELHIQANMGDRPPLTTITVVFGKAIVGLHDMGLHDALTKLDQLIDSEARIVGANVLHVVRGTQKAILGVDVQVREVLQSVGQVQEHVTAVRSMLERGFFYVCIIPTAGTNSISAEQFNTAGMKGVTSNSVDLAPALLPPRPAVCVGRDKDVDTFRTFLLQQSHVLISGAGGVGKTTVALELLHHPEVACAFPTRCFVSCDAVTDLEAFRLKLADALGVPSEMRGQEPLTDILQRLSTGSSILCIDNFEALWEPPQSREEVEQDLAQLAGVDQVVLIVTMRGVERPGGILWAPTMSIQPLSVDDGLTMFAKISGASAGKHGEELVRTTDGLPLAISILAHLAQPEMETTESLWRRWQKTGPAIASRADGANQRLLNLGTSIELSLSSPRMRGDPSAHTVLAIIKDLPDGLPQSRKFLRKLQEYLPADIDLQRSLETLKRVALVHIDPRSGNHGRYRMLSPTREYCVRLSNLRLSDDITHAYRTFYAALMTRYRSNQTNSMIYAIVRPELLNVSSILSKWLNVSHPDANVIRAIHSHTLWSLYVGSPTPTLLQQVMKHPIDDEGLLAGCYQCLGHVQYYRDELQVAERNWNMALKAHDSTGNASEAANDYHNLGNLYQRTDRLDRAEAALTAALRLHREVQSSLGEAYDHQALGKLYVRTGQLDKAEAALTSALRLHKEVQSSLGEANDCRALGQLYTHTGQLDKAEDVLTSALRLHREVRDFLGEAMDYRALGSLYQRTSRPAEAEAALMSALRLHRKGHNSLGEADVHHVLGTLYMGAEVQDPLREGQEHKNMAMHPRTGRPVQAMNSFQNALHLYEQVGNKLGKANTLHSLGLIHLRGHRFQEAKDAFSQAYSLHKEVHEYVGARYDLEHLALIYVKLGRDKDAAGTLQIVIDHRRRTQRTHDVVNGLQFLGCVYMRMGRLDEAEASLKEAVQLLECMRNVDEKVRRVRKLLDKLRSLRSVRADLVADGEHATRTVVGCSNDQSMMTRMPWYTPTFSEASNCEEAVGIVY*
>EED80980
MLRRGLSPRRARTETDWLIHATTSRSLTHDSMSTHELGSTVRIHSACVLALSKGGTCHAWTLSPAIWDICSSHPRICTVCTIRLALSGSRPWLELRKVLNLSQSPSKQPPSVPADVREQLQRASILSILPQMVPLSAWDADDDGRGNQRNGTDCRVPRTGVASICFRTLDFTRPVALQATDKKLKLLIRAECDLGPLGWYEEGGSEFWLAYMVHTSPSSHSSRSSAVRARYFGCVLCLSKFTLPGAPITYRLLTFAENRRLACDVDTRWDSITSDALRPICENFAFLLDNITGNSSLDITREYIHRAIGALFRAAMNDIAYKAIVTMIQQVPHIPEELRSSSPDMDVDEESCVEYISTVVILMGSCHKIVDQTFNYLNVVTESTLVKEGSVRHLLEELRLTVDDLRWDIGPLEVQMKSAWSKIEDAAKQAKLDLHNVTSVNTIDDLVLRLNGYWRQAKIEAKDQRRLLATLRTMVEPHAVSLHNMAKAISVEPDPWRKVFGSMLLLIKTVNGDGAIYSVIMVIFSRLSTILQRVPPQPSLGECMRSSKVCLRIFLEMIAIIVLSVGVVTKGQNLRRMKAWAGIEKSVLLTHQPLQMAVDNVDGLVGKLVASTLDSKMVSVTEQLWRDACNMYMKATGKDI*
>EED80981
MVKGRSSQDTSLVPSLAWADVPLSAQLRQVKAAQLPSKLYAGPISRSTLTQR*
>EED80982
MPEWISRIRHFMSLLSATLDAIDTALAGQDGPCAEAEVGVDDSGLEDEHYGYHGEDEADNVWDGGEDTDGYYPDGEAAFEQQLSGQGSAYDALLAALDNAIAMHDDAGTDMQHGVDDGGYEDGAQACYEDEAYRSWNGSYYDNDATYGFWCDVMVQRLILRMNIWRENMTGFWVS*
>EED80983
MDALDVRSQLCLAGAVVVFSLLLLFRGKSDSALNNIPGPPSQSLWTGNLNQWLARDGAEFQRNVAFNYGPVAKIHGFLGVNDLGESGTARVTDLCGYAIAADSALQTILVKEEHVYQEMPAFFAHMNLLFGPGLLGTAGDKHRKQRKLLNPVFSIRHMRHMLPIFYGVVHKLRDAIAMRVSDGPAEIDFLHWMGRTALELIGQGGLGYSFDKLVEDGDNEYGHAIKSLQPTLQRINILRRLLPYVHKLGPVWFRRLVMQYFPIKNVREAKMCVDTMHRCSTEIYKSKKIALARGEEAVLKQVGEGKDIMSILMKANMAASAADRIPEEELLAQMSTFISAATDTTSNTLARILEQLATHPETQQKLREEIITADAGETMAYDDLDSLPLLDAVCRETLRVFPPVTNLARTPIQDAILPLSEPIVGVNGTVMHEIMVPKGTEIFIGVQGSNGSQARWGGDSYEWKPERWLSPLPKTVTGAPVPGVYSNLQVALCMLDDVHGGKAGLHVRADMNLSSGFKFSEMEMKIVLAVLLSNFTFELTAKPIEWNVSGVRYPTVGKESNVAQLPLKVGLYNNCKT*
>EED80984
MTLPRLLRRLSRKSIDKLSAFDAPVPPVPELSPTPDTLSPTSDVNSERTAVNYFSSPLQSPTSVVSVPEDIVSQSITSAAQVITRHDEESKNDRLLGKLDDKITVVTTATSYITAVAAPVKAALDAIYKLEEAKKSNDDTVKALYIKMKDMMDVLLQLRDVRDPTLVGPNGLTAKPRMQELVEHMAGDIKDCGNACDAYSRVKPVTKVLLGPVWVGRLSKFIDVFAQRRQDFEFALSIHTGRGVDEVNRKMDELKEQTKQFAEMFEHFMKTCIPPGQAQLVDFVQAKGGPQAVLQDEEALRQLSARQSTSTSRLATASVSTGRTRHKEHLARITGEAADPKDDFKRLHEELALDPEAVIENNMKLFQPRFEMQQKHLLEEMESIVHRESDRVIDAVNSGPHVKVVDKAWRGSVKARHLALALRDHYQERAEKRENGGHRHRTRSDADAWALEWISLKRLQPIIDAIDDDASGFITISEVNNFTTSRPVNWSLPQRLAYWSIGWQAFSTVYAHKIEALLGNMFALRNATRGADNEAIRGKIAPAFREANCRSVDHYLEVVWSGTIMLTRALQQVHVEDSLLARFQSYIDSEEDRMKSNLEHIKYHIDGMHTLLQVMGSGRIERYLFPMLYLFLRRDLEIIRLCHKKVIQPAELNQSAANIIWLLEAVAERHTSLEETFREQNIDVAQQFSATSCGLFAFWHDDTALFGREHMRNFPFHDTLLEDWADKPHMPPEAVLNYPLSSEYPYDCATEAIPPFEETKEDMTAEPLIGSIIGPWTGFMVMNDEFPAQPTFHLNIHASSERGRFEASGIASDGAFFRGSGTVTFPSDSDGPLYIFKMMFDRSCVSVWTLNGSLNGDGETLSGSWGYNSSDRRNVFVFSRTRRAVETTVALFLDIGLSRNRALWQFAISSVVERIRRQAAFWRSFKQQRFNRSRYIELMNRRRGFGRHLDSYEDRELERCLRSLSALEARTYETFRLHRLRTTPSYLCGGNILGSRIVCIDCDVANTVDLCDDPRCSLTRVDLERRPDLPSPHLSSHSVFKVRKMLHLKDFGKVDSAAREALKRATATLRDGNDIDPKETENVKDTFICTSCDAKGAVSIGQHRADHALVKCGSSGIGGPSLTIEHRLEVLERRFGAVDHKLAQLDVRLDFAKYFTPQT*
>EED80985
MRCPPETRSLR*
>EED80986
MANEPVNVESIIPSTLPHPTLVPFQPHHASISDMPNDVLVLIFQEIFKSVMPSRRWTRNGLEFPESVASVCSQWRAVMFSVSIFWAAMLITVDDNPTPQSVIRRYLTLSKERPLDITICRDEMSLLRARVSEDPEYRARLADPVEKWRMAAVMDLLTPHQARWERLSVHVVHSTSLPQPYLDLRGAFPSLKYLFMHCVLDEGPDERFAPTTVNIDDPILDECFAPTTGNMDIPILELMEASGLFLRQMSGTTFPNLDELTIEEYPRHYPPWTTTGLVKCIRKMPALLTLRLDNVSLELLDDELPDDDYASKLKLVDFRHMSGDVLENLNALLDWPVLEAVVYNDCSIPRPTELFRASHYGAIKCMQTEQDVLNVLRDWDDEDCTRLHITNSAFTENIINALSEPQPDGSWMCPYLTDLNLSGFCQGFSNCEPAQVAFIRMVKIRHAMHANIESAASEHADFAVSSLEEIKVNVQGNGQEISTEALEWLDANVPVVTWGDWSGGTQQFSDSASSFEDAEDSSEE*
>EED80987
MTPIKSRHPDPRRQPALVQYDTRTLSKGLLVEKGWPCFPAEIYVDSARVGRICEGSTLSDSSGVRKTRAPVSSLVLKPQREGGRNGVYGDMTPVFLDTLPPVEREVWIAVEVIAVLEGLGKLSVPAEARTAQ*
>EED80988
MTFPIHYGHGTNHTTSDIIAQRKAIIQDVGNTLSEVRMDYFAALLPPLRRVFDPPATVEKLKDKGQIVHDGWACLKGGLAEADENECGEKPLRVVDIVRAIADASPHVKDKQLLTLRQDPSQAPKANTRSAKPRPDGYLPRPGPRADADEPLRIHPDAGDGRDRTVGVLSAGRERRGMAGAYHVHEGGLGGRAVREAAAVARDGDVGHGGARPAAVGQRSGQPVNDLLREHGARDGEPGAGRSVCVREAVLDVGRGGGCKGHMGGGQNRVSGSGGRVRWGRSRARGGSGRTGSGWLGAGRERTASCLERQWGCSDESVSTVLKGCLSENEGERGRARLGCRTSVVESTVRQATDTELLGLSSDRECGME*
>EED80989
MKVDNTSTLNVGANRNSIRISTKDHFTVGSMWITDMVHVPYGCSVWPAFWSSAQDWPSGGEIDTFEGVNLVTMNQMALHTAPGCTHPANATQTSKLVNSTDCSIDANNTRGLRGHDADDELLRFAADGGGMFVTEFAEDGISIWFFNRSSIPSALSGNASTVNPSDLGTPTANWPSSSCSPAQFFDPQALVFDITLCGEFAGNSVIFQETCSGVCYDDWVLGPPSNYDNAYFEVQYVRVYGA
>EED80990
MLNQYGDSPNETVAPHQITHSPNPNPRLRRISMADIPDDVLVPIFRQVFNCTGQRKPKTGIHFPESVASVCAQWRAVMFSVSEFWSVLLIATDPDSDKPTPLRGQVENVTPTTGTLEAPILESMDIYGGALCQILERGTPMLPKLNEIIIDASFHNGKYTFSLVDFVKLLPKLPLLRTLRCDSVELASSGDELPPPDLGSPPQMKEVEFTYMNGKDIEAISSCLGRPTVTRAHYYGCTFPRRFKLFHTSGSCLLTNMLNHRNIVPQRDIFNFLKDWNGPQCSTLHIESSPFSVDIVEYLTGPLPDGSWACPNLTELVLSGFCYGLSNSESAQRALVNMIKARYAFNNSTQLPPQGHPDHVVSSLTRLTVDDKGRFRCYVEADDLEWLDANLVSVRWGDWSGGSGEYLKSKSSVEGPDGETSA*
>EED80991
MANEPVNVKIDVSNTLPHAMLAPFRPHRTSISDVPNEVLVLIFHEIFDSTGTRSIRKGWQFPESVASVCSQWRAVMFSVSIFWTVMLITVDDNPTPQSVIRRYLALSKERPLDITICRHEMWFDSHDLIRARIFEDPEYRARLADPVEKWRMAAVMDLLTPHQDRWERLYIYVMHSPDERFAPTTGIMDVPILELMETNGLFLRQMSDTTFPNLDELAIEEYPRYYPPWRTTDLVRCIRKMPALLILRLDNVNLRILDDELPDDDYALKLELMDFRHMSGDVLENLNALLDWPVLDVVVYNDCSIPRPAELFRASRYGAIKCMQTEQDVLNVLRDWDDENCTRLHITNSVFTENIIHALSEPQPDGSWMCPYLYSLSISGFCRGFSDCEPAQVAFIRMIKIRHALEASTGYVSSFAASSLYGVRVNIQGNVQKVSTEALEWLDANVHSVTWGDWSGGIRLRAENEGEE*
>EED80992
MADNVNATDSASLIDATDALSEAGARTPEQGSGPENNGDTETQNHGSGYVEEQYWIVDESDSDSDDCYEYPSDSSDDDDNESEASICSDDEDEGPELKEEISTPSVTASHSGQPPSASKLLTLSLDLILEITNYLLPSDLITLVDTNQSFRSFLMSPDQRGVWKMALQRVPDGTPPCPPDVPEPQWARLILGGPKCQKMFEETALSAVYNQLKDDVRLHKPGAEQALETFCVTRKSYVRSVYDFAAPYVDWMIEYTEDRAREREERDNVRYGEALKRFMELSYEQQDIESIRSIICASDHRITDTTFQQKTDMLPDWMRVRGRLEPHLIKALETRIARERRHLIHSRIKALEPAYNNYKRTLTPIQWTTLPRLSTMRQVPEIDDLINDDSDAPPDLARYEDAFSCAPEVAEELSEGWREGLYKELGAAGHSHAMEIDRLSLATAVFKCVATRPCARGLLFSWAEVQQHNCGSTIGRYGSRWQKYRYFIRDPVKASPGQRILDPNTSRPEDLDRIDARFICTKCLPNLLQNDGSRHVLGYEAFCWRRYVAHVEEERHPLRFCKLTPSTCWARIKELEIRDWYRCHAIESPREDEDFFLAYRGSLPLRVRPTSVEVSAAE*
>EED80993
MSMNDPFPREPTNLKDADFGTINAQLMETAVYCGLTPELFQSKPLLAGIFLLAALAERRVINSGFISQSQSIRTLRTNPELLELLADALSKGDFSSIYDLPLLQEKVAPADGGQSVITAEELVVRNAWTNEYIGDAHLMLLETVKDMCATCEEPYTNSVPIIQSSGSGKSRTVDELAKIVFVIPLNIREEMQGEYLYPPADKSIYEYLVGTPCTKYNDVRAAYFTFFKRLFAAVRAEIEHYIPAFRTMEQFASTWREHLAHPHAGNKYHTHRDRLYEEITNLPASAPVDEYDFAALIDDATEEGSALAELIKYRYHRSDDNFSILIYVDEAQTLATKAIEKAGHTTHNAYEVFREVLSDLLDVGVFTMFLSTNLYLHSMSPTRQPRKSKRVRFSDADTTPIPYFEMPWTVLPDQRPLIAPGQMTLAEMCDVEFSSQFGRPLWRGLLRGGTPNANRILKHIALSSLTGREEYEDKPHEEQPENLVIQARLAALSARLLLEFYQNEPAKDMEMRLVQSHMAWVYGISDSRQWPSTGYPSEPIVAEAAAHVMHRLHDKSAADVLAEHIQNYTIKAGEKGELVMRLLLTEAFDKASREMENWDGQIDRPVPLLNFIGALFGPDHLDGIKQSYTDNRCGDQRTFAEAFKDAYVRFTHFARLEDNSLVNPRGAWIALTRAIAWQCNSEESLIDCIIPVAIGDGRLSPDCMTAILIQAKNRPNAVVAEIDESKLNGCRGFFPKAFGRRPYITLMLELGVRSQRTAHVQASLPHVRVEKTTSTQYPTDTLLPHPRFPIIARGCSPRVYAVVKDKDSQAFTTILRGKNSPHVYSYPHRVTMDWIERMCKEGPTNIEDPEEDDEGVSFGSDMPPPEEPMDIDDNDLQPPGPPVTGPDLTPPAI*
>EED80994
MAEQMRAVLIKDEKGPIENLHLGKTTKPVPGAGQVLVKVKAFGLNRMDILQREGHYPLPPGAPTILGVEFSGHVVALGQGVAGWKEGDEVIGLASGGAYAEFITVLQTHVLRKPTHLSWTEAAGIPENFLTGMALIVIAEAKKGDNVLIHAGASGVGVAAIQLARLYGASTVTATASTADKLDWLKSIPSGATHVVNYKTQNFADEVKKITNGHGVDVIIDFVGQSHWQKNIDALARDGRMTMLGLLSGGKVESFDLGPLLYKRLRIEGSTLRSRSPEYTADLIKRFQRDALGHITGANGDGALRIYIHKARPTSRATFS*
>EED80995
MQPLIGSGHSDNRVDLVFFSDGYTANERDKFISDANRLALDISGNQTFNTVKPLLNFWAAFVPSNESGIGSGGKPKDTPFGLFRDGTELRGVYYSKPEVARAACLSLGDECDYPILMGNDPLYGGLGGEFTVITPSLANGALVLRHELGHSIIEIGEEYDGGYAYFGVNAANTLSTPIPWSHWFTPGPHSRHTASGARVERSAMPLQAYAWTMLNTTASWSVRFNSSGTYARHLVRFSLSGLPAQSDLNVQLDGETLPWAPRPDIGVDRWHYDIHRGNSLSEGEHALTFTLLNGKREGIAQLCSAEILEFGDEGEFVSTPGHYGVFPTFSNKNQTSYRPTNEDCLMRIVTTPNFCKVCLEGLWLSLLRRVDLIDSFRVGCVETTSDTPSPAPDAKKWKRTLEVDLVPLAQFREEGIDGDESYTITWLKDREVLEAFTNKTRVEVDDNELAIGSYIVDVQFITPEVRVD
>EED80996
MSAAVARAPRHVAPAVAQSIRPTRVPPKIRKPLQKDEKGLPIPHTNIIVRDTHSCRLADHYYNTVRDDILYMTYRHESSRKAARVIRPKYDPNDPYTKHRYNPPVGGDQYFKRPPPPVTVDNVIQLERIQIHSMIKEALTSRSNLLGPIMAFRAISGESERSGNQRSAGGVEIVRGRKNIGGWVRPGQPVGVKVDLVGPKMYDFLGMLVEFVFPRLREFPGLLMPGPSTQLSIPSEATGVVSFGLPPEAMSLFPQIEVNLDAYPKTYGLHIHFITNATGVGAQNRARALLSGFQVPFARR*
>EED80997
MFAGSDTSSLSITWTLFLLARYPSIQTRLRAELLAVAPTASLAALTSEEIASLYAAITDLPFLENVVRESLRLIPPVHSSIRVATQDDVVPTSAPVRTRMPDGSVQDLMHVMVPKGAFIHVPVEGFNMDREVWGHDAWAFNPDRWDNLPEAVASQPGLYNNTLSFSAGPRSCIGLRFSIIEIKTFLYILLTNFVFAETESQVGKANVVLTRPYVIGKHMEGSQCPLYVSPYVAEA*
>EED80998
MASQQSPSASTNSLQSDAAPTSAASSTATLIPKVRKIAINPQIAMLIFSLQKNTQTPTSSSLSHAHPAQSKDWEAALGTLATSYGFSGESPLKATSKKAKLKAPKASAKSKPSDSSKNKLVTFAEPMVPSQLFPAAVGVLGAQSDNLTQAKSCKPICHTCATIRLHPRKLVLALPKILAAFAHHPRTSATTYSWAHSTMKAQYCQATRDLVDTDNGWHFSALHASATEVLDFHIEDMASKMQSVAPELCDLIVALLVGGKSRGLKWSTSDEDEEGALLDADEIEYRSQLGDDPPVWSSEVSGESSRDIVYSNAVLESEMLSKRADPRGQERARKLGRASSRGPEAPIVVYNDPARCTYRTRLSLTGIASSWTNALAAPSHRLFQQM*
>EED80999
MAIVSLGGLLYLLPPLCCGLFFALRVIQLHVAKHNRRKAVLDELKATDPERKRLIGFFHPYCNAGGGGERVLWTAIAMLQRSEPDVVNVVYTGDIDVTKAQIIERVKARFDIELAPSSLHFVFLHSRYLVEDTTWRRFTLLGQSIGSMYLAWEAMSKLVPDLYIDTMGYAFTFPVVRWLALTKVGAYVHYPTISTDMLERVRSRRAWHTNSGAIASSAVLSKAKLFHDLSCGSSHYSLLNRAASVHMLRTFEIRSVELLHLITGTWSYLRTSVWKHSKYRRTRPIRAEGVVLNSHQLPAQSNNTYPDGVLFVHSLPKGSTEVHQPHLTEIGKSKRTPEFASISKLVLSTPRGAECRYNAAKSPFAICRRRCPRDSFSIARSWSVPYYGLCCGFGLRLGCRHEIANYGH*
>EED81000
MGRVRAPAQG*
>EED81001
MPPRFPAYLTKFTMKPLASLEKLELMTSNWALPHLKVISVTSLRLTHLTLFCKSEYLPTSTHELEAFSGLLFPSLTHLRLRHTVSGIPLLRILEAPELTVLQLEDLRFKRNEDVVELLNALRIGGDPDAPCKFPCISSLRFEIPQTKTEGLPEVFGGHLLEFLRLFPAVEHLAIQGFRTDEIVRSMTSTDPADVVLPRLKRFVILGYPHAEHLDSAGTLVRWRAGAGAPIDPVFLIMFPASNFPLYSSHYGRGMLLEWQFSPKRAWLSFVASRRARMPSYDGGLHAGADWMQDEQTRRGRLGFYGSCPPFSCPLENATEMPEEDT*
>EED81002
MLSRFPFDNIYFTFAKTVFRWLWIDFTTFVVRIVWHPKAFGGELLQATRPLVRALGLGRPPTTIHSLPYETLAHIFALGRDPSPCKTCSQAPWRLREGKPLALNTPQLWTTVPVTSKATMSMMSEYLGRSGGRPIDVNILEDLHFKRNEVVVALLNALRIGGNPDAPCKFPCISSLRFEIPQTKTEGLPEVFGGHLLEFLRLFPAVEQLAIQGFRTDEIVRSMTSTAPPDVVLPGLKRFVMLGHPHAEHLDSAETLVRWRAAAGASISNVFLIGVPASNLPLYTNHYGLRLLLGWQLSPKRVLLSLVTSRAAPSYYGGLHAGADWMQDEQTRRGRLGFYGPYPPYTSPLERANDVTKELSLTIIQLSTWALSFPIELSSPARTYENPTAGALASIFKTVFRRLYSNCVSAVSIAQRFRCQLVLILETVRKKLLKYTPVLALNTPRLWTVVPINSRATTYTVLDYLGRSGVLPIEIDIRVPGPDMPFSEDELLGICTLLAWNSERWRQRDVPILEVLDVDVPLPGPEIPLLLQQWPEVLLQKGAPRLREIHIMQGRLTEITLEPLAVLDTLVLMTTHWNLPRLKHLADTSPLITHLSLYCLTMSNAPQEDAVETMVGIPLLSMLTAPLLEVLQLEELSFGTEVEARMLLNSLKTEDAPDALCKFPRILSVRFEIMQMKNEGLPEVFGGHLLEFLHLFPLAEHLAVQGCRIEDIMVCITPPNITLPRLERITLLAPLSEEYLSAVDALRQQRQKIGMPVPSVHIIGMCASNMPQHARNYETAPMVWGFVHFLSMGTQKGLRFSPMSDSIDEDEWMQDRQLSRNRLRLKQPDMLAIMQPDMLTLMLSMCGLRGTDDDNVREVYWALQGSSVSLGGFETSCGGEKGVGENGGGESESHSFPSQRDGRRKTPAISGSAGGEDIGGRRKTEVEAKFRRELLASKAPFALVAFGEMTFRRSCRESQSAERHVCRRLLPVQTWDNLIIVIAKLGDVCVPVLDVLVRGTIAICGNQLRMTLAEGALQNKATAYTRHPYQWNCGLNAAGRETFQDACKVSQKHLERSRTPPWLDADLSYGCCSANGHVGRRTQGYFCTEESATLLASGRMDNLHSTRIVPMTEGSERQVTRVTRDRRVAPPSQRSALGEVEQRFESCCNASLISLATCKANRNMETDSVSLVVPITFRSSITGDAPETNTNNVARSWASASASCLTAWGCSDASEQPDLEEKLPSHGLAFGCDDGTVFLFGSQLAFPRKHDIPGSPTNTPPEPAEQVEAPKNYVDFDDEPEKLKGMLRGKATRSELLSPDVDRTAKIDRLSVSTSTRSIKAFSPAASLRSLSPPSSPCSPTANSPAQRETGLFLKSHILPSSLGSTHAVAALEAYDDGSITSGVKAASDASILWIWQTIQVGSVGEARLVVASASGDTSYMSQSIDVAEGDAETQTRILVCELREGPQLDGSDMALVKKGDWVLDGPAESVGFYQEMDGTLAVHYMSSDHRLVIRILSFNEELSPPDIGDMKSNSTTMLPLPNPFKAFKNLSRERLSGETGNDDASELSLSAVISLHLVQSDRGGDPLVIGGSDDGSIGIWSAGSLTASEVTSQLMFVCISVVLVPASVAPLKMVHMREDDILLVYTDNRARLWDCKTMEFRRSMNKSKADELLGQGAWAGWPAEPVKPSVPVEIVSLPVFAGLDSACSLLLDMGSIIHSNTGHMPGRTSASKHDRGKTRSILATLLTPGISKEIDDICTERLRVYPSCASVGYHEFSSQAVWSISPEISAFRAVILVTLLQVLIDNDDASTMMTFYVASLPEAIGPSYQSPSLTFLAKYYLRSSVQEVRSAARLLFDAGVARLSNDDTILIVEKWKEYLPSVQKERDTESVRSAMALHMCGFVAAEKYNLLSTNVLTETSKSIGLYLHREGSPYRALAIELCSRGFTLWQQYVDAVEMLRAVCTLATVSRKEAISIHNVGSQARSAVLHIAAANTPLFMTTLTIDILQPRSVEHRKAVMQLVIFLIRKNPLVLYSNLPRLVEAVVKSLDPNSTSNRDAVLDSATDILGHIVQTFPNVDFHMSTQRLAMGTAEGAVVMYDLKTATRLYVLEGHKKRTTACSFSPDGRRLVTVSLEESVVLVWKVGSSLTSFFNPGAPPRQGHGGSDPYKTLSFNIGSEAHMTLAATLEAVRFEWPADRSNTYKTLYCLDGLNQPTFPTSLFLEAGRTSMIVPLITAAIFSASNGRFKIPFIDALFMCISAGTGTGLTTIDLSSMSVWQQAIIVVLGFIGNQVFVTWAYFTKHLKHIVTAKLERRSPQEDPFLSEHSVVFPRILPENDLKRRRAEMDELVSATVTHRPCELFARVIGRIFPQFYRSVQRRLTIPRTKMLVPHTPGGSPPRSAHHVPYISFNAIVDRNSVFKNLTEENIQELGGLLSVLPGFFAEDSLLTEYYLGLLVISFIVIIPYMSLPGWHSVMHPPEQHRMINTVWFSTFQVVGAWANTGMSLVNQNMVPYRTTYPMITVFTLCVLAGNAAYTWLLLAIQLVIGLIALSFNLLLNPAMDHIPIGVRLINAVLQSSAVRSVVFQSAPVLSFVPAVQEDDNAEGVDSPNDAASGPRVAIWGQYLCHHVRKQLSFDMWWLALSLFVLCIIERAPLMAAEDATRFPIFALIFELVSAYGTVGLSLGVPYVRMIRTTYGTGHPLIVVGELLAPGGFAPSFEDRRTAKSAEMKGEEMRTPSVDAKRNASEIPLDADKRSKALNIPI*
>EED81003
MQPLANGGGEKLLDLRVLNGGQHDNPPQVLNQRSALLSNYEVLSLLRELESDYLARTKNALRVKKEEELAGNHTSKIQAPTEDVCENLRTIEVEAIQYLSADYQPAVSQTEAGIAQLTKSLAAFNLTKAEKLQIVNLAPTEPVELYVIVEELEDRFGEQMSGMLNVVRSSLTNLPSAVPQANVQTGGIADQDVQMVYTEDNTQDYLDEDGTWEYDANEIVFDDTGDGAGVEGDLDVEDD*
>EED81004
MALLGEMHYISPGPRSFFNLPRSGGVAYAAQESWVQNATIRENILFGTALDEERYNKVIKQCGLERDLGLFDAGDLTEVGEKGITLSGGQKARVTLARAVYSKAEILLLDDILAALDVHTARHVMEHCL
>EED81005
MRVLLRQWAPGERESWARFLRSGEETGASLTPGRASQTARRATVQVGSVLPGRTRASRTSIVLRRRRWWRCRRLTCARRENGSGGISDGCAEEGRAVPGCSAADGGGRDKERGVAHHTMPLREAVERDATEREMARTSSTGAEDGGRRDRVIERARSTARCGDEEVAEDTACQDA*
>EED81006
MAEDAVATDKKCGRALWSIRAGGVRWTVQLHGLAANEHDTSMQDTTGERAHLQLTARAAERTGAAYRGRNAPVHGSASSKERAVDVVNGRLEVATEDAVCAVRRAHADHGRDALRNTLVPDPEHAALDALQQLRAAPAATAFTSARPFCANSPSLSAIVTASTAAAALCYFLDPPAVARVQHRQRRRVDRRERLRVRLLRPRAACVASPLDVALGDVSGVTDVVDSAVRGRRMHGGVCASKRQWRGVGMRGCGHCAGWDAREVEVKGAAAAARGGRGRATAARMCQGGRRERECFQPLTRHAYAGVRAIGERTPVGRRTV*
>EED81007
MALRYWAIGLVGASLVLASNTTTCASSALDWYTDAVGETPSTPSLRPGGCQQNTKGNDGGDVDASPGAYFQYRNISKFGYCGGGTNQSLPAQVQSAVCNTGIRLDNFLYELFWDDGACTHTREQAQLDQAQLDNNTFTHCPNATTTSASSQTAAVSTGSGSSQTRTTAAPSGSVAGTTSSSRSVDTGAIVGGAVGGAAGLIVLALVAFFVWYRRLRAPVQAGIPAPVTQGMVYSGGSRPETVSAALLIANAALPDNTAAPNLTPKRRPVPTMPDPSSYSSSSDYVAVPNTSHVAGSSNSSDVPNSHIASPSDLVSALQRDYDAGPITIAKPSSPRPPPAYRSSWGSD*
>EED81008
MSALPFLALMRHPLLDNPLVRHTSWDNLQNVFRATLLLDFLFSGSKLGNKELLVPTPTTNNGIDMDPTPTRTVVVTADTKLLAFFCWALCIGFALGFTVCWVLRVTSIHTLDENKYDDVMPANSESLRTVTEETLETKVIQQSTIQVQTRSYPVIKRRQAHVGLYKRFQRLRPLKSQVKIGSTDSPQWQPVTQLITWYLPSPADERSVPTVLSAAAVEGASEDPIEDIIKDSGLGFYISSVDMLRLDAFFHQEYSDYIIAWRFVTVMADSESAILVLRMLFSVEGADAENPPQDIAVLLPLEASNHPDACFKLWLGDHFVGITRPDVAHWSDCFPQWRDMEPSQYQWDWELDSDSHEANATAQSTLCAEVEHDFEDLDNTFGLGMPAMDFKDLDDAFGLGTPMATTMSAAAVVHGTTAAKYDAGLDDNDWNEDAMARFIATLNVPKWATTPIPTVIPVDLVTMAARPTPCTALVRRGRGACQSRTTTPTLTIPEWATTSFTTAMLPVFLVKMMALSTTCTAMAFAVSPQVGHELYSDGDTTCGFEYDDTSHNLYGIAMVATHPKACMVLVPRGRGRDTGTTPMLRPTLWWHVVRLVWQCCSGGGYSGGGCSGGGCSGGIIGDGDDTPYDLYGVGSIPTTIPPAILVKMMARPTTGMAMHDDGTPYGSYDVGAPRHL*
>EED81009
MLTLYILTLARRILLDNLQHMLRATLLLNSLLSGPKPGGQELLLPTPTTNDGINLDPTPTRAVIVTADTTLIAVICWVVCVVATLGLSVGWLLWHPYAPGLDNGKYVVASLGAVSATGTTSEAAMKSRKKVKMRPLLVSGRRQRRVRLLERSKQCGPRKSQVNAESAWSLGSLPVIKLLTWYSPAAVDESSLPAAPSVEEVKADDMGFYFSSADIGLLDAFVRERYVLAWKLVYVSLGDASATLILRMLFTHEGAHPDDAPTDCAVFLPLVAAHDQEALFKVWLGDHFVGVGQPGQMVWSDYLVYARSVGLQLWNHLWDWEVSATLDAIDAALAGQDGPCAEAEVGVDDSGFEDEQYGRDGEDEPDNAWDGGEETDGYYPDGDAAYEHEHSGQGSAYDALLAALDDAIAMHDGAGTDMQHGVDDGGYEDEAQGCYDEDEAYRSWNGSYYDNDATYGLWCDVMVRRLILRMNIWRENMTGFWVSRYLCLETLAQSVHKKQESTVVQNEVKKWDNPSRQPQQASGSVDSEAALAYPSNTSQPGLYRKKIPRCLTPARSFRGQFATLEGHTTLQFLKKDKVLLAKSAAKL*
>EED81010
MGEVYGVGKVDEFTGQLWRRWRRLRDEGVVQPLQLGLFRSDYLLHAPGNGEPMSLRQVEFNTISSSFGALSERAADLHEYLYKSTQYYGASSNLRAENFPPNRTTAGLAEGLAEGHKAYGILNNFALAEVIMASARILFVVQDNERNVFDQRWLEYELLDKHGIHVVRQTFSQLASSAEVDPTTRALHVTLAPGLIPRGSQRVEISVVYFRAGYTPVDYPTPAHYDTRYLLESSRAIQCPSIQLQLAGGKKVQQVLTNPGVLEGFLCDEARWGTAVFSREDIDALRSSWMGMWGLDEDVDTPPDAPQLPESSGVRKARALASSLVLKPQREGGGNNIYKDAIPAFLDTLPPVEREAWIAMELIAAPEGLGNFLVRAGGGTDSAVKTDVVSELGIFGWALFGGKDGAVREKEVGWLVRTKGKDSNEGGVATGFSVLDSLLLVDD*
>EED81011
MEGSDVLRVGVWSVLLITTDPDSDKPTPLSVVSRYLELSKDRPLDINICTHDKMEWHSGLPTEHSTLQATKLLMLHVHKKVQVAAAMALLTPHQRRWQRLHVDVMCNTSLPRAHLNFFGTLPHLRYLTLKCERKLGLAEAVTPTTGTLEAPVLESMNIHGMSLRQMFDCGTPMLPKVKEIRIDGYDYHEFPPFPVADFVRLLYKLPSLRTLRCIDLELDPSSDELNPPDRHRKSSKDQNTEVLNVLKDWNGPQCSTLHLNNDTSFSEDIIEALAGPLPDGSWICPNLTELILSGYCHEFSDDWSAQGALVNMIKTRYAFNSNTQLPPQGHPAHVVSSLTRLTVNAVECVKETIEADLEWLDANLVSVRWGDWSGGSGEYFKVKSSVEGPDGETYA*
>EED81012
MSPMSSGLMCLLRQLFGSPLGQSSDGADFKGGLPTARYAPKRSKVFRLNFSLESIPLQDDCSDAWRNTRWTRFSGGEYKEIRTHIPSGSAVHLTRSGARAIPLVPAATFLRFLEIMSDSEIEDHRPNTAPDTLLPHPTVVPVPTAAFHHHQSPISNVPADVLLLIFREINESTLREWEKGVPFPDSVASVCTQWRDVVSSVSAFWSFILIAVDEARPTPLTVVSRHLSHSRNQLLDIQIRQLCLPWHPRLYRSSEQPENCEDPGERDRVAAVMNLIMPHQGRLHDLYFNILHRTSMPRPYMDFHGTFPRLENLTMIYNSEDGQDDRLALPIRPLDAPILGFLDVAGPVLRQFHESSMTWPFPELGILLVGPYPEYPPWPVADFAACLRKMTELEMLEMEDLELAHSGPDGADSLDWLQHLNIERMRGDVIGNINALLGFPVTGHVTYNSCSIPTYVRLFDKMTRRCHLIDMESVDVLNVLLNENYDLETLEIEESIFTEEIIDALAEPSDEGSWMCPNLTSITLSGYCFGFSDEKPAQTAFVNMIKMRHTTHHVYTESIRLGHEAKLGVVPLTHIRIDISVLDDDVEALDKDDLEWLDAHIYSVEWGSWARWMSMNTVKESIRMANVRCQDVGKRIEKAIETTRMKCCFIKKLEFKRLQQRKLQIHSTCGSRFVGLVFPSTITPELIKPTSLQGFKLVQNLLDSAPDLVNCCMRLRLSDSRPHPNIMANEPVNVESNISSTLPHAMLAPFQPHRTSISDMPNDVLVLIFHEIFEFARPMGRWIRTGLEFPESVASVCSQWRAVMFSVSIFWTAMLITVDDNPTPQSIIRRYLTLSKERPLDITICRDEMSLDLLRARVSEDPEYRARLADPVEKWRMAAVMDLLTPHQARWERLYIHVMHSPDERFAPTAVNIDDPILDECFAPTTGIMDVPILELMEASGLFLRQMSDTTFPNLDELTIEEYPRHYPPWTTTDLITCIRKMPALLTLRLDNVSLEILDDELPDDDRASKLETMDFTHMSGDVLENLNALLDWPVLEVVVYNDCSIPRPAELFRASHYGEIMYMQTEQDVLNVLRDWDDENCTRLHITNSAFTENIINALSEPQPDGSWMCPVSSWYTKILFAERKLQVHSTCGSRFVGLVFPSTITPELIKPTSLQGFKLVQDHLDSAPNLVNCCMRLRLSDSRPHPNIMANEPVNVESNISSTLPHAMLAPFQPHRTSISDMPNDVLVLIFHEIFESARPMGRWIRTGLEFPESVASVCSQWRAVMFSVSIFWTAMLITVDDNPTPQSIIRRYLTLSKERPLDITICRDEMSLDLLRARVSEDPEYRARLADPVEKWRMAAVMDLLTPHQARWERLYIHVMHSTSLPQPYLDLSGTFPSLKYLLIHCVLDEGPDERFAPTAVNIDDPILDECFAPTTGIMDVPILELMEASGLFLRQMSDTTFPNLDELTIEEYPRHYPPWTTTDLITCIRKMPALLTLRLDNVSLEILDDELPDDDRASKLETMDFTHMSGDVLENLNALLDWPVLEVVVYNDCSIPRPAELFRASHYGEIMYMQTEQDVLNVLRDWDDENCTRLHITNSAFTENIINALSEPQPDGSWMCPYLTDLNLSGFCQGFSNCEPAQVAFVYMVKIRHAMHANIESADSEHADFAVSSLEEIKVNVEGNGQEVSTEALEWLDANVPVVTWGDWSGGTQQFSDSASSSEDAEDPSEE*
>EED81013
MLEFAGKGELYKQLTKHGCFTEKRSSRYIDQMADALSYLHSKHVIHRDIKPENLLLGINGELKIGDFGWSVHAPGNRRTTLCGTLDYLPPEMVEGKDHTEKVDYWALGVLTYEFICGAPPFEDLTGHTATYKRIAKVDLKIPSKVSQEARDLIMKLLQYDPDKRIPLTDVRRHPWIVKYRSKGSSTSMPSL*
>EED81014
MNPHKIPQKLVLHVIQRRPSAAHLSFPVPALLGRADAALRYSEHFATSSSLDRGNGRPHHWQRGKPTAQARCCGSSDESSLRPRLTEIHNFLLACLGPHGIASSPGASRASPLFFSVSRQPVWRHDQSSCCHTRDIAFPPHATSSIVHSKRNKGRQTPAGRCASALIRRGDSIPAGMRALGSQGCRIITLWSVEIGSCVGSSAPRAATMRMYGPCLQGRRVADDRCHQCEMVAHTPRRRPPAEGFGARRAQKNTGAEVLARPRMLADALGRDTGGLAGAADAGAAAAELCETGVEEVEKNMASGCKCRRVRGVAMIWAMRLWGGSTVCGGGGGEGGEALWPGAHWVVGLRDLESCRRKRHEETRCWEGRAIDAYTDHMWIRHDNSTSALCVNREQRLEETSYIDCAASARANKLVNESLHLGVSLTPSSINARGSAVRNPRQCGYTANAVGTEDSCIPPGDPRTHPEPWPTPALGSKKTHILDATERNASPASVYGGCPMSISRPTSKSNERPNSRDGAYTRTRSREYPDRSLDFVLRTGSIPASARRGARIACNTQYAQSVRGRRHVGTDCEGNQAKEAFHSVRDAAKAASRRRITYKRPWRAS*
>EED81015
MSSRPEFDHEFFDAHRYSSESEERPFEHWYRGDMSRNGGVGELRVGKRDEMLDIASYGHTIRKPSHGTSHGKTSRSRSNSRGRDFSASRHGTRPRAESIGATVRESIYIDDDEHANDSTMVLDEQPPSDVEADFDNYEEADAYPYEQDVLPHPNGTISTPSLSLNTSQTSATAARSHRTTPSNISRIPTPTSYRQISPPPRTPTPSKAVRGATENGATSSASSTPRAQRMPRSQSQPQTQTQAQRSPPSAKRKAKSPAASSSGSAAAKKSKPPSSMRRMTPRKEENRRSIGQYPATDGDDVVDAIPVWTQPVPPSGNWDDVVLPVVARKKGLDGHYATVDGSPRPKQTRETEYEPVSSWNFWI*
>EED81016
MLLQTVNLANVYSDPKTIVDKPTSKRSQQVLADFAAFYKNGSALVTEGSIVNFEDDDFVGEGLEIEPISLANFVEEPAFLDNVTDPLLRAWSQIVSGYWEDLVRQTNKTATCPAGTEDGPCEGTFIPLNHTFVIPGGRFREQSSHPFNFNYTPTDYWDSYWIVQGLLKSELYDVANSTLQNFMDEIHTYGFIPNGGRIYYLDRSQPPMFTRMVADYVAETNDTSVLERAVPSIENELQWWMNNRSVSVTSSYTGKTYTMFHYSVNNSAPRPESYIDDYSTAFDPTLPSLSESERSALYAELASGAETGWDYSSRYSAQPLAGGTNNTNPTLRTLNVRNIIPIDLNSILYKAHTLVADMYTSSGNSSAAATHLAVAASLREGILDLFWDSKKLAFYDYNLTSNARNDLYSAATFYPLWNSIIPDEVLASTENAFGFFAGLNLVLNRYNGTMPVTFLETGLQWDAPNTWPPHQYIILEALKALPSNITTSTLPTPPSNSSTYALVPEGQIGVAEDALPGQPIGGAGKNATKVGPAADISRLDGTVVNGGNATAGEGWAHALQRELANRYFASALCSWHATGGSIPGYLPRLSDQELNVTQSINNTGNMFEKFNITDVDSSGSGGEYTVQAGFGWTNGVVLWIASNYGQELVTPDCPDLLTITGRSGGATKSAAVSAYARPSLAILAALIAIVITNSLA*
>EED81017
MTTLSAFPMGPRSARATARASSTSSARRPSSGLSRSLTARKSSTSRTLRSSPRG*
>EED81018
MAAVKKDALQAKQNDDLPPRQRRRWNAEAKAAAAVEKRLRPARVDFKAKKILHGNLRRRWHKEHFPQQNEVVTMGKRSPKLRCCQNICLKRKSRLIAAKELAHCLTIASFHVGQKTTSRQLWSHWGCLKQGQLHFHRRVDQNDRVHVSGLGGFNRLSDKQKEVITATIVAADQPGPPPPDPKSAAGIARKAKKAKARRESKQKRSDLAKLGECLATVQRRLGKKTFVSDSI*
>EED81019
MYDVYRRGVVSSDLCSYESAGRVLPFESTEGIMIHPDRIPRIQHPEARHKVSKVQTKRKPIETTDSYPPLTELQFGTDAIRIGYFPGNSGNWLTTSNTVWDGRAPPVDRNQPPM*
>EED81020
MLRRPPCLALILLVSLNSMSSCSESRSYLCNAEGGCGRGRGSDLGTRPDLIAIGNSPREAPAVSGAFEFPAECTQIDGVRPRRRAAECPATTRHILGAYPAGALAFQGAVADRVCWTSRGGAVGASALSIRGREEPDPRVPSPACSGPAAVPDNYERPRPVTRKARETLSAAPSPLGTAPRSSRALCRTQRPGCTDAVADTCRPAPARACWRRMRISRCCTLVLTLSGEEKGEACVSRRCPTRGCGHGELKRWPIKRAHAPARHAREEAPQERDAPLRHERHVREHCAGAGVTHTGSKCARREAHIGRFKSDGTHDALGMRLPPVLRHD*
>EED81021
MPVGPVDGRGTVLYYEDSGAPEGSRDYATLVLVHGTQFHSGVFRKMIPFASARKLRLVLVNSRDYPGSTRYSPEELDGLSSPQKEVQAATIRTRGLEFAAFMRWFIEIERIPPIFEKEGASRPSGGISLLGWSSGNLQTIPLLAYANDLPQDTAEVLEGYFRSFFIHDISETAIGAPALEGLYTPMRDKSLNAEQIAATFPLWVSSYFTQAVVTLDTDAETPGFGEMVVARTARHESDPDPRWVPTVVRMGPEGVAAVSDASVMPRSQVRIQLVNPAVYEDNLRRALFECETEDGSGGKKTIWPKLRVNVVWCDMSTGSCVYATHRVMHMAKAYKEQGKGRTVEFHRLEQANHFVHWDEPERFVEFLAQMI*
>EED81022
MSHASGATGMAARTASICLTCTYARYGINVQIVAQYFLEATLYAVIFALEIAIWMHKAVMAHLLLVDVLNAKRILHPSLMLLDYRLIQVEDSIQDLTRGQMSSTCFPRRRWVVRKDYERRIEIQREERGARSRRSLVEE*
>EED81023
MADLVRDHDIVLTDSDYYTANEVDDSIPSSDSSDEETVREPNRLTGHIPIQPQPTEMPSRGGSKPRMCVVCGVRPQYNNGVKSYPTCGLSCAAKLDRSRSGKGSGSDNLCVVCHTRPKYSRGGKSYPTCGLTCAAKLNPPNPRGGTAEITVIKDPNSSTATNFTRNAGGRAETERARHARAPATTIVAIIQVLQCRFRETTPRVLCAGRRRGGGAPIFAGAAVLMRPNTARRCSWKLPADILRSKRNSWKSGGTPCPEVKKVYKIVQRPMYATNYKTYQHLPIVRSRVGNELRRWHGTKRECTIGNGGNATPCTSRTCSLCYILRSTVDAAQYPGGLIHSSSTSDKSDQYSDSPNQYPSKAMLLTKVAAGKSAQLSRSQLSQGVPAGYNSVGTRFR*
>EED81024
MNAAERLEAASKFLLQSPPGEINDVLNDVRNIISDDESLQEGVLPALREYNLAQFITADVPGHNHHSIISAAARVVKADQEEGENIDRFWDPRSRTSFRFDHLSLETSEPEPYEPDAESEPFRSALETSTLSYLGAHYHGGVASVFPAPQSTTRFFIQIVANKYNPSNFWSGRWRSEYILDLEEKQLKGKIQVNVHYYEQGNVQLSTTHDADLPLPPMITPSNTSSASKVLALIEAEEGKYQASLSDAYAEMGEKTFKGLRRALPMTRSKLDWDKVLGYKLGAELTSGRGGFGAS*
>EED81025
MFPVNVSHVCRAWRRLALHTPSVWRRIALDSRLEMWSQRILRAKACTLDIQLAPRALALHGMLYKTTFDARTVQLYFHLVIPLIERWRTLEIQFETSSVHAPHLRELCLVYPGNDDTKEFALFDGNAPRLRRVTLLGIRLAWTPSLFHNLTYLDYTHHGFTGGHEAASEVLHILQVSARLEELRLAFPWRVDGADPYADSSLRPVTLSCLSTLVVSIEGPEVPSALLLVLLHLSLPTTRSLRLLSRYPFRRPTLFPRLRHVLRALPELPKLEHLYLEHGWLDPRFVFPLLHSIPRLRYLALQGLRVTGPFLRGLADALRAQREEFPLCPPLAVLELVRCSSLTAEDVRNALGGRSVSKASPSIEVLLVRDCGGIEPSALRELEAMGSVTRVIVRASRGAGALAGGKPRNI*
>EED81026
MSLPTFGREYRLPTASGVDSLRLTTGPVDAPKAFEVLVKVHAVSLNYRDLIVAKGIHRLGQKSNLIPCSDMAGEIIALGEDVKDWSVGDRVCANFAVDHVFGVMSPELRGSALGGPIDGVLAEYKVLPAHSLVHVPAHLSYEEASALPCAGVTAYNALMGPVPLKGGDYVLIEGTGGVSIFGLQLAVSSGATVIATSSSDSKLQIAAKLGAKHLINYKTTPGWEEGVLKITGGRGVDHVIEVGGPSTLTKAVRSVCSGGWIHIIGYIGGPNGDISSLPTEVLNKAAFVRGVLVGPRTQFEAMNQLLSATELRPVIDKVFPFEDARAAYEYLATQQHVGKVVITVFTE*
>EED81027
MEHPPDCKILVITTKPEHADQFLQRIQALSKSKSSSSEVTRTPNNITPWTIVNKYYTADVHFETRLAQEFKSSHAKGVPAIIYVWQQGDPYREQVLDVASSLRPHDPDVSLAVKFGNNAVPISEDEEGTDEFLSAHGFEYIEGDRVPRKDMQDEDGHSEDSDSDIPGLPRVIDALSTIMWPSMVQSDSTRNRKSRARELVGWAREEEEEDGLRALISDTLSASGSGGDMHPGGSGGAARKSRMQREMEELERWLEEEDDRREREDAGAWVTAERGVGVGADGWQDMPTPTIHTPATDGGGELGFDDDFTEFVSAPSPHGLGALDADRLVPMHTGASYRSLASVSDFGGDLGASEDADADDPDLPSRAEIEETSRRIFGSAAPLPTPHLSLSNANASAISADEHDSFELHDDDDDFEMSAFDLSRVLSALQGMKEEIGGMSDEGERRRAAARVALGLVYGLQADRDD*
>EED81028
MLLSPNAFVMIDFTSFTNPKRKSSSDERDSGTSSHSPSSPVEAPAQRHNRPMSLAPAAYSKRKLTSFKRSTSVMLGLDDRVNSQNRRSTSKSSDADTRHEGSSQQSSGSDPLADSRGHLSPATSASGSVGHVFREPYSHSSDGHSLDSELANVEELRELYRKSQARESNFAKRNGKKLHRYPTHDVPYPRSFDREVIDLDVWCMIWGHQLVGEVALRGEKGYPDKMHRLMDTKCKHEVEGLDIVPLHPDLEDIDPDLAARITWVQANFLERLPFPDQEFDFVYARRIARGVPEDKITEEDLYFPGIQHMTATVRNIAPELAPTITSPRSPSPFTPPTAVQSLPAFDEVVLSDDITRTSDGHLVTDDNHVHFFSQHNPPVDPRDHSLLEHIYNEMHAARFINLTPTSILSNTLPLYFAGLRLHPPLLSMFPMQERGPDASLATPRSLALSLSNSSNLKSHNAQMRSNQEFYIEQETIIQRADRLDFALTHPQPDRLRPFLNVPQLISGKSLYARADMERYNAYGPRSIFPPSEFQRHHFEGVDPTLMRSAAAQKAYSRTPGIKESADRLSVDSIRYDLRTLNLQLALRVQEVLSCSEAMWDFVVEFQTAHVSAEQPPAEAQGVRGKTSRQNSDMGLPTAHRGSVLDPMEIMIMQLTRQQFDFLLRRFKFDVQDYMDLTDVLENRLHWGPVSLCRTDERKEFDVLCEAWEQYQAELQAGAVRRPSARASTASNLPSKRSHEARSYGDDGLGTSRPHARTSLNTARQDGHSAPPHESESATAAHKLASARRSAPSPNAVPVHERLSRTIRTFVAYKA*
>EED81029
MSLRDALLASLADVPGTREFHIHVLVSSPRKRSDIYPYAIPRPKVYLQDILILLSEQPPLSASRVLTTAIEASFHNIPATSCGILYVSKVDSSGHAAKPSPTTALVKGLLGFYADPSARPTHVDHLWIQLFARAQGQYLFPNSADFPGKRPLADLQLCSWWKHVLSDTAATTLSREKGPPNVKLFYVLPGLSELEATHALNAARATPSAHASLSWIYGHPYNQSEIPLPCPPIKNNARRNLGHFIPSFDDDPKSRFMDEIAHTMNADAVSSPKRKKPRPPDSRASDRGADDNAATEEVQGELSKVSPDEFWERMSFRQECISGVVTAFFALGISGPGGPDQSAGAVSHSPLAPQPGQVAPRMVERVIATLMNHHEFSTRERALRATETLEGAIKGLCEDLAAVPSSPTLTRHSVREATPEPGPSHLEVPKTPPRRAAQLPDISPNPFPEPVASLETYHSFIYGSVAVDNPLPPPKDAAATGAQGAGAGGERAAGPQVTMLTARKKRKTPS*
>EED81030
MPRRRSGKPKAGQSKHRGVDRKEGKIKRWNKASDVPLDEEDQFHASRDKILLEGDDYGNDGENDGDEDEVFALKGIPGSSDEEDGGDGGDGPEDDEDLERIVEAKGKKKSKSRKGKETSPSPSPSADESEEEEEGWGKSKAAYYSSNAAQLDSDDEEANELEVQEAMRLQAKARDALVDDDFGLADPVDVTAEPEDVLTDLPAPAVQPLPQDKPSLLRHLEKTSPESLALARDWDDIARQLLQTQVKLIHMEAEQPDALSLGMAHLHYQALFTYATTLAFYLHLRAQEKYVQHPELLRSHPVLPRLLVLKRSLATLEDLDFDLSDDDGGGDDSSSDENASVEGANGLWSPAKRKGLEFGELEDLLQEANAVIVSQGAEDPDTRSPKRRVKPAVPEEPPKKKRKTGKSSADAKPATPVFDLVEPDLAQPKAASSSAGRPASSAATDVYGEATALPAADAADKMARKKSLRFHAARIESTAARRQHARSAAMGGDDDIPYRERKKEKEQRAAREAAKRRGAGGDDLDDAEPEARKPEKKRAREDDEGSGSEAGGDGDGYYELVQRHSKEKREQKKAGQAGQRRMATGGRARTALHGLDEERDDDVVRARDAVHLEVLREVARAVDERLGEQALVRVARAVRDEQRVLGELGAPRDDGCDEPALPWGHAPRVCAEEEAALEARAELRGVEQREAGVHDLKIDVNEVECDAAGGRQSECCQCMRREGATQLDAEGLVAEFGGDVAPVGVRAAHARREGSVVALHGAAYIAQWVRARVVSGANANWLDLGVGGENR*
>EED81031
MFRKISITRDTTLDYLLYTSCTSDIAGYLDNLRELVVANRSYREDHLAYRLAVLFPHLPSTVRRLEMYNTSWSYTPPALVFFTLFHSLNTLILVNCKFVSFSAFRQIIRALPVVSDLVLRCVKWESCDPDAPTDSQLRLTECLLCQVESLDIVLRWLYNCSSLHSLRVFDAPTTSAVWAAIDLSADELDLADLDKRSLSSMAELVSQLVMDDFAQFQLHIKPGHSLGESVELYGQDPQSNWITKRYNMVWSFATPPRNPVIAGIARYIKRRIGLEEHTVRDKTPFACQWGTPPCGASLDGTMFGLQRHIADAHLTKKDEAYSQTGVFCQWQRPIPLNNDTSGVCNTALAEDHIGEHVFTNHLATYPRMPFADIDSDEATDDDFRDEMDSRCGLTYRRYCVNCYLPSTESIIRNLRGDFGIVKMSLFICYVYHTQVVEDHPVPSPIFLYENGQKPPSLIETLSLLNL*
>EED81032
MSRLAGVVLMSALLGAASFAIGILPLSFAFSKSALARLSALGTGLLLGAALGVVIPEIGFSLAAAVTAMVCTLDWYPARWILSSWGTVPVNDQSGIETLAAGSAEPPTSAIALALLGGFTFMLLLEQLVSPHAHDRAPPRLPPSPTNPPAHVEFDVELGELERAEGIPDAGPAAVTAASNANADGRPDRPQARAYPLTLGLVMHALADGLALGSSALSGAGADAAAVLPSSLSLVVFMALAIHKAPTALALTTALMGSGLARAECKKHLALFSASTPLGAVASYVLLVAFGADASGGGRWPGGALLFSGGTFLYVATVLRPVAARGAEEEIGRRARVVCTVLGMFVPFAVSVAVGHDHERGALGVLIEPTMAAVPGIPGEQSLLGRQFKLVVRSARDACEPPLHAIAWRAFDLLNSFAVSRNDWIRRMLTQESTAQYIVFGPRRQSLANALKDLGGTPYDCTCADQYSADEREGRREDRPDPDEESIGLLRPLPLGGIRPLVRVRVHSGAHRRVRMYISRCAPHIGDSGAILGRSEGGKEGGELHELMISLIILTAPDTDVETPGFQETLPVRKALHGSDPDPPWVPTQALYRFLVDNRVCAHLVDEHCSNTATSVPVGDKPDSFHDAVNWRCSLRKEHTFAMLPFASANNLRLVLVNKRDYRGSTPYSQEELKDFASPDRNVEARAIAARGSEIGTSIRWFIQAEHIPPISARPRSDDSCGGGVSLLGWFAGNLQTISFLAHAQDLPEETMSFLESYFRSLIMFVPWAHTLIITRKPVHGTAPAGSEFAAPHWTPTVHRMPLPEVAEANTMASSQMLIQRVDRSVYPENFRRALFNCYVSSGGYKQMVWPRVDVHVVWCDMTFGDVVYSAHKMKHMVKACQKQGRGRTVQIHKLERANHMASLRPG*
>EED81033
MPFVASFQVNVCVIGPEPPDIADALAGSCGGVLRGQGRQHLGAIFNLVAYYILALPLGITVAFHPRTHMGLKGLWLGQDVALFIIAFGEYAVVWLGTDWDKEVQRSIDRNKEEAKRRRMHEGLE*
>EED81034
MSFGIANRSNQISFLPGPNHPDARPGTRFGPPRLCLGPKVSGWIFETTHGEGGLFLIVWTDADSRLGRMFDRHCSHGSTRASELTLSYNGVTNAEDYREGLTNKM*
>EED81035
MVEWSTSLRALLWQRT*
>EED81036
MVKEYKYLSKEQVDFFMENGYVVIKQGFTKEKAAEWTKNMWTRLGLDPNDKSTWDRERIHMPWHKRESVATFAPKAWDAIQDLLGGEERIDEQSSSWGDSFIVNLGTPELEGPDKHVAPKELDNWHVDGDFFVHFLDSPEQALLVIPLYSDIKPRGGGTYISPDGVDLIAPYLAAHPEGVLPTGLSFTPSTSTCAEPKDDPHYWSHLKEIKRCTRFVEVTGEIGDVVLMHPLMLHSASKNHLREARVITNPPVSLREPFNFAREDPEEYSLVELKTLKALGVDRFEFKPTTERRRVTPRRVLLQQKMLEEEKKRLEGLAKAADAVSINSVSALIAVA*
>EED81037
MNNGSSNGSGRSPSNLPPLSLSILGVEPLDEFIREVADFIHHMIGQRPEGANGVEVEAKVGVLRDKVSGQRLSLPVLVETTIIPLMFLHIIPIGSWTLQIQHKHFNTLLNNLKTTSSTPSEMNYAHLHLVDSFYAAPDGRGEKVRVTRDEKSGAVQACVQKVRLGSLDIYSPKRAADWRISVNVEIPVPQPIGTATHTRKKDRMSYTHEEFIVDLTQVTSTFGASSKVPPEVLHELEVELARPEYLLSTAAKRGDPNVSESERGAFDELIRAFVNNARILVRNSGDGWQ*
>EED81038
MSLAQGAKRGVRIIALPLATPARRTTPTHNASDHLTYYHFVTPPPHESKTQTWSNWVTTKAAHLWAGLGKAPDGNWKRRAFLYGERLVDRLDFEELALKSFDTSLGPKILPVGRTDKIKPKDHPTIPLIYPPSACTSPLPHLRALLKKRTPRHRNGCLIWIAISPFTAPFMLIPIIPNFPFFFCAWRAWSHYKAFKASQYLQAFVAQGAVLPQENAELDAIYLEYAPAPPSAAHSDLDPDAFATPSASPPPDPCASPANATDAERDVRPRLLLTRAAVPALERLFALPSGSSFASDVYRALEQARLRLQAERLR*
>EED81039
MSADANGSIVCCWGSPICGVTLNDTTPAGIARHLRNFHFPVGLWHNRLRGTCQWRHAGTVPCDREMFQGNFGKHIATCFDTLSLDIVLISDDSLTIDKCQWPGAFACYNTYTIPLSRYPLCLSANKRFFLTLHVSLIVSDRDCRVVFFNFVMCGAYGPRLDTDEVFLKDPRYLGVSQAIDTKVRRTSSPSVPDEFPVHFKNVDARRMSGGKHVQCNDRGGLGFLTDSNRRLILEKEEYPESLNICASITSLPTYGPMTLLTPVGGICPTVVPAGRKFLVEASVCTLRSLTSIFWSGDVLAAVAVLRMETSRVTSLVVIVVGEMPDGCPLSDADASGYE*
>EED81040
MATTPAQCCWGSTPCRVPLEDISAAGINRHLKEHHFPGHGDWHPRDRGICQWQTRAGRCTTEAFYGNFGKHIATVHLGAMQRICTDCGEIFSRNDALTRHLEGYCPGLQPSGCQSGNHWQVNLITSANISYASPPLGQ*
>EED81041
MGVWGIRIVCNSHEVSTDVRSIETCIRDIPQLWIIEPALGTLTNLLRVAVTWARLPFYLTVSTLPNIERHLREQALVKALRSARIAVYDAWLFQQKPQLLASPWLPQSQRPREVAPVNFDRVSCNVAGRHKYKYGQPIELLRRRLEDSCIALGLGHLQYTMKYFAVFAALAATCLAQTTITIQTSLANNTVSPGQNFTVEVTKPVEPTPSVEVAIVIGLVQCPDNNCQDPSFNISQDIGSTLYYGPYNPQYDSITPPDHKPPYQNFTVQAPMQLVSGQSLALSVTRLALFEVHPKQPEFYLTVHMGEGASLDTRKPSPQAAFCRPLTASRKSCKIMQDVRNTPITSPEVEVGDELSANGHFRNLLVSVQALLWFDHGDTVAAQGHLRDPDQQSIVGSAKKETLPTWTRVVREFGGWPLQLIYRHTLEVSVSTGSFGENRRFAVIGSGVNAHEYAYFFKPAVAQIGPLKTPQTVCG*
>EED81042
MSPPYSDTPDSDDQFDDDDPSDNGQWSDDDNGGPDDDLDSGFDSMASDGDGDTDDGSDFGDGNDDDATAYSDGSDVDKLSKQFATANIAAAAPVNRSMNLAATAPVSRAMAKPQNRGGQRMCAVCGIRPAYSQNGKSYPTCGMTCAAKYKPNGSGGGGGRSSNNTATIVILCVVCGKKPAYNHGGKSYPTCGNTCAGIYKAGRFTRLCVICGIRPSYHKNGKNYPTCGLTCAGKYKIATGGGHGSGGTTRLCVLGKYHFCGRTCKKLALKTTPRIMEIPQGHVTWKMVRAKFDNAWKSPNNVAKPTIKHVYKIVESATFTKPYDAYRKRVHNERFRYHGTTRVCQLGNTGHTKLCNSPKCAVCNILKTSFNVTLANPSGAAWSYSSNGTGVMILNKVVLGRVYNVTAFNQVMSLPSGYNSPYDEEQKWLADCILDHRCVRRSWRYLVRWTGYWSPALEIEALEAFKFSTQHVWSLNIVCIICWNNGANGAGARLSTGVAMPVRDNRVRGLRPPATRAPELARSFLTTRVSAFQHYTKLVVKTRLDTVETKIRQIQTLQDDFQEFKDRLPLYVANLTMNCATPLFYPANVPNDQIPAWAKKKSGQLNLSMRWLNSSNCRLYKEMSRLFAASSKFTSSLEYWVMLAYLKKMRCLLNTPTTCTQHV*
>EED81043
MTETSDNVVAPMQDLGKLTVPQLKALCKERKITGYSKLGKNALIDKLRVVIGEVISKEATSKTAIKETSGLTNGGDLVQPSVNADRGDSGVLSSAHEPRGEAQIDNAKEPSAQKNDLASKKPKVTKAKKPKNPVPRPSGPRNADVDATSTQMLKSKPFTSTSSTENPRPISLDQAATDVPTSECMLPLVPHIEDTAAASISTSRSEHSTCPDIDQKASAPAASISVESVSTVPAGRKRLSTASISASKRQRTENTCVSTKTSMPPPSSILRPRTDAGLHNQPTSTLSSGLAADLPARTTIQQRHEVIRKTQQVATSLPPTGKRFKKLVVLRPPNTDSAIHMPEIIPADFNPGITVMTAEDKKAFPETPYLEFPPSPCPPELASITLPPSLSQRKRVHRWAVILSGLSNKEREQCVLVSRMFRYAVYLSASHILKSQFDGRRLQEEALKRYSLAMTNMWPYLRFRHAEVAQRQQAYDASFLARFMRGKGLPDPIAINLWVSPDNERQIAIAIRFVMSRLWFALSIGIGRSDSEIPQWLCDVVADVQEVVKGEIWSVSVVSPHFKPQGIISSVKETFYVLESTCEVVGRQEAQEIPVRADWSEYVSRCLQSASSATIVHEPLSRRLKWANHEEYEKGISRLWLKRIASEGPIGTAKRTVAERYVMACVVANSVSGQWKTATEMAQDFAGLQPRIGKTQGRCKPPSINLYLPEPARVLTSFSYRRHHYIESVHFTVPGGQRLHPALAVVQTPHREYYILRDNGMQVGCEEDGVGQVWQEVVGCDALGCRA*
>EED81044
MYQGRHVKLERDKAGKLTCPCNAYGHRNAANVRSHSDKAHNDEMHLITTDFAGGGKDQDQPSSGASRSPSPSDATSSIESSSLAQHSSRMPSRKGVVPAPRVATFNRVDARSKASGSSAPFLTKKSERRPLDLDQSRGSLKRQWDMHAIATASSPSTPDFKKPIGKPSRPQLALKKARSSVRVLHTHGLVHSSSTDSQPSRSELPSEAPRRIANTSRKAEPIIHKVGGFIIRENANPFSSDIEEEMIATGKHGYVPSKRPRTTAQYPISITERDPDEAVRMELAEIRARKGKAKAALKRPTQKDFDSGFPAKTARTRFTEDAAVASRSSNPTAAPYDPTIPSSRSLSPLSRPIGNSGIPFFQPKPKERADVVPAPVSRLCKSCMLPLLSGKFKNCAACRGKAREKREHATQRRVQNGFETFMAQIRAEVATRSLDKELAPDAKVIRRASVWARATEYQTEDDLFKTLERNLRTWEEDEDASELFEFYGGYAAVSKENPATPARLDAFGKRAQSLGLPIGKYQKGSTMAKRSRSSDKLTGERPFRWAQTTYYCACGGFGGAVGGMPDRYACGGAVYIAIEVADERQDLGITGENVTIRWTHAG*
>EED81045
MRDQIIVRMRYLRPDSWAERADQYESDANCASQGWQFETLIVLVEPLATELALTSSVEFVVDPDRIAEVKSLQLDVPEATDGLLVPDEYWERGTSNSSGTTEMIASAVLQPQRPWRRIPVVEAGPNLELELVLGTHAHDWFSARASTPPPQHQARRAPSGSAIDGKGAHGAHDARGLHCPPLREDSPTPVSAAGSRERGVIKGRLMFARGMSEREHFRLPPRGTGWISYTFEDRGAGSSQKEADAGLRCEPERRCARQTPSRRGGERGPHEDADLWSEMAFTVECHKPRAAFDIERSERIPAPYPDLSVGSGALGKLREEYHINGVAAPPACAQNAESPAIVLQDISIARSEPAKVQADPRGPFSQQQC*
>EED81046
MSDLFLQVWGPTTAQDAASSTAADDPTASLRAAALMTLKSKRRKQGTLPDTLSRSAAVPSQSFELDYGQDEPAAPSVSVTVKTEPKDEDDAQAREEGEISDSEPPATPVVQPKLGLLADVKPPIKESNKLPAPPKLPPIRTGTAISPKASEPASSVVSTSSMSMQLSWDTYPFVIDANHVRPGLAMTQEQYDMAKDIVLDLLGWGVPPEYLVDCGLSREIVYYVFVELNLRLPQNLDTTGIPRYEPPPSMPSTIMSTSAQRLDTVSVYAQQNESAPRSDQIPNHSSTKATEVAPQSLSAAATPFVPGNAPTPNLLDMEQQRRQELLARKAVLTSRKARQQALVSSSASTSSTATPAMPAPPEPQDVKMDVEPIPTKTVEDFLKTIGPSTETSKAPSPPSRLSSFDDMDVDEPIPGLSASSSFTSQPAISFVRTVPVSSDARAPSAAASDSSPPRELVRSPSQITPPNESDMDVDVVPGLSTEQAKASTNVAPSRRGTKRPVAADFVDMEPASSRTQLANGFASYNSPYHQAPVRRKTTVFAGLGGQRRCVIHLSDSEDEEMGEVDVKSNPYRPDSVRIERPSSRSIPLHPTAATRRVSPGGTHSGRLSPAALQAKEEEIRKMREMIAQRERERELKKIALSALKFDIRARGPRPRHRGTTPGPMRYLFRPSRWRMMILRHQLLLRICDLLQPAPMGLLKINNTQLFLQRRMMYFRMFSNTLPRATKASGYFIPITVKSTELHIKHRSLVTNRVKSASSAHMQRRRLRPPFAKMITKTAAETRNTRLSLQLTHLHWIGSPCSGYADSNSLYQMVVLRQCLLMSTQHEEVAQYLCAHAMASAGPQHNANDIKKALEDARRRNPANSFDGRVSEALISLGLG*
>EED81047
MTTNSIAPFNTIALFGATGMLGSAVLKALLNPPVDKYKPTVIAFMRPGKSLDKSLLQSYSQLKSVEVDYPKGGAALVDKLQGVDAIITVLNGPGVASQYAILDAAIETGVRRFYPSEYGFHQAYRAPGDPGARVMPLWDEKERFAIHLKLNPAVETGKIEYTFIGAGDLYDQVKIPFSRVLTPTNLLQEPEPFWCPWARDQESYEVPVVGDGNAPADWSCMQDIANYVVASLSRPALSANKHLNFPSETLSQNALVELFRKYAKGRKVSVRYFSEQDAHRLVAHPEEAPSEIASNSNIPVDFYFVVKSIQGSGTFRRSRWDCHWDLFPEVKRATFEEYMKERFGG*
>EED81048
MGSQSRTGDEQHPRSNRQVSLCRNGHRISRRCGSSNRLLQDILGLPLPDDAMQCRLAQDHSGRAHPGG*
>EED81049
MIGMPHFNEPLLSAWTPNFLVQGMHFPPPAKIPQQILSSLKYNDNVGYAALPKELRGRRNLAITAPKKSGARFRSGKAHQRSEPETPTFEYDPEAIPKSYRKVEIEYSKFGVEDFDFGFYNHTEFSGLETHISNSYTNPIVQLMHYTMPIRRLAKSHNATDCFVVRMLEDARGTNCQASNFCKTVGVLAQKRSTEVLVVNNAIELIDFGRESAELNYGHMIQAFHRFLVDHMSSEGNSFPHNPNLLPSITSSLNSPAPITQLLGIDAKNIITCLHCKAVREKENMTHVIDMTYPRKHSLLRPTTHKATCQTCKQFSTFESRRSIRSRDLPPILAINANAFNEETHKYWRDNRNQTFLKPTVEIHGQFEGMEDPEAVLYELRALVVQVVTKEQHSHLVAIVKGAALTSTVPEEEQPQDPETDGPWYIFNDFVVHNISEEEALSIPGSWKVPAVVYLERVDLCSKLNFSSLPETIDQSILCRDTNIAQSRDKRLMKHEPLRFEELPNPGTLIAIDAEFVSMQQEETEYRSDGTKKVLRPARLSPSPSPHYVHRANCHTDGDLDPNITRYTLTPLKVVYKKLRLLVDRGCIFIGHGLSKDFRIISKSGNSPV*
>EED81050
MAPYIPFVPTKASAIATEEEWRNAIFQNVNISDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHFRQPKIGEPPAFKGSEDKTKLEEWLDLIILWCEHEGVATDKQRIVTALSKLQGPAYQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLWEVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGSAVPMDINSAEKSKSKGKGKGKARDAEATSTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAVKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIKEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED81051
MAEVDLFFTKGVFKIQAGGVRIKNIDTNTYFDLYHGLSSENNPVIGFEYNGTDAQLWTVQIVDESKGHVKLLNAAGGTYARAPDHIIGGQVVGSNVSETFKVSESGGKVQITVINEVYAFSLANAANHEQVKLTNPDGSKNNQLWSFQKV*
>EED81052
MGEVSQAVLKAGGDVIGVVPQAMVSSGGEVDITKGLRGPTVALKEVGREKIVVNSMHERKLEMAKRSSGFIALPGGYGTFEEVLEVVCWSHLGIHAKPIIILNVLGYYNALRELIRNGVAEGFIPPKNENLIVFVDGP
>EED81053
MAAVLAPQPRQGILLNRSPSVSPYRHTASLPPLVPSNASLSSTSSASNPNTSDSDYASSSTPASSNRGSRPLRPQKHLYQQKPRAGSTPTSRRIRFAPLPDPRREEYFTDGEHPLPSVFLEDTDSLKSDQNHNASSTGQGGCLKDQEVKASSASLLFGGNPHLRAQASESTPTLASTSTITANPNPTAQAGSACSASAPSTLEGGDWNVLSPRPSSVNLPEDPPSRSNSARKSKWSRKLLGPLLAPLAKPNRSTDDLRTPGSTTSLNSTLTLPHSPGVEGCSIGLHSGAKAGDKEKPKKPKKKREDPEWVREFGMPLYRWIFRAAYDLATIQHACRAPLYPGVPSNAGFQIIRGETKWIRTFESAASARAYGHCALHLCSTAGRAATSGPVMAYRQRGQAPMSSAGAQGDGRTAYPAGQGFRTPPREQTELTMCVAYRWRARQGADAARRAPSEEPEFVEWGFGGMGSVQKGGVGAGIWAKVQSTGGVSVGAHDSTSHHRPAKTESGGRLGGDDDDGDGSGMGWVKRRREQREKEKREREAREREQASKQEEVQAVEAKERASDVAESFPGDRNPHASISDPEPPQKDAEGHATPLVLTSSPATITSALPGASTPSTEEKHVLTAVSLPPAHTHHHHHHTHSHSRSTLERAPSMVASVKGEPERRDSADTARGVPVATDHVHVHQPSIVDDAGVVGEVRRADSVRSEASHTSSSSTSEDADEGDNEEEDLESPKDEEEDDDDDDELEEESRLTALGAGVEKISRHRASTPVQDAETS*
>EED81054
MSEVDLFFNKGVFKVQTGGVRIKNIGTGTFLDLYHSLSAENNPVIGFQNNGTDAQLFIPFLTLLRPQWTVQVVDESKGHIKLLNAAGGTYARAPDHIIGGQVVGSNVDETFKVSESGGKVQITIIDEGYALSLASAANETPEQVKLANPDRSKNDQLWSFQKV*
>EED81055
MKNTSTLQRGRACLCCRKRKMKCDGLRPICTQCLKADRGSECQYHEKQHISRTQILQQKVAKLEARLRELESDPTGPSGVASSSAAPTLAPGPSNRQDDQDTIFLSAPASVSANSEWNLDLSILDESPSAAPLSSSSPLFDVPGIWPNNTIQLSPPMEGSPVQSAAGDPSTALPNWWESDSTTFCNDKRKLCCSFDVHVGRLRSSLEDPCMPRPHPALLDAIYLLGCYFSRSPHLTELEPYFLKRALKGISVALHHQDRVVHVLQASCLISVYFYCHGRILEAYYHSSTSARLAIDLGLHQLRPIDFELVIQSLSGGLQDSANPSGAIFPLPCPQDTIEHAERVAAFWQIFVVDRAWSVATGLPSALPDDDHPRMQIETAWPMGVTAAPLTRWWRV*
>EED81056
MDPKSGSAASYLTCNIEYAEYWRNQYQEVVQQLDRLKVVDSKAYNSYQYGLGVQHSSVSEELRALMSFVREEAERRQAADVELHRLLTCKGDTIPGECYAQLEKDKNELQMRLKKSQDELTVLVAKMNTFAVQATVLAAEAHKWRRDKILELFKTDSFVANDFDSPLLISKNPRLIYDVLPDVSYWFYPPWTFAPDVAFELVVEGDPHEWKYLGTYVTAPLVGYEMKLSEWMILDDEVRRDTDYHGFEDTNPIQTKDQHCLRVKAQILEQAQLPTTCTGLDVRRHYDIGEWSVPCYSLCCMGFSMKLHDALQYASKAVHSTIPLTHTPTILASKPIANLSPRNLVFIPV*
>EED81057
MVNDIP*
>EED81058
MSSVIPSYLSPQPIRSVLFESPSDTVPPTDELEALHAELKVVKQKTLERARKAGEDLKTIEESMRRLKEKEKGKAKATEKVKRERGFTPSLNGDDTRHTAQPQPSPHRPHLPSVPATPVPPTPVPVIEIRKSITSDTKKKKKKRKREDVSDGEQVVSAEHPTKARKASPVFAHTHQQSLPLPSIPKAAKYPSASLVFTKVQPPPIPGPSKSTDVREDFSKSKAPAGQVQVTTFYTSIEPWLRPVKEEDVGFLEYTGDDVEPFVMPKLGRHYTELWEEEDTTLYGGPLPVTATMRAGARTHPPNPTGPLPRWEPSTLMETDLLTEERGHGPLTERLVTALIPMHNAEWRGVKAAEEAMEGRPGTNGAAAAAARDKLNVADLEERVRNVLRFHGLLDEIPDYSEAVDDPIATALRHAQRELRTVYAANKLRRTRLADIARDRLGYQEYVDCRESLDKNITSMYTKLQKKDGPKANKKKKKSTDFSGAPNVRTKRTISTFSRRTD*
>EED81059
MKRVLCSISKPAKVSNAPRVDPSVRPLISLGEATEGTLKVEFEEFGPHVRKHMPIAIEGMFAVDRRHADSSARDLKTPRMAVRGPGSGSGSGPMEVASGALPGRLMASTRGTTTAERPYASGTGTQTELGNVSATDSRRIQTYGNRLPALSWDEICHQLSYTDETSKNLFISLTDAGGGRDGPIAIAEAKHGPITTMRRLSSIFVQAAPRLTVSRTCSFRAIYFQDSRLPAHIHEYNFAPRFAYIYPLYLSKPIRFFTTPQSRGDVTYPIQTTLQTAYPAPMIVAVGLKPSLPMDHPFSRHSNSAGAPLPRIPKRTPSASRSIQTVSSIGSLLSEEHRDPSTPVKPPSAPAALVIEPSTPPPMSPVSKQRRDMHSQPSASGSSSSHDDSPIQFTVTRQRRTRSVNVLAQSMRPPEESTPTPSLFRAKSSAGRVAFPSRPFPVDTATCEDTPRPSMFRGSTLRPTTTVSVPEPSTAVRPEPVVRSVSVSIPSEVSSQLVRKKSGEPLKSSLKSRRRVARGDLSVVTANVVASKSEPNTPTQCKSVHFDAQLEHVKLFIAEQKPLAVSRDGSPTTDTSGTDSDFPSFIYGPDDRSTKTLVMEVVNMPATINKVADVAMEELVLSTDRTSANGRVRVRNIAFEKWIAVRFTFDWWQTTSEVTAKYMQTVEEGKFDIFVFTIRLNDMLSRIEEKTLFMAIRYTVTGQEIWDNNLGENYQIKFSRTKAELKKADEVDPAIAGLKSKLEKVAIGRETVGGYLAHQSRKSPSPRGDQFDLRSDTPLSSRYDFSASLKRPWKGGSPVSPTSHSRNSTYPDNLRHSPQRALHNAPLQSKMLVDPTRMTRGSPRILAPDDEVTSPGSFYAGSDSEDTPSSTRVVFSRSAGRHHQRGYLDSGLPVVGSARKTLPAAFRDDAIPRYGNADTPRGPSSTKLLLMTGPTYGPWPIERGGSDESTPSITSTSESSRSSSPSSSPLDDGVIFNFLDAHAERVSDPVNDNLNMFLNKFCFYTGSDSLLDVQPDVIQRSHSASSVEEFLFSPKPSYHLSPAQTPTRSPSFDDVASMSATPVLPLAY*
>EED81060
MHKGTRSHSSSFPPSIPARTVLWRLRSTNTSTSSPIVTGATTRQTELPSAITVEQVPLTRPAPLESGNTCMSSASMPATTGNIPYPPTPYNNATSRWRAQRNRERDPTWVPRPPNAFIIFRSEYSRKHAHGGTNKAEEKTLSKRAGETWKLLSDAEKKPYKLRAEQERADHQRRNPGYKYRPRRGQTAAGNVSGPMSRREQVESFLRRTVSQDNSFSESDSASEYTTPSSPTSFESSSPEPSDTQLSRSSSPARSARSLSRPPTHEEALHNRLQQSLFLSPAYTASSPTLGLRTGSLWDGSEGLSSILPSPSAVLDIPAWSNPYPSVGEDTQSAGAIGASLSSSTSYPHDESLEMLTFGGPGMNVAAVERTQASLTLPGDSRMDWHATCPPASPLLRRRRAATASAALPSPLTVVTSSLANWNGDGPSAVDPSSLGTYLATRRPSLPITPYAGVGIGMSQQPCSLPVPTAGFATTGFDLDRTPRSSEFPRDVQGHYPPPQPNSTGYVAYMQGKPSSTMAVAGGSRENGTGLNTYIAAETDFISYTMGLAELGIEPVVYNMSPFEDLDINEFFNFEHEP*
>EED81061
MSISIQDTIKAIRDMIPIIDPEEDYLTIAAAEEQMSITEQERKREFEEAQLKLRSLTRILEAARASSTRPPTIPSAEAHAAMMNKLDATRLSLAKAINDAESSLAGKEAELARLKEETTALEGSDSTTEHDLDATALRLALYKGLGFEPIMTKDGRIEKMLVRSESSDVHCVTFEDGKSDREYADLLWKLASS*
>EED81062
MRQVGDRLIPVGISSGRVHPLSTEDGQEAPEASGDRGSRRSRRRQDRDIHNLLGNMGLGGQDLEEASCLPGLMVMEAMRLSLLEHEEQQRRQREEEEKKKKAQGSDGTAVGAQGESRSGSEGAGLSSAAPTIESSPSPTTESASASSTSVPTASTSSIVSANAEPSSPLRSLANAALTAALNSPESSNETAGPQTPPATELDDASVQESRPIPYGRHDSLSSSLAPSMGPSTYDVLSSSPDSDSAISHKPLLRSRPGTPPAQAVDSADSSVNQLAG*
>EED81063
MPDPFQVALDNSPVPVGKPARLLLQPMIQLVEPITHPPDFRHNFRLQAPFFLFHSSEYRFQPVGCGGKHLVVLGCAQQNLCALEVNVGKRLGWFIFVGGSRLHINAIDKGGSRVGDTIEQLLLLEEMRAKCELLDVVFRFFSAFRVEGAANEWFDGGAYDSARFANVVELLALFRAKKRVGRGEYRSQSGFHTHCKWFWENLTLSLRLRMLVIRRTGEKLSMLTPIVQP*
>EED81064
MSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPLIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGIIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAIHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIILPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPASSSSSPTQSTSRPTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVMLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHISPNTSISPSTLFDTFDGARRLLEARHGLPDASYRTSRHAFTTATGPKWPYVALHLRVRHDVTLPMDLPAPTIPSTTTTSSSSPAPTTTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPLIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGIIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAIHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIILPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPASSSSSPTQSTTTSTPLRKRSRRNIRPAKRSKLRNSAEALERQGQGTSVQDHRPRYPAEARRGDLPAFDEVAVRHADPRRVAVNRARRSKQVPRRRHP*
>EED81065
MAGPNLHLNSTLGCFFVGTLFSLMRCKPLRVHLCADALLLQEISWRQRLYKGVISSTLRWFYVLSHEVEAEPVASFCGIISFNVTATFVGICSLLDRLSFFINTIWRRFDRGTLLDLAICSVVGQRDSSSVQYIAESAADMKISAFVYVPSVLASDAFITATLCWILHGKRTGFRQTEMLLTKLIVYSANRGLTNLQKQGDRPPAFLANDTHSRNTDQAEGSDQIYGENIEIGDVV*
>EED81066
MTGAFGVSPQTLEDIVGFSSELHATPPGTPQSTSRSMLFRLREHRVAIKRAAQTQVMKTRPLQPPPRSMSRTAMLPIPPVDAMTSQAQTIQSLQAYATEGWRQQADMYDLPFLNLHYYTSTNNAPATMPGVDPNSVFAKLDTDILRQDQALDLAETYSNASRTFGLPPSLTQHIPMMSQKREQYAACDDKDATVASSWAERSVAASQE*
>EED81067
MSRRLTRTKYLS*
>EED81068
MRLLPFLPFAATAAGAVDQKPFNSLLGHLATVPSFAVPHNASESTVFKPFDHLIDLSASEFTALGHPVFPHYKVRIKKSRFCDGTVNAYTGYIDVEARHLFFYFFESRSDPDTDDVIFWTNGGPGGSSSTGLFMELGPCRVVNSTTTTFNPYGWNDKANVFFIDQPIGVGFSYADYGEAVSNTLDAAKDISAFVAIFFENFSKYKGRAFHMAGESYGLPRCQKWMKEACVDQLDDISCMAAWMFCETEISNPLEATGLNPYDITKPCGGPIADVFCYPINKEIKGYLSRPDVRSTLGVDESVPQNFSLVSWEVNSAFMASMDHVFPNQFYIAALLERGIRALIYTGVNDFACNWVGNDRMTRDMEWTGREAFFVQPLRDWLVDGKVAGQTRSAGPLTFATINDAGHMVGL*
>EED81069
MSDAGEPEGDQVLIATAHDVRYFITMLRGVNISNRATFAVTGNGLIVSVEEARTLLGKASSIVTESVLRALYRLFLATAYIYKSVFDEFIYNPDTPQSQSQPQPESQEDEEEEKTSITSFEIQLTTLIDCLNIFGTAGTSASATTKYRRWKTDQPSDNEEDGNEQSSRSKKSAANGRIEQFFGSEKGTGMHLTYAGAGYPLTLLVAEDSKGPTATCEITTFQPDPVLTLDFEPEDAGGQGGWTFITTPTSAACRWEFWKHGDGLSQRQGGLGIMQV*
>EED81070
MGLCYSPRKISPHAGVHAVHVRGRRPNLQHGYRVHAAE*
>EED81071
MTVNLEDVSDVLLPRRYQEEIFARAQRSNVIAALDTGSGKTYISTLLIKWISTKDAGLGKIIVFLVPKVALVDQQGDFIAKQTPLRVRKYCGATAIDLADRTTWKAELEGADVFVMTAQIFLNLLTHSLWSLDRVSLMVFDECHHTRKNHAYNGIMREYFQGSSDRRPKIFGMTASPIWNPKDAVESLATLERNLDAKVIAVRQHVDELMDHSPRPQELLHQYPAPLESYPAYHSKTLWDRLDLQRIPPEIDIPVDKIRTRYEVTYASLGPYGAELYLYNDIKQRIIQMQNTTSGMDEYLALNLAELNGDDALTAISADIPLPKEVEDLRDGLAEFKSFFEDENGSEVIPTTVHLKWCSPKVRELIDILFAHYTSNFQGIVFVEQRHVAACLSKILPRVPQLSHLIKTGQLIGHGTTNLAKMQTKGMALRTQQDIVKLFRERKINLLIATSVAEEGLDFPACDLVIRFDPLQHMVGYLQSRGRARHRSSKFIIMVQEGHENHLSRYKNFSESEPHLRLVYQTRGDRDDIDEDEEERDDPADLAERERYVVLSTGAVLTYNSAVGLLNHLCSLIPHDKYTPTHLPRYTGDFTSTLQLPSSLPLTPADLSFMGPEKRSKKEAKRAVAFLAVKRLHELGVFDDYLLPAQSSGVADHADADGRAIDDVSKVPDMLDVQVRDPWTRGPTQWMHIVYLDGRPTAGLVTGTSLPPVELACRGTYVSTGEGVKLDFDEVEEWNQRRVIEDFTRMGIWWCITGRGVTLPLTCSLVPITHDLQVDYVLMEKAVLNPYGVYDWEGIGEEHYFRLLLMCNKEQGRPLVLRKFRPDLSPMSVPLEGSRETGFPTYRDYWIHRFTRRGVKPEVSEEGICVEVQAFNKNSSCIYSLDNSAVEGEQPATASEILMYPLSMCRRAEFSEGVRDAFHVLPELCHRITDVYRAHAARVELGLPPILDDLLIQALTIPSANAGFNNQRLETLGDSVLKLTTVVHLFNRFPFRHEGQLDTLRRNSVSNRTLLARAKEVGLEEYLSCESQSMRVWRYTLAAEDDPSWSVEPRRRAHRVFPRRSLQDCMEATLGAAFTTGGMGMALRAGTALGLSLGGPLPWDIRYGGCIPKSPVSALFTLLQESLGYRFRSGRLLLEAMTHPSFNSFETSSYQRLEFLGDAVVDLAVMRYLYNKFPQATSGQLSWARSRAVCAPSLASVAVKRLGLHKLLLVNNVELSIAINNYVPILEELSNEELIHNAWKQDPPKAISDVMESVLGAVLVDSDYNWEIACSVSEMVMGDLLVVLSPNLPRDPISELMIWVSRSGCSKASFKKSHSNAEAKKNDSISVIVHDKTVVGPLFAPNLSLAKGLASERARSILEDPKSPFYLKRICSCGTSHETEGLDDETEEGFARLARTLKELDVGDETEKAKVPAKQAKKSKQTKQTKQIPADDLMDLCEEREVEDMMMIDDEPITNELEPQFEPHESNMRVSDPIISKEGSEYISCTLNIVKEFQFLQQLKSLYPSDLLTTPDAVIAQPWYLIAAVSFSASRHAEAVPAVFEFALAELKLVQLGETKERAREQQLRLASKIREAILQSGTVIESLIALHGVMPKELEREQIIRDASKSIADYERSGEQLFRSMYRETADTVQGLLDAAYPDLGWFCKMVGYGVVYGGTDVLTQVETSYAIVAALIAIDAPRQIVWHLANAQHGGATKEEAKAAREIAMRIAEKAGVKWKDGVPEVA*
>EED81072
MR*
>EED81073
MVVLWEDGPCCGNCGQMLHMYRI*
>EED81074
MSGIFRAEPVARPPILNGPFGGTVIRAELVELQKADVGRKYATSARAWLEIYGFSRYGRKDRRPLDPPPVAQLKLFRVLSVGTSNQQEVEVTDYNEVNSIGFVCHVDLFPVSPTAGTDARQASRAATTQARSSHTERTQATGPSSYMHPYREWAPMQLGFPAVLPAVLLSASLNPESARGLVRPSAQAPYSAGPLASTISYIGPGMDARGNPDPDVVAHSGDRLVRESLKCTASLVGSKVAAAVCVEYQEKNAFMFVFADISVKVEGTYLLRYRAFDIFAQAHGTNGHPVLAECYGGTFKVYSTKDFPGLHASTDLTKRSDRRSTWSPCSNWNVGNRHGVSTKL*
>EED81075
MSETMQEIVKFREMIEMHIERKDPPMNTVPEEHKPLIAKLVHESDKTLQALVKHVHKELLPAHEDDDEDASETITLALTPEAVEKAIQSVAIRNNYGLDNWPGYAKTPAALCIWRWEHPGKSSTKGKERVEGNVIVIDDFDDEETAADVDMRDESLSRCNVSGMTTEDRLRDVLKHMPASLCPSHRRGSPVAHLRSCVPYSVRNIMTQLNEAEVAGDDSQVRSLLLLLRDRTKIPIKVLIFDEDARPGYFGTWTRNSREVGPRAPFARDVLSLDYAYDSGEEWEEENGDADDVVEDAEEDEAGDEEDSDLDSWLVDDDDVEDPGTPIDKRESSPDLFLDVPMPAPPIAKRKASEEKPKRSKKRKVVVPLVPFTKGPCWETNIGDCLYEPFAPYRIHLLDDTPFPIDPFTFVAGSIESVTTSSKDRVTTQDGFVVPALPPRLNAPNIATPSAQASQPGTKRPLPAPKTAFPEAHLPLLLARINSLATGSLPYIVEAVHQELKEQRVKKNSIEAKIREVGEKCKTKKIWVVKPHFKVANGLAL*
>EED81076
MYFIFLLALVLPLVQGAALALREPGELARRQSYSDARFTWYDTGLGACGQTNQASDYIVALDSALFGSGYPGPECFKSITVSANGKQTTATIMDECPGCPEGGLDMSEGLFSFFADPGVGVLTGEWWYN*
>EED81077
MSSKLLGSCGKLATIEARQSSSMYRREKGHDSIGCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKCRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIRKERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED81078
MQSTRFPWDYQPSQDLPFSSGSWVCAEGSNLQIVAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPKLPPAPPAPTNAPVEVPMATFTQADIDQCIAVALAAYQSQQSTVNRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKITFTVVLMRKDLGKTWADTYYEKLAGGVQVYPDWAAFATTLEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFKQLASKAQLKDAEVNGINHTENDYHTLHANFVKGLPKELYVSLATRVARDQPSTMKAWYDEVRNADAAKQGALTITDTRDYDEPMDIDAAAVAATFASTSGGRKWELGAVLNEADIKRRRREQLHRTSTEGLNATSGAEVEGKAFPRQNNREKNNRVQKENKGHLATGTMEQKKCGRSSGPVRLLRN*
>EED81079
MIYTYWKTVGSWISAATPPAASERRVQYQQVDRTTSRAMTAASPPLLSPVQTSPTPSPISRIPDDLLHEVFHLVAAEAFFTSDRPSRLGSIACVAISHVCRYWRELALASPLLWSYIQLTPALSRISTVRELLGRSGDAPLLVRMQGPRSVWESAEGLVQMARILAVHSHRFVEFYATSFLPEPMRDVISQFSCPAPHLKSLSIHPGSFVWLPAPFLGWMPMVRHVSATQMAMPWLPYKDMVELELSDQRAPLLEDLLWTLRHSPSLKVLSVFLSGALVPRSAVEVDETSVVILPNLQRLSLGSLHSISDTLTLLSHIAFTVTTEVNLRFHGRYRSPLDLGQFCPSLQHIAHRVTQCFVQFLNTHQSRSAVLKSDDGHMALEWQWREDEDDDGRLDSVALAAIPFPAIKSLILQVYNFDARREEWYGILCRLPEITYLELDFRLVTAATIVAFFHSLSRCPNDEETVCPKLEHLVILRAGSASNPVWMALKVALSLRVSLEAPRLKMLEVMVEEKHFSDVEDTLSSCSIRTNSRTPLSQEG*
>EED81080
MGPVTRSRRLSVGHHDSDPESDAPATVNEIEVHLNKAVQGARALQEELEELKRQNTKLKQRLEHVEQPEQPKRGRKGGPTFATLQGTIRELKGRIRDLEKARRKDKKKIDKARRDRLQFLLYLRIQEAKADATELQDDAELDVGDTAHTMRKQWDALLEIAKVWAKVDLLRQEDTSEEEAEEQFIDDEPPDGSVRSVLTGRSATSPEPEVQHNGADDKDDEPPTSSNPAKKRRIAFSPESSPLSQPPSPNTEEVPQNEAGMSQIPIETISNQTAAEQVVAGNPAAVN*
>EED81081
MPAPYASFAPSGFNAQITRNLLAQYGCGHRVMFSTVVPAPADAIFDAELYFRPRPAQASRRPFFAKIPAKMGADQAIGFFTLTSPVHIKLVRFRQSSLQRPHESTSSSGRKHLLLIDFPVRMMDMPGPSQPPRSCCWRQKLTAIDCRDKTQPARARNGKPSRSRPSSRVSNPTALPRGPPQKANHCKYEDAVDKKGPAPFQRPANVKSGTR*
>EED81082
MQTTGRARSSSRTQLARKHRRLQRLCGQAGSPY*
>EED81083
MSTASTEKYDKNEGVTVMTRAESRNHGNYFGEPLSPEPRPAAPPAPVAPVHQIGNPTPLGMLAYGTVFLCSSLLTLGAGGVTTPNLVLLFATFYGGISQTLVGMWELYLGTVFATYGGFNFSYGALYLPQIGIADAYSVNGVVTEEFTHAIGIYLAMVPHHWSVLLRHDGSIGALRTTAPIIWTLGMTVISLGCLSANCFHPNPHVNTAGGAFGLAATAGAYYGALSGFYSRESTFEVIRLPPVVVAYSDPRSA*
>EED81084
MAAGFPVVRYEIRWTPEQLRPFGYVTHGTDRALWALRIPNLEPQQAEVARKWLDAIDAEVKQVEEHGNGRDLQEVLALNEDRTIGWKPDERWNELMRLRAALPSEA*
>EED81085
MKAEPDSRIVKEKDVKFSVDDFDSVKTTPWEGVRNAEARNLMKEMKVGDKVLFYHSNCKTPEAHCLSQVSQEAYPDYTAWDPSHPYYDAKTDKDNPKWYMVNVTFVARTAHFVSLALLRRIAGAATDDTPEDIGYIHSDGVKAIKQMALVTRGRLSVQRVEEKTWNVVQLLAENGGWE
>EED81086
MNNGQSNNNSDQRHLPVATNYLVTREAYMRHMAQVHAARAQLDNYTRDLESGYQNFVAQEQELLRRVEEGRQAEQILAQLQTGNPQVQGLLPAPSPAQASSVAANSQTWPTSVGNNLPGNSGLGEPTRGGRVLHGVSGPSRQTSKSPYYRSQAATPQQQPYYREHVPSTGISYEAHGLRSNIVDYIILSVLDSMKGDLPAGTVHSDSLARVQKQLPEPTKNQINLISKYVFKQLEALSPATVVEIIRRIKSNLSNSATVNTKAQQFPVVNTASSLHMQPTLNPASTLGFNVPQGLAVPVVQLSGSAAQTQSFSSSVPQSSTTSSIPINATSWIQGTPSTMPPSGPIPSNPNVPLDTFPPSHLKPAFHLQLPLRTPTATTPSQRGPSPVSRTSTSPDIVIIEPQDVQPRPQPVATTNQNSMPASTAPIASPQTGAMRSQAASDASATQVPDRPRSPWTPNKANKSRLAQDIMRSLGRPRDTTAVATMVSSQRPRSEPSTSQPTDGPPSISRPLSSQPPASQPFVSQPSSFQPPTIVQPAEVRATPPVDAADGPKRKRTESLSALVTASPSLRKKQRRESPEVVEIATDGALELEVWQRVNVENGRVGDESGNESSAPDMTALRQEEEEEEDDDDEPERHAPVQAGPRSLVNDPLFVAAHNFAASVSVLTDAMRALDGLPTSSGATNEGAQTGAHHNAISSRTSEASATAPPPSSSVSPTLHAAEASTAVDEEMSQEVGLSYEQTPLFLPSPKSSPGAGYADVDTDEDMSMEPFVLDTSLLGPGAASTSSPVKHKWKGRMRQILSDDELEYADPPAKSMVKPASSAKSTSRSITGSGSSEVFVLAPPLPTWAQRYKAREEKRAGKQVRRSRSMSVVIVGDEEDEDEQLDRDSDATDEERDEQEQLQMEAVQLSYTRLRESPCRWRGCGAFLNCTMKLIQHVVQHAQKNHASADSDYRKGAYMCEWKGHRNQPLKATPAPFEPAPQQLVPLPSKMPTYMAIPMPVGHKAVSKERHHWLGAQVLENIASFRWTGRRVHAGVPSRTARQMADMVRAVEEGEVPAAQLEARRRMAHDEYDGIAPGKLLRARCEDIPSGETTRLIDEGLVLFPPPDWEDEEVKEEMYDVELLYAHGLEWPAARSRMTTPDVGEIADLGQGGSEAAQAVADAVVSFVESPSHHQSRDEQRESLPGWTVLATEEKAEDQDMVGILVDIMGPEKTCRHTILSDVRLIRLAHDALQAVNVFLDELLYSILSGARALVPERLRAALLKLVPTSLGKDALLEAEVELKSYWERTRRASPSSSSSSGSATAAEGDRKAVLLELGPALLTPLASAAQLLRLRCVAYSTLNDADEDADAETRLRERMEARGAHNAPKPSDVSPAALYLTAILEHVLSNVSSVTARDSSRAIASSQDLFTALCEDDTIYGTFKTMKGMSGNGSDDGVYATAYDQIEQLTKAQRPRRSKSFSRNSDRVVSPTPTASEIRDSPVAHARSESLRSNITVTAVGTSERRMSLEKSRGRAGSLKRKLLSHSRSSSEKESMLEISRPSSDGGRSRGSADFESTERRVAAQEDDDLLHEFDELMRSGATMKVSLTPERLKSMEAHNRARALRASQRGTGEDGQGSERGGEGETAASTPSPTVGAGERARAPSSVGRPVLHHVDSINEDEEEAASAPVPGSTGSASPLPRTRQSSKSFSARSPPSSANRTRSISISDMPHPRHDTMSRSSSTASQKPPSQITSPTRGPQRSASRQNSNGVPARMRKVVRNRESIDLDDIMGGSEGEGDEAEDQVEEISVRSKAPRHGAPASIASQRQPYISQSARELIAFLDEGPPEDADPPRPSMANASVLSFDSSKSRSGRFSRMMSRLTIGGSMEKMGGHSDDTPRTPKTPRSLGRKPSTSNIPPPPAYRPPSLASKRSFPSVVISSPRSVQPPPIPVSPEPLVTSPSVRSPSSAVSAPPMQARSLSATSQVSVPEESGQRTPSPRRGGSVRKAVPPWEDGGAERPPVPARSATTDSDTSAPSRNGSVRGEVQGLRPHRNGDSSPGEQHSSENDGPRQRTLSIQTSMPRPVNGRMKTPSPKDGEPRLLSPPTPRRSPIPRKPPPSPIESDAGPAPLPLASPTPSTGKSSRRSSKLRTGITSAAVADLRRLLAAATSADECRLLIDMFFARHGYPYGAVAVDASDFPAPPPLDDRKLTSLSELECSLVELFLGGDPGLGASGVDIPAGAKLDLHGTGKAFPSPSMAAQTYAEHRAVDYGGHPRRRADFAHRAHT*
>EED81087
MALRLPSTVASSSRAQIGHPDRSRKITLVTRRSDERNTHSDPEAPSHLDRPRGTLALSPLPPTSLKLSPIKREEISLQTLRQSLRRVTVKKESRSPSLRILLGPPRRQRSPPPAPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLVNTSLTTIKLKVGVQGAMTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRNKYLSGIPSCVYRKIELETFTTWQAAEKRATEVEQILDISRACRPELNNFFSARVTRSFGQHQCGRRKRQLPRHMLRLWEARVPTF*
>EED81088
MSQNTNTPLMPPRGHSTAPSFDPSEITDKAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNNWKADIRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLITQHRLSTIEQSHAFLRGFQPALLTWLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQAIASTLNTSTMVPPGMIKTKDISMIIESLSRTIVMLIQPTTHATHNHAPTPKQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFTEINIRDGKCKRNTDGKIVLPNGSFCPHTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRLTVQTFTLNTSSRIEVLERELLQLQKQREVFDGVEILQRKKPTTAAILKSVEASGSGTSKGVAAPSSTSTSTASPPTIPAAAPASSSSLPTQSTFRPTTTSAPPAPPVHPFANARDATYAPPNVRNFTTLPKPLNNKGKKPAYKTIVPVIQPKLAEEIFQCSMKSQFITLTPEELLLIAPDRVSTEPVASAHIVEISADEVMAINQLSCSGTTLEPGATIIPNPYETYLKHIPHGEYPAEFTVAHDSNAICSIIALVDNKEQIECIVDPSSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVSFRIGEIVLYLQAHIIRNAVYNILLGRPFDVLMQSVVKNFADENQTITILCPNTSETVTIPTYT*
>EED81089
MSAFVENTGSTARDFQMLERNLLSHVKLAMLLSLLSSSILLKTRLSGGSSTSSGDPSRIQLPIASLEFAAALCTIFAGMYQYFRTWKDYQTLRGFLTATKVHFSIMVAVAAVVFTTCIVLL
>EED81090
MASQNLFPTIGTIADTTDKLPEQPVLETRTVELDDDRPVQEIDSLCMKCGEQGVTRLLLTSIPYFREVVVMSFRCESCGWSNNEIQSAGAIRPDGTVYTVRALLREDLNRQLVKSSTCTIEIPEFELTIPAGKDLSADQPLRRIENEAAFTKIQTIIDGFREILADNEDEEVESKEPLVQKALEKDTPMKPFTLRLDDPSGNSFIEFLGKGILDQVHEELSEKVFRASDSHTGDNAFENFLKKLKALKNVEQPFTIILDDPLANSYLQNLYAPDPDPNMTIEVYDRTWEQNEELGLNDMKVENYEQDVETPENSVPEAQ*
>EED81091
MDLDPAHSTAQAHRNACYAIEFFKQTRDKKQEQGRPAPSMPSSSSLASTSTATSIATVRGRHHSSHAGDAFRMTLAPRRRLSLPTFSKAFRDDPVPARTSTASSSPTRQPKRARERTLSPLRASMVAKNGSARKLFTLDGDTEGSDAEEDPERDQDRERAAARERIRQEEIQAIAAAAEAQRQADAELQHEEEEERARDEANRMAMVSEAEMERLRTGTRRYHALMELLATEVGYLMDLRALVTVYLEQLSTLTASHPPPSTSALSLPALARSLPSSRSSFLLHPLSAPSPSASASLHLETGSDTGHGPPKDEPDREQVKGAKREKSRERSRVRGTSKVREVTKEKEKSRATDKKKGKEKELEPEGHADMLKEGDHREKENLTLTGQNHDPHHFRKSSRDEQGNPLPTSQSSNKGKHVRRPLLAEKDVRAVSRNADELLRFHDRFVRELRETVGDYGLSRAFVTDLSDEGKSSPNVDDAEPVGLDRIDEAVSIVAEKFISQAASFSIYEAFCPGHNEATNLIRNVQETYPSEWDAYEQRCSALISYTLDFGEGTSEAHGDDHLPGDNVAHPLSAATVMPPSPPVAEPGRKKRRHSTSSLAMLSSNAHADFMPQVAMTKSDPTERKREHSSSSSGHGHRTAQAPRLKFLDYLIKPVQRICRYPLLIDQLRTKRQRTLSTGNLPSRTDSTTPNAEMGLDVAERASEAMRVVVSLVDRASEMQAQIIRSALIASRMIFTHPPVSPASNHGHSAAGSSNHRTSALSRPQGLTPEFVASLGPCHLTGALDVVHHPSPLYAASNGALRAKYLGAFLYKGGYLIMVKIPKSGKVYDPRFWFPLSGYELFDSEDDESSLPFSFHLVGSGHHLQFAAACQSEKVMWMTALQESLTFPANWTNEPASSLQVDDKAHGSFAAEDGPAEWSTTPLPTIQSLSELEGQEEQSADGHVRPRALIENRPRPSSRLDSMAVKQEHMQVSSFAALSRRSSTASVKAFFSPMSLDTITRIARPSPQVRQHVDHGLHDVFSEKCLAARSQSLLREEDLYQARRRPGTNVSRSNSGLSITGAMGLAARRRYDSVLVSRRKGSLDGGTEHPTDLEVGGKNLTTLSGKAKSMASKRRKRPLPSVVPAVASNLAKVESEAELDAPKTQSPTGVDSPFPASHCSSTASSNAGSALPSPVDLIVPLPTYAVHDGTLRQSDMLGVEESKGKRARSMADNVRYFFTSRPASPSSSSGHHSPTPPPTVPLEPEVDPQTSFVQWLRKGSLRRRVQSSPEMPQDEGQPASPGRASEENRGSFLVQVSSNKATISLRTDFAFTRFVPLPAYPSSRVRASLSPSQLVSLHQTVTSALAQALALPPAQCDSSTNRTFVSSYAKDAAHTALQHLIWNDEQKPSKVFADLSQIERTIRQRAFYLAERLAASASLDLQTLFDLCVAYAPTNATRLRNLLSSAFSHNASTLFKAVQGEAVPAFTAMLSTPSQGLYGLRKTAHILLCFLRPAPADLVRPFARDKSFTLALARAYDHGLANFAQSYGGLRLPSNGDIQASRLDEWERLFLETKVALLDSFHILIGTLLADLAAVPVAGPELAAQCESAFEIVFALLELPPSAPASSANAAPLPFLNCPLLADYQHAYDLSKTLADTLKRADDARAELLEATLRGLDGSDGEGPGALKLLLRSSGIAPGIDYRGRGPSRATTGGDAKGKGKGKDKDKATPLEEDPALDAAVAQVLDILPDQPPEYLRFLLGHSDYPYKGDAERLIGALLEGTAPNPDEVEAAMRRAEVVAGVEPSAVREAVVYDEDEFTYTRDRRNAFDDEAMDIRNVRIGKKTDDVSAVLQDREFMEQMKADILRRAEAISDEEDEDRPHNASRYVAFEEELDDDDGGAVKVRDGDESELDGPDMDEDVEETEDTRMKPETILELAYLQDPKQFDRDGQTRRSKARADLKAQTGWTDEQIEGWKIMLERNPNKDKVLAKHEFSGNKPLQPGPSSGPSHLGGTNRGRGRGHGRGGRGRGGGRGGSGGGGGGEGSNAGDRAWKDKNKASRANHNRKRGHDKKMARAGGPS*
>EED81092
MRYQTTRLGSTIHCSHPANIRMHRSCFSFYLNVLRMRQPPWTRRDIGTEAWEPLRKGKVAQAARLARASSMA*
>EED81093
MSQDNKYRAESPSEHSSRGNVVDHNAPNVTDDNGVQRTRRAPLNGEPHVIKVQPLKRSEMQPSYAQDLGTSEVTHGVYGNMIQALGSCVGFCGAIPCCPLPNPFRNIPQGSVGLVSRFGKFYKSVDPGLVQCWRSTGVGGAPGLVGLAHAVGYPLCRARWTGYCESKIRAAWTRGLELVWESHKPLAVVLEPDNKLDGIACLMDQIRFKVRETRGEVQQSISSREKENAPNWIACISRKDIFELHLGQVRPPGDTVCILLQKRSGVRMQVLIKTASADSRFWTRKLLLRPANGWVVTKTGVKVVARSGRNDDICAQERNQVERFGYAAYGLSVFPYALMSAVNVICSAIVGDFTSGYMLRTPILQEAERRGAVFDGSIGRVRSLYAEPGYKGKSGFTAVGMSIKPENPAVVNSRKILVVRDGEKKKEFLFDPERYNTDIDTSFFDISSITHDGQHDENEVKPYKKRHWLRYFAIALAFLITMLAPYAVIYFFSGFRKGQSTTAQRRWMMSWLVADQVSAAGTFVFWWIWQKHGNIIPIAVHYAGVAGLLVPAIGGLVTVGAMFLEDNQFGPCGPS*
>EED81094
MSRTPTPDSPMSSSLRRATDTIDDLTLALSNFSRMSSPELADVATCCCGKDDCETTKAWQAWKSKMESRLILSAESANHSPISQLPSTSSAEEHVDARVADLVKENAVLEKRLTQALVNNEVAESSHRNALQELQEVQANAARLAAQNARSIGWENRLAVALQEKDDYQQERDIALQRAKLAEARISALKEKCAKSQAQLTRLREDFDMQRLHRQELSQEILQDARQRLAQLQQSESGPSTLSEDAEVTKILESLVADNEALKRDNAELQNMLAETREDVRALQEEVEERRAGDTSFRRHRYTNSGQSSNFEASSPLSSSFHVGTVPANSLLHSWQRHGHLGTPFNRRAASAERSTRRGFEPLTPETDRRPLSPTDSLVPSEMKWPSYGHPRTQHGFDVDEDSQHENPATPERTRAHKSLLMLTRSRGVQTDGSTNAAASSWMAPISKFLGLPGLGNPSDSAATRALSPPSRPGMRAPSRMPSRIVPKREAALSASAMTVNVEFSGTAVGRSVTSTYSAHPARQDSISILAMQGALTQVVPPPNVSRSVMGIFAGAPRAEDNADPWVVIPKPQRAGPMPHLGGSGTATVDRSALRRTSSRMSRMVDAVIDSHAAQGAISEEQDVVGSLLDRTLTRRGLSDSSIHTTFLSHEEQGSPRQSVHEESEESAPQDRSSVLQALSRRMQNFRFAGPSATSSADAGAPVSRPDTPVSGANAGDGRQTPTGTHASSPHAMSPPAVRLFSAANLSSWATASLDPSQEPSSYMAASPREEPFMHRLARDRNM*
>EED81095
MDYLSVFDAQIDTYFPPSSYYLAQAPYTALTSDLYLVGTTHAQQYVSPDEGTSILSSYNALGLSLGDTHGRVEYDLAGGIMPPETYSPRRAPATSPRNKQVELSGEEIHQIMEGRPMICPICPQKLRWIRKHDVWRHLASHAGLGRWICCGVPVVDAPLLGIDNTSQAHELKYVGGCMKDFSRRDAYKRHLNKKNIGCIGSMAIAKAFMLRRDAHLMQVSIDHFIYYPDVQGLASALHERPVFYAILPYNMDDSESSPSPLDEVPARSRREAEYSRKGHRSSKDRDRSFMGGSTREFARLLVYQERETIELRKRLDVVTEQLRSETQRADAAESRIKEAVLRYKSINDARIQAQQDVQRTTEELNLYKLQLDNALREIKRAQDIIDSVEAQRLDAEEAAASARSTARKLKEEKVVQIAREEGRREGLEEGLARGRNIGFEEGRAEGYARGRAAASRTRRPFVEDAPESPDDEQSVAEDQSASPPDDYGSRTPSIYSQTLPRRRAGTPGPSAAPEPAKDSEIHPTIVHNVMMSPSHPPVTFPPDGFIPKQDNDSRIRLPPPHELAPPPPTPSPPSSVALQNVPLQDTGDDRPPIRIPPPAADYNDGGMESDSTTGTHRRTRHRRQRSSESLSTTMSQFEILGPPVPTSARSTARERPAVLSAIAEERERSSSVSSPQGANPPRNSRGSSLSPDFAIHVEPPSGPESPQSRIAPMTPHLLSADDLPPPTNEPPSEPAPGQGMPSMSPGVPVVLADGQLPPGFQPIGPPVAPDSFTPMTGHHPSITPQTMYNTSIGSIGPAGVPLPPSTYGGTPSVSGSNVIPGMFPTGEPVVIPHLMPSAGLGAAGAQPGSRYSRSALRDSSSDSDVSSGLGGSMDSLTTPPSRRRDLPSRATPSYATAPIPPNVTYPAPPTPHSTSSRTTAARVPLPPSTAGSMASPGSTMTYPYAQTPYSRSNLAVDAGHTPMNRPRSPAMSSVRGTPLPSQVEPRSSIYDLRGRNEQVFTQANTAANAGPSAARMSAMGSPTVSQVSLAPTSTSTKSKKSKKAKKKSKVASVEEVDEE*
>EED81096
MAVAGLISTKFGERGPGHLCRTSPYSREMPPRNTQWLLSAHDINILVDEGWTHCPIPKCGYTQERRRPADFKRHLETHCGKKYVCCGEPVYWEVGVKGPMHGGCRRRFCRIDALQRHLENPKMGCRGNLYIAEAYREYLNDTNPLTDEIVRVLWNCASRLPRDWTSAASPPTMHNRRSFLSRKALAYVDDSFPHVRRFLDGYGNCLVVDLQAREILTKVWFVLKRDGWTFQENPGLSSLEDVVPAQNKCMLQLDVPETLHEVLIKEENIFAEFGTFLRYESAGEAQTNQRKCTTLAHTRHTLPAFYEVICKVSLPLRTEMAYFRRDTLC*
>EED81097
MSIFGAEPNYEISIGGLTYKHSPIDSPSLSNVSMKLPKGSRTILVGANGAGKSTLLQILAGKRLILQEDTHVHIKGRDVFRNSPPGVTFLGTEWAMNPVVRSDIVVHDFLNSVGGWRHKERRDKLLDILDVDLDWHMHAISDGERRRVQLVMGLMSDWDVLLLDEVTVDLDVLVRDDLLTFLLTDSETRGVTILYATHIFDGLSRFPTHVAHMHLGTVLSQPTPWPLTPESAAQVVSVDLGPNPPLYKLALQWLREDRELRRELEKQGRKTRGARIDEVPTDSETFYRKYDYSH*
>EED81098
MGVAGLWDILRPAGETRSLTHLAVVDGFEANPDGVRGFRVGIDASIWFYHATYGREGENPELRTLFFKCTRLMSAPFLPLFVFDGPNRPAVKRGKRISGKNHWMVQGMQNIIDAFGFEWRMAPGEAEAELAYLNRIGVIDAVYTDDVDTFLFGAKMIVRNPSITLSGNRAHSLKNSAGREDGNHVATYTSENLLKHPSVQLTQGGLILIGILRGGDYHQAGLTGCGATTAHGLAKCGFGDTLLHAARTLPREEFPEFLDIWRNNLRTELRTNSQGHIGRKNPSLAKSIPDDFPNMEVLLSYTNPVTTEAKGVKSKNLTINWEKEPDLGKIAELCELYFEWGVKEIIIKRFRTVLWPAAVLRILRRGALVKDKYADKLPNSHNGIPVTPRKSGRERRMPGTPSSMIAKHFSSMHLNTPRRDPALDSDDDEDGEQLIVKIHSSREHASTDGILEYRLEVSPSQLVRLCEAGVKGLRKEVINGLDDTDDEGDDDDEDGGKGSKRGKKPPPDPQSHLRIWLPACMVRIVEPELVDEFEGVQEMRKAKKTAKGTSAVRGRKAKTAGA
>EED81099
MKRRMQSSQFRGLKTPHLAFGDVRLKNWGTLSTTVLQIRTHTAYKRATRKLRFSPRIRASKPTRRAPCLNCKMRYLVERPPDSMAACALREAIALARDGHASWAGDLAHVSRRLECGGGVDFGALLRLDYVTALQVTVADARNTLLQHVIDSSPKLSLLRGRLRPQRDTSSAHRTLAFRHYLRVVVPQHNHALMRLVLSDHRLASEPGR*
>EED81100
MGLPPPEVDAWQSWRNFGLAHSIRGIYLKASQSMGDILPRTYNSFIDSYFQTTDAQVVSDRMKLLLDEDESDSRAQPSLRQLRLAAFKSKSHEQVWRQKLAPAFKYAVGDLGYVPEGESVASFRVLRNIFQDELGCMDVVHNAHGNQFSWETGAANRQELHAFPSLDGRYGWPIVLPTGTSQNVQVVHDLHVASAGQAWHYLLENGKRLAECHSVKPENLILVHFSLLLVTPMHAAS*
>EED81101
MGLCQTPRRAGNWRMPVSQLPTTLRTPCLSCKMRYLVERPPDSLAACALREAIALARDGPASWAGDLAHVFRRLECGDGVDFGALLTLDYVTALQVAVADARNTLLQHAIDSSPKLSLLRGRLRPQRDTPYGKPAFLIGTYAGIFLPSFLPDLAILTNDAFEDARRNGPCSVKPTMNLFGLGIWDTKSHLSMTYSRMKNMDGLQQTGHSKGCVCATRRVLHECFGLFGHGVVADLNRVASQTIRIQYPHCDDPIPAYTLLITMSNSADMESWFDFESASCEAASIKPTKPQAPTSPQRASIQHSRAISHPSQTRPANPFGSNSTPQEGCATLAVYRFDPDLGEQLPFPTAIEPDKLIIDKTTALHGAKSHFHSRAGERRRPGRRQDWCKTSKVPGDTVRVFAGCFHVRYGNGGGKHGTRAASCGSADGDFRDLSMYQMLPYLIAAAPSGSTKACTVHPFHVHLRYPADTGMVEGPADASDAQAQRKEMMKCRQSNRGSDMMAFIVAGIVQPTQKHLLGLPVCGNPGCDIVFEGLGITSKWQSLPRTINDDTMRAPTLHSVTSPFSTAPHQAASTNARHGQVAL*
>EED81102
MSASSDRTYFNLSPAPSRHQGGLAFPEGGGANEHGPATFPGDIDIEQGPPVLQDAFLRASPAPPLEIIRVSSDRDETSTRRSAVNSPAVRSVGGSDSRDITSLPAIGGKERTTDPPEYMMAHHGYDNAMSKDEKGRRSVPRISDDDEPPEKADPLNYEHDVKKLELGAVQIDKVQSGSQQVDAPISVAEDPWDLCAKHVWEYEKAMVIKWKEDIGNLLVFTGLFLTILTGFIIAFYPMLRPQPPDPTTQVLLIISAQLAMVTASLGQPNLTEQQVSVLTGVGATTHPTPSVLSTGNLWFIAMICSISAAAIAIAVGQWLHHYVDRASSVPRKSVHIWYFRRRGLKEWHMQLIIDALPILLQISMALFLVGLIQLLWTLDDIVAATTTALVALLILPPVFTIFMPTFSPECPYKSRTSWWAFRLLRWLIASTVRCKVSHPRVWSTGVTSMPNRIMQLFGQALMFMIQLCCLPSRMFHVCRKQLPTWIQWYVAAAKVANWREFEEYAVRSQSATEQEENRLLMLAEADEIVMDDTFLTTVVQPCLRENGLPNALPVLYRILEHRAHEIDSHTTPPTLRWHPNDRDAPAIIAMGDLCVDVLSKYPTVHVDNSDDDQKRIMHNLLHLVRAMPATDSARAICKRVAELVQSHGEEWFRWVLNGDIVSRLHELSSGPNTFILEFVARDWLKGLHFSDALVHFNKIFEPQLLLNNDVHVIKAYICIDIFAGIKRTQSHFFDYDGQQMRLLDEIERLLAPIPSTETSVYAQFTELLMKSDVSHKVRAKLAWKIRIYSHKFPPNIKNTRNLLTYLWKIDVDCHTQRVLWTLSTALHFATRLPQGDLTQIRNNVVTALAATAGYFNARQLDSLVGDLMEDGGWFSFYTLLCVCDDLVRTGDNMFAPDIVSALQRCAEQCPAEHVWYEDIQRHMRNTRELSKVQYLPRKDTGQTLVGDTQASPGLASSSHGGAERAGLSYSVLRHHETH*
>EED81103
MSSHPSAYESWTREALITRLSQLESHRKPTAEPLCKRKVFDFSAHPRRKIALKFTYNGADYSGLEFQKTPTTLPTVEAVLFDALVHTRLIDPATGFEGCGWEKCGRTDRGVSAAGQVISLWVRSALGQARVRTSLEDANVMDVHPTGLEGDLALMGEWDETPTNAARPATPQATNELRYITLLNNVLPPTIRVIAWSPVGPDFSARFSCRYRHYKYFFSPEGLDLAAMQDAADRLVGEHDFRNLCKLDPSKQLTTFARKILSARLNPAQADGASTLYVLDLIGTAFLYNQVRHIMAVLFLVGTKLEHPSVIDALLNVDAAQPRAPSRPGEPFPQVIPSKPEYQMADPLPLMLWECAYDKDTVSWRTGDGPLPTEGAALSHRDLSNNLYHSVHALHQRSVVQTAMDAHFLRAVAAYHAPPPQYFPIDAPGVQPVPSGSVLSIPLGAGTVRRGARYVPLVERPRGDLVEAVNERWRTGKGARQMERKALAAQASGRS*
>EED81104
MRFPSLWSSHRPKPSDADSTASGTAPTLPTGTEDSTRLEMIKIVTPDDQDTRNNKEHTATTVSVDQPVAVSESSLQDDSSASIESTNVAAWSSCAKVVWEHEEAIVTKWKEGINNMLLFVSLVLFLAGLVKYLWTLNTQIAHVIILLVVLSLSISVCTPFISALVPGCPFKSRQSWWWYLVLRWPGNTYRYLLWVFGRIWPPRELIASASRLFFKVHHAITSFFEYPRIICKATTQLADWKELDDFAVRFQAARRLDGYQLEMLVEADRMIMDDTILMTVIWPCLWSGSITSALHAFYGILEHRVHHVDRSTSPPRYEWSPGDLDSKTAIWMGQNALVLLDGVSAASVDDRVDHCQRLIASLSQLVRIMADTPTARAVYHRFLTTRHKLNNLSEVRGVDTLLSICDNHFRPREIYGHDVKLSTFIDTDGTTADDSFLDEVVRPLLNQVDVDFSLKAFYRILQHRAEHMDFSVDPPDLQWSPASWTSVRAITIARLCTQMLSRLLDGVLGFHEHAMAIMQYLNEVIVVIPAAEPDIYRRIVELSSDDKYKLNISYELRVQLLSLTLRPQDRFGNPDSKDANWKSSMVLGHIALDLFTRTCEDTTLVDNDREQYQSTLLKKLHKLFDTMPVTETQVFNRMLNLFTSPQFPSKRWEEAAELKVPNHGFRRPFRKISNSALWCASRLNYNCYVMIKKRVRDVLDNALAAIKAAPSIVSLSGAISIDENWNDIRLLLVACEDLEKMDNIIIRPEFIEALEELARNCPDHQHHCNQIRGTATILHELNHRLDARLIRILKFDKVDFALVRLRMTVERCISIMWSTILELPIMRLMPRPDTLRFTCKNPRSSNGSLSSGWNRNASYALGSARICAEVSRRRYATIEFDTGQRYVKSFKENRRREQAAVAFGHDDVVDKRDKAVVEVFVRELLEACVIEERLRRLQCGDGTGDGKGRVGCKEDVFNHHDYSDNGTQAQRLPHESKSGTTQGQLNHVSVTSDPYLDVITPTLDGTILPGITRDSCLSLAAAHXSRTLLPHLLLTLRLHAREQTLRMSELARWNAEGRLIEVFTTGTAVVITGVGRIGYNGKDIILSEHEGGRGPVAHALYERLLEIQEGRFEFDGWSIPC*
>EED81105
MSTSTLPVLNLSPPRILLPTARVTMPVHMSVGEELLQLVQESETQPVLAAVPVSSGENVILHDWGCAARIVRIVRPPRLVNSSRLRPYLLTLQGLSRVHLLGNKVTRNTLNAPVDHVVQFPADEGVPTAEAASIFKSAATTLLSRLTKDAAGEARRDLYTKFSVMVEEVSNQRTPWMADVLIASLDADYADKLDFLSAVEANDRLKRATAILVKQTSITEVSKKVAQSLDESLSRQQKEFFLRQQLAAIQRELRNLQRSSAITRGKLSDGTDTSSGKLADTVTSELDDDEQAEADDMAGIRTKIEAMARDSEERRMAVREWRRLGRTPAASAEHGVIRNYVRATGTYMQLEWLTAVPWPASAVSAAMSNPLVALHDREFLVKARRQLDSDHYGLEKIKKRLIEYLAVVRLKELQAEKETRLQAVEADKSAQAAADAPSSKADGLPAQNDSKALVSYSQEKAVGIPKQPQVHIRATRKTGVKGPILLFVGPPGTGKTSLGQSIARALNRPFGRISLGGVRDEAEIRGHRRTYVASGPGNIVQALRKAGRPDPVILLDEVDKIASSNFHGDPAAALLEVLDPEQNHSFRDHYINVPIDLSQILFICTANTLDTIAAPLLDRCEIVHLSGYTYDEKIHIARKFLIPKQLKANGLTADHLTLTEPALLHIATHYTREAGVRSLERAIGAVVRYKTVEWAEYCDSIGAEPDSAVPIDNVAGEGYKKVVEEHELEQILGIARWDEEEREREERRGLVYGLVVTGMGEGGILPVETSVVPGSGKLKLTGSLGDVIKESAELALSWVKSHAYELYITQTRSQDPLKNPDPVDIHLHLPSGAVKKDGPSAGIAMTCAFVSLLTGACVPNNIAMTGEITLRGRVGPVGGIKEKVLGAHRAQVTKVILPWANRKDVEHDVPLEVRTEMEFVFVRTLEDVLEAAFGKGVIGWRGNVMLVESRL*
>EED81106
MPRRMGCRLGSARSHLERAWGVWTRDATRTRGAGGVPDSEDGGEVSGRRIQDAEAVMEPMEGYTSRPKRKMGARQPVGEAKQEAREPHKRVKGKGHGAERSYYVFHLKLSNLSCTVRLTACTLLPVWYPRRLVSRMFHIAITKLRGLPRTPRAIRRRLELAAGSSAFVASALDVLCIPGLLHVSSAPLNLRVAYGMAVERRAGTVAVVTTEGKPSVWTTDSPRRVNTFVQAVRIDQERCDRLPPPARRGGASNAVGRGWMKSADVGADYRPTAKSIRRLIDGRAPHRQLDVPDSSRLSSFNASVHGCRTTSRIAGTVWAWNAADGRQEQTHRAMQFMWVGGNEEVQWHRRLGTWHAHTRHFVRASPPSMLSESPAALPPTLPESPVTPPPTLPDSSATPPPTRPDSPVASSSTQGSFPLLPDAGKGKTSAKRKRDEDAAVGSMSGHSFCPKRVKLRASGLHECTWPDCQVRGLRSKDMWAHVMTHEPGLRHEPPAAAQVRCLWAGCSVEPGTPDVIGSHMKTAHGVVDARGKQDSKAACQCPDPQCKEKTQSRHGQSWKGWQVQWAEYQRHCESTHWLCGKDVAFCEICGGRPRTTAWEDPKRRMLEKCLEALLHRESFKKGDRAKLLDEKREEEEWNTARPQYRA*
>EED81107
MHKSLLLSRHSCKTAISSITLAVSTMTPKGLDFCTM*
>EED81108
MPAIDATDLKLEDIIDVDRLCNTSAVDCALNIKDREAHIEEILVSENPTVEAEALILSVLLPSLATVLKSSACFGAPSGQIDENHPHSVASSSSSSQHGTKRKEPESSEVPPRKRRKIVNGPRAVTHARDCTWPQCDVCDVPAVDDMWAHVQTHEPLLRHTGPEIEPEVRCVWNCHKEGSVGTVRDVVEHMRQVHAPRRLNRTRTQETIVKASEPCWCPHAECVQGRMLGHSASTKATSSWQEFPRHCQSVHWLCGHEVEFCEVCGGTHRKDAFHKRMMLEKCLKNLLKTRSFQSGDRETPLAEKDERAMYAKQPSYRA*
>EED81109
MHESYCELVLPFASSPELLEQYINASGGIRTGMLMEHLDSLAGSIAYRHVLGPTFDSLSKKQGIYIVTASVDRLDMLAPLYPVRDMRLSGQVIHTGRSSMEIAVRMEALEKDGSEETIMVGRFFMVCRDARTHKAAPVNPLSIETPEDQMLFNIGEAHKTKRQTRASAALTLVPPTSREAEALHTLFLTYGQQEDPEYASPDGMQRVWMGDTRLEKCLMMFPQERNGTQPRLYPAGYLMRLAYELGFANSVLYTGGHVLFLSLDGIAFAKPVPIGSILRLTSHVLHTSSTEQFPGLIHVGVQANVVDVATGREETTNDFRFTWCVDGGKPLARRVVPKTY
>EED81110
MADGIGLHLSFHNSANAATWERHHKVPQIDLHRVSDTGPADANPRASNVDVRAILGLGHKLLSPATPISNSTMLSRTSISSLARCIRNKTPRRATPIAHLSALNLSRRLSTSSPRHNSTSILTREHELGAAEETHEVTTLDRLLKIFRAPSPSYLLPVRSRSLWSEALLRNNLPPSTTDPTTSSDARPTADTPRNMHESYCELVLPFASSPELLEQYINASGGIRTGMLMEHLDSLAGSIAYRHVLGPTFDSLSKKQGIYIVTASVDRLDMLAPLYPVRDMRLSGQVIHTGRSSMEIAVRMEALEKDGSEETIMVGRFFMVCRDARTHKAAPVNPLSIETPEDQMLFNIGEVAPFASDAGWSAVEAPLQREVYGDNVGDEKVVVALGSTCVPGAPFWRSTGARGYLVSTGIAPPARSLDPYASGAARGSLRFVPCWELYASKVESQ*
>EED81111
MKSCSLARPPRHWNFCSSICIGSGNLIYYACLSRHWLSSLKPRRSIRSFRLSKYVRCI*
>EED81112
MSSRIVMPGERLRLRVAMLEYVDLDRTRYTLGSERRYPRLGWLEDGRILLLPYTSSLRNLPGPPASNWLFGSINDLRASENFMLHDAWLEKYGPTLKFNIWFKIPRLLTIDTRAINYILSHSQDYPKPERTRHDLTEILGNGLVVAEGELHHREVSHSINDVVSSADGQSHSAAQDYGKTHNPAFGPAQIRELTDIFVEKAQQLRDMWRKEVAEGTARIDVQGGLTKMTLDVIGLAGKSASSVRFRSPCFNYEFSALNPDGKPNELDTAFEVMFSYLSEFERSYWPLLRSMFPILRRIPDGYTRRTAAAQKVTRRIGMQLIAEKKEAVRKAAQSSDKGVESALQSRDLLTLLIKANMSSDVPEDQRLSDDDVLAQVPTFLVAGHETTSNATTWCLYALSHQPDVQHKLREELWGIRTDNPSMDELNALPYLDAVIRETMRLYPPVVGTFRIASKDDIIPLATPYTDSAGRVHDSVSIKKGTSFSIPIVLMNRSKALWGDDALVFRPERWESLSEAVQQIPGVWGNMMTFLGGPRACIGYRFSLVESVFRTYIELLAHLTRVFCRMKALIFTLVRSLEFEQAVPTQEIMKKIGLVQRPFVRSEMDKGTQMPLIVKPYVRD*
>EED81113
MLSSAWLRLALVFASISTISAKDVLLTNDDGWADAQIRAQYDELTRAVFNVVLSSPSENQSGTGSTTATPTVLTEPCEYDTCPVGSPPEGSDPDNPRINYVNAYPVDAAYYGIETLSPKFFGKKPDFVVSGPNIGNNLGTVVLESGTVGAACEAAKLGVPSTAFSGDTGAQPSDYKWVFSRNLANTGQEDLWTCGSTVLPDENDVVATDGCYTSVSVLNATTKADVDADLQAAVYLRLIGLPFTCLPSS*
>EED81114
MVNSTEQSFHSNLTQFRWAQQNGGGAQAQEADAPPGRFARFYNAIGGSYIPLRSTERLGSLLVMFGFSVLIGPLNHLKHIVSKERLPFSIAYLASLGLTLYFALGVRPARVSRETRAHVVALISYVLVYFPGGVTTLRFGGQMALRGAGSLLPI*
>EED81115
MYRELSNQPHQHSRAASSVTLHSLQHDPLAGQRSHSAQSSFTNPNELQIPCLPSPSTDDNPSISQCHGFPGQPNQPEVAIHITPVDDQVNEVLLKGSYAQSTSPKSTPSRSPTGDSKETEVISVPSATAIHKEHARGVWAHERDMVRRWKDEIDSQLLMVGTLTAGLFSTVITAFNVEYYRTLKPSADISDVIQQLSEQMSNFTSNSTEASTAAQSIQKAPTLSATCINAFWFSSLVLTLATGSIGILVKQWLNNYTDHIPALPNKSVLTWHERSTGLAKWRVGRIIAILPVLLQIAIALFLVGLVQLLWTLSAAVFGIVFALVAALLLFSISSAVIPSIMSDCPYRSPQAWLLFALVRRPFMSERPTGWGDLEDLRLQCAEDDNRYTKALHNAREVISSTTVLSATNTIST*
>EED81116
MPSRTQWIPNRYPQARRSDHVDVYKSETKGEVRVHDPYQWLEHNTEETERWVTAQEGFTRQYLDQNAENQSLEDEIRNNEKFAKFSAPSFKHDNRWYWTHNTGLQGHAIIYRSKDERLPDFSKEQGPGGEVFFDPNLLSEDGTTALATASFSRTGEHWAYGLSRSGSDFFTIYVRPTSAPLVASPDGTRPSHDEGRLPEEIRYVKFSGITWSPDSKGFFYQRFPTRESHGSADQDLAGTETDSDKNATLYYHRLGTDQAEDVLVHKDEAHPEWMWGTEITELDKRYLLLTITRDTAPVRPGARR*
>EED81117
MFTKHSPRPADSRSQRMVPIDFGQAVSPRLAAHAFLHGLLRSGYPTKAATYARMMMEQGIRIRASTTQSIIHTLTPKYAESLKLMSLTNVFSRLKVAEGPKVLELRSSLIGDPCTRAAVKLLENARVWGQQRSERMWENVIKTTLMQGEIIVASLLFVLLIKDWELRKALADAAREPDDIVQPTSNKQPFRLPPDVYARRSPHPSVQIMNLILKEIDKGLCRTPQSTEDEGYKVIHLQALAMFAMLLDTGQLPPHSRAAVIRFLYSCPKLYDFVWIKKHNGRAIRVCAYPYFQQVLMRLIESLRTPCRSNGMRLDLRSYNALLWYALRHRLSPDLASRVLDHMCKYRDPPLQPNVVTYNILLRAGTLVRENDISETALQALRRHKPNYEYGITAEPPAHRSRKAEQDIKVEDTCGDDESKPPTVFFAGLRRLATEQMRVPESTFLSPTLADATTLNSYITHLTSSGSPEVVADVLFIILPELRIIDHPSWGSLTSAQRGALRRESKEVCLRRAVRHGPYVFAAILNALVKAGKLGLAERVWLLAKQAESASWIPGFVPGVAPWFLPIHAYTTMLQGYAHEARKRISRLRREHDMTGMASHDDEQVWRAVSAPAAGWARFTYVAKQWQIRTKTRRASWPFGVEALLYRCMRSGPSWRYGSTPHV*
>EED81118
MSYGHRRKQYRGADEYDNDRRREVYDTPEQKLRKSIINLGEVDPVQELPRLAKQIREQVPLSVPAISEGFRIGVTEQPFKIPFYAALLRLLYDPDPDTPDQSSSTDASPLGRQILEDYWKGFQAFLDKLAWREIRLCIHFFAHLTVAKLISPDSMFEVLKAFTAVLEEFGASHGRAMKAAQCAAEGLMMSGSAIKQDPATDVSQIIDAINSYIDSVTVSKTLVQPIVRLYGESPLVEHADELLDSALAALKVLNESDFAQTADNIPQPYADFPALPDEPFDLPSILVPPEVIELDGLTTDTGEDAQVKKEEWPQYFVRLFDADITPDPTTPVGYALRSGILDLIDIFEVNRKECARLLLEFPKWQLPGTFKPRPGAPPQEPLPGKDWQLESTIIEAALGSVFLLPESAHKPVYYIALITELCKLSPTTVGPAVGKSIRKLYSFLADGLDVEVAHRFADWFSVHMSNFGFQWVWREWVPDISLSIGHPKRAFMRRAIEFEIRLSYYDRILKTLPEPMQAADAYVMPEEGPGPDYEYDDPAKPYYEAAQSVLNLMRGRTKAEDVVSHLDSLKNTLSETADGDVNVNAVLRSIAVQSLLNIGSRSFSHFLNAIERYLPLLRNLASGSIASNTGGSNVEARMDILDAVSSFWKRSKNMVVIVFDKLMQYQIVDPTDVVAWTFTHGGVHPAAGEKTTFNAFQWDVLKGALDKANGRVMIARRKVTALRKEEDDNAARVKASDSATASMEVDAEAKPAVMPAAESPALSTALKAFTTLTREQKAALSRTLDGFVSYLAAEDRLSSLAGDVITEKAWQNRANWDQPEWNTWETWCWYRHFSRTYSPYLRNYVNTLADAALTKVSSSSADPAADLFRKTWNIATGQEV*
>EED81119
MSQPRPLSIPTSPMNSLTSIIRASNQEECSLPNPALLQMLAIPNNMVFIDSNKSPISKQLLKTIDFVRLTKSVVTKSGVSMPAILVALVYIRRYCYEQTLDPKRSCERVLLGALMAATKDVTPKSYQTIDWVGCSAKCFTLQEMKANERDFLEKLHTPHKFKPQEEDLLALYPEIKSRCMHPPGWWRHTRWDISCRARNPYLPDDLDSSDVSDSDDALDLGDAPDSPRPTLRPARFDIPGVPPSPSAYSCVAGHYTLTAHYDAPCPHNPTLNHDDGERGERDERDGSDKDECNGDTDERNDWTTTHTLQHLAYARGVDERCDKIYESIGHAPSLYRVPECIINPQTFCKVLQSLRPMVYYDRAPSAHELARRRRVNEAEHEALVHPLLSVAILYVGLTPFIFHRLAKPESRIILLFSCGILTFSRIGDFTLRARAANNQNNRVDQSLVTYLQTTYSVGFIYLSHVLLRLLGCMLSNAGNSDTLNGWSMYESTDEESLKTLEDDAIRRPQMMWIATTMVQLQHLGVLSLGAASGALYMQTITDLDKMHLVKRHVAAVDVIIVAELIRGLNAFIMVACACLLSTIAIYRLAVMRFQTDALKSMRPGSLNDVSSKATFYIFHMLPEYLVFLLLFRMNVRDTYPIFLRNREDCIIPREVEGSREENIDESLEDYELYEYDAIMPYMHDNYPKVNNCRPLSLSRCQLISASILYVFGIATIGNTTMATSSTTDPALASSSFFSNILTPGSSLHPTFLFLVDGAFGALLLVLLSLAIMTRGNLHFFALMAIEVALWASVKWFVHELQKVQPEEQGGRSEVTGASQTGGQWPAKPKDE*
>EED81120
MFSAPPLCDDPTNHSVPILDLFQDEDDASISYMVMPFLRLVNEPPFNAVDEMLDCADQLLEGIRDCSYKKLMMNANALYPRGFHPVYDILLPDARTVAPYHSRSRVPVQYYYVDFGISVHIPPDVHPKLVTGAFGRDQEVPELSENVPYNPFKLDVFIIGNFFRREFHDVGGAGGAVRAAVNN*
>EED81121
MLTWPRGPAPSPSGPRYTSFGSRIPPSTSTRSPNTLISPSTLFDIFDGARRLLEARHGRPDASRTIGTLDAARPSTRIAPSHTDVAGLSRLGIEPRGMPSTTDTGPKWPYVALHLRVRHDVTLPMDLIRFPPRVRPASKPPTASLRPSLRNLETSSTALLVDTISTNPSTSTIVEETANRALAKSTLSNRIPSFYETSKSSAEL*
>EED81122
MARIAAAEGLLDKGKGRARVDEEVTELSDDPSVKTPRTVERPFAMTEVDMAAAAIVKRQAGQKCDRCAGYRSAPVDCVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIQPTSPVPSVAESSGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAVLAKGGIGFVRGAVDDE*
>EED81123
MSESTAPQTIMNTCFGLDPSLFNLSIDPAVVSPLPSVPPTVDEPMSVSADFRVTAQSAVSQSVDEWTFEPSGDEFSSDVSHMDIAQLHALALALLPLMEDNGTISAPATLSASPALPSRDLAPAMLPSACDTLAVTQLTDASQASCLLPTLVSATAIISPGASTDVHIQCEILERSYDGAKDVEIQCDGDSEHYNAETNSDNHDNDDIESDNVGDELVLSHTKRTSAKRKALADLRFVENTIKRTKIHSKRTATIVDKV*
>EED81124
MSSTLPFLDQFNAPSTKGGKRISIYTPKHTHVGDSTLLTLLLKNPTDVFNKLKAHNPEATNATDRAALEVYLSACRDYDEAVKAADEAIDHHKRLLCQQDDRVLTELIRLNNLKVAHRFQPLLSRSIRARHNKFILCAIPNAYLPLPAPLPTSAFRRPLIPSPFLQAMPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVQCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAVPIPSPRSANPEPPPSPVAGPSRPRPDTPVVFRKVDPDWTPDTILWTWDSSWPNQKHLSGKEWMNVGRNTRKEWFDEEEDDGVDWELYGDGEQAAEAGLYTGEDKGRLCALVHAQLVHAQLVHAQLVHAQLVHAQLVHAQLVHAQLVHAQLVCAQLVCAQLVRVQHADAALGARK*
>EED81125
MCKQDLMQVSNSVGIPSKEKKDRPQGSCANIPVPPPQLSIEEAHQSGSESEPALMHAADSHHHASWPLVMYEAWVIMAIRTGRDSRLDGVYKQLLQVENELDVVRREYQTLEGWCDTLVATLIQHNITVPEYPPSQAQTGSAWTQKRGAGGKWLLEGVECSMSGLDDSLYCPRMEDACYHMRDDEHGSGMFKTTPHQMFRHHGVIKGLLMSQVCHSGCQEHIVHAEALRHVCDPLAALVLTHLGFTAYLIV*
>EED81126
MSSDVITLVQRIISTGTNILGTALVGAQLLNSSSLSTQLASTREFIRKIEKFVQKVQPHEREKIERGQPGYFEGLTKKLRRMSHHLTKGEQRLVESDKIGQIAHQYTPAVLGLNELKQKVQRIVDDLKAVHADLLKTTNAIRARIPEIDGDSDPEDDTKATASSSAIMLYPVDQSNILPVLSSDRPSASSLVPAEQFEIPAVSRSAHKQGA*
>EED81127
MYGDSGAPPVDPYTEAYSEPPPPAPGPDPRDRDLPPPRGRSRSRSPAAPNPSNVLGVFGLSIRTTERDLDDEFSRYGRVEKVVIVYDQRSDRSRGFGFITMSTTDEAGRCIKELNGVDLNGRRIRVDYSVTDRPHAPTPGMSDLMSLATAENVTPTAVMTGIVTRMAETTVTGVTVVARLRAVTPRTIEDAGATPEALPEAAARQGPGTTMPHPPAHCLPTESRRAGKDTGDCGLFLVKGGPQAGQVGKSSFVNSLLGKAALPTYKLSSSSPDGPTTTIYPQEVSLDVDGKQVRLIDTPGLAWQAVDESPGVRERSRARDILTRSRGRIERLKDPASVLAELVSRANKEDLMLFYNLPAFADGDANAFLSGVARSNGLIKKGGTLDLAGASRIVLRDWSTGKFPRYTVPLSTTPTSTSDPAFADAYTKDEELLSRLSTRKELRKGTGVVKLDAGEPEIRKVALDASWAGSADGGDESDNEDENEDEDELAGDVSIDLGEEESEDDEEGEGSDEGGDDEKEPSPPRGKRKRGVKAPAARPAKKVAFAPEPKSTKQARSAAGARPRAKAGGVASPTAASAKSKAKPTPPGKQVKETKSALKKTPASTPGKVANVSSKKASVAAAPATHGAGEAYDFKQLF*
>EED81128
MASTDPSAGASPIIQFQPPNILTLPGPSVRAACFHQTAYNLKLKVKPEVEVKPEGRPRNLDIPYRLVRLVNPDTSALEPPAPLTEVIARLDNKKEWVELVATKPEPIVKIIKGSDAFNKVKARQEKKREKRPREEKEIQLTWGISSGDLEHKLSKVREELEAGNRVNLVYAHKKGHAKPTPQEMEARVQETVDLLADVGSEWKPRGGSQTIAVVYLQGHNDPAPLPVRPLKAKRVQKPPKWQRKKDDEVAPENSGAPDALCY*
>EED81129
MVFANLSAHEKDAFFSLLDEYFSARPDLLASGVTPEAGHAAAASALQSAFSKTSPKDVASAVNAASGFRRAIPPPAAPASEEADSRPSFGRVAAAAAAFSSPITAQGPRPPARSKPTGLQEEKKFGDVDISSGKAMFSSLRNSTANKTATPPPVAPPTPPAFASRKNNLGAPPPVRRVNSATSIASRAETAPSPPPPPPPRPRQEPEGDWAEVVYDYSSEDPGDLEIKEGERVLIVERTSDDWWTGELDGRRGLVPAAYVKLM*
>EED81130
MSRMSERQNENVGVEGTSDVGSNDVRSELHKVFGNAESVQSLHTAAGATSEMSSNEGWPVTSDTSVIFSIVTGTITTIATGMTGYATVKPFTATAKAERAKQALLDLETFVARINPLERMTIEGNVPGFMHTMEAFAGAAGLHTQWVSSWLGNQLKADLETANVEVYRKRVDLLVSTRKSSSSQLELTYHLHLPTYFLEAQMYPLMESTGPSPKDIRCQHKISGSCDTGAGHSTADPAEADMAERLAEFNK*
>EED81131
MEYTIELKHCDKAREISEVHCGASDIVDGRRLTRWSTPKFAGTGDEKERSFSVASRLYPVGSSLSMINYERQGFLEAKGYALRPRYRPGWIPSWRGTGEHPMSFEDSISLPDCDDSSVSYMVMPFLRLIDRPEFELVLDIVEFGDQIMTAHSCGQGLVFMHAQGVAHRSRVPIKYYYVDYGLSVYIPPDIHPKLVLGDFGRDQDVPELSLTVPYDPFKVDIFIIGNMLKRIFHDQYSNVGFLLPLIQRMTQHDPASRPNAQEALQQWQSIRRTIFRFHRQWRLQSRSDNWLVTLIRDAIHLRTMVASSLRGLFGWGMELQG*
>EED81132
MSSTLPFLDQFNAPSTKGGKRISIYTPKHTHVGDSTLLTLLLKNPTDVFNKLKAHNPEATNATDRAALEVYLSACRDYDEAVKAADEAIDHHKRLLCQQDDRVLTELIRLNNLKVAHRFQPLLSRSIRARHNKFIPCAIPNAYLPLPAPLPTSAFRRPLIPSPFLQAMPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVQCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQMKAVPIPPPLSRLRVKRQGKAKQRRWGRST*
>EED81133
MMRRKRTARAARASGSTTTLRGVDNEETQSPNQEDVSGMRRYLLTPEILRSPSCGDTSVSVYSSAVVPSFLRNNAKPLVPIRFPPRVRPASKPPTVSLRPSLRNLETSSTALLVDTVSTNPSTSTIVEETANCALAKSYHFWGPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAGENLDSSSRTHSTPSLTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPEVLGLTTSDYDTLTPELFERSGSSPEPEDPIPSTSNLILPTPSSFRAHAQPPIASSSRLSVIPSSDLAPPPPLAPSNAASNSNPAPPAPTIPSTTTTSSSSPAPINTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPLIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERAHIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHAYKTIVLVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVTSAHIVEISADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIITLVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTILSGVANRIF*
>EED81134
MLQDRCEITELLVLGSRLLTSSTSQPDEVPRRNASRLIQKGDTSTTTHQRKRSRIDDLADCDTSLSRPALTINPTRQGLPQCSPTSTETTRKELNSRVEDAFDWTDLAHAFGLDPAVDTILSHQPEVSLDGADDEYWIALSSVGGVFRLDTSLYVLQDWDSREQSLKIGAYYHIVCLPYGPTQLGVACTCPLFKAQRNCTHRRVLLARLTTFTGFLIISPMPIPPAVFLHSTPFRDVYIFSCVSSTGRHESGKRVIVTLQRDGRWHYFAATAGFIMESPDSQVIGGDADVEGSLLWRLAGRPHKRGTQCCCGQTLPSELSSTSAIVFDTHPAVLYGLTMRNQVSIEVYPCPSCHHHQRFIGPDLGCEGIFNWNNLFLFTHELLNAYTNAFTASETPFSAFCLTVQHSYEDAAPDMQFCSNETFVLVWFAFVRLQSLDSKMQCPTCGPCPKVVIADGVSLGTHISKVTTAVRPPTFTHDGSEKIDTITSWKARSLPAIIQKEIRTFIYKVLDQTATQVSFDIPNLSELKLSTEYPAVASLLQLVLRSDLASPFHKAYREFVRQEAPSPSRSRSYIPFNGSLGVQKMFLADSHFMIPHHAIRLIYSSHGTKPELAMVILKCENDAYREESGDCNKFYKSYSRNNLTGGILIL*
>EED81135
MSRRRGFGCIPTIMRLARMSTNINDPAGVKALLDQLRSSQAWQDIAQAPPQQPPPEPTLRVPDANTSILPPPIAVSQATESTASPLDAASLCDTGNLSTSGSASGPPESLLAPSVASLLSQLQVVSSSAPQSILTRVSPPVRSVQTPQLLSPYQSMPEGRPTESPLAPLPSPARTEDLRKSTFQQALPHLARLSEDPDFVNVISRMKREQADLERQLWDERQGIQKKQEEKVKIARTKASMVGSGLTQFEADMLSDSFRKELRKFDSERVLPAWDGLVAKQQARLEALGVPVMYLTSIKTDRETFALRLMEIVQVSPSTVCVRGDSALIVKIVEPLHASEIEEVYLTAGEGVTDSRRCVGLCALGSLTADGYYLTEVDDNLPQWVHTVGIVATLATPYPVSTNMPYVDLVSDDDYASIWYYTNSPNGNVSGFDPDKPTIAMLHPLCLDSTFMHPQLDDPRLDLGYNIIVFDTRTTGKSLSRASGRHDLWVITADLAHCFHHLRLPPVHFFAPELYGYVALRFAVLFPEWALSVTLCNIPAQTELNAVFDAFEELVQLWAFAEDLESFEYSCKELLDLFVGTEGHPDLLDELVAYWEVHYPPFRRSQVIQNMNVFMNRQTLSPETLARITCPVVIMQAESSQTHPYGYAEELADLLIGTPDNRATVFMVKGKSSQGYLSLLSASIVNQTFHKFLRQQRPARSELKRPEISLVDRMRTALTKLSQLVKNSSIATRDPLSPLSFSCLSADTVSSQEITWLAYRKEQSAAFSPLGADGRPLRKFSERKDHWLDGDADGFSYAKTREKKQEKKPPRRREVRDIRFLLPYSEPVSEEAQHVARIRRATIYPGAVDKHVIKGSMAKVVQNGNVPLSRLLR*
>EED81136
MSRGLFQINGQSAAGIDGPVGTHEAWQTIGVGLRLLMDGDGSTVVRRYLGLEQKREALYGMCVSDGRPTHPFHQSLTGQKLPASLQSAPDVMVKTCKQVYLQNGDTCIPDRFVIIQNPCNPIQTYVASVQEIIQIHGSPAELVGRPDGLLVQSVASPGPTIHYAMPCLRLTNEWGLVCLKVSHNEPGDLMLNTAQMCASVHVQRFRIPSQDLDVARIITESAAREVQFQQAKKMWKLSRRPLRA*
>EED81137
MSTKTAAVNGASTDAARKIFDDIEAQFQLGQDALIKITEQFLEDFRLGLSEYNQPMAMIRFRDWRWTLKEFHSGLCPVSALLDMATRSSADLHSLILHVPSARPGRNQPVNPINALCVQIPEVFLHRRVCEVTLLGNHEFRLKQQKYKVSETLKTGEASALFDVDRLERIRGILIQRLELPAEDVSLCDAATVRWAASLVANRAARLSGTAIAAVLVQTGNATLGGGTSEPSENIIVGVDGSLIQHYPNFQTRLRASLQSLVGEAVEKRVEIDLAKDGSGAGAALCALQAIKQGL*
>EED81138
MGQSPSQFMEDMQKRSNFNASELERLKKRFMKLDSDGSGSIDREEFLQIPQIANNPLASRLIAIFDEDGGGTVDFQEFVGGLSAFSSRGGREEKLRFAFKVYDMDRDGYISNGELFLVLKMMVGNNLKDGQLQQIVDKTIMEADKDGDGKLSFEEFVQMVSNTDIVKQMTLEDLF*
>EED81139
MYDQGFDGQWGAGAGDAPAPPEAPTEPQPQVNQPQTQLPSAEAQHIHTLLEAVVAIGAGQQAFMDNKTRYGLALEALTRHLDNTTLGSSQSNSQLCLRGVKTRDPRMFNGCLTEVVSFLREIRAYIDLQQVSTDCQKAMLLSMYLKDGSPIVWFNATERTSTYLLNDWKQLQEAFTAQFQDPNLIKSSLMAIENLKQTGAAADYANKFQEHLVHLDLTCARQHSTPSHT*
>EED81140
MSDDETLSEAETDELFATLDDDEERWRDRQLFFERHIVQAGFLPGEKMDNVLPSLKMVRFCRISDEKLVYLKRVRTGDEESRIACMLSAPLLLDDPRNHCVPILDIFQDDEDESISYMVMPFLRLIDSPPFDTPQDVVEFVDQILEGLVFIHEQGVAHRDCTYKNIMMDASAMYPKGFHPIWSSFLHDIKTEAPCLARGDVSVKYYYVDFGISSHIPPDAENRLVVGVAGRDQEVPELSRRKRPYDPFKVDIFIIGNLFRRYFHDKFSNVEFLEPLIVSMTRRDPASRPSASEALAQWKSIRPVFSGFPARWRLIPRGAVWPERLCLSVVGFVGTIIRIPPWLTAFGTNLQDLALATACLNTKLASRMKGDNTVAKASSSNPKTTSRPQQRAAGAVLRHVGHKQSAIRPLVKAAALRQINAIFATTGWGTAFGHSFRIGGASFYLSQKVYTEAVQLAGRWRSLAYEVLRVLTGRSGFGWVVRRSLAAVSTSTCFPDEAGMVVREYVTCACDVFAIMSSGTSEIVQRAFTIGSGILAAFVTGVQLMNSNSLTTQLAETRELVRTIEEFLSQITPHEREKIERGQRKYFKRTNKKLRTLKKQLADIELEFKKSDAYTRFGHQYKPTIAKWNPLKKSLAGIVDGLENVHTDLLQTTSAIHDRSSGTDSDSEDEIEATVLMSAIEVHSTDQALEMLPIRSNDTPSASA*
>EED81141
MSSTLSFLDQFNAPSTEGRKRISIYTPKHTHVGDSALLMLLLSNPTDVFNKLKTHNPEATNATDRAALEAYLSARHEYDEAVKAADEAIVHHKRLLRGPRSHPLSSKQCRGALLSWLTGNPTLGGPRREVAGDEGKLQAMWIVSTLGCGKEAPGHLEQECRTRLMKRHISAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKVVPIPPPHSDNPEPPASPVVGPSRPRPDTPIVFRKVDPDWTPDTTQWTWDSSWPHQKHLFGEKWKNLGRNARNEWFDEEEDDGVDWELYGNGEHLHNGVRAHFVPGIVPLRFFLY*
>EED81142
MGSVRTLYPVSCHYVSFFTKHNHTDMMSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADHCFITSTKRAPIYPRGGTWCRATGPNLQVIAHQELVSYYQRHPPAHPEDVFTILRIDVEPTQTAESVQSPINEQPLELPEVHDEAKIAFTVALMRKDLGKTWADVYYEKSAGGVQVYSTWADFVATLEEKDRKTVLSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVTRDQPNTMKAWYNELSRGLSSSQTPGTMANQWISTPLPSHQPSPPHQEEGNGN*
>EED81143
MGKIMATLYKKWSLSDQPSPNGLSLMPGRKMVNMDAQNNNKPIIFDPSVILDGPIVDGFRVFIDADTVCVNLAIQEGRAPGILIQDEAITAYTDGSCLNNGSELAQATEERMHKARTAAKDLTGSLPTQEAVWKSLHHKNFSHLITEFLWKLMHNTQKCGAFWLKIPEHEERVECPACKQMESMEHILITCNTPGRKQIWDMCEAMWRKKFPEWEPPSLGAILSYSLPDFKSNDNRPRQGVN*
>EED81144
MPIKCHKAHNWRPIQALASRAEMLKRWGTTPDLSKQTGGPVLTAYTTHGSQGMAPPPTHCCTSGRVQQQGNLHHINPPPPTVILSGNGKTAHVPQETDTSPVSGHLQEGCIENDDRTMHGDPSTHEGPQCKGHRDTEPIPPVYALPRTSETEGNNDTNSRRENTTTPMTRGIEEQEIIPGRAILLTLPWHNDKVVTILNIYAPNNPKDNTDSWRSLKTTWEETSPPKPNLLLGDFNLVKDALDRLLSHRDNKDAITMLQDFCKSINLTDGWCTTYPTDRRYSLLHKQSGSQSHIDRIYATPQVNETALSWDIMDSLVNTDHKMVTTTIIDQETPYIGKGRWTMPLFLLGCHSFIDEITEKGIELEQNIKKCEHSRTNETNLQTLFKAFKNEIMQIAKVKARTMTCKLDRDIGALQNDLQKALEDPTIDNDTKKREAAALLQE*
>EED81145
MFTTWEDADKHATEVEQILDISQACQPELNNFFSARGASTLPSEKETFPAVASAVGSKGTAASSAPIDDEDESILYMVMPFLQLIDSPPFEIPQEVTELVDQLLEDKIEITSKGLVFLHEQGVAHHDCAYKNIMMDASAMYPRGFHPIRENLLPNTQTAAPHYSRIDVPVKYYYVDFGISSHIPPDAENRLVVGVASRDQEVPKLSRRKKPYDPFKVDIFMIGNLFCHYFHDVFSNVEFLEPLIVSMMRHDPALRPSADEALAQWKSIRPVFGGFPVRWRLIPRGALWPKHLFLGIVGLLSTIIHAMYWLTTFGISMQGYSRSELGFCARQHICWAGNHIKQAWSTIGSSTTTHGKPTHFNHFTKRTYFEYLKRLVSSKHLTFSITTIRSAVTKFHIHVTLINDPYSSRCIGQESSRDVDDESDNLDLQRHSSIAASFDPGVDFMRIQLTRLPCDGDLVLELEDGD*
>EED81146
MGIDIKNHHVKKGQRTAPKSEDPYLLLLVKLYRFLARRTDSAFNKAILHRLFLSKTNRPPISLSRIVKETQNASDRDSQVIVTIGTVTDDVRLLEVPKLSIAALRFTAAAKERILKAGGEVLTLDQLALRAPTGANTILLRGKRNTREAVKHFGMGPHKHKRPYTTSKGRKFERGRGRRKSRGFKV*
>EED81147
MAANAGAGGWQGSTTVLALASRVPLPTPADAQADSAADPARPPVAVAALAPPELSRGFTPSPTPEQDPRTDGAVTPGPPSRSSSETEPHSASVSRTPSGSSLSSCASAGSTSLFDAAAPSVLSADTRVTTPAVSDAPPKSDDSEHEHEMITIVPIPQARRPRPRSIDLSSSSLAPRPVRRHSQPVPARSDEDWARDVRWLVPPPASPVRPPPSPHHAAPPHTPSPRRRSRPLHPDLLPPPGPALVIPAPHQLERHSFPDVPVVPQRPRTGSKARRPHSHRHSRGRMSALWEEDESECSTDVGASSAEVSRASTPAPVAAAEGYLSVHPGYGYEGRSATPPPGWPYTAGPGAGVGAGGSPTRRFMPHAMHRRASMSESELLQHLQVQGDTPPPSPGASPDSKLRDYARQHAQQHHRAMSTPLSSPSAPTTSPARPASTTGHGLSASLPTHALPAPAFAGSASAGYTGLTLPHASYTPKHGRPQRDGHVDLVRAGRAQSSMATVEVVRGAAASLPAGSPLTRTRTRLSRGFSLSISRGKGKGARRRSHSREPEREREREKGKERARDGATPAHLRGALPLPVVFTAHVPPPAFVPGSHVLVQVFAVGVDALDSLVVQEKAERRGGAGAGKRRGFVPGRSFVGRAVECGFEVSRDVCRKGDWVLGLLDVRKCGALAEFVLVERHRVVRSPQPRARSSYLVPPRRSTHGHTRTLSLPSGSGAPAARPAHAHAPSPLAPPAPLSLEELALLPLCGLPAHRAVRTFADALAPRPGRGRERPRALILMGHDGPGAMALQMLGRKRAHGPEDGHGAQEDGHNAQEQEGRPSSEARAFGRRAAVEARLRAWGAEEVCVGDPLSVLERFVDEGRAFDAVLDTVGGVCVWEAAQRLLSTVPSAPATQSAPTLSGTFAASEDANHHDEREAPQKKTQTSYAQFTTLVGDTPSRPVPKAQDNLRSGLRSLRRAMSTSSPSKGSASGSGSSGRVFGTGGKAKAKRAVGYTWISVAVDMDEGEDVRDSLGAVVGMVEEGRVRPWLGSAEDGGEERVVPFEDAPEVFRRGAEGPVGVLKDGGTCAVKIGAY*
>EED81148
MSDCQQLWELHLHWISMTNLVFDIGSATYTTIILFLPQLFCSVPNLTIPPALRVKGINTWQELRQGMLDVGMIGSHKNQISKVGNQSHLAMTPEVMAPLPGDHTFIDLDADDNPSWTVLPATKHAPGPTDVKGASVHTPDYRVSEILMQSPIHHVQQSPKPHAQDGRELSRSSSQASSYQSLLELCASSGSQTSRTSVDRGTPNAGFAKPKRRKDVFVGVVITASVKNSTASASKDGNGTPPAPTNASERLQGRHALRPPVHVTVHSGDEFPQRLSRKHAVDVVARPTRHQTLTTSRATRTHEASYRVDAIAKYWQRVDDLLETDIAPAAFDKRAVHAYTAEGELRCGRALRFIPPEIRSAAKAGKTKEWEAYTMPRWSQTAEFKERLDANLGPGDLNRGLWKRPRPLARESRKTEEDNPGAEASDGESSVTATEHPSKRLRSADNSIPEYPADVSENSGGVEEIWPNRRTNMQGKPVSQT*
>EED81149
MPKTV*
>EED81150
MSSVLIVGPKLQRRGYLRARQAHMAELERPKVRTVSGKESDSIKRVGMCVFNPIGIRVPEDRQCAQSRPRGSNRENVGILPCYNFPSQVYVHILERIYVQKRPDCPRKPRGMKVVSAHTWEELEGGRCYARYTIIRRIEMEFCETRRSRCSNDATIRNNTEFGRGSDLGSTTLNDRSEVDCPEGDEVRKEELVTECRRQSRVTRDVYIITTASIDAQCADPIGIPSETHERICFEVAVGLLWDNICFPAGYTFDWMDVSYYMPAYFINLKGPSLRIYCFRHGETVRERTRFSADPPSCIRLTALIQCPYNIPDPFSINVTQRRQENNLGRPQDGKFRQSTSLWACHNSVSYGSEARAKPMSLVFSVTALDNLRARLLSNVFGLMEGRLRFTALSLSLRLMVRSPARRILARRYSNDLAEMGGFRGRLPSSGAGVPALACLAQ*
>EED81151
MPAASPLAHAQLLYDHLGSNAINLTPATAITAQRLLRLIISISAHTARREIVVSGKSFEVVLDTGSHDFWLASASGITNYTNTSVSATLDYLQDTGIASSVSGFTLLADTQFANFTVHDQAFLADVVNASAFLEDINAHDGFLGLAPPTANGTISLALQKANVTVSNGSSILENIFAQNPDVEPQFTMLMSRNDGVQTTSGGIFTLGNPLPEYANITDQPILPIVNTTTGRQHWTVMVDSIIFNNDTIPMNPWISRSSPFDQPNTALGLPCTAMTELAFVIGGITYPVDPLDLVAPFGWFTNGTVACGGTFVTVDNGDTSPTMVLGQTFLRNTYALYNLNPTGNGNKNTTLPFVQLLSVTDAKEAAENYNAQNNARLEAYAAAHGFKYVAQSSSQESQIKQIRGWMLLVGFMTFVHLIGL*
>EED81152
MHMRWNLLSPGLCSGKFCKCNPTTNGEINSALQKANVTVSNGSSILENIFAQNPDFEPQFTMLMSRNDGVQTTSGGAFTLAETSSTGNPLSEYANVTDQPILPIVNTTTGRQNWTVMIDSIIFNNATLEVNALSIPPGQPTIAPYVPCTAMIEVAFVIGGIAYPVNPLDLVTPVGWASNGTIICNGAFVALGETDSAGPLIVLGQSFLRNAYALYNLNPTGNSNKNTTLPFVQLLSAYAAAYGFKYVAQSSAQGLQKASVPGWILLVGASVFRSELDVLSMDFHAGLVSFMHLIGAC*
>EED81153
MDSFELQEELQNLQDLSTYEVPNEHDIPSMDTRSVTQLLETAVEALAESSDAIADPNVFDAYRSLLKYAEALQGSLMNKLLDSISSACQAQVDATARDVDHEDQQTVAAHKMPLEMYAFLLNWFVGAAEKVKASGGEENAAAAPPPRARRGRGGKAGTSRQAAKKNTEEWTWIEQIPQTLGIISKVLRLKTQRIWVTTVERDTFIK*
>EED81154
MACDKSSLGGISPAKQKTTSRRGETREGPETERAPRSALKGKALVSLVIPPPLIRRRPHVRPLIGEARAMTTRLVLWRQGAVAPGCAHECNPGSAGNTVRASVPVAPSGIGSSAAIDLEGLCDAARILGGRDEGRNPWGYTRAAVSDGVMASDLTPDASTTLRTFQAPKFAEWHRRTKHRR*
>EED81155
MSVGNDDSSPFPLLSESRMETKRPPLRRTKRCQLPPDFFSSSCSEESDDDDDEVFCSRFVMPNHHVKVITGSDSDSESDSEDDELAKIIRLTPVSVESLKTAKASHVPAPATPPPPPAVNPVPSAHDDFDFSAPPVYHGFSYAAFGLVKRVWDIRRDAWNNQPAAAVAAAAYGGIALSPEPQPQDRPPLVPQRVASSDPSAPIYPRIGSLAGLHDPQSAAMDRAFCRFPMYTMRKILFLHEMLRSSSRLPPPTTPTAPQPRSLTDSDEEDTLVGDEHADEDLAKGKTRAPLSSPILTATEWQRTWLERWQFLMDVSTTDPLTVSPRLTSPEDTALRVEVLEHTTRQWACADVDIHAYSVDAYADTSAYVEETSICTDESEDEDAVRSRLMEEAFRPQSPKFFFAGSDDDDDDWDALEEDFRGLASCSAAAQFLSRRDMNHPNMMAMA*
>EED81156
MFVNRDSPQCTPITKIALPRIVGGFSWLTAIVFLDINSKRDIGANRWLKCSSMYDMIYESLTHVRAKPEGQRSHYCAEKEVASVSIFQSVTECNHVVAGRWDVVGDARYECFRETFVRREAD*
>EED81157
MSNGVRIPFRIERIPKGYSSRSIRATRRTVDELAGYDNLNDSRYVGEVLVSGRPFEIILDTGSHEFWLKTDTTLSNYTNTSITAMIDYGGGTGLGSTVSGFVLLAETQFANFTIKEQPFINVLEPASSFIVPGINGLMGIAPLVYIFPCYCWLTVLFGITTSPNDDTAINNAFKQANYTASNGGNPVENIFAQNPNLTPQFTMLMTRNDGEVRSSGGVFTMASISPELVEFIYGADAEITSNGLAAVVSCETMLNVSFVIGGVEYPVNPLDSVIPTGHYYNNTVACTGGFQRAQGAPWNESMLLLGDTFLRNVYALYNLNLRQSDGNTTLPFVQLLSVTDANLAASQFPEQNLARLESFAQAYGYTVPLPPDPLAPILRNSAIIIVMSIVALILAFIAAFYAVRNARMQSSRGDYVVLSEEQKGGR*
>EED81158
MIPTRPITPAKSVSERNAAVANTVKSYVAPPATAPGPSQPSSVTSQAPTTGAVAGPSQPSSRPSPSPSSVAKSGPSQPASALSQPVPAPSRLAPAASQPVAAPTASRPVATSPPAASSSSQPAAASSSRLAAASSLLQLSAAATSLQPAASSSRPAAASSRPAAAASPPIVAASSSSPSSSLSKPAAASSQSASSQAAPSQPLVSVAKYGPSQPASTPSQPAPAPSKPGLAVSQPAPAPLQPTPXPSQXSSAVPRPLVPPVASTPSQGPSVLPFPIVASRPHEPQAHVTSSQGPVPITGRRDEQACAALVVRHRLTRQRRYHPMQPRTAPMLRTGWVASVRESGEAPALPLGLRSGTRREAGSELRWLHPVRERRRQLKRARLHAACADRGVLWESLDGAPAREWRAQLVEFENVGELSMTDDFEAEALDEDTVSPMEGVEGSQAERLARELVALQAYTRVVMKMDPMGGAATKVLPVPPTXPAQGTSSTAPQTTAPKPAVAPNTQSVAASTSGLGVQPDQNAATAATSATPAPVESASAKFHAHLAGLPHCQSGSTPLVTSEYARQSAPKTQLRLDLKPAYYQLPGNKSKYTFDTPREAPRAPAHTIYTYTEVDPAFLAEDEPAAPPVKQAPPPKQTSSLAVRSPMELASLACRSLRAIIPEIQGASRPKSAASRKPTASASNTAHSFGYYAGASVRMAMGITKVFLGWCR*
>EED81159
MPPGTAPQRTPLRRISQGSLFRLSRSGAYPDAPHGLGFLEPALSELADEIEALNTSVQCLRSLGDALGTFNESFASWLYVMNMNALTVDWPQVRSFFSGLDSSDTDAVGNAGPDGRILQTGCTKSRTQEEEAAAALAALQAAQAPPHREPSPEPPSTDKTTLNADASAAGNETTMATTASAGSKIAVKKKGKPKMTAKEKRERSLFVDKVVTALPLEFRGSDPNLRRNVETVIEGFLDRPERGLGKLVKPPDLTQARANKCLIALVNRKIVQKDNSTGAVQYHWHGLPA*
>EED81160
MRFVATWWKLEIQLVGEPNNQTKKTNRLPNRQVANARPAYYPSQVNPITEPSGARQLAQTDVREGPLVAISPGSDVRQLGPSERASRDSTKFRVASQDCPANVSSDQEVGAGERGEATDEQEVRGMYDADQPRTSVRGERNVDLQILQDPEIISIAERWNFEAGRGRTAPGFAARPPCVPIDATHAVVDGCGGALAVQTVFKRGLTREK*
>EED81161
MTSSTTWLIRNTSRRISLELVKLLVASSDNLIMATWHNPDLGNSAAIPPEKCKAGFAIQSKLQHAGSFSRYLISKTALNTLRRAPANARRQMYKQAGAWPYIITIALGP*
>EED81162
MRCSC*
>EED81163
MGGPWKQRHTVSNCDYDDTGPGPDVGTMVPNIDSEFHINLAFAQTFSAIYEYTSARVIIRHNLAIAGPTPMRKIVWAMMLQQPRPAIGKLPTVNTPKKENMINTIAAGTCGSTGLARRHLYTTTRARMSRVHLQDELLESPDRISVQTRYEVPYALPPERFQDPEPLPSSYRYEDKEYILESSYGAQPNNDGQAAGSPFEDKVGLGQPTENPLFANIVSPPDSTPESKHPVKIYIHGGFLQFGSPHGLKGQAQYVSAERSEVWVNIGYRLSAFGFLACDEPRVAGNFGFKDQWLALLWVRDNIEQFGGDPSNIQITGLSAGAHSVHQLLHHASHLPEGESAPFQSAVLQSNAILTVPKTPRELRPQFQALCHALGLESSSPDILTTLRDSSRLPASKITHVIETDALGVEHGTFRGCLDDSWLPTTPDPMIWQRSGDFVSQGDAGSSCTELALKD*
>EED81164
MTGWADYLDELDLLKSRRAIRDLVSFTQLPTAKEPVLQELENWVWHYITWIHDSYVTKAPYLVRRMILHCPIDESNGEAFRPLPKHQCDRGGTLYRYSQMMTRFLATIMRSIDNCHPCKYQFPLLETQVDLITALHDSLRDNKTSLSAFHTIWYFLIGEPFAFTEVDKWKCPVLCWLALASVREDGRFIDANEYTPLLAEWEYLMRITHLTQAYRNFEAECQTTDIRPNFITICSVQFSQFLKEGVNSPYNSVREHQHFASSIAKNTAAAPRITWSSDMTELACDGNNLQLSRLRFGLNAIALDIETRINKLMNGHTIPINVSDSLTENMSNRDLGYGWMELPNLLAKSFPLLEILQDHPHFKICEVEHNGKLHWIHRGIMAVLSEFTIINEELAILCHMLPAPPPRGTELVETRIRNGQIPRNLYKDRGTWFMTQNPHHSIMNSCSVSMVMFSPLYTARFMGISLNLRTWRHMAISIQREYIGEQDTIVNNLGDLLANHSTSQARRTYAREVGSLPFLTTDAMLESRDICDSWHDVLGWGSNLPPIPHRLLHRLRTSSTTMDPTTFCTSDFKEEIKGMVNGAVTIGMGNLKHQLEDMLANAFAKGFASHTHAPQAIQPQHPAQLIHVSNAISTILSPPPANLSQASLEYATQVQPAMATTITHTLASNTPNYFLDDKLFYTHLGEHLKLATPQPDQMLRLLKWARQDSKAIFKSEAQMQMLFYIIKRDCNLMVVLPTGGGKSLAWEVPGKMAEPKLITIIMIPFLPLIDDQLRRSAASRIVAAKWNSAAPPTNPKLRLLFASYESLATSTFIGWIKLHQENIARLIFDESHEPLVSGNYRPKMQMLTVVQEFTFPKVYLTATMPPDTLRPFCQLMGADPRFLHLIRAATNRPELRYHVVLSEYQEKGETMAMELVQYLDQHHIKPDSRGLIFCRTIAQAAMFEETFDILAYHSDKSDEERTQAHQRWYAGAKIGDRWMAATTSFIHGIDYPFVDFIVFPQPEEDELADLAWCLYAGGHSHFLNRHLLTTKKSKSCETGYVYTQWMFKKAHKPSSVKTYLEQNNFINRVHNSTSVHNFTHLDYQAYQSSDVDSEYEGASDMDYIIAGYEEVELDNASLLTQP
>EED81165
MRRAQVEKTRKERKAREAFRDVLQSLVKSGQMKARTKWKDVYPSFSDDIRYLDMLGNHGSNPLELFWDLVDNL
>EED81166
MVYEQQYVHLLSLFSNTCSHPIQTDIPFVTTLSTPSASTPPFSNRAIIAGSVVGVAAFVVLSLAMAVCYRRHQQKKPIFFRRSRPPPRNMLLASEDMDDYDLGPPMSSYRDTPGTGSAPSLASRATSYNAGSLQGAPLVPPAPFADQGRVSYSPHLMGMRTSESGSIFQEAVWPPPRSALVDPLLASSEDLSHIVDDVMGTANPSGGDSSPGSGAGAVRFPGSVATAAQATHARAPSEDPLMGSELESPSHSTRTPTWRSPLFVTNMGCQTASTLVPTIINGHPRKHGYFPYQTETTYYADRPSAPYPPPDDEPVIASDGPGEGCRKSGAYPAPGWAYPPLARASRIIRVRLDIVRTCEVYVGKRTATADTIRVEVAVKVITPPATEKQEDENSKQESTADTANDTTHNRATTQDDVNIQNTHTKVLVCVDVENENEVEVKDDVDGELLVPEDPEPVTPPDEDGADDVEEPELEPVEDGVLDGVVLDDELDGVVLDEDVEVEEDEDEDVEDNVDEADGDEEEPMDVDECGSELVIAFADGPSSGHPHLRGAPKDTVHVLLVRKAAEKGLVEAVRQGLFRFNAAAILGLKLEVPAVIVCTGVLTLSRSGVSAVVCSASLCFAIEYVESWGTW*
>EED81167
MATTTGGKHERRVADDEPRFTTAVPPASSRRLQSAATPSRPRKQAATAQPLRPHDAVLDVLDTSVPDYPPPSFLEAISSPSPFFPASPISDTTVSLSDPTTAPSSPTISLSPTIAFPSQGSPVRSPAGVHVSPDSPTPVHRTSPASRDSDSSLEIVSMEPSQLWDADRTLGLDLAERVQRERRRHEAANTLAPSVSTRTPRPSMPYSSNPATPTTRARTCSHCGSVRPTDSEAPSHHDSDDEGDNPSVPGSPYSVLSHSQSRHLHDFIDTASAPTSPSSASPTRTAFTNMSSPWASNVTLSFFGHGHKSSQGSSPPTPSLKRKESFGVRKLFALKGKEREAQDHPIDELDSWEVVESVAENKATSDRGTPRGRASPSPAQKARPSPPPPLAFDAPVHLPPEKLPPPVSQAPSTQAPLNPGRREDDALDQEPLIAEQHVPGAFEIAAPKPPPPAPVSDPPLIGVVEIERRRVLKDGRTKLKISLLGVVVEKCGICLSQFKEGETAALGPDCQHSDTCNRHERVQPHGHRVLMISESKSDYLPLILVNSKQEMPTSALNKTVQLDWDFIAGGVSPYILRCMAALRSSARSYQMIKSPLACTCAGEDHTGPNVSRDRGAPKIDILEAERNKTGQVVSQSVQFALFNSDYMFYAQGDAWTVYSASRTRANGYRCLPRAPCPAGADVCVLQRVGARPSLMAEHRQQAASALTDVSDDMFQGLGQIFTTLDNLQDIDPATMLFPVKMLVDYVRTNETGIGCSPAAYPTAEYIAAHMDAYSNPSLTSWVGPGPASAGYSWPKNGLIPYCSGIRKDRSHGYTGDFNRCAYRLKGLWGGTTSRQSLARRRALSCARKARQLRSRGRVTSELAIVMFYFALTSRRHLSCVLGPSQAIHFQRCPKHNSTYRLVRATDRGLTPTPLRSVLPTLFQYVHESSSWFRFSHGAIHIGLAYAMCPSLGASGHVLLFMFVLTISAALSIVPLMGLGREDYVGDSARENPRKGHRKGRRKGVEKAVGKAVGKAIGKRVHVCERGPHGAGRVQGPRRAQDRHPEAERNKIGQVVSQSVQFAPFNSDYAFDAQGDACRALWELRQQAASALTDISDDMFQGLGQVFTALGKTLGKAVGKAIGKAVGKAIGKAVGKAIGKAVGKAVEKV*
>EED81168
MRSARNRALQLDEICREIIAHIPSHSEKGETSYHRSLARLARTSNAFFVPAIDALWYTLSSTKPIIHLLNFLPFGTPAKAFAETASSRNDRTCPLWRLHYYAGRIRKLSVTQWFNRKRELAAYARLRSESEFQPLFTRLNELEIVWYDIQNYQDLLDFTSLVAAPSLHRMSVKLSLEQRHRLDMLLPVLELFICKAASLSSLKVELPRGQDANVALLQKVMSFKRLEALELRGIFVSVTQLNDVLGRSDSLCYLNAQIADNNLSGSLSHSPALFPSLNVLEITGTPVHIIELVEILPKTLTKLDLPLQTLSSLEINDICIRIGHRFQSFGTLKTLCISTPFQSNIDDHHPSTGLSTIRPLFDIDTLEDVNITFGEHILVWKDDDVLTLATAWPRLKSLMLDWVPADIPQVPTLHTLVLFAQRCSNLRSLILRDVRMSRIKEATSLKVHPHGLRSLQFIHPPTTCQLRDLNGVPHFLDRLFPHCEIVAVGTDDGWRHVLTEIRYSRTGDITLSNIVPARRFD*
>EED81169
MDKQHATVLQSQSEATETPQRRALNILEICEEIVAHEPEDTDPNHNKHVEPWQRLTLTPDVMGGMGTLLNRLEPTSLESLSLTGRGFEADAGSAILKKVASFEQLKELELVDLGLSAAQLGELTGHLNNLSILVIDANRRNRIDTPSSEPLALGLFPCLEHLELSGPPDKITDFIRAVPNTLQSMTVHAISSSEDLHDLCVRIGSHFRATGMLKQLDLYSQDDDVSSVGNSWPRLQSLELYWRPAPLLVDRSHTLNLPTLALFARRCPHIRSLKLARVHIASVEDPYVEDTEPHNLQTLSLMDSWLICNYPEQVANSLDRLFPQCEVCISPSGSRWKGVRAIIRELQAAREEAENVEGDLELPVGIVTDTGGTYNYEL*
>EED81170
MDANTREKWDNMAALEASQHRRDHPAYRFSPQHTNKEKRKKAQDVKLPQGRKKGPKAKKQGGAFARPSAVAMSSPTEVNLMASTADTVERVATPPHLPSAPRHTGQQTYISDALCLSGQYIQEMQSQLPTSVEASESLDRNVPQWAAPQPILQHLNPLPQSIQMVGFTNNVIAPPDAENPPLDEFQTPPYTASSAESSYGVSPGYTPNWMSSAIPGQQHFIEPGSERLHWLATMQGSDVPHRWCSDEFNSLETQYRNGIPYGSMPLDEGWPLQMPS*
>EED81171
MGARSIVNVLRQDYGDMRVPEQVVAEYLKLHEPEAVQARIQRRWKRKRFWSAGVNDVIAVDQHDKWQRFGLFFHIGVEPFAGRIVWLKVWWTNRNPRLIASYYIEAGRQAKGVPLVTQSDPGTENYGIANCHTNIRHRLDPSLANTLQHRWMRKKANIKPEIAWAGLRRTWSEGFENILDAGHLNGLYDPDDPIEKLLFRWLAVPWIQAELDAYRRRFNTTPRRADRSKVLPHGIPDMITAKPERFGSCDFKVNVPAELFDEMELKWAPPDHAVFDLVPSPFAALMDDLYEQFGSPAISSHNFWDIYVQLLHALHAIPQDYAPLMEASQTVNREDEREAGGANEIALLPNLQNLRYGAAVIGNAYVSGLAEDAARLASQDRRHHGNLSDGSSSEEDEDRLGSDDRLFASFTQMRSESNDDNLAHSTDRIFASISEDETESNFCKHLPHVPSHLGVSSQVPSQESAAHVFGALKVLALVLGHFFVQQLAVFVLGQQFAVVIVSRPCESSERPLATLKDDTVRDALSGRDPSAFTRILSALVPVPIGDVCAVFSSACGEPLAVAWRQHHWHVREGDRQQVGREQVVGEHVVESGHAAPR*
>EED81172
MVSGTSNLLSLNDDTLSSIVSYLASRDALNLAATTRALYHLEYLKQQAVIAVSMHSIEQIKRTCTFLAADIPRRAQKVREMTVVMRSVAASSEYGASPQRHILQLAHLLEHTARLKSLTLSLHDLVSQKSPSSILYPVFSAISSLSCLLDIDLRHVSKKQALEQLPSQLQTVTLDLSREGSPTWDSLICSMSHLRALRELEITYCGRLLSRGHMRYPNESSDKDRDSTCIPAVRELRLKISDIPISVIVKAFPNVRALTVQGSPRNPAATPQLQAVHWRRLDYLEGEPVYFRHWTFKCSVHRISFASLSILGLPDREYPTLIGSTGFNDIAETLRTAEETRPMAIDFSIMGGPADLIDIFWKRLIAVAPKLRCLEFELCTFSDTADSLDKIAERVRSVSSILTPISTLKYVGVCANTHLTHWESPGTARAKAPGVDLDEETSQEVSREIASLIVKRIPSLHLIAFGFGKRSEKSSRHLLPFDGRLWWWRAVGHAEKRCVRPISSYDLGLILRNRMMIVYVMEYLTLDLPIVYKDEESVRQAQGILLMSESPVSMLTDATDTVKIKQQVAQGQRGESGVTVQPLGSGQAATSGQFTPNQGIYYFGGPSSGVPPVWLQMQQQAYRDMSAQLVVVDVQLHESIKVPVHIGAMELKQLCFTAIADEWLSFNDGAAFNLPFNMLILRNKQWIKLTPLNPDVDAIASEFYKPAKSKKAAGTTEFKAGKTIVFLQMPESVFQAWQQWLDNQSAAEVQRQYDASQVSRDGGKMDRAKEVQRKGLSEHKARLSMNLKHNLKRHRSASHVSDVSEPEDISEITQNTSSVVGPSEASQTVLSELGRDINELRLQTITENSDQDSEYRTNVKRARIESRSNNKLIQEDLLSAALQAQGQRKGTGPIARTLFDARAIPVSFRWVIYPQKLNEVLTSGLADTGFLSNAYAGTIVLQNARDADMNGSFKKGWFGTFHVRGSPLEVFTSGSDRACIKQTYYKQNGKLLSYDGRRQAKDLVIEVNCLVWAQLLLKLANEWVDEQVKDFGDKPSITVPSMRYVDAGLATEEGGEKAVYLVEELIENGKFTKYLNNDSPIPLRQHSREAQIRADYLAFTQHFQYWQTKKLAFVSDYQGGRHLLTDPQIMTHPALGVIFADGNIPVAFRNFEKEHRCNEFCTFFTIPTDYDNWEVPTIVREWENAQEQDMVLETMADFLIGVGRWFSHRPTGHQ*
>EED81173
MDGARLSPETDVAGGELSREEELPVSSESAGVLLHGRRPSSRENTIYIKTLDPKVKTPEARKTMFARENLPAHMREIEIEAMFQLMGGSDREDMTPLKSSNEDFPDFKVEADLQPIIDDDAQCHEVHDAFELARSDQHESKPPLMVLDVSNSYLSKKGKPRIDCAIYFNNECGNALRITGDEAPKNKASKKDAVPEKDAMPENKSPWLGRRSWGEMVSVIEVKAVPSSPAFSFLKPEAKSKPKQEEPFIRAKLEGGSAALHQFAEHISQTFARQHRTHIHALYVFMDQARICLFDRSGALVSKPFYYGTQKAGPTFRRFFYRLAGMTPEELGYDPNATRAESQKIEELRQWAATLSGYQRKVAYEALCFPDLQSNETVSEADETASEADGATPKRMTIPAEWPIHKVVLEAQPLDGERQLDSKPLASVTVYIGKPSFTIRLLFARSTRGYHAIQEVDPHAENLRDKYKLRYMKDYWRIVRDRVRLEHQVYLRFAEKQVLRTNLLTCLAGGDVKGREGRSQETRASSHRPQKKAHDAEQDDHDMEMEDQACEKDATGNAYDNEEDLESEEDLESEEDLESEEDLESEEDLESEEDLESEVESSNPYPRNPTIRRHYQILLQEVCQPLSDFEDFSELCRILAGALRGHEEAWRAGVLHCDISYNNILIYDGTDDQGNHVRTGKLVDWDLAKYAEDMNQPARNPYITGTWFFRSAISQKYPDRSYGPSDDIESFVHVEHYVVARFHQSDATKTLGSFISPYKQFKEVGNVVVGPPIVPEGNRSLEEQLYALQRLCSAHYKMFLKESEPERPPLVRDPQPEYKLKDHQALLKHFDEWGCKSKWDPTHLKRSEDLFLKAGRRTATLTRVL*
>EED81174
MASTTSASTPRSTPPSMPSPRRSPVVGPRPSRRVPRLSLVPTSLSFTEDVENELGKLMTSGSPRSATRFRVTTDNHPDSLPPSPRRPARALQESGNKMMAPVWEELDEGSEDEGVQHGTTAKEQERRHEAGEEPVSPRVFKSSSQTIGYTPGSPLRPAHSLKEMGGLLDESIIEEDEEDIADDAEFHLDYSEIAREKRPGLVQECGRHICSQKGHFGGRPVVKQDGSHNIVQEGTFGIGQEDSKVGAGNQNKEKSADPPRLPMGMRWLETRYADRRGSSILPVDNDGESSPTHKLRMRGMLLRMRDDIPAASGGPPALNHAFTVSKEQGSSAKAKAVRGGPTLEHPMVKAATGLTRAAPRADAPTALEPLRSASWRQLHSAETDF*
>EED81175
MSSGSRVHAADTCVFPSVGKGDLIVDVSRALSGQGSGYSAHASEEFWYRICCLEAGAGVCPSTVALDKATNEPHGLVVKATRQMPIKRRRVLPRGSNRGDDVPPWLLAPSSIAIGLVCSICRVSQDLRVGTPKANCRNVAHYRSSDQPKRQNGNITQRQEANQERETLERQHHAGQEMAGSKSAGFETLYDRICFSRTSVKWANFLVVDWRQGREKTHKVVAKVVQDPGIVNAAGRMKRWPQCACTADARRRRVLDAPVKGPGAASSEEKTIDTRPAADPDPGGGRVRGVWRAPGRKPRRQGPHIQSERASSKDWRRRPRKEGRARAPPDSLGNRTLEDLNASENTLADARRSASFALGRPWSRCQLLLGRQASGFKIGSSAPLDGRDLADTTARTCVQALVQVTKNAITFPEAGTRGARGYPLSVDTSRMMTLGAGHWPNR*
>EED81176
MRFAHVGSSRDSLGTRFPANTDMTLRSRSDPAQTGIDAISLPIRRMRQTYSVEQQIRRLPKRGGPPAAASRMVVVGRMKAKDEVGDRMSSDRLRFPARAHDALRFDPNGVKLAPDIVSGPGPFSHKTYPLFNAQQHFTTVVFQNQRQNHQILNELRKPLVVASFAETRSDRMVAALRLGHPLAKHRTPPPPACALILEGVDRNGDVRPSSSFSTSLLFATLTMWGSVSSGLVIFYALVASTSALRVPVRRQSFGRSHSHSMPTRKKHTSNPDLHVLSGYENILDVILDTGSPDFWISTNVTFENAINTSVISGTNYGTKGGQSRVSGYILMAEAEFGGFTVHNQTFVDVTNMTTEDGTGLLFPGSLSKAKSPYNGSRPVSNIFAQYPYFPPQFTMLFSRYYGNNITSSGGEFTFGDPISGYEYIEDAPAMPVIDNSLFEQYWSIHMDAIIINGKRFEAGPTGAKNLTAILDAGTPTALMDSEFVDMLYGADTPRFQDDTISYAPCDLEINMTFVFGDVEMPINPLDLTTPNNVTQNGTVECYGSFERLSGFPPGTLLLGDSFLRHVYTLYNHNPNRNRGNTTRPYVQMIPVSTGAHLAGGIAGSAVTSSSSPDFSTLTRNSYIILGLLAGVLVLVLVVLGVSCAGQRSKTGRGYRVVAPMDMVGSPSNWARRALAFRSVFASTIQFNDMELADRLVLVQRVKTAERTAERYNSRAIHPTKRIADEVAGYENLNDSRYVGEVLVSSRPFEIILDTGSHEFWLKTDTTLSNYTNTSITAMIDYGGGTGLGSSVSGFVLLAKTQFANFTIKEQAFINVLEPASGLIAPGINGIMGIAPPSNDTAINNAFKQANYTASSGGSPVESNPNVTPQFTMLMTRNDGEVRSSGGIFTLGDPISEHASVTSQPVLPIVNTTLGRYYWTVYLDGIKVNGNWPNGTVFTAVLDSGTPTTFISPELVEFIYGADAEITSDGLAALVSCETMLNVSFVIGGVEYPVNPLDSVIPTGHYYNNTVACTGAFQRAQGPPWDGNMLLLGDTFLRNVYALYNLNLRQSDGNTTLPFVQLLSVTDANLAASQFPEQNLARLESFAQVYGYTVPLPPDPLAPILRNSGIIMFMSLVALILAFIAAFYAIRNARMQSSRDDYIVLGEEQKEVRLLFAAD*
>EED81177
MPSPPPEPLRGNKGSTIVGPRNPDRERQNPDMICPPSTDWGTEGGWARETTVRELPMSRDLAATNMRLEEGAVRDLHWHNDAEWSYIIEGRVRITGIDFGGHYFEEDVEKGDLWFFPAGVPHSLQGLEGGCEFLLIFDDGESSEYKAFQLSDWFAHTPRDILRKNFKVPESTLEKIPTREKFIFRSILSKKSTAEEMPVGNKPTARRMTHKMLAQQPIKTAGGEVRITDSSIWSITTISAAHVIIYPHALREMHWHPYADEWSMFLRGKAKVTIFTVQATRTFNYQAGDIMIVPRNCGHYIENIGDEPIEMLEIFKSPKFEEFSLNQWLAVTPDYIVKESLNVGDEFIRALDKGHTPVRDASVP*
>EED81178
MSVYLSNKQVPNARSYPECHLHSKFLQAILPYCAALLYKFKKELDEKMRGGQLLVQQAISVHTMPSVPLSVEVEGSDQHRSLSEGSFPWAVLVSLFLPFRTLGRDLLLIAQELRHHDDVLSALSHGTLLVLARTKDWRPNGEIGDEVFVKLPANYDKLKDTAKHPYAIIKLDEDKSCAALGEDERKVHGEAIVPPGYTLAVPMPEFKPFILATLFSVEKIRIHRRPEYLKIIVSAGQMISASFTLASTFGDQRDRYGYAAYGLSVFPYALMSLANAICCALIGEYSSRHVLRTHILQEAERRTDAFFDGAIGRPDPFPGIIPAEGFEKKHLSMKQDGERKLLIMRSLTGMEEQTFILDEQNTQNSSDTSFRVDLTISPLLDGIGPTPDPALSRDTFLPASQQEYAAILVCLLLTMGLPYAVIYGLTRFHDGRSTITERVMMMLWLAANQLSGLGIVFYSAFLRISQDSLKDATSRWDTWRRPMGAAFRWGTWHRLMDAARCNGSAVWKASWRWLKDAPSLKNHFKFRREGNSAKPSDAKPSDWLPRAYVAFLVLPAAGGLIMSTF*
>EED81179
MSPEQLEGVRRLDAEQLASTVVDVFSSEQKLEELEDGPSARMLSIDFWRQYEVLLCGRPAAGSGPLAQHGSRSRVVYHHEKGHKRVQAFLRPDRIRYGDRTSPDIYSFNNEQARGDTHNMIAILINSQGLGMQDAIDYAGDLCKQTMNVFTENKDLFPSCGAAVDADVQVYVQGLQDWIVGKLHWSFVTERYFEKRGAEIKATRVLEPYNIGSNIDLCVAPLPPGI*
>EED81180
MSGVHLQEELLQSTASVVVQTRYGQIKTRRAANGAAVFLEVPYAYPPGRFEDPKALLPQYRYEDKEYVYESSYCAQPNNDGQTAGMACEDVLGLGNPTENPLFVNIVVPPQGTLVSRYPVKVYIHGGFLQFGSPHGLSGQAQYVAAERSEVWVNIGYRLSAFGFLACDEPKVDGNFGFKDQWLALLWIRDNIEAFGGEPSDIQVIGLSAGAHSVHQLLHHISHLPNDEQSPFQSAMLQSNAMLTNPKTPAELRTQFRALCRALDLDPDVPDVLQTLRDPAKVPASAITHVIETDAVGVENGTYRGCLDGTWLPVSPPPMTWQRSGGLAHGLRNKGVRSIVVGDLTEEWYLYAIAHPLGSVLDIPRNMQRYYPADIVARLIPLYRTLGVHASEDEVKRLFGDMTGDGQVHFPVRLLTRDLMAANFPVVRYEIRWTPEQVRPLGYVTHATDRPIWALRLPALDTEQVDVARKWLDTVAAEVKLVETQGNTHGLQEVLALKEDRSIGWKVDERWNGLMRLREALPSEDGELP*
>EED81181
MNYENDKTDAVDWDLLRSRSLQPFGFGHERRYLWPKLLNVNHSTTNSTSSDSKAEEQTLNDKDDGGQTELQDPDNDPRKREDLQSQLHKLIVTVFRRRQHLNYFQGYHDIVSVFFLTLPPEIRVNSVEQMSLHRLRDSMGVSLEPVVGLLRILKGLLQATDLEFSALLERHPIAIVYLVAAVVLFRRDQAFQLEKEGEEGMVHSILSSLPDLYEEQEEANPLKADENETKEEKLSITSLKLEPSPLPEQQGGELVEDVSVDFAQNTNTLIEPSTVSTVSTDCQPLESPSTLESSSSSHEAQSIEDTLSDDDASTAAAIYATSLPSACADVEGDIAPEELDEAHVSEKVPLSRSSSPELTLPRPRVSLTSLLIRADELFARFPPSHPSIALSSVMGPQSVMLTWSQDPAELPPDDDAELMVKKPELVVRPYIEPDDEVASDDESAAHARHPRAKEQRRRRKLRKPRKLALERKTMVAGAVLVLGVAMAVYGIQNVQGPAGWLREGYQPRNSVGREWKRVSHFLGGVILGAGERVLEGLWH*
>EED81182
MSARSATPASTPSLVNRRLASLLMVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLADRASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRIEEAAKRAKAVEERRLEDERRRKEEEERRLEDERRAQEAADEESARIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMTEVDMAAAAIEKRQSGQKVSSTLRRSGSKLTFR*
>EED81183
MRCSFSRPPGAVSYRASPADLLIRSAR*
>EED81184
MPSTPRQFVLPDLFPLVPFKGSTNPHYVKAAAESSAWINSYNVFTDRKRAFFIQGSNELLVSHTYPYAGYEQFRTCCDFVNLLFVVDEVSDEQNGKDARHTGNVYLKAMRDPEWNDGSVLAKMTKEFRARLLQYAGPGCYARFLKHCEDYVEAVAKEAEYRECGVVLDMASFETLRRENSAIRLCFGLFEYCLGVDLPEYVFEDPTFMTLYWAAADMVCWSNDVYSYNMEQAKGIGGNNIVTVLMQAKGIDVQAACDAVGEHCKLLMERYLDAKEKLPSWGPSVDDAVAGYVQAMEHWIIGNLEWSFETQRYFGAVHAEVKATRVVMLRPREIDED*
>EED81185
MLNTPQQYVLPDLLPLIPFKGSFNPHYLEAATASAAWAESYKVVPERKRTAFLQSAISSVIRKQDLYSYKMEQLSGLTGNNVLTVLMEQNHWTLQQASDHVGVHFKTLLDSFLSDKAQLPSWGPELDHAVSQFVMAMESWAVGNCEWSFATLRYFGPEREEVKKTRVVRLRPKLLEDIA*
>EED81186
MPRRQPARKAHAASHQLDLEVIAKRTKRHLDELERSNYAEPSGNLFALDDDDEAGGGRSAKGRARQTISDKREWAGLKKKKSTMNVRTAVLYKKSLATLIDESGIANYPSDVPTYLTAVVPPPREPPRLLCSVCGYWGKYKCKRCAMPYCDMNCEGVHNETRCERRVI*
>EED81187
MGASCATELSQFGAKDLRTTGKTSILDVLFNDCGPKDTLYIERTTRVTKHTYDTVIPLEIWDCPGDITLEALEALDTPLSHFATIIFVMDIQDLYQPPITKLVSFVIAAYDQSPQTNLEVFVHKADALSEEYRIDNYRFLQQHILDELIDISSEYEQIQMNFALTSIHDHSLHDAFSRTLHKSIESLPYLEDLLNIFCYNSQSSKAFLFDLKSRLYVATDASPVDPPTHNLCSDYLLMLNSFGPLYSSHPSSAPRSPFLSSTRPSALAPTINTADDTRQASITKTLFYPSASVVIAPASANTGAGMTLTYQLITRKLALLAIIPSAVFEARRGLVEYNVVFFREGVQEICEVEDEARGGGG*
>EED81188
MSSPSRPLDIASPRSSAPLRGTPPNAPAAISGSPSPRFLRAQYAGTPPPPNIPRRGTPIGTPSAAGSSPLLLSIPFASAGEPSSLGGISARRPISGTPGSGVESNALDELTDEEKARILRRHLVSRGERDGQASSRGSISGGSEHGAVSKRSSVSHLRIEREDTEPFPVTYHAPGADVTIHNIYKWQADKRRQAARPRAASFSGSHNSTPDPVFQHLHEPGGFRRNYLLTRSGNEHGELPHAVPRNFIEFLYLFGHFAGEDLEEIDEEDEYMEQWQDEEAQAGEPALAERLLKSFVGTGILFLGKAFFNGGILFSSAVLTFIALISLYSFLLLVKTKFVVSGSFGDIGGTLYGPWMRYAILGSITISQVGFVSAYIIFVSENLQAFVLAVTNCATQLGIQYFILLQMFIFVPLALIRNLAKLSTTALVADAFYEDKEVEEKYNSDWFQSVENNTNEGEKRQDYSSLWRLRRFMRSFKKFHEGSLDLTGRSERRYSSLKSRMALFLEDVENLRRHSTRTEKRRQIIDQIVVEVDYKITPRSLLSEFYAKYKLSDVWLDELFREAVSLSYIYQNLTIGVAWSQRHLEWAGIDIGTPEYTSFLRPVWAGFGEVLPRAVNVSNLYCIQELGLLDEAFRERCNPFRTLERVIVTHLNHEEVPLLIGLKRDVLMDLISSLEGAALHTLSLDGLSWNFAISLIDGSAFDLPCLEEGYPDELPWWERADDFYIYDWESYGRLFAAYCPSLEVLLFLERNFVSLECTMARSQTDGKVKVQASPIPIARTMTHLMEQINPDISFGLENPWVIGINSKIQEGPSPQLP*
>EED81189
MSILSERQKDDLNKSIAEYLYAQDLTEIADSLCARLSLDYKSEPNSKYAGLLEKKWVSVIRLQKKLIESENRYTALQEDIAAGPARRRDAQVDWLPTAPARYTLTSHRAPITRVAFHPTFSLLASASEDTTVKIWDWETGSFERTLKGHTREVWGVDFDSKGSFLATCSSDLSIKVWDTQQWDNAGYSGKTLRGHEHTVSTVKFLPGDDLIASASRDKTIRIWEVATTFCIRTITGHEDWVRMTVPSTDGTLLGSCSSDNTARVWDPTSGVMKMEFRGHGHIVEVIAFAPLASYAAIRELAGLKAATKAPGAYIATGSRDKTVKIWDVHSGQELRTVSGHNDWIRGLVFHPSGKHLLSASDDKTIRVWELSTGRCMXVVEAHSHFITCLAWGPPVSAVARVELPRTPFCGDPKPIASRIMEEFNPRP*
>EED81190
MVHVRLNEREPNPNPHVNFITPLPSADPAVQEDARQLLRALAAQVRPIMKAHGFVVNSLEEYEHNRVFAGRNWNNGEVVGAELVLRGLSGAFMPLSWLMSTLCHEHMNHGPAFQALWTRLRSEVRELQNKGYYGDGYWSSGSRLADSARVGGQSLDTNELPEYMCGGAQSRARPTSLRRRRRHQAGPSNHTGPQTAKRRKAGTRVTAQGTFKGSGKALNEDVSGEEQKKAGTGFRKKAGSKRAREERAMAAERRLQSLQNQASTSAAQLPQDASEDESSDTEVLPETDQERRQAMLDSLGDANLESLKTFRTDFSSDFVLPETSPSSTLTTCRPDPAEEATCDVQILPTASATAASGSVDSTSRRMGKRKQDLSRSLEDWLRPSEADSPGTKKSAKRDAPYGALVQDEVKLRKSESLEMAGSGQRLGGNPSGAAPHTTDNARASRTPRKTGQAPSAAPDGEWTCLVCTL*
>EED81191
MFGTWTAMPDRDKDRLYLVLAHRGMKRPGFHWALMVVPKKGSKNGHMQGAHVFHAVNTYTSANVPLDHNDNPLWRGATLEKEIIAFGELGSKTRFSDMKKAPLTEIPIPEKDIRLGRST*
>EED81192
MPPAAENVIMDYCSIQHQYQIFNYDQLELSEAQHINYSCQFIDSLVPYAKSNLNTITGEWSTAITDCAKWLNGRNVGARWDGTFASGEPTFGNCTGWTGNMSTFSDDYKTFLRQYWEAQVQIGESIQGWIYWTWKTESADEWSYQKGLEGGWIPQDPTDRLYPDICSGSST*
>EED81193
MCPHANGDALPNGTSQMVAVDMSNGATHTSSVKSNGVNGHSNGVNGHSNGINTGAKILEQQQRNPYAPRASDFLSNVSNFKIIESTLREGEQFANAFFDTKTKIAIAKALDAFGVEYIELTSPAASEQSRADCEAICKLGLKAKILTHIRCHMDDARIAVETGVDGVDVVIGTSSFLREFSHGKDMAYITKTAIEVIEFVKTKGIEVRFSSEDSFRSDLVDLLSIYQTVDKIGVNRVGIADTVGCANPRQVYDLVRTLRGVVHCDIEIHLHNDTGMAIANAYTALEAGATHIDTSVLGIGERVGITPLGGLVACLYAANPEYVKSKYNLSMLREIENLVAEAVEVNVPFSNPITGYCAFTHKAGIHAKAILNNPSTYEILKPEDFGLTRYVSIGHRLTGWNAVKSRVEQLGLKLTDEEVKDATAKIKELADVRTQSMDDVDSLLRVYHSGIQSGELAVGQKEALDRLLRKHREERQRARDASASQPPLQQIC*
>EED81194
MSAESSSSNSPYSDRRHLAHISVEMLSSPFDSPPPDDHISTCQSTVETEAETDYDWATFISAYALGRWDPLRTPHPPRSHLQAPSHPRASGAGSQVPLLGNSTDHFCHSPVTEPSEVPRASWSELVPEASSDHVNGADLVDFDDYSTSAGEFTGPQSALAVQPSADDMEPANAPKRLNVPFSLGSFSHRLRSSFSDLRQSASSSSSGESSRHSSIPIADAATSAAAIRWAGARVSVAPLALPSPEHELTDPMRGVTATIPGSRPSDFSAPESPLMSPNTIRKTRLSSFWQGTQDVEDYRLPTIQQSPPSPAPIENAKPKATNSDSAVDKPRRHSSFGLTGPIAPPATAPVKVSSEPLEEDYFGNMEPSRIESLIAAGEHPTRAISRPPHPPPERQISAPPFEIDPMTVPALPRRICLTRQTSAPLPTASLYERRLRSARPASESLVSGLTGRAAKEEQMYSELGYLAPPNPPDELERRRALYKFNIWGTGRDPNFDRIAHLVKLVFSTKIVTISLVDGTEEDDEPMVVLDAQEDWRFAKNPLVIGDPYIRFYAGCPLRTHDGFNIGVLSIIDDTPRREFSPRQRHTLKEFAMEQFTRECLDLDKEAEGDKGLFVGTSMEQIYERAARLVKRTLDVEGAIVLDVSHVDMLETVGAESTISITLHNADKQMGSGTSSKSLNKEEYSRLTEFFKKNPEGKICEGIVPATLRPFLPTRIQYALGVIILSAVLKRRMILADKAKSLFISNIVRSPSVDGKVDVWSAEGVGTEIKVTFTAETVEDEQISTADDELVKVYDHLKRPTISLHGFNDSHRGVQLLRSVMYSYLVTRWGFAIAADDEHGHIVIANGDLTPVEEAIESKRATQPFILLSPLRGEPRLMSVVNEYERMGGFCRIVYKPVGPIRLHAALRMCLHVLNMSRTSRTKAATLGPLDPSLPLSSSLLEETRAMLQDGLPRRLSEESGPKVHRPRPPLRQRAITAHPLATVSSLPLYAEPADMEPEFIGSPGPASAPTSPTISIGQGGTLLRSSVGTLEAQGPLRVLVIEDNSILRNLLVKWLRNKGYDFREAVDGEEGVRIFESDGHFDVVLVDLSMPVLDGVGATTQMRSIELARSMNGFPSSSAESSAHAARILALTGMSSLEDKRRAFEAGVDGYLVKPVAFKTLDTIFHKLEIAS*
>EED81195
MTEVDMAAAALEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRIIHEERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVCGAVDDE*
>EED81196
MGRRAAILLITRRTCPTTARMT*
>EED81197
MKYIEIPELARLAQVLTHEGPECSVHTRIEAYSCKNIKRDKKLFRSLESAYNDEVSHSPPVPSFITLDHESEITPFGPMKEQNSRKTLYLLIATLNVAFPDHEFSDVRPWQFSREESGASVLTSLSTTLVSPNRAGMSAPRTYSAYPPASSDFFPSSVPTSSSPVNFTKPSPHAPPKVMSGTHPTLFRMLDNVIGLSECEVYSYTPNIEHDPHADDYSDDEDDVASVGDEEPSTDEEELFPFDEYDADSMPRHSSWQSAMSGRPGSSDDSDEMPIRTISPTHVRMKRRGALLWSSHWFFLNRKLKRILLITTWARSKNVRSWVDEEMFVDEKATERFLGWEGAIGAGARALGLGASI*
>EED81198
MPCKLFEPLWSASMPCLSYHEGCRRCSTCCRRRANSQGRSFLVFPDGGGKELNLDSMHRRPSAVASPLSPLAPQPLTPRRGYSAASQVSSPHTPPNRDSPLPLLAPASNRQSGDSWNSSIHDGADDDVTEWTQEQTRLLSRTLDALPAHLLTPFNGAVPPSNLLDKLARRVADAKGPGGWPHSIRATRAKIVELARIRAKEAATDGASDTIAEEDSSDPDVLQSANPGPKRPLYRQSSMDFMQIDKNGIMENDNLRRLSRRLQRAERIIPSYHPYAGFSRSSSPIHKHALSSTASSSTLNSINSDDREAHGRERRSMSDMSNSSESFILPAIDPRVQRVKRAESFAGMLCPPGHLLKRAPSYGASSRRSSGAMSISGKDSDVTSSDEEEKLRSLKAKKARVKATSPSPVGSSPPVILEHPKSSKKSTPLPSNKASKTSNDASVKRSNRPKANLQRNPSILGGELPRLQPTIETPLPSIPARDTPTVNTSASTAASSSPHRARKSRRTPKISDTPVATGGNSSASSVPNTPATNDRPCKPLRRSRGVHLPDRTVARKISFTNLAEASAENNVNTGLGLGLESRASLGPLRSSIPPFQCAIYISSHCMRINLRCPTLAVCVSRMFVGVITRWLVLQVPRISSDLTELLGVYDIGYPVYRLLRCNCLCALLSPLSNLCTLLCKKYARPLIPSVRPVSYEAKCAHGKGVTTQMLRFMIASWPKRAPRPNSEKPTILENSDMAARMRADGETILERKRHVQKREYAWEKIHEVDCGRPPRAAGAHCTPCPLRSCAACSPIYPHIHIATCRKMSDTKEPSPEVLQKLATAKEKKDAGDQAFKAGEVKNAPHTHKALMYLNGIDKNALSPMTAITSGSIVDGAANEQKKKTEADEILEKVYSNMAACHLKQSNWKRAIETADKAIAQNADCYKALFRKAKALGELGFFEKAEKILEDLLKKDEADAPTLNAELARLRAVDKEREKAHNQKFKGFLNREKKTAEAFA*
>EED81199
MSSATSQLKPWSEIEHLSNEALIELFEQSTSELHQYDDLGTTRVRLIASDTIIKFPSQSESEAIAVQLVEKHTSILVPAVRRFIPGCYEGENYLVMEYIPGRLLKDCWSELSLWRKLVITWTLRRYVRQLRTVPFWPYGQDPRPGPVGAEPQCCELAPGEIFTLQGAGPFATYAEFTAWWNHKLDVSQREERAPLSVPPFDDAMPLVLTHFDLVRRNLILDDANRLWVIDWGCSGFYPKWFESLGMMFDWKHAGRFGHWLVRFVAGYYQKQREFIGHVSWALSTGMSPAAQMMYLHATGGIQAEFYARHIDFDRFTLAPVLSAPSRLLNIVMSHLQDKLATIDPELSSR*
>EED81200
MAVQVASPVRDPIFRRPLSPMSALSALSRPPQPAPSPARTNRPLPPVPPLNDTDFFSPVRTASPSPSSPVSSKRALPSPKGKQRALDALSPRSRPVSRATFASRRALTHVLKTPRTLETLLDFVPWADFHALVSTCGDFRRGLIARPECRDVMLAQFVPGYRHARSVADIYHYREVAVDFHDVALLVISQFVPLHKYPLHTLSLCTDSPHTDPSALADRAARLATLSQAHSRFVLLLQALAPRLRFTAGPSWSESSLASPPSSRSNTDGRATPSHTGSSSPRVRPVDPDRQPEPAVPRGTSPHDLHLAASRARAPVLRVFVPCTALDDAALTACEAQLAAAGLWEHLSVGDVVCNLGYVPPADAGAGTGTGAGTQVWLVFEGTALVPYAPPGPPPVADPLTLPSPFYYAHILPPFADPVFEIALPTSGLGLGLGLGLGGAELTLTLVPTRVRSPRSPGGWAVVRRYVWIARVPYEGAAGLGRGWRGEWMLEGEGTKEGRQSLLDALGDGPGGVARRGRWMVVRDKSGGGRVWMKLLVPNVDHVHDIAEACESVVDLPPPARTTSES*
>EED81201
MFTTLISVALFSTLAIRGARAQDFSIDTPVFTQCQPATINWTGTNNTPYNLIVVPSDDVCSEELADLGDFYNTSATWTVNVPAGTAVTLSLIDAQDNEAWSGSITIQGSGDSSCLNSTDVSSSLLPSASVASSVISSAVGGATTLAVPSTETATGVVNDGAPPSATTSAAVAIGAAGQGDNPGVSAGFSMHQLSAPIMVMSALAAIVLL*
>EED81202
MSIRTEMDARSRINALPGELLSHIFESHVAPPPSARVGDKEWREHVRSRLKEVTGLQLICRHWRDVAQATPYLWTAFDTTGELDSKFLQLWHLSLERSGSLPLELHANGSLSPCAHLVLKSKRVRWLNWGAFSGNHQDVLVHLDGLETLQFNEFYSSAGANPQTELSKLACYSPRLRSLQVRWCTWAPSEVFKNLTSLRLVRYSGKTSDVLLWLKRCPVLQSMIFERPEDENLVDLDSIGVVSVPNLRELMLSRVPWNQLAAIVPRLDLSPATFVEVHNWKHGSGKVDCARMLGTSQLHRMVFISHCNALVVGPDSGISFSKEKLGEKERSLRWTSELSDMVSTANIQELWLSASMQLSQDLGALLAMLPGLTSIHIDSTQLKEVLQNFRRGRDPQGPAPCPELKVIHVFVQSAAAVMPFLRQYESLLEKLGHLDIVIEHLPNCEVDLKALYSVRRPQLLSRVRVVQCEQKPQAEVPAPFTGLLDSDEDDD*
>EED81203
MGFSRSSKDYQKFAREVRRLVPLYLDTSLRYPYQNPVQVVRLVEKAQLEVPWLKVYHDAWPVRAYLKRHLEKPKCRRRETSRGTIYPCNHRDSHRRPVSGSDAPDNNRPPNINLAVSTSSECTTVAADTASNSPLATYVPEAAPSLCADRPVRPAIKPRPSYHVVHDVTNEVSSFLGSLVPNLDHLAARFVTAGVTDRKCLEGLAVLWDVEKDAFLLDDLRLTAFQRRVVRGALARTMARRSELGLRKWSPGLKQEMVSLMGGDVQSFDVLAIGELWRGESDVPRFGHMMYEPGLTFAS*
>EED81204
MYETIKDVGKKHTGVEGLPAELHAFHAEGSEPWDRGDKAAKRRQGRERDVVQVQLFNRAAVDSSEIAEDGVGIQILRPPSRVLDHQAMEVYPSSTEPGRQQPQLYHAALSAELLSVCTSCQRTVKTLFAGNLRIPSFAMFMVRRGPLLCIWNTTNAIVRRLSGSRAYACCGNEDTQFALSTIFIIRHVRAYGPRASPDARFLGMRSARDGHRRLAAVAAVDVVRLPMPALCSVGWCEELYAMHGPVEYQYNTFGGFIRALRKAKRVQCHSTTAWAPRFRVEYLVYTRGQTAIERVGFRDDLTRSAMKAKPVLLRTMSEPPFYPHGSAIFLGKSMHTPREGFGEQPCLGIYHRLCITTFGLQKSVFKTAAPATCGGNMNNRNSTYNDVNVPAWAIPKPVKEDASSSLARYMGFSSWRDPQFGRFTSIMRKLIDKYLDTRCYYKYQDEDGMKRVKKEACVKLDWLRRYQDAWPIGLYTRLRLKFLRFNERNNPHVSSKNVSGQEHDCVEEEPRTGMHAPRDIPSRSQARSFKDPAQIAQEAGFAVGKKLKVTGVVATRDAVSLNTRTKTNNAVSSSIGSGSNIQGHWNT*
>EED81205
MGVAQSVISEAAVTAVVVAGAAAVAYGYARQNAPPSSQALPSSTTGPSSDPGGKKKKKKQGDALTPATQPPTDASPVVIPFPAVVPGSFDAPSDARDTSPPASTKAGKPKKKKGKKAGAAGSGTPRSQPADAMSESSATAPESPVSAPVRPAASKSRKSSTPKLPPVDTDESWTRVESRRRPATSTLQPTPAEAATPSDAGVTTMSMTGNSSPVTERTDDELASRTEIPVENRRTLAERLLPRPRKTGVDDMLETSDYPTVARVMRIAPGPDEKPAAGFSWGDYEDVDDARVTADDADGEDDGGWGVVKSRGRPKAEKTPVSAPTAPESLTKKQRQNAAKRDAQKAAKAEAEAERQARLAKHSREVERAKIAEQYAKSSKSVSGGMTAYVDENGKLVWK*
>EED81206
MGSTRLYSKGRVLGHKRAKRNTRPNTSLIQIEGVSTKEDAQFYLGKRVAYVYRAKREVQGSKVRVIWGRVTRPHGNSGVVKSKFRSNLPPHVFGASVRVMLYPSAI*
>EED81207
MGSTQAGIDVYLAQFNYECYIYIAATAFYCYDYCLTFSDEVRFVWKARPSVIAALFYVFRYTALFNTVFMILGLHAWPSWQSDRRSYQNPLHVEAEAKRFIAAVPSSYARRWRLLPFVATLLLGLINPAISIYTFIINEPILITFPRLTCGLQTQEEYAQLYDKLIQGARGSSLLFDLVVLIITWTKLRVAAMDIRSQARVSVVLIRDTTFYFSLQLIVNILGISIGSLTSLLIPMSTWIAILTSSLLSRLLFDLRKVSAEGLGVSITHTMGTLAFADAHSLGDDTVMDTPDVTSGRYLDEENRPRSLLAIPTSLSIRTCHCIEALDSNIPPPQNSCIMFPFPPSEMEANVYYAGLPSAPALVACTSTTPWEAPTGLEAYRNIKELRAVGNHALKEAWEDDLAKAPRPSGLDEELLVESNIADVDVEIRESVVTRSAGPKLLTPTYSSDCTVDVREPFTTTLGLPICAQSTPWVEDTGGFFISEGGKPERLLLVTARHVVFPPDKNENKHFEHKNDSQRCCDVRLFGDAAFKKYLESIKAGIGGKAIMAQYQERRIKAIEGKGDPAANRERQEAQAELDKAMAVMEELNDFYQNILTHWDLPESRVLGHVILSLPISSGEGYTEDWAAIEIDASKIDANNFNGNAIDLGTHIPVDEFSRMMCSNPRNAHSFTYPGDRLLKLKGTIPDDEMRHPTALDENNDPCLIVIKRGNTTNLTVGRASDICSYARIYDDNDKAETSKEWAILPFDSKSGPFSAKGDSGSVVVDGLGRIGGLLTSGAGATPSLDIAYATPISFLLKRMQENGLNLVNASRQTA*
>EED81208
MSLSPSGSMNTIHELDFEVVEQPKAIPPTPPPPPSIPPALSLDLRLRWLETLLYGARPEGPDRKHTEVKNGETLARRAAELQHTLDDVVQSNDGLRRFMEHYDQHAQYLTPAFALSGIIPAPPTYENMSSSELEAFLTEMEPDIRAAERDLREIKTLEDKGVTGAGKLANYKDLQPRLDVLLKAHQEDAEKAATLEARISGLMDRYATRIDTLSELFVAWDDALRDAEEKARAAERDKEERKKLGYE*
>EED81209
MSRLQRPPRGLQQRDEVERNRKEDNKTQYVRKLSGTTVHGERLFGRFTAKTPNAPGVLGVLDVSGPPPSIVAEHGWTSSNAYMLLSTRGDHREVLTYDPIYGARHIPLPAAEQRLPSIIVDNTSFTQQSSLGLALDVFGGTDLPSAVNDPPSADSHLVPRSTESSCQRGTAHSYVPAMAAIGPVQQASFPTQLNSIRAPLAITISDPGQIFAIHSTSALLPSLPTRISMDDRRSPTCNSQYGADLVALPRVPRTWDLTPSMTHDLILRPSNPLAKQLFQPALSSMRAIPGDYGEIRVRDHLYGSRPIPLSEAERLMDDHVEEALPHTGESTAMLPSLTWSTTSISAPMHPTLLPTRAQAKSGASCQDTPVVHGLENSRQSTPRIQLIYRTGLRKLLLP*
>EED81210
MPQDNQQSDGQAGRPKKPSKNEYVRRLPGVVQWGERTFGHPPDIRHWTRASEGAQSPVATTDSYNQQSYSFVQEYPRPHRQYSSTPLPAVEHQTGPSGYDRRTLRDVPSEAYTYQGEPLRARETGGAMLECRTLPTSRTRHRRIVALAMNINMETNKASVLLDLVDGLPSLPNVAPSRVILSSPNAGDECAEHTARRSVCSHQIAAIPWHVCDDWCQLAFASFLFSTGSICVALPATIGAVLSIFICRRVATSIATADYLTSRHIDHGFVSSRSASANPAVSYAPASSSVSMKERQAAQNLYDAAEDDHFLEGHF*
>EED81211
MPRHQRPPRGLQQRDGAKAKMKEDNKTQYVRKLPGTIVHGERLFGRLTAEAPSAPGVMGVLDFSNTPSSIAAKHGQTSSNASRLHAGHEPVVSRTEASNHQASRSNPSMDTSNPRQSLSTVRRPTVQHGSLAAAHYQSEMQMMMQSSALDHQLPQQTPHMLLSTREDHREVLTYDPFYGAHPIPLPAAEQRLPNIVIDNTSFTQQPFPGLALGLPGGTDLHSAANDPPSDGCDHVTTSTEPPQQRSAASNNFVSMSQPFVTELPVPTVPHAPSYHTIMPTCAPIHSASSQAPLSPASHFSMDHESQYGASPAPPAQAVWNLAPPLSCDLISRPSNPLVKQLHPTWSPRAAVARDYSEMHVNDPLYGSHPIPLPETASIINDFFILTKDLQLVDDIKSCGASGIKYCELALGFYTESGEEQFVIGLSSAQLRYILEIIVSAMGMQ*
>EED81212
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPQICNELASLNITFKYEV*
>EED81213
MTPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANIEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED81214
MTSYRPYNITIADCEPLFQYSPSRDGPSDSSWNVPLYSGAIQHYTASSPGASVQLIWTGTAVWIYGIGNTSDYEVRSDSDPTPTRVLKAADDTILYSQGGLLYGKHRVNIDVTGGSVTIISATTTVGMGDPNTHLGNRTIAAVISSSSGETALNPMFSPSDDNQWSIPVSSSAITAVYLETSARYASIFFQVNSSVAFELYGVIGSEHGAYSVALDPPAPNTGIGVVIEYNASYPVTTTGSVLYIATGLNRLQTYNVTITNLDAAKRSLESVVIYDSSVTSTSSSIASAQFTAHASQNSTSSSSVEHSNHKTLAIVASTVGGLLLIGAVACYCYYRIRRSGTQSRAHVDLGTPPPTATTVYDGAFPPLADPYMKAYEPLGGSQPPASSPSIGSSVSRTRPLAAEGSSSSVQDPSDRSYPPLMQRGRRTRHDSIQEEEAADSIPITPPPGYTFSVRFANLGPTRPSSVIGNMKSQSLNEDIWFHIIYLVTPADALSLSAVCKEARPFARRRSLSEIHLHSRNFDKICNFLLADISDRLHWVRDLTLAVDIFEPEASLSLYQFDLEAGGNVKFEWVPVFLKILQLSDNLRRLSIYGTELLLRMEPAIGDALVALTGLMSLELNYAGSHTIRTLARLQSTVRHLIVHHVKAGNTVRNYHEYMTHGVTFSGVETIEFGDLVSESYPHTKNVYLDRQWPNVRHLTLSQGCLISLSTCARLLPGIRSLHLKSAPGYDPHGLPLWPNLDFVWIQCRDLHYITEINCPVHFLKLRDDTGLRYEFPPRILKIIKTPSPVVLSIMVHIPLNTMLFWIALLQSAPRLRCLDFIVIYDDTEKDRLCKWIEDISDVFASSRLFCIRIRIHDRFCKLSDIHFLLPSLEHFARGVRSLQYLAFGLGNIDTLSKARPGRFCGKTWWWWVTTCEESRSIEAISRESGKHILAAIRSADFGDGKNLDGLLRFVFLRLVSARRGKEVEAVSDNYYANEHDMSGVIHTRSIV*
>EED81215
MRRYNDLAARDIDSCAPGAGAHTYTGLRIASIFIIMATSMFGAMFPVVSRRVAWMRTHVPSIVFQFAKYFGSGVIIATAFIHLLSPALTELQNDCLSPAWGEYPYALAICLCSIFMIFIVELVAFRWGTSVLAKLGIGHDAHGHGIPGDSLKDIESLSEKHDPSGNFSDSAIAQILGVAILEFGVLLHSVLIGLTLAVDPDFKVLFVVIIFHQMFEGLGVGSRLAYMQLPPQYNFVPVVGALLYGCTTPIGIAAGLGVRATYNPNTPTASIVSGVMDAFSSGILIYTGLVELMAHEFVFNKQMIEGSNRHLAFALICMMLGAGLMALLGKWA*
>EED81216
MPVRDNRVRSNAWFASDDETASEEQSKDTAGKCRRSGGRSIDKQHFHEGRDHSWRVDNLQIYQNGGKGLHIRKRWYTTGLGTHRKVHKVHKVQGTRYYKGLRAGDGCTSRGAEMGVSPHIQVLDSQTGTILHSTLNLNGAEKDALLKSGPIRCSAVDEASTHVITAGDDKKLKVWQLDGLKLLSERELPKKPTAVHLTRDGQAILVSDKFGDVFSYTLHPKSAPAPSEPSETSKRTALTSHENPSDGELILGHVSLLTCFLLSTDDKYILTADRDEHIRVSWFPQGYVIERYCLGHGKYVSALHVPPFAPDTLVSGGGDPMLKVWDWMTGRMLLDVPIMETVEPFIKVRVPKGKHGWDEGEGGEEEGIAEGSGNTTARRKKRGRRGRGKGKGVKETDSVEGTPDVEEGDGEGEPEGADEQSMQTQREPKATKQNDESHDERQRESRTSEEPDKLVLVIHKIASVDLSQYGHVLVFSAVGASALFYCAFPEPGTLTPPAVHALDLGQPVIDFVIGPENDTWVLLNPLHGKEDGYADKSQLAVFVSWSSGTVSNPNLSDTESSPPDIIQPTIVPTKDAPPLLSALQNTCAVSATAADLKTLDLYSVLSSMPKNVDPEHDPLRRDLLAEADGTPEPASDSAGKARQKKELTQRELARLKKKRAVAATVQKQSQAVGQEKEEGAGPIDEGGEREFKRAKSETQADLSDVTHDSNAMDES*
>EED81217
MSREAASQTPLRRSKRGQPLVSEPTLLQVPNLADAASAQPVYTRTTQPNDLYEEEREVEDLALKNLETRFYAKFVRREPTANRRASRSDGKARGGAASADDSDRLRVEEFKIGDPVVVKTLSKEPSIAIIAAIWEIVQEGEPDDKGLRVMVHWFSRPSELPAIRARREHLENEIYYTLRSTATLPPSSIISHCLVSSTVPSSPVLGKRKRASRPRQSDEIIPTFYCNLAVNPRRGLFYALNWEEFHEGALSAVVNEADAKTPRKKARETPGRGPARPGAADARLLSIPESQSAIEAAEVRAMVAESDDGSSDADDFRPAPDDEEDDELDEGEGDAEEEVVAAIATPQTPRTPRTPRTPRRSAATPRKSRTQRVDTSALAQPTPHSKAALRKRRRTALAVRPPPQTESGANLHLQLDAQLGPKASKNPWLRAMHVLHVAARPEALPCREEEYGRILRAVEELLEEGSGGCIYISGVPGTGKTATVHAVVRELKRMAQENEANPFTYVEINGLRIPEPTAAYGLLWEAVCGHDAAREGHMKISSNQALRMLSKHFSAGERAGPGGHACVVLMDELDQLMTTKQDVVYNFFNWPTLVGSKLVVLAVANTMDLPERVMTGRVRSRLGMVRINFQPYTTPQLEKIVHARLQSAREGLLANTPVVIAPDGIKFAAMKVSSISGDARRVLDICRRSVELVQPLSRTAKTEDVRDVIKDMQNSPTAGYLRELSFHERVMLAALVKCVKKQGVEEIKWADLEHQHLVYVNLLHDESNPPYKPTTAELCVVLDSLLASRAMIYEDGVSAERKAESARRVALTLEHGEVERVLGDLGGTRWKNALN*
>EED81218
MWDTDADGAGRERICWSGMEAYATAPCAATRTRAIRRGEGMGNAYDEISTKLRQPRDARSSSRPRSMKFASGERNDSIVLRRLRFDGVELVPDPDPDPDHHEAQSRADDGGGGGAMVIPSAEDSGRAGGHREGWTRPSSIASAAERLRWRVLTDTTERKDGLARRGTTAGADAGVSGRGRSAKVLAAVSGGLDVDAVGDTGEKRKYDWPAPGERIGEECRGGDMREGSEREGRCIGDVGETMDIAVVRQADRVSVRLDARRRREDNGTGGSGRFAEGAGLAEGKLSVSREGRRNLGTDGTANWENRHRSDKWAKKRTYGVGLRWAGELGSERPRPKDIVARVVTVSLRRRLMERMDWLSARSRERKERPSSDWWRRGTRVRNIALSLAVSVDERTAAWKLTVAVSTGAREAGASSSGVLRPERRPEVKRGEYCAKAESIAGVAVAVVVGEEEAPTPMAVCGAALFAHSVASGGVGRSDGSSLRPDSGRTAV*
>EED81219
MAAAFLPQMLWGWAGASSHMTEDPGDQIEAADTAQTDSASAIRIRTRIRRVDQPERRPYALLHTVGADTIIARRHGFTITQGVRTSRCARRTPARAGAGVRDLAAGMETVADPRLLEWYGRRTCGPAAPRQLLRSARTPTVCAGLRRAAFNSRRDTQKSHRALCTGPYTSGRTSRLSSTNKHAHTGLGLTVHSCGREDRPDASWLSRACCDRPSALGAGVSASNCVYHALAATPGLNDFALGGLRLAVVTTDARGAALCPSLQCWWERLNVSIQATDAVDELTRKIKADLYAAAVSFAGMRAVSDGWFPAKSIKTFAKVNGAPGLRRALDHSTCKWSAALAADDARLLPSVTVRPDWRQRLWAGLEDKWVGQKDRGEDSLPQCGHGALHGM*
>EED81220
MSMPILFSARNAKGKAALGKQEQVERVYRVPVDVDQQVFITVREQTSFDLDKKVWDSGIGLSSWIVDLANEQSASAPPIVDAMRRALFSSEARQILELDKLWAGAGTGIVSLVLGALRSAKARSESGCILTTDLASAMPLLKHNIASNDSSFTCSSTRPRAVVLDWDEERFPEEVSGVQHGFDVIIMADVTYNTSSFPSLIRTLDKIIHLGSSTAGSDPRPHHPLILLGYKERDPSERSLWDVAQNIGIRFEQVGERRGAGGEPIEIWIGTVQ*
>EED81221
MRYARPEFLDDIAADTPLPMIVDGDLGMPIDLSRWECLWGENLDDSDLNPDPNNLPKLDPKDQFLLSDPASSTPFLGNGHASGPMPSSSQPVMPHVPWLRRTEYLSREGGARPTSTQDARPSMDAPVDISRSAQIRDIEASFAVTDSFDLGALKHPNKPGVTAVDSYEIFPDAEIWANAYDLFRFSERPGERPSDVEDPRLDCAILRPMESDGDHFLAYYLTKDDETALEFKQNRLARSPDAPEEDEPTPFQFARDYETVKVEQEVPNEFLLVIDDGDAKLEDVKDEDVKPQKPRVKGAYYKNIERKMLLKKKRQNAYEAYGGDKWDIIKITHAQMSPEEENEREEALAEVMDPMYLLSRADADAEGEIDDTVVGTSGVNGDAHGDRGITDDALEVFGE*
>EED81222
MRLRHAGRKGSSPAS*
>EED81223
MHSLAVERNILRKMGRMITQDISLYAERALTRWDTPGLSLGIARVNESGEVRTEFAGWGKATEHGDKSNAETLYSTGSCSKAFTAVSIGILMDDFANGRSVVPLPAGLQSFNWDTKVQDLFPGESDWLLADPWASAKTNIRDILTHQTGMGGDTDGASAVMRRMRYLKPTYEFRTRASYNNQACATVHLLCMYMLAAHIVATYSGMPFTAFLKKRIFEPLGMYSTTCSGTEAKESGKLSHAWISNGRRVPYWFRGQGEETIAPAGGVISSVTDMAKWIAMLLNHGLNPNTSDIVVPRDVLEEVTIAHCITDATGPDEHTSIRGFGMGWVRYSYLGHEVVSHSGGIPGFSSHVAFLPSEGLGFVALSNTSRDQQTAARAIVSRAIEELLSLERASYALLEVPTTPGLGAQGTQQESRVPASLPLEQYAGTYTHAAYGSITFYTQSTHSTDGQDVIAAYAACSELVEDGSALYGAWPRMWSSHIRLRQHSKDVFLLSHSYLFPEGYGTNHAPFAYRQLGDMEVPVEFLVRDGVVGFGILDVIFDPRRKPKTDGDVEHRADVCLLNSDGDEDAEALALDRILHGQSVIGKTSKTTEVDALRFSDKDLNVVGTLEYGQYGVQCFPQHERDILLRARKTDCDWAPHLLCAFHTPTHINLVMDYAEGGTLWDVLESSPHDGRVLESDLRWWMPQVVSAIHWCHSQDFVHRDIKPHNFVLTPTSRLLLIDFGSAAPLLQPAPDGSQRVPKQYCLVPCGTCDYISPEILQAHEEALVALEMSEDGEELLTNSGGGGYGRETDWWSMGAMLYEMAYGVAPFFAKDIRQTYAFKYIHRRANSDIIIQNSLNFNKSIPISLDLQDLLRRLLTSAELRLGRESTAEIKKHPFFSGTKWSNLTDLEAGEIPQPTSNSQNDVSESRPFAFSGLFQSSPLTSSIQQATPSQNSGRSILRELPVASFIGFSWGPPKDAFSKKTKSPSPPQGGINTPRPLQRLSVPSTPFPRVVSNPVFGTPHAQRYPFATPIRPNAMTPFQTLPRASTIRRTAQRRIVSDREAMKQLVDCVGMSARKRVLESGRKPRVLTSFSRSSALKELRFDTSIAIVGDGGISYKLDATTASTSQSEDTFGASLMSASASASNSVAASMTGSMLSRTQELLVPDLDTSFSSADTDLPASPSPSPRPGSAMSMMSWRSQTPTASSFNLRGVQLSGGRRRSSSLSSVLTQPSLPASIPEVHEAEHDLSLPFTHDSLDDFERRHGRLIEDIIGIERRLRKLATALRDSH*
>EED81224
MSKRRADETVAVRDSSRETFYRKELESDVHSAPSKSTEEKRQMLELLKQFEENTMDDAIMGPDNDDDDDDEGDGVDLAERLGGLDIESASYDQIWDALTPAEHEKFKKALGDPTSDMAQQLLASDELGNVRTEPWWEAPMDLETDVQVAESSTPSNRSSLLQAKTFGTKPKLMVIPASMVSPPVAGKTPLLLYNICALCIAYTYVTRHFATSPLTKFPPSDPDRADAFRIISKLVPFLTDRKSKTIHPTLSSVVTDLWSRFDSGEMTSPFFSLLLHDAAALLRPSTVVEVLFSVSGDPRSDGSYPELESHPNTNVLRALSDLSALSGDDLLSQSTKSSPSSSSSKTTTSTAKTNHVTHKLTFYAAHIVSAPPLLLRALAEETAARANAVAREGIALPDGRSAIQSSAATGSNQMHTQSGLSRIIELS*
>EED81225
MPGKQSAKYQITNYCFDVVAPDDNSALLTTSDLLTPVSVSPHGALPPSFILEKQDSGAFHVLIPGGPTTTTRKAIAFNNLLYAERIPTNEDQKAPSVPGNGNIEWEILSTVREGTSPDYTEINGIYMIAVEVVDLFTANLKDPIVERAYSVSLEPKWRPRQWLWRITPIDPDSGF*
>EED81226
MGRLRRSRTHHAKRDVHRASRTRVRTRDLDQIQSIDLDPKNRAALEAQQLDFDKPGLAQHYCVECAKYFEADAALRAHWRSKVHKRRCKALKEPAYTIEESERAAGLGREGKRPSTTTAIQEDIPS*
>EED81227
MPLEQTSSAFKR*
>EED81228
MAGFFAELQYLIAVVAQLFPPKSKFTTDQIPDLSGKVAIVTGFVYMASRSQEKADAAIRKLKEETGKEALFLELDLSNLAAVRKAAEDFMSKESELHILFNNAGVMWCPVGELTANGYDLQFGTNVVGHFFFTELLMPALLAGKETSPDHHARIITTSSSAAYLYTLNWDAFKDGPERRKVSTENLYSQSKFANVVVARQIAKRYADKGVISISLNPGGIDTELQRYVPGTKRNVMRKLILNPVPMGALTQLWAGTMPEALNHNGKFLIPWARVGSCRTEAYDDALGERLWAWLEEQVKDQ*
>EED81229
MSLSAITNLLGSSAAPVTGKVELPVSEDEPLESQSIEGMLRTQPGIYSVKVALLAERGVVEYDSNVWNSDKIVNEISDIGFDATVIPPSRSDVVTLRIYGMTCSSCTSTVETQLSAMPGINSVAVSLATETCKVEFDRTLTGPREMVERIEEMGFDAMLSDQEDATQLRSLTRTKEIQEWRDRFRWSLGFAVPVFFISMIAPRIPGICMLVAWRIVPGLYFGDILLLCLTTPAQFWIGQKFYRNAYKALRHGSPTMDVLVMLGTSAAYFYSLGAMIYAVFKRDPDYHPFVFFDTSTMLIMFVSLGRYLENRAKGRTSAALTDLMALAPSMATIYTDAPSCTQEKKIPTELVQVNDIVKLVPGDKVPADGTVVKGTSTVDESAVTGEPVPVQKQIGDSVIGGTVNGLGTFDMRVTRAGKDTALAQIVKLVEEAQTSKAPIQAFADKVAGYFVPAVISLAVVTFFGWMVISHAISDTALPEMFRMPGTSKLAVCLQLCISVVVVACPCALGLSTPTAIMVGTGVGAKNGILIKGGRALEASRSIKRIVLDKTGTVTEGKLTVVDAAWVPATDYEEQVGAGEVFLRAKCAEGLTRAEIIAMVAATEARSEHPLAKAVAVYGKDLLGKAIMAIPEVVIDAFEGVPGAGVKATITITDKKAQYVVYVGTARFIMQSDDAQLPEALSVFNREEETQGLTTIFVSVSSPAMRPSPVMSIALSDAPRPSSIHAIKAMQDLGIEVNMMTGDGMGTALAVARKVGIKPEGVWANMSPKGKASVIVELIEKDKGGVAMVGDGINDSPSLVAASVGIALSSGTSVAIEAADIVLMRSDLLDVVAALYLSRAIFSTIRRNLVWACVYNLLGIPLAMGFFLPFGLRLHPMMAGAAMAFSSVSVVTSSLMLKWWTRPASSVMPGEVIPRETVWDSLRSTLDDAGNGLRGLVGGRRRSGYSQLPVEMSETV*
>EED81230
MYTALLNTAFMILGLHSWPSWQSERYSPLFYTFIINEPILVTFPRLTCGLHTQGKYVQLYTKLIQGARGSSLLFDLVVLIITWTKLRAAAMDIRSQARVSVVLIRDTTFYFSLQRIVNILGISRGSVTVSYFSLTSSLLSRLLFDLRKVAAEGLGVSLTHSMTLAFAGPDLFVFEDDVTSDRHLDAEAI*
>EED81231
MPPRQASALLVEPMRCAIFLHAGSGFDCFQTFECEVELCSAEMASICSAYLINSYLLAAQVKEIGNSPQDHARPRQRQVLWWLLHSLIGTTTMSSVGSTQIYAARSLSIMAVSHLPKAGTMGGRLGKAWPAISNGSTTLELARVTSELAEQDATYRRDAGRSASTRRTEQGIPFVLCAGVTKEINIVPVINGMRVRGGAKTRQ*
>EED81232
MPRHQRPPRGLQQRDGAKAKMKEDNKTQYVRKLPGTIVHGERLFGRFTAEAPSAPGVMGVLDFSNPPSSIAAKHGQTWSNASNVHPADELVVSRTEASNHQGSRSNPSMDTSSPRRSLSAVHRPTLQHDSLAVTRQFASLMLGFDLLSMLLGPLAEAQMKRSSALYHQLPQQSPQMLLSRWQDHQEVLTYDPFYGARPIPLPATEQRLPRIVIDDASPVGPPGPGPGSPRGMDLLTRRLSRRRDGMTIPGRRSTGDRMRGTAMPANDQIQQASFPTQLNPIHAPIPISPSDSGQIVSIHSTSALLPSLPTRISMDDRRSPTYNSQYGANLVALPRIRRTWDLTPSVTHDPIPRPSNPLAEQLLQPALSLIRAIPGDYGEIRERDHVYGSRPIPLPEVERLMNSHAEEALPLTGESTATLPSLTWGITSISASMHPTLLSNRAPTESSASYQDTLIVRGVPQISPTDTEYFDHMQDEAGKSLAAVMESFAEYS*
>EED81233
MSIYPALPDAGLPKRAMHALLLVERSCAQCSPLGVLDDPLCVVAIEHSERNDNQQPDGQAGRPKKPSKNEYVRKLPGVVQWGERTFGHPPDIRHWTRASEGAQSPVATTDSYNQQSYSFVQEYPRPHRQYPSTPSPAVEHQTGPSGYDRRTLRDVPSEAYTYQGEPLRARETGYAGVQNTAYQPYPTSVDRRTRHEYQHGDQQSMGTFAPVDGLLKSLNVALSRAILSSPNAGDECAEHTARRSVCSHQIAAIPWHVCDDWCQLAFASFLFSTGSICFALPATIGAVLSIFIFRRVATSIPTPYDLTCRHTDHGFVTGRSSSANHSVFYAPASSSVSMEELQAAQNLYDAVENDHLLEEYF*
>EED81234
MSRLQRPPRGLQQRDEVERNRKEDNKTQYVRKLSGTTVHGERLFGRFTAKTPNAPGVLGVLDVSGPPPSIVAEHGWTSSNAPRLHAGHEPIVSRTEASNHQGSRSNPSIDTSNPRRSLSAVHRPTLQHGSLACARTHSQGHHPYRMESLRDQYTTPEGPLPEMHMMQSSALDHQLTQHAPHMLLSTREDHREVLTYDPFYGARPIPLPAAEQRLPSIIIDNTSFTQQSSLGLALDLFGGTDLHSAVNDPPSADSHPVPRSIESSCQRGTAMPATDRVQQASFPTQSNSIRAPLAITPLLAITPLLAITPLLAITPLDHAQIVRTSPTPALIPSLPTQISMDDRQSPTCNSQYDVNLVALSGIPRTWDLTPSVTHDPILRPSNPLAVQLLPSALPSMRAILGDYRKTRVHDLLWGSRLIPLPEVERLMNDHVEEALPLTGELAAVVESFVEHF*
>EED81235
MTYTESSQVFSSHLHIIAHVYAVSVSIKINPSMSLPTMHNFPITEARLIALFLQSVTYGIHMLTFTICIWTLFKRTGVRRNLCSWSWFYVATALFIVGTVDVLFNLCHNVSAFVNNNHSEGDAKAVFLQISSRVNIMRVNNLWLLAVSSFVADGRPTQSAWYDIVTVISDAALIYRCWILWNRRWWVIAMPLLLVMVTSATAVANLSYMHTLGELSITDGNNKLYPLLTSVFASTLVHNVYCTGAINQFLWLLLGMIVYRIWRVDRWTSSLFPSSTSTRRRSKLAAVNTAIVESAVIYTASVLATFISTYSGTNACYGLSDMTLEIVGISFDLIIIRISGDASSQAFREGSYPIQVRVSTTTVKGDTEPSGCRGILGDMGTSLPELRSSVSPELSLEFDKAMDFVGGSIRQV*
>EED81236
MTSFQPLNVTFYDYEPFFQYTPQRASSTGSSSSYWYLSSVINGAETGSPHYTSFAANNAVLFEWIGTAVWLYGIAEPSAYTISNENNVNLTVPAQPLGANGVRLLYGETGMDYGPHKIQLSVLGKSTVTIVSATVTVGVGPENAVLQNRTIQTVTNTTQSGTQSINPMFSQIPSNSWSVVIPTNAEVSVPYIITTLPEGALTFQVQSAAAFQLYGILVPDYSSMIVSVTLAPPQSGFTGTSIGGANLTYPDIRPNTLIWMQTGLNQSQTYTVMVNSSNPLSFQSMVLLDAVPTATSSTLPASHTASSSASPSGARDAVKSHSLSAGAIAGVTVAVVVLCTILVSYVLFRRKHRTPLDIIQQRHSAVSIEPKPESNLELLHRPPAYSDCTDRPGPDSSADPASSSGRYTQTYSTASLLPPGEGGPALCKPFQSSPLARHADDWLVCSIDTKGQADASRPLPSLPGTASGQASSSYSGDNPWTTAGSSQVGGATSVVDGSQLDDALMYEEDAGPLPMTQPPRYDPAWSPSPPHLPAADNGEP*
>EED81237
MTDTTRGAYRQLKNDDGLMEGLLGKHVVVVICLVSIGFLLLRPPSEQQCARRLSAYSTAIDAVEYRDIKFNSSVKHQSVYKGEPNPELDRAWAGLVLGTGLTSISDAILQKLGKSGQPSLVKFLDEDGGGYMATMEVSHQLHCLNLLRKSTYFDYYSSKDALFGNGPMYRQHLDHCTEILRQQLMCTADVGLITYNWVKGYKQPFPDFNTWHKCRDLDKLITLTVAAILRAIHPTADAVFKLEYGSESRFLALPLLRPAASPMAGMFRSLLARQSVLSARGNTARPSGRLCSRLESEADRIQPDKLTWGQPVLRASLRSRYLISSPVNDAYLDAHAHMNFDKICDFLLADISDRLHWVRDLTLARDIFGSGFKSRWYNLEDGENVNLNWVPVFLEVLQLANNLRRLSISGTEVLLKTEPAIGDAFVALPELTSLELNHAGSHTIRMLARLQSTVRHLIVHHDTASDTGRTFYEYLTHGVTFPGVETIEFGDLDSELYPLTTKLYLDRQWPNVRHLTLSQGCFISLSTCTRLFPGIRSLHLKSAPGYDPHGVLLWPNLDLVRVQGGNPNSLTVISCPVHYLKLRDDSGEWYKSPRILKVIQAPSPVVLSIRARAPLNDLSFWIALLQCAPRLRCLDIIMIYDDTVKDRLCKWIEDISDAFASSRLLCIRIRIHDRFCELSDIHFLLPSLEHFARVVHPLQYLAFGLGNLDYLSKARLGRFRGKTWWWRVSICEEGRSIEAILRESGKHILAAIRSADFGDGKNLDGMNFVRPSERRCLTDRSGGDGCIMVSAAGAPSASPRAGQLLLVPSHLSLLRPNSVDNSSCLLRPPFRGSKKWNARTDAVRQAYLHAYAGYKKFAGTSDELLPLSDGSVNNFNGWGVTLIDGLDTMWIMGLHEEFYEAIPIVANMTFAQDTKSYAPFFETVIRYLGGLLSAYALSGEPILLTRADDLGRMLLPALNTTSGLPMYAVNTVTGETREGWTHGTVLWAEALSCQLEYKYLAHLTGRREYFEDVERIMDIMRDAQVTNGMFPTRWNLSKGTPMNSHFSVGAFADSAHEYLLKQWLLTSKSEPKARDLYLRAATSIIESLLYITPNRNLLYVTDTTGGSPSHTFEHLSCFLPGLLALGAHTLGLPPHEREVHAWAARGLAYTCWITYADQASGLGPDEVRMEAIRKSEENPHGGLWVHHLDRWILAGRQGGVPPGLVEVPTQPRGHRDYSSRKAGYYLRPEAVESFYIMWRTTGDEVWRERGWAVFQAIEREAKTDSGYASLLSVDESPASLKNEMPRARGVFSFFMAET*
>EED81238
MGIPEKVAAGGKTNSKTSADKICDTFAMKSDIRAMVVYAYTQLAQSALNTTEGARVCYGLAATHGLVWLAWQIPRLDPFMTRHFTHHPLSGLSYTLLTSVHSSFLHMLFNTMALMSFGPIASLYLTREQQNDSTYLRESTSQWHFLALYVSAGLSASVASHLYATRIMYPRLAAKLAAAPASAAAPIANAATSAVSATTAETAASAAEAITSVIKPSLGASGAIYATVTMTAMAFPDQHITLLFPPTPPIPIQYGVFGLMAVDVLGLLRGWRLFDHTAHLGGALFGLWYYRYGVEYWQTFRERTLGSLPRSLRGQ*
>EED81239
MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTALDVVYALKRSGRTLYGFGA*
>EED81240
MENRNNQPAPSVGTESSDIEHLSSGNASQLPSPATDNTQDTSQLGARPGRAMHINALPNEILEEIFFHNMLSHKRNDEPEDFMGLQAMEIGHVLLFTTLDSLIDASHDDNIITSAELLLYNLYRIRSLKLVACPADLAIFDTYVGDCTSVPLLQSLCIEGFMPFPERVLLMPMPRLIGYWTRRLCRLECNDIPFPWHIVRSPFTELEELCLAGECVLPPYLIVVMHSLRQLKQLKVLKTWNILPHQLNFPSNVVREIPPVSWPHLRWLELAENATAVAIFLSHVQIPKDIPIAINAATASRGIIGDQVRALNCLDRHLSLGPDEIETLHIEQDTQH*
>EED81241
MEEWLHPFMGCIVRVVESLDQTFQGGRQKWAGGHGSFAVQTNSSSHESLTDSNGRGSLEYPCNTIDIAAGRMEDRQAQLQASNAILNRHLDYSNRGFRAQSSVQIELCPAPMQGSTSSQVASVLYEAPLPAGDGPYSMLINSDRAITVEGYATGADQQFLPNGTWEQDRPDLAASCPRPDWPMCPVPSQHSQPYAGSSAYGIGLHEDTIHGESLYAGRYQYQPRLGDRVPSAFLPGLQIVGQIRNNTSYNNFPEGDAVSHGNVDQVSNTGFKYQAVTTIGHERSHAPIPGSLSTCPSATSKPRKGKQTKRKPEVSASCSVCYKVFDRAGDCNRHQRTTKCAGGARTRFSCSKCGRPFTRSDNLLMHMDKFHNFILMVNVELERARGYGQGRRSAHLNTNEAAGQAYDSGDAEITIAEQGEVEVEKEALDPAITSSDHSAVARAVSAFNEDVDTIIEWYYVYQDSF*
>EED81242
MSRKAAYVTLLTKASYLAGALVLHHCLVAVGSKYPLVIMATPGLPQAVRDVLDRRGIQIYDVPSLQPKEGSHALAAHDERFGDTWTKLRVFEMHEYERIVLLDSDMIVMRNMDELMDLELPHDWIAAAHACACNPRKLPHYPRDWIPENCAHTLMVHPTALTSPPVITESSPRPYTLLNSGTVVLNPSRKLFDAITDFLYTSPLVPTFSFPDQDLLSAFFRGKWKPLPWCYNALKTLRVIHKPMWRDEEIRCLHYILSDKPWLIPPGAGGDYEVVNQWWWDRFEKLREGMEPTDSEGWKLVTSNVVQL*
>EED81243
MPNTARSFAVKHSDGEPLTRADLQYDLLHHIFSNTQAVFSDPYRTLNGAPAGTKVTFRELYINSLLHSPRCSKASRDKIIESPAFGIEFSKMSLLSNVGRINTTMAFFPEMRTALRTYHPVPSLQKTNGNLQDAPRIKNILKSCYLDNEINGIILSPADILSRARAGQVPPTTIVNILFTFSTHAPYSSETRAHAFLWLCYHYHEAPSFNPFSDDHANAHPGHIPALRELLPEEAALENVDPPDERDWGERMTQQRRDFMESKSREEELDPSHEERPKPRGNAARNRARTRATMREMASVAGPEPAAGVAELDREASPAETQDVVSPLQDVHREAPEARATRVGVFKTEESGQPPVWALPPPSPVTPWDIPSPPSPPARWGHHRLAPRAARHYPSPPRRPPPSPPPRLPSIPDLLASPRECAEPYPRPRHARPSAEYFPTHGRPTRTRDVPVPTPYLGSPYQYPPYIHPSRLHPAFIPAPPPPPPPPPIPRRSMLEQAWHVMMSTDPLLDSDNEEADEDKRLDYILRLRIISRLRGKEPTPEPEAIPPFVPVIHNNARLYTATA*
>EED81244
MGAPSTIDETFASSYSLQGPELEFFKAQTGIQDEEELKKHVVHVQQEAWKAIIPCRMFLSFEAHLDACMASMSDLKLDADFLVLFRFKISRLPAYKQLLKLGKEREGAIFLDVGCCFGNEIRKAVSDGFPGENTIGTDLHGDFFELGNKLFKSDFPGHFIPGDALDSNFLKTVPPFYSAPETPRPDLRSLKTLTPLLGHVSAINASSFFHLFDEPQQLEVGRALAGLLSPEPGSLIFGEHAGRPVKGYRTDGLPRDDGSYEFCHSPETWKEMWDGGVFEKGTVKADALLVEVKRPDRVTDDNRDAARFWVMAWSVVRL*
>EED81245
MAEPLWQETLRMRLVERNANDSAYASIIEQYRRLAQQTKLLKERNGSLLRAMGTARANPSSSTVFVPGTGEDNPVRAAYITSLESQITSLRDELATVYKTQGQNAQRLLAMNETLREKEELSRIDSESLRKTRDEIATLRKKVEQHSELMAEKDRTAQILHDEINTLQLELGQIEERNATLIKDNAKLLQRWLDAKQADVNRMNEANEFYEDMQTRRQAALSGQPDSAAVDDQLDVVSIDYVSESGSGNGEASGEAETATTKDGTLSPTETDVNQTPNDTTSLSIMRTQALACAIFAATALSPSLVIASPLPAFAHNEAEAMSAVKKFLPSSPKAARDFSQDMHDIMPRGHQPIVFGGTLQPPHALAARTVSSLEHLEQTGAHVVESRDEPRRGVVGTAVMPANRLGPRDVSERALDADTAGGNAYSGSAGDVSGGSTGSHSHFGSTYFPRTDDADTMGGNAYTGSTGDVSGGSIENIASNDGMPTLMNINSNNAGAGGTSESGCSAGGYSSDASHGTNGDSGSDASNGAGGNAYSGSTGDAEGGSVNNVGGMINMDSNNAGAAGTSASGCATGGNVGLKNKNGTQEKVRPDEDFYSPW*
>EED81246
MRSPVIAFSILAAAVSPTLVSAGFNGNAVTHPRVTEVETKGSSNPLQVFKRQLNAEQLNRLQRGPNSFNDPGNPINALLDPLKDLPGTPVGDTNQKNNAGASSNDATTTIADDPLQDSDMSTSKLSKDVGNTAATTGGGAPVEPVAPATPYAGGKMAENDAERSADGEGLQHHSYSKADLTKGV*
>EED81247
MLSTLATVLSLSLSLPSALGHDNGLALTPQMGWNTWNYFGCDVSEDTIVSAAKAMIQYDLPKYGYEYVISDDCWQAPDRNATTGAPIADPTKFPSGMAAVADQVHLLGLKFGIYSSAGLYTCGGHFGSLGYETIDAQTYAEWGADYLKYDNCYNEGQAGTPKLSYDRYANMSLALNATGRTILYSMCNWGEDGPWNFAPTIANSWRISGDIYDNYNRFDERCPCLSMIDCKLAGYHCAMTRIIDFAAPVGQKAGVNHWNDLDMLEIGNGGMTYDEYVTHFSMWSVLKSPLILGNDVTNMTNETLEIITNDAVIAINQDPNGSAANRLWKVPVASGGDLSLWSGSLVNNQFVIALLNTSPDTQVVDVEFTDVFIDQGPSYQTGTFTIYDLWQKNDTGAWGNDIGTFTGSIPQVEIGTHQVRIWKAVPASTATKRDRMDL*
>EED81248
MNGSTVRIGRWRQKTGPGYLRERLLTLTSSMSSPLSLFSSEGVEAWASLAGWHPAKMEKALITAVSKILLRSSSKMSYLRRQWSVRTPRVAATQRSKIAIAARTRHAPAMAPWEEGINVLNKRLSPPVKCRYGVIIIMSIVDMLVAVAADEAAVEVGIDIDIDISMAIGLQGWSNPESDRWDSTTIQKPHDQKHQEQAATPQARSGVKILMRTIGLTNERNVVEDLSTRCQWSTHLSCLGIKRRGKNKMAHEKSLREMSQACTGRGARVSARKAAKQACKESEGDEDDDRGWSKAAPGAAQTRQLCAANGNLPQPGQEMARDLSRLPNWSLGSPASSFRFLDTDLCDHVTGCGQKSASSRQFGSCGAGTSPQGYPPALRSRRAL*
>EED81249
MSSTTHGSAQDFIRALKASSDPPHTGSPSKIKLAQNAWDDNGFYLPNKGEVIVEWLLTRFLKDKARASDVNPIMDTRYWQLLSDIVYSSNRTQADLTGSARTIKSWLLPILSRVPIAPIVIAFLSLLSSIEHDAQLVLNKLVSRSIAVLWPIAVPKFSPETLLECLAAVLSHLAALQFTRLNETVESLGAIPLSIVSAYRTAFANSSNKRKIYSTFLQNHLLYWIHGMHLNTGDGAHLQEAVAGIYAAGVETMFGVDVLRSLEEGKCDPALKNALAGTLAPNASTLDVLPRLLRSFIQSVRRHKTALFSQGSNRATDNVVAQVQGAGTAFYAMCDELVTRAGNIEPMRIWETRIKCLAIVEEERLHSVGNDPLTQILRENGDTALEALRGSWEEQHAQRVDAAMEIISVLTRIDYDIVSPTLPSIWKRLILNLQAGPDDARKAFGLVNSSALFSFTFLDRLTKAIHGFLTPGQVQDVTKLVLQYLRDTFKEFKEQDKRFTADEGSGARKKSRRSGTTVSVDGHPNPELAAVTFASAARAVGLVVGSLPLHIIPEEACEEIRSLVGDVHSHVIPHALKGSYKAMEAADRRETWAWQIVAAAALRVHYELSTARVLKLELGSDSSATSQLRIFLQHDGTVPEFSVEIARFLLYQVSSDPDQASSVFDNVLHYLERHLDNETSWSGKTHRLTPEAGHKQDECQQGSQGVNAANFMRRMLHDAAFWELPNVRDVFMTQIAIQTASVDQVDVEHLLSYPERKSVHADIATSVPLYATYKTLLHAPAEYLSRSARADFLQRARIADVIMSRPEQQQTEPRLLLVVREFMRRTFMHMGSVEHQGALLFFHHLVKTRLSAEFDDPSLNEFVSVTLDVITMYMSAFLKAAQRGDEDAVVSIVEAFSRFLEIPREQTTGAFQELCLLRFIETLTENYEASQFNERVLSLLQRLYERMSTTSCSRMLAVLSTSAASDLYHHIDTLKAWSRTLAAGRWLQKEVLDIVLEELYASGNGDGNTGLENVVATYIAAAHTLDLDGETS*
>EED81250
MLKFYVVTHRSEDSACWHWALTLSPPIEDPTSAAKSTIWYQILDDVCSGVQGYRQEYVRSFAPTEVVARILVGWVPPTRRLALERLIASTRHRKAGTSSEEWVRAVLTLLLSTPGKVITDEPVDPHVALRLLDKFSNEVVCGVHPSPGTGLPFTTYYSP*
>EED81251
MTLAVDLLNPSIEAEKRRHKLKRLVQSPNSYFMDVKCPGCFAITTVFSHAQTVVLCGACASVLCQPTGGRARLTEGSSYRRKN*
>EED81252
MPRHQPTLYTHPEHDADDEDDGTWSSRSQQTPPSSLNTHSKRSKGRQRSVFSPGPIQPSTPQASSSAFPQRHPNVYNQFVRRYRSGPGLDDDPRNDPDSHYYQRGLGQLLDAGDSDDEDIRGAGGSSGETDRLSSLMLDSDPIEPETLEDRERLEWQTMLASVLAGDVLRTENTRIAVALRSTHEEENNPRANLWVDLRAKVHGRSVEDERRKLEERRLRTVDPVIKEIMSFRVVDPSPGSGESRATHALRQVNSVLHRLEVAHSLYPSLRAFSADSPASNEDAFLARCDVLNTWSTVITSLRQLINRLRKWTGSDTLDVTKPTSAPSTPFGPQHGQGESSSGNATGTTFVDRLLKEESIQRQFEKGSMTTIHALVGTTRDAHVNLAPMFAEMNLPSFEKELVPLVSFLTNLAQAVLRVRLDYAQRLRNPDVLIIDQMTEDLKVKIGFACTLKRQYEAFLIPDPNGNWKLPPCISDDYDSVILEALTFFFKLIHWKLKSGARGIYFKETDVIEAQWATFSDVSLTIPGGASLVAEQLCALTNKLMVRVTNYFDTQIRIPVVGDSSKAPTTPDRRSISSNGQSNSAFQSHIKAGSSKPMSNEQRISWYSKVLDGVKQRYRKLQRLARVLTQRFGNSAEYDLEDVPLDLFISLLVETDHFLVYTQNFEEDGTYIVAPHTLRDRPDDIRKILMEAFHVNEIDEGSWLVDGGDAFQDDDEDFSYLLILSPRTRFLWNGLVLMLQIPKFELDLKDNRVRLVADGPQHRLAMAKQRFAELFTPVDEEGEFLESSLPPLTCLIDQQAHLPMVNRELRKISRANNKLAESIVESVNYVRNALHDATGYQELLENWYGFASEHGQHVQKHMDRTTMLKFNRLLIKLAISWVSFICDDCDPNDRKTFRWAVTALEFTLHRTRRNNILQLPDDQFEMLRQKVASCMTLLISHFDILGARSTIEAKREKERQEELLRQQTAIAATDEEEDLHDIPPDQDDPTVAYTDPIIRMFWDKAMRAVFDLEEQRARAGIEQHTIGRVLDEEKLVDRSLVFLAASASNVSIRWQQGRFIGAGSFGSVYLAVNLDSGSLMAVKEIKFQEVAGLPNLYSQIKDELNVMEMLHHPNIVEYYGIEVHRDKVFIFEEYCQGGSLAALLEHGRIEDEGILQIYTLQMLEGLAYLHSKGVVHRDIKPDSEYSRPQQYSLLIDISDILLDHMGVIKFVDFGAAKILAKNQRSIQRSRRASDAVPAIGPGVGIQNSLTGTPMYMSPEVIRNSHPGRQGAMDIWALGCVVLECVTGRKPWSNLDNEWYGFTLIYVIAIVMSRFRAIMFHIGVATQHPPLPDPGQLSEIGIDFIRQCLTIDPVQRPMAGDLMEHPWMLEFRAALQSYEDSELATSPPAEMPSESTYASASVARQAAIMQEKQVEIIASASPSMSPLDTPSGLNSGEFSDRDIPSQHL*
>EED81253
MSTKPKPTATRSSTRIKTNPAPTATRPGSRTAVASRAVTTEASAAAPLKKQVPRRPLHNRANSPVEIDTGIDKKARPASRNTKVPGPKSATPIDFAHDDDREPVKAFLRIRPRLGDGEPTSEPYLEPISDTAVRMTDPESSSHSRTSSANSASIYTFSHIFPPHTQQSEFFNNTTLPLVKDVLEGQSGLLFTYGVTNSGKTYTIQGGSEEGSAGILPRTLDVIFNSIEGLHGDGKYHPVRLNGIELADDSSRSGRSQVSKPHGVPALADILDDLDDAGMDIDSTTLKLDRNHEYTVWLSYSEVYNEKVYDLFASVDSPESSSQPSQSAVPRPTSTFLNIPLPSSQSHPLLLTRKALPVKSSPLSDSGLSGSEAGSAGKYVAGLRQIRVKSAAEAKALLKLGQMHRRVFGTLANSQSSRSHALVTIKVLRVHRGERNDPSSIQTSRLTLVDLAGSERTKHTHTSGDRLREAGNINKSLMVLGQCMETLRTNQRTLARSLGTTAGRMDTRDVKKGLAVVPFRHSKLTEILMDYFVGEGKAVMIVNVNPYDTGFDENSHVMRFSSLARDVYTAPAAAAPRALPSVKDRNRPSGVGPHRRKVTISMGGAGRKASEAHLEVLEEDEEHDDDGHEEDDDGPINPLVDALFDEIERLRTQASHTLYEVEMRCALVEAETREEVMEEMEERMHDMEKMFKRRLMKEVEQNERMMDAKIDMVNRSKLRHPLVDHSDEGGETDEHMQDEFEGQEELEEDPNESDDAQTYKSSESSRSPSPLAGKGQKKAKRGTTRATNRVVHSDYADDDTFDQRSDGDDWQMDTAPSQSDSREELPKQPIRTSRASGKKHDFVEAPSPIFEVDVKAGKMAAKRKSGREPSDKLSSLQETLESLTLQENARDSTVVVPNKKARQDAVTHGGEGQQNVSQKGEVDTIKKKKRQLGRAPTDEDMATILLGTSQDKKPLRRSVRH*
>EED81254
MSNIPDPESEGAASPAATPAVTPTTSTFVGRLPLHRSVSRGSQWSIPDTPRHHHQGTSYFPETSRPVSPRLTTHSMRNASSNSKRRRPGRSTSITSSHATARGYGEESEILGEEAEEEDDSDNVIVEEDGEHAHHDGDEEDEPGSLREDDPITLRDRQSLINVEHPFGLPIWKPALYKKSRSVTRYADQALHSIPSAQAERHLLPGNILWTIIFGWWLAICCFLVSGVLYLVPRGGKQYSTLVFGLGWYIAWPFGKYVESDVGSSPAEDEEAGADTIVEHPDEDEAERTHQPSVSEESDTESTPRGDVSESSHDT
>EED81255
MELDWQSPPIPIIHRSREWQSSQDSAGSSGAASTSAFITRRRSTVLHAPPPGPPPALPIPSVPALSPPAQYATYERASDMHDYQTGTSSQTHPYTRPAASASLAAVAAFSQARLAASSSPPPSAPSSPDHLSDSPPRSMLRARQAASPTEAASARLLQPEPHRSETRPSSRRALTRALELAREAVRLDSTNDDPYGAVIAYGQSVALLSEVMERVMRGEDSTESHRKQNGRRRSVVAQEEEVRRLKSIHSPPSTYASSVISQSTTSTRPSTPSSTSPSTESSSDSLHVLPTSIRRRSRENLRHSSETARGDDEDAELDAAEAMGAALSTSTILPSAGRQPPATPITTVHPYASTSAIPGVAVSLPNRTSAAPAVRLGRPRASSTLPPPAPPPTTLPPPAPSPTVPDIPVPVQSNTLRIPIPDTARGRGSSVSHSRTNSASRLASLQEEKSEDLLSPTNEIVPGPRYGDDGGRSKPRSQPNFDSVRPSPPLPPLPSSADSAITPRVSSSLSRTASEPATQSAGQYASQRPRGGSTFSTRSEMGPPASRSPLINTTPVNGTISQRRVKMSAPASSTMASSPTESNTSDASIPSMSRLNASTLPASTVSSLGINSRSRASSQPGRRPSIGASNSYFPPGATAMPNSAPVPRKASVPSRLNPNAPPHISINTALMSPPLGTASMAPLVPPPPIPHGNIPAAPLSPLPALAPPDPLRKPYHMMTLLRLTMTSKTGGYITRRLHVPQEVWSQGGVKLSNVPEKIRVVEVLLTALEEVQHWSAEYFGAGNVSSGMALGIGSIGRREGEAWAAKLEEFVGVCDSVVGNFGKKLSVGEGFVTKKSSGVATWGGRLTRQFDKITNGKNLDSPATYVQGLSRLFQQAQILDEHTKAAMSTPPAPLYAAFPADVRQAIEFKLRHASEFFARVVLTFVIQDMALLLDKYVKKCEKWLAE*
>EED81256
MYQEATVL*
>EED81257
MYNNPQLYVRDGTSDNGKLSTGTIIIIVVVCGSVVVLASILFLWRLLFRQCNRKKSNPLPPVQLLAHERQEHATTFADGKAFYSTSSLNGSMHKLTLQHAPSFASLLPRDASSSRQNSVFVDDATSAESIPTLGPPVSADNLTPPNPPFNPYISAESVESMQPSSSVPFSDVSSTISHVTSLRNGAQNAMSGYMGQDDAFDRRSVFADQWVPVGARSLSMHATSIPGPSVGRAISTNPRIPSSLSQSTTVTMSQQTYHRSQSQPRIIGARPYPPRSQSAGPSYASGSTTHTPPPPVPSRPAGYATPPQERTANEEPMRGRPRSATVVRPAPHSRGLSQDQQVAQLAALSSIPYVSDQPPPPRPPEEARWQPSRSRSRRNTLRKPKPTVPNEESSVPHNWPMYAPTI*
>EED81258
MTSVADALNASFYRLNGEELQFFKSQTGIQDEEELKKHIMEVQQEAWEVHPYPCIRGFVFTRLKISRLPAYQQLLKLGTEREGAIFLDIGCCCQVGNDLRKAIADGFPAENAIASDLYAEFLQLGHKLFKTAPETFAAHFIPGNALDQAFLKTVPPFYSPPETARPDLSSLNTLSPLAGHVSVIHASELFHLFDEPQQLELAHALAGLLSPEPGSFIFGEHGGKPVKGYRTEGRPRRDGTYRFCHSPETWTALWDGEVFAKGTVRVEARLLEIQGLDLNMVADPNTDAKFWIMLWSVTRV*
>EED81259
MSLPNVPVLDASFYRLSGEELQFFKSQTGIQDEEELKKHIMNIQQEAWEVHPYPCIRGFAFTRLKISRLPAYQQLLILGKEREGAIFLDIGCCFGNDLRKAIVDGFPAENTIASDLYADFLQLGHKLFKSSPETFAAHFIPGNAFDQAFLKTVPPFYSPPKTARPDLGSLNTLSPLAGHVSVIHASELFHLFDEPQQLELAHALAGLLSPEPGSFIFGEHGGKPVKGYRTEGRPRSDGTYQFCHSPETWTALWDGEVFAKGTVRVEARLLEIQGLDLNMVADPNTDAKFWIMLWSVTRV*
>EED81260
MVAYLWLVWYESSCRMSPRHWALAVTYEAHDLAYATFYEVFRDGNAAHYQPRVVRRVHLTSKHGNTPYAGKLLLGEINDQVLGALEMYSETATELVNSHTKKRGIHELACHDWAIIIVRSLEDALLLPRGALSRVEKSPRFG*
>EED81261
MTHLSISLFPARLLRLTQTAFPFTVARKYTMVAPNSPLAKVIDEVKVDILTRTQDNSTIESLLLRVSRIVPTQEMYTYAVQASLGDDVYDEPCTKTLEAHMAKLTGKEAALFMPSGTMSNQLALRTHLKQPPYSVLCDHRAHIARYEAGGTAFHSGAQLDYAIPANGHHLTLDDVKEHVVLGMDVHTCPTAVIELENTLNGTIMPQEDIIAISQFAHSHDIKMHLDGARIWHVAVETATPLKELCGPFDSVSLCFSKGLGAPIGSCLVGTQEFITRARWFRKMFGGGMRQTGFMAASAAYALTHHFPLLSRVHALTRRLETGLQDIGVSITSGAETCMVFFDPSPIGVSYAELVARASKLPSPIKLGGSRLVVHIQTTDETVDDFLALVRQLAQEKKDGGFVATEAHAKIHKPMLSRMLNPKGYPGTRYMSYSRHYAFDIVVHTCFENGRTNDSGF*
>EED81262
MSFLGRSGGSSTGVNPERVEMAVQELDMITDVFNRLVSSCHAKCISTRYAEGDLNKGESVCIDRCVSKFFEVNKRVGEKLQSMGGNAPSGQSAFGSL*
>EED81263
MANSEAGPSSYRPSTPPPRPPENLELTPEQIKRVEINRLRAKAKQRERELEASTSSAPNANGKRPLGVIPASSTSPTAPKPQPKLRRDSRLGKYFEYDLSKMVNSKGGFLLEDGKEADAELRAKEKERERQRAMQNLDPPLFLDPKLNPKCNECQSVDIDQTYKKVFGCLVCNKCKNEKPEKYSLLTKTECKEDYLLTDREDTHNAAELRDQELMPHLLKANPHKSTFANMMLFLRYQVEDFAWKKWGTPEALDAEYERRMAEKKKKKKKKFEESLRELRRRTRETVWQRRKDQEHKHVFGVVEKGRDGAGKQMTEVSRQEEHEQIATSLEVEQLDVNLFRSKSLWLPVRARGVYGGQVISQALVSATNCVDPAYGLHCYFLLGASPADPVIYHVGRVRDGRSYVTRSVKATQRGRTVFVMLCSFQRPELGQPVYHWPMPTGVPQPESCEDVEGYYERMLGYEGLDSKLKEYAKEYTTERKKSPMAIKNAGITNSSDGAVVYMWWFKARNIPKYDAPFQKCILSYISDSQFIGVVRRTLGLNEHYEGPKQLTMLVSRITSDSFDCGDWLLYVVEAPRAGSGRGVVHGRMYTRTGTLVAVTSQEGVVRARGTAAPPQPSKDQSKAKL*
>EED81264
MANIDVLHLPEEIKKADGASGSAGLDEGAEPKSDITIAPEASDDQGVASPASASSPASALTPLRASPANGQSSSSSTAPTLTVPHPKKFTSSNINRKFLEKTSSTSPSGQTLSASVTAKIGSSIQKPPSQAAPTHSRLVTAKLTATPQSSSTTPGWSRPPSSVSSVAPTPSAAANSKPQPIQSSSISPQPTLGKVIQPQPRGASEAFAGVVKKESSGKPVWGNARGTAAVVAKLDAVANDFPTAAEAAQGRAAKASEQKQATEAAAAQKQAVAAEEDTFRGVHLDPNAHHWDEMEEDDDNFLDGVIEFDDGRQYKVQPSDAPTRNSPPHDSAGNQEGHDVRSQLELLGPPDHPVSKEERFADDFDRSWPRSRVVTGMPYNQREQHANATSPTASSVSMHSPQEMSRVLFNERSNRLEPYSNSHVPHRQASSGPPPFMARRGSRSDKAVSPTDPRGGRDVPPHVLQQGVQLLQKPPGGDRRFDTGSHSRAFRDQHQPPALSPTENARHGDREPNASFQFPLSRTSSQGFQARSKDHYNPYGMPHLSPIGTGRDVEDRPRRTSTMGPPPLPPPPLRETGRQLPPHLGNQRSPTFSMPRAPSVPEPKDAMPSGLTAEPPSATGPSFAMPVAQSPLSTHASLSPATSVRAPLPIVVDIEDVHKETMSMAAERAKLRRKQEEEERERDKERARRKAAELEEKMKAHSEQKPQTDAQKPTVSEAQVIAVIEAAVQSIPSGKPTESPSNQDFQPPSAGRPGFARAPSTKGAPRPGPNRRTSFLAPVESSPATEVESWRSKAPRPSSSSHQTPVEPPRAPPPLLLAEIDSLDVQSGEDLEVVDFADLGRLVGMEHPPPAVAQDVKPFVRAATRPPRPVATDFFDDDIAPASALPQSARGEEGSWRRRPDRLPDQSTDIPKLSPSEATGEKATVQIVTSDPPSADVLAISTIVTESSPSRQDEPYLQTNGHHTGATHNHHAPLRSPLGPSFREAPMSALDDTMARIKGALDGLHPKEPAPAAPKLQKWLPPALRQKQVDYVTSVPGEVFDVTRSQPPRSPKPVWNVLTVKLPVHDSRRYEPVSKRQLDISRRPNARWSEVFSWSPPLMTTTPEELLFRKYFHKGRPPKYFVVIPRPRRAPHHSVSDDAQGPVVNLPPKPVTRQSSQSEKVDVQTGPSWRRIQQAETLGKEMNAAQSSSVLDTSTVNFIVSSELEDERSAEAINNPTPADVNQAAKATGEATFTNETAPPAEGTGQFLPASTDGKLDSEKSDLPPERVIITPPPSASMSPWTKSPRSFSVKESPSRHPDPEHLKAVWSHPLNKADSSSRNSLEGIADDLAAVPFTIQEVKSEDGETPPPSSSGVPPRMSSFEVARAFQTVPSPSPLGAHQGGSTLPDIGSAPQNGASRPTFAYAPPMAAANMRPPYGYPSPMMSHTPSPTVMYPHMAPSPVSRPMVMNGPPSPYGQPVWVPVPGPPSQPPGAMMRAMASPYPAQLMPYPSHGGPVPMYAGSPAAVQASLPQPSNAPQNRAPGMPMLSPVMQPAHANLPMYASSPVMMHSPAVVPVPPNHNYSGVPAGRGRRAYDQAHSHPPPQSGSYVVAPSTYGHW*
>EED81265
MRLSWLSILSLAVLVGLASAAPVAEPALEQREAYEQRSAPEAVAYFAMNHSLCDTERNHTSHVATPMGVTWKFCKRWRWRCQRTSFTGHKSLDPCGDNIRAL*
>EED81266
MASKISPNRRRSLAVLNQGASSRHNSRRRAYSIAPGEKLSPAARARRSLAPRKSILKISNVPESTESSALGDETGTQSMDFTEVHSHGPRKSLARRVSFASHAHVRLFEIQEQNTNSSGGPPSSPIEDPPNGDHRAGDESTSPDAAHSRRRSSMRRRSSTGFSEFGERSMDMDMDDTAPLPRDFLGQSDYQLGGTAVEDDEFSDEDDEDDEDMEVTEAIRLNIERKRSLSLGQGRVSLPNRRRSSVAPITASQNQSENQPPPRITRPEPEREPFDDQGQDDTISSTMSQSFVSEGSSADESQPMEFTIPIARSLRPSEPPSEAWLKLRAMTHAGDEPYEPPADSSEDDISIPTTYTQGTEEGEPMELTDAMTRLMKARSSLGLPPVSAPLASPGPTQTLSEPFDDNDAIAQEQEFQDDSFTSTEDSFANDFDAGDRTMNVTNLMRASLGAQDTSMESASVYSGAGEDERVVKVPLGVTMGPPAEAPAQPRPSENPITQKTPSPPIVVSTTGAPASKQPIFSTQPSSRPSIFTAPAPAPAAKTPAQTPCSPSKIPTATVPKPFTFSLARSTAQVAPSPVPSSASAGSNVPQSPAVKPSAAFAPPSVAPSPVPSGASAGSNVPQSPAVKPSAAFAPPSVRKSPQKRPAPPESESAAQPSPAKRAAIGKLEPAKKAPFERIVPSGQLPVSRRASMVRRPSGYFAQRKSLGAGVLPSAGRPGSPKKPGAVGLRPRGSVGAAPSGQGLGITPLQEHTADGAHLYPDVARILREDPPTPSRTGSPAPDNGKSCEREAFRQAVAVPSPTRGSPSPASSRPSSPLPFAARLSAPQRIPSPAVPLPEPETPEASSAQSPPPAVAPAPTVTQTPFLSTGIPRPTAQVQSENSATQQWQQNVVQEDLGYEDEGPPISIEQFFEMTGIRFMDELTMPKPRQSIAPPPQLRARSRRRSSAEFNSDAYEDDPISLAEFSVTMAVELPQLELFAAVSNDLTAWIEESKKICLEAENETEKVTPELFRDFVAADESEQSLLVHQLKLIKANNYGSAKSQWYDWKTVWIERLDRRAEEEFSHLESDAQVLAKVVKQAQGILPDLRAEYAQVMAELEQEQADIAEIETSDQDYLGELKATIAEQTCVTFTGQIFVRITDGDNTPFSNELEAFRTDVSESRAKLERFEEKLAEIESQKQEASSAIAYSQHSIHIKKEGTSVEVIKLRDELEALQDLHLWRTTKLAADVVEFIYASRYQVTIPCIVHKPIANKVSISRAPNSRTRERDTFPRFTDLALLTAQQMVASLGQCSLRGIVERLSDFWSSCSQVRSQLTFLAVKYPLSVETRSKIEGQLPDLHAKATLMFPSVKGKAYISFIFDSQTYSRWPMSFESLKADVEVAYGRIRIINILRNLFQDLFTWVDLSDRREGASSGQSETNSPDAHDVVPLRLRPSSAMNTPPSSLRDIYVTPSNAWSFIPPPPGPAPENLTSVYPSSSSSSPSYQWSTRTSQNPFLDLSVNVVDDESGVDVTVLAKGLAGAALLRYVTTAIVMPWQVGRILLQVQWVPRDAGEVPPDAVLTTDAVEEDELSDTSNDNDAYFADPTKLVDDTASGSPPRLADERGYIVRQSVLEEGTIPEYVMPVGTSDGPWGMMKQLGRFRAEGWLSLWKGSSSLLLPVASYTLTGFLLSPLDLIRTRLIIQSSHPRYRTYSGPIDALQQILTQEGGLRGIYLHPHLLIPALLDCALHALLPLTLPGLIASYLGFGAQIAPETHPMFWAVAELLGGCAGFLITLPVETVRRRLQAQMRGTARPLRACVELRPAPYNGVVDTMWHIVTEERSDLPLRTKSRQRHGKAKEDTGREEVEDASWSRSTGVGQLYRGLSIRIMASVVMFVAAVVSGDDTTDAGWAEL*
>EED81267
MAANGMTISPDAQAQVNGIVHDDTAKNRVPVHTFNPDASPEAKAAQAGQHADKLKPSDDGAANGRELAVDTGNSAIVPTITIEHADDTHNNGDAQYEELQSPLSPQSSETQIPGAMPEGPAPAIPDWYKVGWRAVGGIDSPAKTEGEEKDKYVLAAFISEQFYGEWYHNASLVVALMGAPKAVIFSHFFTRFGFGWGWLFILLAFCNTYYTTSMARVRRRARDDIQRELVKTRLSSESEFETADWINNFLDRFWLIYEPVLSQTIIASVDQILSTNCPTFLESLRLSTFTLGTKAPRIDKVKTSPRTSDDVVLMEWGVSFTPNDVSELTEKQKQDKVNPKIVLSVRVGKGIASATIPILLEDISFTGVLRVRMKLMTTFPHVQLVDLSFLEKPAFDWVLKPIGGETFGFDIGFIPGLSNFIREMVHGTLAPMMYDPNVFTLNLEQLLSGEPLDQAIGVLQVTVQSARGLRGSKISGGSPDPYVSLSINSRSELARTKAKQDTANPTWSETKFLLVNSLTESLILSVMDFNDHRKDSEIGSASFDMSKLREDASYEGLEAPILKDGKDKGMIRYDVTFYPVLKSSGDTGGKEELPEDTKVGIVRLTMHQAKDLDHTKSMSGDLNPFCRVHLGTDPHPIFTTNKMKHTNNPVWETSTEWLCTDRPGSVVTIKIVDDREFLKDPIIGYMSVRVEDLLNANKEAGRDWWELSNCKSGRVRLSADWKPLNMPGSVHGADQYVPPIGVVRLWLQKASDVKNVEVALGGKSDPYVRVQINNITQGRTEVINNSKCFLLTASVLTSDALCPDLNPEWDQIIYIPVHSLKETMMLECMDYQHLTKDRSLGYVELKVSDLAKPVAGDSEFLHESTGKRAAAEPIRLDKGSYKGKLHYEAEFVPAIPVKGIGFESGPNLIQRAAQRGAESEGEIVDDRSSTSSMRRERTAHHVPEGITVSRPLGLDEKFEAAGGNLSPSSPTSPIPAQPAENADGAAAPEGGKAEEERPEEQGEELSKEELLQHQSGVIVFNVISGQLHKKARLEVLLDEAYWPAFSTVRPHSHNAHWEYIGEGFVKELDFGRVWLRLNEADEGDRDDIIAEWKGDAKPFLQHTLDGPMTFTLLHKDDEDKKSTVELEARYVPVPIVLEPRESVNNQGMLRVDLLDGSEIQGVDRGGKSDPFVVFHLNGQKMYKSQTKKKTLNPEWNENFVLQVPSRVAADLTVEAFDWNQIEQAKSLGSGKLDLADIEPFQSAERVIPLSSAKHGQKGFVRVRLLFQPEIIVKTRKNTSTFSSAGRAMTQIGHIPVGLAAGAGKGVIHGVTGVFKSKDHVKSEVVEADDRASVSDLPAGQSSQPIGSSSIANAASAVFPSLGTNGSIFNSAGSLNGQSQEHGSLRVMIMDAKDLSSGDIKPYVVVRVGDKEHKTKHSHKTMTPECPRHATQDARMDL*
>EED81268
MELERNAFVTTLAKFTFLNNLGEMKTKNMDAIKTLLDVAVTEGNNLKGSWREVLTCVSQLEHMQLISSGVEIPDAGRKSRVRKPPTEELANESRSTHITVAADMVFSLSHYLSGTAIVEFVRALCDVSWEEIQSSGLSQHPRLFSLQKLVEIAYYNMNRIRLEWSNIWEILGEHFNQVCCHNNPHVGFFALDALRQLAMRFLEKEELPHFKFQKDFLRPFEYTMIHNSNPDIRDMVLQCLQQMIQARVGNMRSGWRTMFGVFSAASKVPTERIVSSAFELVTRLNKEHFTAIVRHGAFADLTVCITDFCKVNKYQKISLLAIAMLRGIIPIMLNSPDCGFNASADDSNRSIDDPMIKFWFPVLFGFYDVIMNGEDLEVRRLALDSLFTTLKSYGKTFPVDFWDTVCQELLFPIFAVLKSSQDLSRFSTQEDMSVWLSTTMIQALRNLIDLYTFYFETLERFLDGLLDLLCVCICQENDTLARIGTSCLQQLLESNVKKLSPARWERVATTFVKLFRTTTPHQLFDESLRVEIDGNVSDLPDSPETNGQAIVPAPLSPNEQQKNAKVSVNDRRRIFRQIIVKCVLQLLLIETTNDLLRNDEVYNTIPPEHLLRLMGVLDHSYQFARMAVESW*
>EED81269
MTLSCGKVVDPSPVHISHDQYPDQLVPKESTEQHTSSNENRTHAIATTDADSEAEAGSGTGAYKTEDVVSNAQDERSANTLSPEQHVFEDAFQNQAATGNRLPTHEPNMPDLVTSIRGMYRILDLINEQGSGGLVDKVIISQESLGKFMNDLQPGSYISITKVDFAVLDNIGVKPIGIYGSKTEIIRFLESVDAVGNATAHALRAETSQSLSAVRPVLRSGLYILRVPQGGVEDGAEVLYAIYWPEDTTWEYNPPSTAERNRVTFMRYLTKIADQLVALISDDDAGKIIWKGDDDDVAPHDLDEDEDEDDRMFIFKVTKLHEQEENVTSRPGWTIEVPSFPSSPPPNISDNFASLPCLIHGEERQGLLHAVFVPARRISKALDEVFTESRLRSLIKDKSFRLDHDISVASIEILLSLGLVERAPAASKAYRSRVDEIQCRAKESGTAQEKQIQTKLKENADRLQRALRDMCIQHIIRKYPAVDPLSLAEPSTQDSSDSTDVGTQHGHGTILSAEQVVLPLTIVCVDALDDLSKLHPGIRDVLADAEKDHQWQSVRTPQMKKYKERILLLDFMFQDLGNAHATRDEMAELINAVINQGDTKTMAEVVKSSDGSNQSFFAKTLSFFFGTDVAKDRITQAENYAADVSYAVFLSSLDSIVEREPLLFDAALETKRLAHETLKRNVNTQMHKLMARIQKLQEDALKPQIWHDVNSQRDHDIELARTEFVQAVYDSFVSTTGRTFYLINTVTKASRYISFHSWAVSGSSEEYSESALRYTIRPILLTEEDGNRLKLDPSFIPTPRVPSYAAASFELPLEKHISHIQALPNNRCLLIVDDRRSTVKVYLEPLLSLAHALEHHNAEKKLLSREKIGEQCIFAFNEAKRMLAVLGISQAEHRVELHIFVFDENYTTLKGLGSAVKLNPWYDNAVTITHATFVCGSEELALVDDRARVRIFSIVTQQFRPAFQQLDSVPDAIYSSPDGACLLSLHTVAGTSVMRVYHWSTFGSSGGISVGLNELNTNDCVVTSLCRRTNVHVVSLDADSGRCASLALDITRKATEFAFRGEKEGVAQSTQTEAARNCFLDCHVDVWRRFPVISAIRRQTISSSSRRKSRTLVCVTSLDQAAYAPYFANCISSFEKATQKPTGTELSGIEATALRYEAFASRTDLGGDVSCFRAGEWLADILCLIPIQIAVARENRFIPIKDGVFSNDLERSLLGADVARIIDSLSIGEQSVGKSFALNHLVDTSFAGSAMRTTEGVWMSVAPLDDMIIVALDFEEALGQTTLRVGVHSIERSAQEDTLLVLFNTAISNLSNDWGALSQTMTAHRAQLLLTLLPNALAFGATEVTPEFEPLKDLDTNILITEPDTTSRFFVTELIDREGMIQRDDALATVIRSWHNFAKRPEIPEQEWIEDLTSFLDRTVEKRIGAVQEWISMNTKRCPADHADMQSLQRAARSMIIDLKSNCAECQLSCILRRHHEGGHSCQTSHKCLLNCEYEERDVEPCGLPAGHPGTHICHITAHLCGKPCELRVTNLTTDIYVIRDNARSSVNYANGCVPMGTIYTPCKGRRCICVAPGLCYIETTPQSVEATFTGRHETFQYTKCLNASNV*
>EED81270
MKVDSTDILGGRLQVSPPGLSWSQVFLRAGVVGGCFFLAVVALYMQCPPVRRWADDKYRRRMRRRFGVRDKDRRPFSVAWASQKLDGQASARFTHGDQPHAQPMPPTFPTEDYNLRHRVPQASVNHNVLNGVSPSVHLFSEYQHHISPPGPSAFSQAVPPVVSTHQHSPDTSSSSSTLSQASHAQSAAPVFQRGGKHAREEEADPVFEKKTRLAGDGAQGGQSDGEQQSGDDMEVDEDVEERPKRGAKRSANSEDDENADGARGVGRDKRARKVSLQKAAVQPDVEMVDEEDGSPMTPEFEPSRRGKKRDRTDADSTIDGDDSFVDEADLEERQMSGRRRKRRTISRRSAAVAARGQKRGREVDSADSDEDGDRPKKRDMRKKGSYSGNAAIVAGRRIGEEWESNSVRFKVGPNGQRLRQATVRRPRSKFPMPTDSQHPDRGTSIEVYVETWLTEEEYKIAKERRELAWQDTTNEPTTPVDVSYTMLIVPLQGFSAATNKGLLWQPRMRQDSLQRGPFRQSVASNLRINPVPYSPASPAPPGPIRRVDSTEGPAALPSTPENAKIRSTRSYSKWEKQDIEAQAMSRIRVKPQFDALPAPEAKKAESAPSFQPIAPAAPAPTFSFAKPAEKDAQPATEKAAAAPASIAPPASTPSTTGGISFLGAPKPPTPSLEAPKTSNSLPFPVTAPAPAAQAKAPAPSTFSFATPPTAAAASSGSTQPTTTSVQGAQVGQNAGPATGTTPGIFSFAKPATTAPSSTSGFNPFGAKPQTPSAPAATDSTPKSTFSFGPSSGQKSTEQEKWNQPASSGASGNPLFNRLGSFAPATNNAPATTNAPSGSSNNATFSFAKPDAPANSGTPAAGGLFATPSNAGSTAPASTTGTSTTAPKFNFGFSKPTPSASTDAPAQSGSTTTTTAAPKSPFGFTAPPAAAPSGSSSSPSPFGFTPSQPSSSSAFSTNNNATMTAAQPANPTNTGKSAFGFGPTGSSTTPASNPFAAPAGSSGSGSLFGGAANNAQAKPADSPKPLFSFTPGGTGFTGTPSFGSNQATTSTPAAPTSAPSFSFATPASSAPAMSTGSSSAAPSGNTNATQTKPAFSFNFDGAPSTSSSSNPFGTQPATSSGSSNPMSAFAAQPAAPSTSGQAPAFGIQPAASSTFGQQSQLGPGGFGFGNNSSGFSSFNSMTSNQNQKQVILAAVFVRDAATLRMQMMSDENSTTPRPRPPAKARRASSLQFPSFPPPPYAPSLDQVVLDVAGAGPGSPDDMPLPLPTHDAEAWVNEKSREELSHLLLQADGLIKSRESELSVTSALCKTLFNDNIALKNKHETLLARLPTSPAPSVPGTPNVLSPPYDSAALPRSPNYDDALLPAPRFRHQRRISVTTSDLTRLADQNAELLDKLERLEAESQEADQAGRRKLRKLEREIQGLREELEQTQEKEAELEEKARTALHASATESQRRREREERVRAFKGGVVQSTESDVESEEVRDFAPPSELASSTSLRIPRTTKSPADTEAEDMGLAGNAAISSSDGALSSRVHLSSTIARSQSAAESAIVSQLLAKIHELEQTNAEIKQEQRGTEERMRSAQWDVESIKRTYDFLNDQTGIELEMVDEDDPEESPTLGKRIVSGGTIKFSSLQRSIHQDLSGLHTEEPDSFASGISRDMQSTARSMLDQQRHAGGHRPRKSVVGLFDSDSDPVSGDTSADVSHAPLKWPTTHGQTADSESEMGEMTTWSTAATDGLAPPSPFSSMLPTPVEGPGFGRTLGSELGSEFGDDWSANAPNHHLRNTSLYNISGLDLSREASLSPAVSERPLFVFPVPDVTSPGTSPETGSWAADPEAGPSTPPRGLQLTVEPPTPSSADKLEKPGLTRAYRLSQTMRSRTHRWVEGRFSPVASSDPPRRRVPKPATVRQRSGMSSAVLTNDPLDLQNGEVSTSPFLHAPAVRGPGTEFDGDPMDEESTETERVAVRVLPGEVLGPAERRRQGLTGLMLEVWLWLQFVLVILVFLWAMAKRGPRSVLDEAERRRHTRGATI*
>EED81271
MKIVTKEEQDAQMRATIVGGAKGFAGGLAVALPLSYLLNRRVQHYRHLPPSLKAFGVILIAVPSFVINAEHAGLNYEKQNWHASSYSAARHEYGFIGGAWAATMVGAFGYIMRNPYQTLPQKVVQARMWAQGLTIGVLIAAGALTHARKAKEVDEFGHRHIEPDHSWRDIVAQEEKHLKEQQPNKSI*
>EED81272
MVQLTASNTRNYSSSGAPDQLTRTCATTKADAGDRFYGHWIEQDRTGFQLLDFPHLEKTLGSPHESISSLSFIPFPRMSSSIPGTFSEDVKFGTYNVKWSYPHSSFDVVQHYILHKGPEARSRELLLAAAGWTRQLHEEILVFERGYWKKDSELWTDMQKASWDDIILKQEFKDELQQDVTGFFDSQKLYKSLAIPWKACLIYLERGIIMLGPPGNGKTISVKAIMKDCYAAGHAPLYVKSFKSAAGEEYSIAQVFRKAREMAPCVVVLEDLDSLINEGNRAFFLNQLDGLAGNDGLLVLASTNHFERLDPALSNRPSRFDRKYPFENPDEEERGMYAKYWQDKLHDNKGVLFPDSLVAEIAATTDGFSFAYLKEVFVASLVLLASNKKLLFASVTRAQIKSLRKQLEKDRREGNAQNL*
>EED81273
MAGLFPTSRWNKSRVSSATAPSSSPPSSFPTYIAAGALFPSKGNLFSPSGVPSCTVTVKHSPELTPFDIKSTIDAAGFDIVATPTGVEQCTPLSIHSFTRIPALLTGRSQKHFQHAVTHALSELPGVSDAVVNLLGHSATAKVARAELVPTLVETIEDIGYEAEVVTVQPLRPTPTTRHASRAEIDGAHRLTVSVGGMTCASCSNTVTDIMSALSGVSDVVVNLLGGSATAVIERKDLSPQVVEAIEDAGYEAEVISVEPLDQPDGDEEEVGPRTVSLRIEGMFCSRNPTDEWELDTVPRSISYLPSPPTFTLRHIIHAISSSATPASPFTVTIHHPPSLEDRARNMQQREQWHLLERLIFSVVVAIPTFIIGIVYMDLLPSSNPRRIWFEEPMWGNAARAVWALFFLATPVMFYSAGTFHRRSLKEVWALWRPGSRTPVWRRFVRFGSMNLLVSTGVSVAYFASIALLALSALQAPSPSGRGDMTTYFDSVVFLTMFLLIGRFLEAYSKGRTADAITALGKLRPTSALLVEMTVQRVDAELLEVGDIVRVQNGSTPPADGTIVAGNDGAFDESSLTGESRLVKKNVGDKIFLGTINKAGVVDVRVDAIGGETMLDHVVKVVREGQTRRAPIERVADLLTGYFVPVVTLLAVVTWVIWLGLGLGGVLPESYLDIDVGGWVVWSLEFAIAVFVVACPCGIGLAAPTALLVGSGLAAKYGILARGGGEAFQEAAQLDIIVFDKTGTLTQGGEPRVTDIEVVSSESDSRRTREVVLGIAAEIESASSHPLATAIRQYCAENSAAAQIGSEFSETPGRGLSAQFDSLHCSAIIGNEAWMAANDCQVDGQLANKLDAWKTEGKSVIILAIRDESVAGSVFSFTVAAMFAVADPLRPEAQDVIAQLHGQGIGTWMISGDNVITAKAVARTVGIPETNVIAGVLPHEKQVGMKRPQRSLRSLFTKEKVNNRCVVAMVGDGINDAPALTAADVGIAIGSGSDVAISSAAFILVSSNLYTLLTLTDLSRKVFNRVKFNFLWATIYNAIAVPIAAGVIYPAGNARLPPVWASLLMALS*
>EED81274
MAAAFSRIQLAAALIEYDNDDSDPTKPRKSAQESVIFAHLRRNNPTHRSAARRSTDYLGVAIPGETGSVMGRESIVDDSRRSRGSFDALRNPFGRDSTYEGILGEDEEENEMEVDLSSWGLDALLPQDKEDKDPRKKAKSEVLPNPHAAIPSERPSGARSEMGRQGVHAGRTMSMGNFDSFGEGGAFLDARPTMGSRRHSIGTALDFPQAKRPVEQRTHRGRTASSHALIENIPPTPPLHSIPFPTSESVRSVSPLPTEGTPMMRPSSLVSENVLNRAHGRTYSTASLGSQMLSNEEQDEELPNPFAVRPPSPDRASRFDPKARARTASQASFGALTPGLNGDDTNPFALRPPSPSRSSRFDPKAIRARTVSNGSLGTQMLLDNDRYDARSSSPDASPRPRRYSRLELMRPKVLIMPSPLQSAARPSQPSVPPRSLDGFEMHTDGPPLPPGARAARRAASSTMSMIDTSTSPGLVASNSFTPNPRASLTLSQLTFRNTLAVDGQRDPSYADIESHLRRATHDGQQIEPEPEPEPEPNPTTPMPPPAIVLDPADRSRRPAGKLYGKSLIDALESRKAEMKGKQRTFRGDERPSMMARTPMQRSSTLIDPESLKQRPQSQHMDSYHSQPNLSRRNSKTLVNLEDGIPGVRASQHLGAMDSASPGNKKSVFGVDTLWERELAKLREMEQQERHEAEDAARRQTAEDAKHGKKGKKGKKSKKGKHTGEEPESSEVLAESATNLTLSSAPEEAAPGAASPSYVLPNIPRASARRRPPPPTAGDDQSDGESDSDTPGVNNRKTLAKEVEADQWVAGSSDEEDRVQRLRVPGTGPRFVKNSGSNRVSTAPNFRKVDDESSEEDLPLVATIDRAARRMTRANLQDDDSDEEKPLSVILDKTLDKTKLKLSSVGSFGDSLFPDSAKGDQDEEDDKPLGLRVSRVINSSQALSSASQEADDDKPLAFHPEQMRRTQYMMSQQQQQQQQLMMQAQFTQSMIFGTPSMMASGFFGPPMPPPMMMPPQLPATPPPMHETGKFGRVDKWRHDVAVEGPE*
>EED81275
MQAFGAGLRHAGCRSAILKASPIASSSRIFWDPRRHASSGPLGWIRQNLGMNVRKQMTEEELAAARKDQVEKGHLSVFESLPTATEEGSSAPGADLSKKYTEHKYSTANFKISHRKLNMLGRQISGKPVDLAILQMQFSEKRASKRIKSMLAVAKDHATRLKGLQEKKLIVAEAWVTKGPKVLKRIEPKGRGRMGIREHPDSRLHVMLREGKTRAELLKDERARKLRRIVSAGITRDDVPIRNPGSSWAW*
>EED81276
MARCYWVQFDVMGIPGAKRQAVQWHGKAASRLRNLRTGYDRRRPCVRIYNINWFVHLCMLMKGYCISHTNTIDYPWNCLGTGTLVDVGGGVGGTSLDLAKQFPHLHFVVEDREPTIEQAKVVWSQEYPEAIGNGRVRLLTHDFFTEQPIRGARVYFLRHILHDWPDDECVAILSQLRKAMCPESIVLIADKVVHTTAGSSRLKSAPWPLPPNYGSAHQSTNVHDLVMMAVHNGMERTPEMFSTLATRAGLTLTRIWECQSSNALVEMRLPGVRGAMAAL*
>EED81277
MSSDSGHPRRQPPSPLVFSPETVLPPILSQSHSGSSNVTASVHSSGSNTVSMPFVRRHVTRRLKAAKAECDKELQRVVNSITAFFEERLREGDHEAESEREQRERENEHERGRDRSDARGEPLKEAFVFQPAQLRSALQFEDVGSDGGYDAEQEGSTSYHSRHRASLDDDRAAQHALTGGPPSPGLLTASVSSASPASLRRHSTLPRNRSVNDVPSASTGTSSPALSAMTSPTPPPEVVSPRKQSSAAATRDWSGQTLANRRLSRTIHIPTRPVRSGASSRSTSRSRSPLPRPHHVDQSNNNRRSSRILVDDPVDPIMTTLYEIIGVAQDVQEMSLNQLTAQPKVCEELVQRVQNIGKAWDEHPDWHGRNCLSRVVEWWEAEKQFWNFDDNDDEQEEPLTFVLKPAEEEQSLVSTPPVRTDMEGGALRLTQEEESRLRMSRTSSQGRRWRDEAPKDLSLTPARQGSEHAPRSLSKLPDNTESARVLATERLRLQAEIAQNQNIVLELSMDGDHFLWVNYAWRNVVGYVAGIYLMGKTK*
>EED81278
MVAQLCMPISVPTVXSGGERSKLLAFGRINSPSVQPCLVVLSVRISTTGCPAVLTGTSICKFVIQFCTACASFWRAHSMAKGPKKAKVKGAEKPAAVPSASVEQITVDHPKSAEQSSALLTEAPEQDTAHREVIPGVTGQQAAPVSTNAAWSAAQPPAAAAPDDDGWGPVADEGSDMWGGGSAASAAGGADMDWGMPGGAAVGGWDQPASAWTPASAKESGDKRGGSAFVPPPPASFAAAAPSPALTAGTATGGWGNAQPSWGAPPPSGWAQSAGGWDEPQPSGWPGPAPPPAPPPPPMSAPSLAGRPSGPSGAWKNWGNEARGLPKVITATSSVPPSAASAAPFLTAAAPRSRPMLSPEQQSQRLQSLLTHPTQTKAVPLQSQPGPPADRQQQAAMHQQAPPTARGPRPYGTPMSAPPPPPPPPAAAAAAFTPSAYPQAIHPSFWTDEKASKTMDMATGRHTTVFELASPRNGLGENAFIESRGQALMHAQRAFFSRSRPAKERIYWAFNPNKDDRVASLLRWVQAMSNGLATIGLQKFLQTGERGALITNADHRVGTPAQPAFDWINMAQLQLTLDRILQESVALYDPSMQVIVFVFLLSNSGNSMAVWRRKLPVPESLRQAYEQDIMAAKENLEQDYPVYVEELPPKPEEKPKKKRNLLSKLKWRKKAENLLYNVRSWVILGSLVFDAYSQVWLSSTLTFGVSFAQDKAFTCQKVRHLLPYALVRKRLALLHDQVAKAATADALMRRDIHNLVTENTLMRGELAKTLGERGAGLQDIRKQLQLLEEHHRAGLEGVEDIKEQVRQGEIAIFRSLSLRVIGRHPRARVGSECLSTSPPSISPTPVGFQIDAYGSAQPVDAPRGTHTPYLTLLCLGTIALAPYVLARRRLVSLQTEVAALRIEREETLRRNMRWRALLASAQRTEHRKVIALLEETKSCVEKLREETEDGRSTRADAEARVQGELRGMRRMLERLEADGMQRDHMRAEWEKLRRNDLRHLLQDNQRIRCE*
>EED81279
MRSSTVASVLVLMFSPIALAQSTVSVIWNSDYDTSSTGMNTTTCATVLDQSYPTFGAVPTFPYLAGASFVTDSNTTGCGTCWSLVSDVGRTTVVVINGAADGFVITEEAANDLTGHHQFLWYNVQANATQLDASVCGL*
>EED81280
MLMQPRGPIVVHPYPACGAEIAGQARGDPDLPRRVEAIAQPTATPQAIPQPSLGPRLEGPSKTEPRDTPPHSWAGPSSAVTPTTSVPVLLIYWTINTALSTIELKVQVALSLLDGDAQAWATPIFAQLAAVQIQVQGATTPFANEAAFLTAFKACFGNLDDTAAAQVELTKLCADKSLREKCTAAEFSALFKGPVDRSGYGDLELRDKYLGGIPSRVYRKVELEVFITWQDADKRANKVEQILDISRAQRPKLNNFFSARGRGRGGACGGAPQSHGASASINAAVGKGNFPGSCYGCGKQGY*
>EED81281
MPNAEMKSNIWPLRTGSRREGMSRGSIPNRERPATSAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAINAEVERRFEEQKRLAEEEARRIEEAAKRAKAAEERRLEDERRRKEEEERLLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMTKVDMAAAAIEKRQSGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED81282
MMVDVRAKYDGFVVRRTLGSLDWEGKAISGLPPYAEHILMLKLTGEEYKNLDTIANEAAELNPGGSIAYNSGKTAANRAMWAEDAGTPRVRLGRTPAWGHAGCGLKTPAHHACVLDARPRGATSSPPPWRQDAG*
>EED81283
MAEPETYLAVLKAAYDYDPQPDAEEELAIKEGQALLLLDRIDEDWWKVKVKPDSQDEEGPSGLVPAAYVEEATHISVVKVLYDYEATQSTELTVSEEDLLYVYDKDDAWMLVRKESDDSRVGYVPGNYVEEVTREEPSDAAPPAPAISQIVVPPSPQRPVSTYVDPAERVAAASAKAQADDIKTWTISEVDKKGKKKKGTLGVGNGAVFFASEADKTPVQKWQTADIQNVHIEKSKHVHIEIGGSDATNLHFHAGAKDVAEAIVAKLESSRALAAPSAPSTLSPSVEEVHEPRRASPQKAVHFDASEPEIIPPREPSDDGAEEQPEGEAAVALYDFAADGEDELSVAEGETLYVLERDSDEWWKCRNSSGGEGVVPASYIELVNAAASTSAATGDEDSAASAAAEAAREAEERAEARRQERAREERERAERERKKAEAEKRAKAAATAAEADRKRREQGRARKEEETRAAAAASESRKERRDSVDRPESSRSKKANGDSSSSSRGSIDKRGPPTGDTRVWHDKSGQFRVEAAFLGLIDGKLRLHKVNGVIIEVPREKMSPEDLKYVEKLTKDAQPRKSEDDDQPLELRRRSLQPEAMRAAKSKKKSTIDWFEFFLGAGCDVDDCTRYASAFERDKIDESILPDITESTMRSLGLREGDIIRVKKAIEQRQPKKAPVDADQIRRDEELARQLQAEETGSSGGKPRSQPPNLFAGPNGALKNNSMRRGRPQSNKGGPPSSVDLQSITSASDQMQRTGSPSAASPDSTAPSSAAPIQPPSRSSSAAPVASGFDDNAWTNRPSSTKPTAAPTPPALAARAPSAPPAPPAPPAPPAPPAPAPLAPTPPVAPAQPATPTFKQSLTGCLVASPLTAQPTGWGYQGVNGYDRSMIPFLLESQETYPERASRYLANCEHEGSDKTCKLVLHNTYRLVIEANKALQNLRNDWCPSCDVFELRIYTQLVEISQNTKAKAIVQFEHAFRRRQGEQLTAEVARQLGAWLLGARAVNHSTYVVEHGLMWKHCSTPALASSASAS*
>EED81284
MTITVVRKIAEHEAKIVSRRQHIDSSTPFRPSGSHLTAKTLAPVFLDLLQASSLEYPRGLLSTMMMSSKDVAPADVARRITSASLYEYVVSHPGRSQYSRSGGGVSACGLATLNCARVIFELERTGIKNAEFICALDVLRPCLSWSSPVHLDVDEIHKVPIFRKTLKMITSDFAQSGLEQFKTVLGRLQDMTRKGGSITCAIVTRPPEIIACFMIPTDGNDYFVIFDSHPRPDKHPHGAGFILNNSLSATASYITNLLKYDDRLLSDSTLQWQAQLLAHFSAHIFTSSPTSGSAAELTEATLQASLEVLSLRARVLDMESQNGYLTTDNERLSGEVSRLEDEVAELKAQARRARAPSQTQLFVQDRSYRNASRAVDVIRDSQSQKGKGREVYVPYASTNEVDSKQYIPPRRQIEGNYASSSRHAGSAYHDPMYDRDFALAAALQAEQMPSQDGAYAASMQREFDGEDARLKAQMTTLKHTAPGIFECGVCLEEFNVDVVARIDICGHQFCRTCILGHTAAKIDERRYPIVCPICMADKGLKKQGERQYEVFVEMQLAAFSVILHCRKYDISLPLTFDYNAFCFAWLQAATRISATSVERPLPNQSRGWGSKLHSLHTICGVHYSRMLHEKVVHDGCDRPFVMGRIYAKVISDALRLSRVTFETWTTSFVASNETADSDYREADATPFASWGPGSILFGICNVLMFSMSTYPVKSDSTVVNLRMSSQGPTSLVCRISHTRFDNRGFKLVGDTTSSECNTGLAGQM*
>EED81285
MAESRVITYEELKANNTKASLYVLIHQKVYNVAKFIDEHPGGDEVILAETDVAGKDATEPFEDVGHSDEARAILKDLYVGEFEKNSTLKTKGGYDSSASSSQAVNTAVQQGSNLMYFVPLGMLGAYFAWRYYSSGTV*
>EED81286
MVWSLRLCISWTLLALILRPTTITHAQQISTNTPVPPLQWINLTNHLSGESPPPLKDASIGYDDTTRTLIIFGGVSAQGIRQQSTYLLNLNSLTWSQPSPPTGNTQAPPARSAAISGYDLAASYREGHIVIGGIGADGSALSDVWEFDFVNQFWQEVQVSPGGPSPRYGAVGGRDRRVLAASVPNLSWPNTSFYLAGGIDASTVSPLSDVWRLDVTGTLSSNNLNDIFASWDQVDISTTGIPSKVGAAGAVISQGAYQMLAAVDGCSSSVAFTPNSSCAQPDSYIINTGAGTVASASPCPAPRLDGTVLPNFNPVGTSSTPQVFLVLGLVNYTLWNDQGGLEKGEVDVLDIGTGEWARILPAGDPGSSNPGGTPAYPSARQGAVGLTFTEALVGNSRGNATDSIVFGGVDAEGNYLSEVWILRAYNAQLTQSNQSWTNYDGQLVGGPDATGQGVTDQYMTVCASALSPKATQTTAFEPSATSTGASPTVTSSPTPSPYDTSVTHKALSPISVALVMPAVIFSRLAWPAVSAQTTGVFSPFLLLSVVLGLGAYALGITGLATSFTTIHTTVISVVKRSSTSSNILKTAHGRAGLALFVVFYGLLPLLFAFSFCIRRPSGAPSQHEVNGNGEARHRANSSVAAEKEGLFARVVARLAPRPSSTGEIVGRHWSSESTPDTALSPPSSNRSFEVTNRPARTRRASGNSLAAFSDPRSSGTPRNLSDLSWWERRRSLNTVGELDYALGQLGPRNGDPSTPATTVMDMTSTNGLMTGPGAQPRTAPEMPNTFDSCLHILFHLLLLGLCILILVALWYRITRLVWASEGISTHSDAGSLTW*
>EED81287
MSWNDEGSEQMGRWQQPSVHPTDRMINPNCNVSNLRDGIGTDMPCTEELTVDDDVRMSKMCFLVVVSQSACPHPGFPRSVAGRGMTSMTPVSQDDRRLEGAISTSGYNVFSRACALMCMTCMSKQVETSMSKRISSRPLAMSGTEPTMAAHTGPQLSLASLDARQERAPEPFIEHKHERGPRQSFILPLHTMAAAATQTLYQYSWFSPGFARYRRAALRSYVLSNVANWIIMWISLAVVYGAYFKNNQLGKLPVYVVDFDGSTLGAQVVDAFRASLAQPNHFKLIFDAPFTSNAEVRHAVYDEKAWGAVVVNRGATDALQSALASGNASYDPASAIEVISEGARNALTANSHVVPNILAILAPVVTAAAENATAAFLSAHTDDPAALQTALRCPRCLATAYAYTQTDLRPADNASAFGAVLSGAIALIVFTFTVAGTSNTIGLAIGEHLNIPSTIVWRILNCLVAYLFISLSITGVQAAFGIPVTVPFGGRGFIILWILSGVEDADILAVLARLNFLTVSSFGYALEAIVTTFGIWINNWFLNFWVFWNSAAASYSAELMPGFYLYDLGFPLVHAIQGTSTIMYGTKSHLATNFGVLAAWTVGWAAAMSVATIVKKLVSSLVEITVVSMSAEKVWLILRVPILVHI*
>EED81288
MSSPAAIPDKETLKLLLPLRYNGKTVIECNQFLSQLRIYWLINMSLTTIELKVQVALSLLDGDARAWATPYFAQLMSVQIGVQGATTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCTDKSVREKCTAAEFSALFMSRLEVKRQGNI*
>EED81289
MAPYIPFVPTEAFAIATEEEWHNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHIMVQNQQALVDSYKQQVDALPASMGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLALKDFVKYAERFRTLGRLT*
>EED81290
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDNVGKPRRIVRPTIKPLIRRPFNPECAEKVKHDMEELALRAHLFKKQQLLDHISDPAPPLIDHIDMQAGPSYKYEPPKPLPDIHFQRTKILLCTSEYNEMFAATADRLEPVFAQMEKKEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED81291
MPSRRLPVRSGHMLLFISAFGMTSFYRWTWSYHFWSPPRGDFKEFAAFGFPKTSPVVTRSQAREAASRSATENLDSSSRTPSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPKLLGLTTSDYDTSTPELFERSSSSPEPEDPIPSTLNLVLPTPSSVRAHAQPPIAASSRISVIPTSDLAPPPPLTPLNAASNFNPAPPAPTIPSTTTTSSSSPAPTTTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADNTRKWSLADIDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSPIEQSCAFLRGFQPALLTRLET*
>EED81292
MSSPAAVPDKETLKLLLPLRYDGKSVIECNRFISQLLIYWTINTALSSLELKIQVALSLLDGDARAWATPIFSQLASIQIGIQGATTPFVDEKAFLQAFKARFGNLDDTAAAQVELTKLCSDKTMREKRTAAEFSALLDGAAAHGTARAPLTQPL*
>EED81293
MIIIVGGGPAGCAAALSLRKSNPDVTTLLLDDADPAAFKIGESLPADAKNMLVYLSPTLYTDFTKDIAEGVHMPCSGNASAWETPDLRETYAVSNPFGMGLHLDRARFDQCLRDAVAGTTAGSQCTVVHGVFNKVEKTEAPEYQGWIVYVRRYGSGETDTYRAKWLVDASGRKASVARKLGAKTIKTDALLAFHMLFSATASTQHDHDGRTVIEAATAGWWYTAQLPRGRRVVVYHTNDDDASAKLARKQAGFLALLDETKYISRVIAEHGYEAVAEGHGKEPRPGCTAAGSSHLVPPMEDRLTHGWLAVGDAAMAFDPLSSQGMITAMKMGCVVGDALARRLRGEPDVEDLVSQLLRTYIRVRSRYEDEKGYFYDQVSRFTGPFWESKTTA*
>EED81294
MRTLRSELKRAEAALKRSKECTEHEIKKAYRGESLKHHPDKGGGEEKFKLVVEAHSVLSDPAQRERYNMGEDEDGMGSDMGSMGGMGSMSPMDLSELFAQFHGGSGFGGGVFGDGGSGRRSGFSS*
>EED81295
MTEQRSRSKDTDAQPGTRLWRHRILWSKDRRRVQTPDQAGIPARTPSANRKNNNLHTKSTERAAHTDYVSSKPAHSASKSSRSQNPRSAVGGLLLVIGSWWALRAVQAKISLAPHLGCWRPLARATSTRRIWKALYTVLNCGATRRRGVRATNGTQRRLTAKRGGARRGVRCSTGDHGMHGEEGGLLVEPLVPWCPDGRKDAAITARHGRLRREMRRSAGRGRRGSGPRGVPVTDAQRTWQDPASGSKKAAGQSPGRPVGRETREPAQRRQGARRVRTCICGLEMKRTRKAGRGAAERGRGHRLGRDVRRLALQQPLRAQRTGSTPGRMYARPPPKCERRSYETVCRTSLARGTGRAACGTHGVCPAAGRSPAGPVWLGPHMTAQRDLTSVRPYKDDIGGCWPSCVVAARGLCGAEIEFNPGSSNSMLNPGWTGFFPELAPPGLF*
>EED81296
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVSDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEALATQPWLDPEGKLQAMRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED81297
MNLPWACLRSSIRTLTRPRHAAPGTLRIQSRNVSHYNVDVAGLTAEQAEFRDAVAEFAQREVAPRAAEIDRSNNFPSDLWEKLGSMGLLGITVSPQYGGLSLGYFNHTLAMEELSRASGSVALSYGAHSNLCVNQIHRWGTDAQKEKYLPDLIAGKKVGSLAMSEPGSGSDVISMKLRAEKVDGGYKLNGNKFWITNGPTASTLVVYAKTSPDKGSRGITAFIIESGFAGFSTHQKLDKLGMRGSDTCELVFEDCFVPEESVLGPVDGGAKVLMSGLDLERLVLSGGPLGLMQAAFDYAVEYVHERKQFGQPVGTFQLMQAKIADMYTKLNASRSYVYAVAKACDAGKVSRRDCAGAILYSSDRAVEVTIDAMQCLGGNGYTNEYPTGRFLRDARLYCVGAGTQEIRRMLIGREFNAEFKRRSS*
>EED81298
MSSISSGSDAPMLDAKIDNRTLAEIFRDLPSPKASAKYPVNCTQGMRTSLYPYQRETVSAMLAREAESPATINDPLFIPMKGMDGTSFYLQPSTMESWQECPQVAQSRGGILCEELGTGKTVIVLSLIVATLDQLPEPKTSVPNICPPLTPLAFRHFPNVEFAAAREHFARGCSTRVRLEQQEPRQIASLVETLLHYCKVHPENLDIRSHIESLKRRLREPLRLNVAYYYESMPELVRTRRNYTDPGPRKMYLSPATLVVVPVNLFLQWRNEIMKHCHDTLRVLEVKTDTVLPGAVDLASNYDCKVPSATVTPLLQVRWKRLVIDEGHVSASIVTNLTPFAKILSVERKWIVTGTPTTNLLGLQFGGGSELQYPESPVAEGSPAADAIEPNLVVRTWTRDDREDLRKLSNMIVHFLEVPQFAAGAKTLFNKAIIQPLMANPRPRPGAIEVLEQVMSSVMIRHRIIDVEEDVLLPLLHQKTILLDLDQYAIKSYNALQAGIVINAVDSERTGQDYLFHPSLRVMFWHVDDEKCYNVNEIANGSKEFLQNARHRNISEEDLILLQQAISCVYSAVHDPTWRAMQNHVSVFHRVHNILSNVYEAWSSLPARVTRRYRRVSRSDQVLSSERLTKLRSFVTRQPLADMTRIVLAVRDVMDEEEARLHSEQELIQRKTKKRKQTNKHRKEQEVAQHAVAPEKRDEVQREFVAGQKWLLALFQNEMPGRAAGVHRQPSITSRLLSLSPLAGVRIGKSTSSKLDFILNEVLQHSPTEKFLIFSNSPLTLKFVAEGLELVQIKHIRFTTMDKPKLREQFVTTFETSALYRVFLMELKHGARGLYGPAFMNLISASRVIFCEPVWQADVEIQAIKTRPVTVQTLAIRSTWEEAIVTRREALGKSKDNDAKQTRLIEDRGIRDFIAKPTFLKEKAVTRLNFNVPLLRIRQDQNEDEEQDDAVAIQLPAEGPAFKKRRVVKFTDE*
>EED81299
MSTATPTVLPRSPLFPHLLPKPPQPHTTPLPRHDQRVGRFNSRRDLQREMDDLSDEEEEFDDIASELRSRGYNFLIPIGRLFTQHEEKNDASVAYLVLDNEGSDDSDESESSEESPSAMEDEENESAEEDLDADMDDMDAANATGETVDVSTDEYGQGSSEAGDEDEEEEEPSSDL*
>EED81300
MNGRPHKQSMSELKLRRLTEHNQRLKEDLARPRIRVSEASASLIRYCKTTKDPLVPSVWGPVARNEDPYAQQSGGGKGCCVIQ*
>EED81301
MSPKCS*
>EED81302
MHGHAIEHIPPPNRETATDVKALLKSTRAAVREVDSVKNISGRQKIGTFERIRSLEVELEKYRKKYRELKSQIKITRHSQEKERAEDKRLLAREQSQGQDSLRELHKKLESKKSELAVVQEKMERSEESIKKLDEEMKLWRTSSIRYKKKVGLILDILRGLALIWIKFYALKAGVKARNRGDSSDDSLEVI*
>EED81303
MTVSIEIRPHASSLDMYGQPDKSTAYSLSGDVVISLSSSYSLFERRRAIRLLLESLIIEFEGQCELITDETGYTPFRVCSVSKELVVREAVELSNEGHEDASLPSVWSVAFSLVIPGWLPPTSAYGDCESGDAGTRYGLYASAKFLDLDEGSSRSLFSLCTAPFRSRTRVIAAPRCEVTVNRFISTLVDDLSSPSTSSTIDYTVLPEQRKDQSSSGFPYEVISKLRAAVSVPEHIDVDDDSFSLCIRLRTQDLPESECKRLRITGFEVDVEQTEHYRITVPSAYKSAYPLPPSSHQPPCKPLRDANPVALIYEVGLAGICTPHSSCTRTFSLLPAGTSGRYVFAGDGYAFRDDANPGRDESWYGVRTQVPFTEPLDEKEGKTARRLRASGQSPLFGVGHQLHISLTSTYDLDNGERATEHLKFQIPLRFAHVVPAYPPSPSLSSFVMSQVPGHSPSSSLDLLSSVTSPLTPSPAYAQSLPAYSQLYDSNGERKIDYSVPLPLYTPTAPCRIPPPSSLASLSTTVNA*
>EED81304
MSSPAAAPDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLINTSLTTIELKVQVALSLLDDDACAWATPYFAQLASVQMGVQGVTTPFRNEAAFTDAFKARFGNLDDAAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTMWRAAEKRATEVEQILDISRARRPDFGQHQCGRRKRRLPRHMLWLREARVPSF*
>EED81305
MSSRSTTPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELHVAAAVKQLAERASESWVEWVRGDWPELATAIDAEVERRLEEQKRLAEEEARHIKEAAKRAKAAEDRRLEDERRRKDEEDRLRQAAEDERRAQEAANEELARIAAAEGLLDKGKGCAIVDEEVAELSDNPSIKTPRTVERLFAMTEVDMAATAIEKRQARQKCDRCAGYRLAPVECVWVENATTCERCAQFQQGCYFDKVSVLSKTKKMRGGGSTTKKRIWPTSPGPSVADSSGLKKCRVDEPPRPLLRRPFNGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVHGAVDDD*
>EED81306
MCFCYSYHSALLPSS*
>EED81307
MGSWMPSMMTAICTSCSSWPPVSRCIST*
>EED81308
MTDPELHLVRYKTVQEFLHEMKPFDESFMNLALGPLWDDISTNPTRSEERSELSNRPLFAVLSANVLVMTLTRDTAHHPWKLAIPSTLNPDSVHYQFTIAGASRLLASTLDALVEPGGIGRIAAPSPVMDVFIDAWATSLSGKGIQITALPLDLIARSCYATRQTVPSSSACSLPANITIALAAAEDVSSLVPLFVDFTYHTHQVATPEAARASLSRAVQGHKLWVCRFDGDIAGFTVVGRETPNTIAIRNVFVRPEHRRKGLAEGMVGVLTRFYLGLDTVLEPHYPMGQPKREVCLLVKDPAVERIYARCGFLVGEDVRDPETGRKACYAFVSRGVQTYRQREKRILKTAMSSVSAIDLTWFHGNGPIGDNATLLNQNET*
>EED81309
MTVIASVLGVATAFLRLPACCSRPHHCLNTLKHILAAMAPRKKAKKNSKASDAKEHCLKHTGKEILWGLSKRYCDPCREKTLAVLSFLNVRDLPRSLTDLRSRDWWKHLNHDDDWGLEEDVDEFDKSWKSLEGKPDAQLEFINTRKSQVAEVQKHAKLCRQWEKDRVASRAKELQQLKMDRFQSALDRLRQLGWGEELERIAPTYWPLAQYGPLRAAQKLTDRIWHNIGGGVVTILEDIRDERRRVRHTEILRKRLDALCTVLLNVYAKSPRTVETEHKPRICDMIEMPEVREIIHSSNDSTLGSENEEKLRALFPNLVERWQAEAREELTELASRWVECVDGADILALAATHFVCSGCLKLLPYPEILGHECLRASASTYGRHPYNQYCVYTIAAWKVGVNSRPWNGQDGENCVITLRTAVEEEWTRWMSGSYDKTLEYKGHVATESELAVAKKDGQERLWTRHKYPWCCSLCTPRGDDLARGRKALLYTIRLHMKEIHSIHDACEENGDFYAYPELDMQPF*
>EED81310
MQMHIYGIDYNATKHEVKKAIADALHDEYFFDAMDPSTRQINFKVTLEMDDARGLRNTGQGTLMLPSLRSYNLYNRFKRWLAIDSNEIIVHNRPLRFRRSEADARRTDADYLKHEGAREEKVDAVREHIRADRVQFGVLFYPSGTGPRDLAFSSEWEYRRKHLVQPWIVVDYDNKKVRIELGDFSAGNLEAYIIINFAEIEKIGVGHDFGNVLMQFATHLRLIFPNEQELQHFRHHCQVVGLPTFSARLEAFTTSFFSRDKLQRLNALLLNGQLNTEELRRLRPHIEELHKPMPHQAADILRYFRLALSSDRTLNSNLEQCLARVAGERVSLFDHRNPKSVFFACQHVTFTPTRMILEGPTRVQSNSVIRKYWPHRDHFIRVDFLDENLSQYRFDREVDGPAFLHDHVGKVLKGGFEVAGSPFDYSPDSEMQPAVRMTADRIRGALGDLSEIKIPAKYAARIGQAFTSTMCSMPISRDQWEEIDEIWEDRENPRSHTDGVGRLSQALMDRIWDIVCNLYPDYGVYGVKPSAVQFRFLGKSFNTIVQKITHSSSPLGYKGVLAVDPQLSGERICFRPEMRKFKPLICPYCREGNLDFCPHENDQNLHIADIFDSPKRAYLTRDLVSILEDRGVHLKAFMDLQQEAKMKTYQARDSVTQFMDILQTHHMGFQFRLPRLLERLDSLGVDATRNQEADDFTRRVREHAIQHVLCDMKNRARIPVPRSYLLVGVADEGPAYTKQGYENVFQLGANQIFGPYILYTCSQALTARRGHHDFLQPAFNKVQMQNHSGSVAIVLLRGALRYILVMVRGASSWYFSYRELTVFLSAARCCYWETIPNRRADLPIRSYEERSCASFRCDLYYVFQYYQLLPTIHIAPASYNDIKPPGRPFDWTDVCDFITEYMHSDALHGSHDPRCMRLAELCSDAVDYPKTGRPVDMRTAPGKLMQYNPDWYADEDTNANPLADSYQSDRVLGHLYRGIKLGVIEPSERTPGISPEISLSTQRLNDSTSRVILPLVRGHLDGIPAALTGYQDVLPTFKVYLAELRCIAFTHSLSLKAGSFITEEEIVLGVILMTSSERRMKKDRTYRMRDDTTDLVQQIRRSLLSISGDTPSVDELRAGLRKAWAAWGLSVQNRKLYGAHSFGFIALGVIFDCLDKLAATTA*
>EED81311
MADIKADNESLVFALRTLGFYMERDRLVLEAMSLKDQNVRLKKAFDRAVENVCIMSLLYDVKESRAGKLKLSNRRKWSRWQENRNALFIQTISVIHIIDRPCSMSCFLQRQTGHIGKGGLGEDNSVIFDAMVNIIKTSTRDKAEVYYFWAMRRGDCLEIDLQDLPHQSLGW*
>EED81312
MNVPDPPWKQKTRPCPFYSQGRCLFAESCNFLHTAKVQRTEDGLLSTCERSLPSPPESPITNARSAMATKFVRFRSPPRSPRLSSLLMALGDVIHQEEEEDNDDVDEEPEASVVSSPEVVVSEPELAPAEYVPAPIDENVDEDAESTTLVNSAVSSSPMLPDTELDYAYTPRSSSIVPSEDAYSDDGEVTITQFRDSYASASSGTSGLLSPIEMASAPLTLPQRRISAEVHRGDSFDSGYADSCCSIGPVPATFMTSPPQPRSVRLESRLSVLSSPFSSPATRAQMVGIEPRVEVEFFSPRMQPARTEPQVTVSDEPDHQLDVAGEVPQEQLTVAVAVSSPVEAEPWAASPVMATPAVNALYDGYLDDEADELSALPSPFDEPPRPPMAVAAEAVYSLAGDAAATLPPATVDMVARAFEPVMDIFANCALKVATSDAVVVIDQPTLKRGPSSVPASCSTDASPTSIAWSSDASSLLVSDAAGIFKYILGDASLAPIYSSPDEEAARGPIRALVSKDRGTNVLFVREQRVHILEIHSKKIAQTFDSHKSTITSLALSNDASLLATTSASAIHVHNLTHGSHTVLRGISANATISTCSFHAHSRTRLLVGAGAQLLVCDTTRPSGAVKTIPLSKEKAALGDVVSIASSPFSKTLVAVACSGGTICLVDLDKEKGICRTVSLHVPLTALVFSPEGATLYAGTENGKVMVQDLRALDKPPRSITVSEKGGRVVAMSIQKKSKSEDSTVKTAGMASSKPLVQQDVNKNPTRRAASSTSTDPKAAPAAKLKVTSPVATRVSSFKSTPVKARMSSYGSPNVMRTRAAAAKSPNARKASNGGVAKKVFSPPRSTLPRGGAAVPGASEDFNISVRVENLLGLPTTNTAKENINPMQDVPEPTRKADAPDTISAVSHPSRATSREAGKMRTRTTSTNRTTASSASAVSKRRSLTPSPDLPDVDLDGPVTPLPASKSKGKGKMAAMGVLGLGTPEVDRWIKAGQLQVAEEGETDKDEGKRVGFASEDDDEVNEHRERQDRKDTVRESADVNFVVPETAMQVSPRRVHGGPSWTPVPSPLRNLANSHPSSPNTHAAANLLQSLLRDATYDFRQETHGELVGLHLDMLRMGRGLRTEMRSVVDEFRGEMSALREENTRLRVENERLRRGY*
>EED81313
MGEGRTEKILYLYWERIRAAGPPRAWRLSTNTTNSAPCVVIGAAFWFEGTTLRTAKGPSCAVQGRFSSVARIVSRLRCDRTGGPSSANYAHRERPESYEEDEEVYSDGEDVSPLTAQAGGPGHTHGDEEDERLDMQDLILARALRQRAESVEKVATGMLDQPPELPHLHPDDLIDPPTSPQLRPQHVQRQHVLPNGAKSPVPAHGAQPRGRSVLGRAGSTTGSSPGDPPSGQSCSPLVGGVTGWQDGSGIGSGLARSGPQGTVLRRPPDAQVPSRLDGSPQMCSTKLSELIVRFMRLSALVAMDLGREATEERAGIEPLEVLLGVGLGINPAFAQRSRNRGQARENVQGGAAEGSARQGPEAEEENEEEDEFKQFDPDDLPELQEATRVLFPSLRDPGVANGMHRREGAELEYDIEMVERLQRFYDVPQSTPDVATHMEDLQWQSPADAVERTAVRFCEAIARWRVKPELETYKKATWNIRNGERRANAGAAMSIDALVHSNPASPSNSARQPAGPARFAHQAESRTRKPLIEKCFAMPQAAAMQGRKRRRSETDRMQEDARRMQNPPIFG*
>EED81314
MVTFFSHTRDGPFSRPGRRGVFDGGMRARGECREEILELKKGDMLASGMLKSSGLPTDLWETPLVASIAWRTSRGWGTDVQEEHYIPDSIAGRKVGRRALSEPGSGRNVTGMKLRAEKAGGGDGVGAKRALPALAGFSCPSQGCALCTRSWICQVMRDCAGAILCPSDRVAAAAVDAMQCLSGDGDVHPWCMLAACCDMVRMSTLVVQSGPLMGSSGTRACIAPAQARGRSGRCLSDASPSAVLRAREVAVAM*
>EED81315
MDGGFDYYLSEALSPPKDIMALTRCAQAALKERYYGFAPPTSCTLVPLPPALRQNPRFPRARALAVCPTMRIPADMDWHRDLVYNIMWTLLVELEHWNETHGEGERIARVVMTGMGTGVGRVDKAVCARQMVLAVKHFLDA
>EED81316
MCTTPAASGSVSNGMTLIVVSTMA*
>EED81317
MFKFGVFNAVQSQCFDIVCAAPTGSGKTVLFELAIIRMLMQSGGNSNTSKCIYIAPTKALCSEKCREWTTKFQALGVNCCELTGDTVQLGKNAWGNARDASIMYGEKWDSLTRSWRRHGQILSQIQLFLIDEVHILNESRGSTLEVVLSRMKARGSSVRFVVVSATVPNIKDVASWIGDGTPDGSATVMQFGEEFRPCRLSKFVYGFFRKREQNDFVFQTVLNAKLYGILQQHSVNKPMLVFCSTRKGVMGTADQILKEYEEASQKKQALPWTRPPRYMYVNIVYHSRTELAAYGIGIHHAGMSMDDRRATEDLYLRKLLRILFATSTLAVGVNLPAHTVIIKGVKVFQNNACQEYSDLDIMQMMGRAGRPQFDKEGVAIIMCETELEAKYNALVQGQTLLESCLHLNLSEHINSEVGLGTITDLNSAKAWLHNSFLFRRIQQNPKHYAIGKECNQTWQERVDEMVTESITKLRQTELVMYSDDTQTRLCSTEYGDVMSKFYIKQSTVIFLFISYRGIFIEELKDGAHPQPARTRIYNRMRGHNDIRYQLKKVEKPADKIFILIQAVLGCISLSDPEYKSGDSNPSLEASSVFRHVVRIAKAIVEVAILKKAGGLLKSSLEVYLLNRRPPFGHEVIAAVQQFPQYLLNINEVDLATFAGKKPIQVELSIKCGLTENLSSNKPKRAKDKSSDMTIVFTVTSDLDFLDFRRIPTKALKESKTFSLTAELSRQSQSITVYITSESIAGVTVSNTYKPTVNAKEYPTPDTRPQTSVEVMLEGFEEDPDFWNMGPDDDDVPVKLE
>EED81318
MPSSSRHARTASPPLTSTSYSNTLAAGQRLNVVTRLAIEGKSQRGSEGAAIRMYLKMSIPLDSITPGATLALFPEENLKILDSQVHPLDNNSVPYNFSSTTSPMLHRAARALNLPARSSSMYVPTPDSMSSSSASIPPLDNNYTGHILVSGYHVSYVLPREFPRREHESRSRRGASVMQFMASVDIWVPFLSSPPQAPYLRPLKLTSRKLSIPVPRCLSNHIKLRIFPPNPSAASSMASISSADEEGGAWELTSDPHVTRNTARLSRSHSYNNFADDESSDASTSAGFAEGCGIQGTFPCTDRIRMRWATPVKPGQVPEAADGRRRVGIREVKGDMTCGILGNKGKGWDRGSSGVLMKLEYTAVCKGVWFPGVATLLGMDVGLEAEDCDVSWAPGYEQRWAINGSTGFTGYAIDGPPKPASRPSTSRQSSADYPPPSIYVLPSTPDGKLAVNGHTQASQGYASNSPSPSLLRAPLPVQNVADYSFESSPVTTPTGSVSSLTSLTGPSSPERTRKKRSSSINGRYPGSDTDVDAEVDVRPPRAPITVHLNMNELLPPPRNAFTFSISGVVVVTHRNTPLTPESRRSSPRSRPPADSEIDEEPVTLPRFRIFYADKESISTVVRNEADRTSVDIYDPVSARSGGKKLRAVLHKGKDARCGAEAIRVAVHAAQTGPPNSRRHDSSDDSLDFSLGRTRTSGGMRSVSSTSRLREMSMMSSAKLQPQRDGALMIPTVTANVTPLLGEGTGFQNGYAVHVCLPAPANTDSDWLEFGLALPGMGASISDSKELSMRRGVAADGPPNIDIASVSLEGVPVRFQTSTVAKPQTGNTTLTFEETSGKEWISWVRVHVGEIGGGKVELIYLVKGQQQEPPADEEVKGKLYKKDKQKAVDTIPMDILLPSFALPVGQLQVDIFIREGYEITSLRTNMVEQQSTVRSRRLLGLSLSEFFYPRVRLCIAATPHPPSRQPRSYKTFSIGVLAATFLLLFGMVVMQRVDLQRSYTQLQVAKASAASYAAMLESNHSEPPETVTVTASATSVITASAHRWWLGTDSPVSNEPTTTPVETMGTPDPSTTTYATLSRAETAEMPTLTPSLLSPSNTPTNYAVMPPKDIVWSLGFNLPTIPIPHIDFSEAARTTVKTVVDGMGSLWQLFRKVVHYPLDPP*
>EED81319
MSSVDLQQLYLENVSSMNIMYKPGLGEFLWAVVTTPFGIPVCLGHALLRLLLPPALSSSFDTSVTKTLDLTFFGQIHVIGHLLLLILIPSVFGAAYARSQGIRRPRILLYAQMASLIIVNLVTGPLAPLFVPTIGAAIGFVGGLYADMRLDELDASQLDDSLLSPNAAAIAGWYGYALVFLTCKFLHALWVFSKEQSQPTVGLRIQTDISGVLPFDVLPLELEDVARD*
>EED81320
MVRQQTNRVQKLSPLASRPQKASTARYIRARQKSPLAAIHPSARDVRTRLQSTNTNRFLKTRSQLATICEEEGEEEEPFDRGFDPYRELHAFERDEERSRRRHGGEQDELMDWDDESTLVALLQESAPGEDEEEDLAQLANRLRAPMAAQGAIMKKYLADTLVPVLTRVKEVHGLLEDKVDLAFGAGILTFDEVCKKVEAMALRDEDDLKTAYTDAQQAYTRRDALWIGHLLTDHLAWLVIVAERAKATLEALPAELEVVIAQLERRSKDLDKDSGAASKQKMLMGLLDKLMLVYLTQSG*
>EED81321
MSSASPANRAVEVLAAKLRRRQVVGSREAALETVLVLRQVVARARFSNIDQLVSLLRDVGKRLAEAQPKEHTVGNIVRKVLHNIREEYNTASSGVAASTSSKNVFSISKFVLLGQPRKQTVAPKSEATISLKENDPDDPDSFAKAIKPVLMEAIQDVLDELETVYDNVSKNAKDHIHSDEIILTIGHSKTVEAFLKIAGHYRNYTVIVAETAPSYSGHEMAQSLSSAGISTFLVPDSSIYAIMSRVNKVIIGAHAILANGGMFAISGSLLAATAARAHSTPVVVCAGQFKLTPLWNLYHEYGALDFADPSSVLGFEEGALVDKVDVVNPYYDYVGPEMVDVFITNDGDHPPSSVYRLVKESYDDDDQLL*
>EED81322
MPTIVPTIEQIEDYVQSVEELLYSSLQAVTPDLPLVGQAIHRLWEDVARFGPQSLPTLPELHIPGLGTFEVPPPPPPPPPPPPPQSLWDYSTKWAARHPWTSTLALGAVGTGLLVGYGYYRTGARPVRVRATASSEKRQVVGPSVVLGGDSPLGLPLISELERIGYVVITSVSTAETVADIERKCHGYVRALVLDPAEPDTIPYFLRSLSSTLSRRFPINAAGDPHASPSSHLHIHSVISLLTLPSPEAAPLPAPLEHLALRDSYSTYLQTTHIIPLQLLQAMMPLLRSSPARARDALANNLGKKSIIVCLPVTDARVGVPFGSAQAMSAAATLRGVEVLRREIRMAALTDDSASMKNIKVVVVDVGAIGVPDASQEDPGDALNAMDDWTPMEKLAYGSAFSAVVEEGSHVGVHREPTDVSIFVSTVIDVVSGGRKSRPATFGAAILLGLGRLREWIRGDRVLVGAGARTYALASHLPAIVLDTLLNMPHFLISARNALLPIQPHLMPPATAIPPVQQAHVVSATTEPKAEVKHSTIHSDPEHQEEEVSETGSEADVESNEGSGVTGSWISVRDDGSIGTSLWLVPTASEAARLERVMQIKSASAKSPSSFVDFDPHVTLTTIPTTPDAEAILRAAIPAGQAAIPLKFRSVDVGEKYFMSVYAVVHESDRLAELRVHLRAVLGSPTVPPVPHVSLYYIDNADRDERARVAEELRPSTGKSGASSFVQLIIRIQ*
>EED81323
MPRITLTSHASTIPAPSSLTVTGNRALIEPRAPSLLKTDALCPSDESNTPLDQISSQARGDPDLPQRTEAVVQPSAAPQAIPQPFLGPQLERPPKTEPSDTPPHSWAGSLSVVPASPSVPILRNPAPGLPPLPPPLSPPRGRTSTRSSRSLPGGQSQQSPSSAGSPPFPSSPVMSLPAPPPDKEMLKLLLPLRYDGKTVVECNRFISQLLIYWAINTTLSTVELKVQVALSLLDGDARAWATPIFAQLAAVQIGTQGATIPFTDEAAFLTVFKARFGNLDDAAAAQVELTKLCADKTVREKRTAAEFSALFKGPVDRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAADKCATEVEQILDVSWARQPECRDLRSSD*
>EED81324
MPSLPPPHSPLSLALLTASTVLSWRMARRVVVRHSL*
>EED81325
MTHQPSLSNTSPGDWKYVAEGGASIVFSYTGPADHRFSGTVLRLRKTTHDFVNDSAAESLPTKDIDDLFIPIHQRVTRRLVPEGYLPRLLSARVEREWLMSLDQLSANQRPLDRLRTDRIDISRHNAILVTDLVGSAEWAVEIKARTCRFCMHTSFKAGQRGDTELHFCPLDLYSGEEYRVRRALCALWDSWISSCGTINNLKIFVKGEVLKPTGEVQSLQLLVRQLSRTETATFDTSAALSLRDIRERFVASLLPILLETSVLYTLSNLQRTLDPLDIEGLSTLWTNSYPQFVSSSVFGTSAQEPTLEEWTRFIDEYLSRTTITGRSGHHPDPQPEDLRYYCLAYLLSATFKDCSIMISMTPATGEQDAGRRGSVKIVDLDVKRISRLAKWERLDKEIVSAYASSANPRTCVDQQQVMES*
>EED81326
MYIIRLLEHANIIQFLGLEETSESLSMFVEFPSCGSLAACLHTHGAFDQEMAKLFLRQIVAGLVYIHGLEILHGHLKADNILLDADGTCKIANFFVSKSPDTISTEMAELHAYVHLENTMFWLPRAYTILPLEDAVFWMAPEVIRAHTDVIYSWNDRNLYGAKVDIWGVGCILYEMWTGERPWAGLGHTSVLVDLYQKEHGPMLPTDVTVSLLADDFRRRCFVMDPDERPTAVALQDHAYLIPRSGSSLRGLDI*
>EED81327
MTSRPQAFTKVDLTPRRHHGYAVLLFIMGTLLPPLAVAARFGIGSDFFINLILTICGYIPGHCHNFYIQNIRNNKNHKRTPKWVQRYGLVDTSEIKRKERRSQWASRYGDRLPTSTLRNQSLEEGQEGGSSVDLASQDGDGRPPNASGEFWSRNEERYYGANGDRASVESSSRWRYPANFDDAAPVDTNKKSRRKKKDKKDRWARTEDAYSITDANGSAKKRKSKRKSKAAPADADSETYSRNSSTTEFPEDPEGGLYGERQRAPEREVARVAEPVNEEDIFNQEL*
>EED81328
MSSRAAQHPYGHPIAALPQALRLARCQSQHILQQLVDEVPSEDDVVNQPITRDEERILTLNAGVVASLEDLFSWRKKDRTSRTSTLTPIESFRFQRAMYRAWLVSHLYGLGSMPPESDYALEEKAMNDNLEKQRKFLQGYNALDLLQLRAASCFLTELGSWVAFAEGRIIGSLEVYDFDGLYLFAGPRAILQCYEEITTEPIFRGGYLTDDGLFSKFLMGPLEQILQLRQIDHAGKEYRLCLVDCSPGENDRCAHCQKAYYYLPSIKPGLYLYNETNWDYLKGQELIVANYRHLKGKLGLNEQDRAMGKVARAMDCSKLLHELFNVARDPYRNWSRKEWFCHDCIRNLYEDTLPFWWLERKKRDSERWLDMDMTVIFKSDAQVPRMRANSITFVNLSSSTPTRALSGSIGIARESSGNDW*
>EED81329
MTTQLQLHDGDIDLESTMGQVFIGVLFSSLAYGLTCAQSLFYAWNYPADSSWQKTMDICIGPSTHYALVSQLTQNTRLARAEARGSAFPSIVQDMKEAESEVFYQVPQFTHLRTQNFSWTFRVRRFPIISPPRFTQQFVSSAHDVHRVTHTRLNTSSRETAQIIVVFAVQCFFIHKIARLVRERRRQVALSACMLLFALASVGSGIGVSDYLFDSTHLRNGVPGSLQVVFALVTDAAITTALTCVLHGEKTQFRGMGNTLAKLMMHCSLRQFYFRVHDNPLMVSDIFHWHDPACTVYVNSLLAVLIVSIPRPEYMRFRLNVRHHLRAQAAPVLRFTASRTERASAPEDVIALGEMPVGSGRQHTYPKRRGLLRTSGEPESLQIVETVVRHGEGSDNTRF*
>EED81330
MEPSRQPSSQGYLDPLTQTRINELPRHVIDSSVATGVEPFQEAKSPRYPYPFSGKKTDELPQQAIDHLVLVTRSYGMLVPLASYAAAAEQHALTYKLFEELKVIDNIIVASRHDATNVPPKTDSRTRTNTWWPKALQRDDPYIRQIVERLLPERVRIVNKFVVLFDALVWIELKDSTLPTSATATLRDTLLYPVLDYADAALADVQRFVEREWKPRRRIYSDIWGYRAVTAIGKARQRYFATWAQRSSWPTTSGPSDWGTPAALP*
>EED81331
MIKTEDISMIIESLSRTIATLIQPTTHATHNHAPALRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALEEVFDGVEILQWKKPTTPAVPKSAEASGSAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAKEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIAKPSRFRRTHEEGREAGSILSRVAKRIF*
>EED81332
MSARSATPASTPSLVNHRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDKETELRVAAAVKQLAERASESWVEWVRGDWPELATAIDAEVERRLEEQKHLAEEEACRVEEAAKRAKAAKERRLEDERRRKDEEERCLEDERRAQEAADEELARITAAEGLLDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMTEVDMAAAAIEKRQAGQKCDCCAGYCSAPVDCVWVDNATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPVPSVAESSGSKKRRVDEPPRPLLRQPLDGASRLGLEPDDLDALDLDDKSRGIIRVIHEERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFLHGAVDDE*
>EED81333
MGRLGQAVHVGPCAAGLCAACRRGPRGHSRAQIGHPDRLRRITLATRQSDGLNTPLVPAAPSCLDPPCGILALSPPAPAFLKLSPVQVKYEEIFLQTLCQSLSLRRVQVKKESRSPSPQILLGPPHRQHLPPCQQSLTLTSGGPPQPPPPPQRPLSPPTPIMSSPAAAPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLVNTLLTTIELKVQVALSLLNGDARAWATPYFAQLASVQVGVQGATTPFANEAAFATAFRARFGNLDDEAAAQVELAKLCADKSADRFGYGDLELRDKYLSGIPSRVYCKIELETFTTWKDAEKRTTEVEQILDISRARWPELNNFFLARG*
>EED81334
MAYPLY*
>EED81335
MTTTPKIMEIPQGHVTWKQVETKFNKSWRPPNKIIKPTIRHIYKIVESAMFLNPYNTYRKRIGNECFRYHGTTRLCQLGNTGQTTLCNLPECAVCNILRTSFSVELANPSGAYVLIKFIIVYMTLLTRTSLPLSDSFGAGIYTSSASNKFVIALPSGYDSVVFDRMNGQLNETIVYANDAIRPVYLIIF*
>EED81336
MAYSPSHKNAASMSTTNLAPPMTSADVRQRRMSLPPPSPLNLPEPVYGHRRPPSPLRNATTIDPLTGEISDDASTHQSEAESREGRQWGQRTHSPSPSVVKFAANIAQRVGSLVSSSMNPSPRSHTLPTDDELEAEAEREREKSRREAERIMQLEAEGARTVEERVLAMLQSSPQILPPPPSRSQTMPATPPSPSSQNDGSSWWSAAKNKLTPTKDPLTPAQQVILEAKAREKEQEKEKKRSSKLKQKSKEWPSVPENKFEDPSFITLGMAASSTSVPPRPVSATPSSPTPHRHGVPGTPPSLAASPMRSLDLGSSSPSRAAPPLYAQFNAQGTLDVPGTLLTIVKRFEKLEKWTVGHVRALEERMDDVERWLVEKEKEKEREQANGKASESGDETVEGAMSEVREELAELQGRFGELGREMAKLLTSPGNLSSGPSRNAAAIARAPSTTSAVAVRSISQNITISPTSTPPKMRASISPPPVSAPALSSRTPRTRLPYPTGDYATPPDSTMLGQGASLYQLVSHGPATHDLAWC*
>EED81337
MSGLSGQGHVELGIFYSAVAIKGTRILSERLLWARRTRFHGQWESPSRQGCHSGGTKMQAQSSHTVRLVITLWTRPESSNLSGQEALDHGDPSRSIFADHSLPVPSIPMINTADAEMTPLRSGIKVDGRHQHAGQGLLRVLRLTPAMPARVEGKLALKPLSLTFCCRGCGSLATPNRRARPGTHPAYVRLFVRTPTTLFVAARDRLGQGMLELSLTCHIASLTPLRWTTRPVRKDIRPVSGPSIGDAQGANASASRSPAPQAVFKKPFDDPYEALCREYNVAPTNVTLQPDGAVPPAIDMPVLRDAHMPTHTLVILNTSAPSSPLAPSFSAPPSALLAPSPHTVAIPINADLFAERFAKDLTSLAPDRSPTGSTLPVPTWSERAHSQTVTLPTIPLPAPHPPSVPLLLLYGLGLHLYADPQAPRGRSPGGRRRSGGPDARTMTSTSTLAAYLLPTRVIEEFPSAAAMADVMARWCTELEMQFYTKFNQGLWANVLALAPADAVLVDLVRTAWNVTAEARRIRERLRLAAAAVASVPVLSD*
>EED81338
MSGILEGLDIRLPRSKISPGSPLPASPGYLPGRKHPRFKDINHLHDEYLVKAGCNQVLIRTSVNLNLAQIWPWTSDRARVTFLRSEPASWITWCVPHADLHKVVWAARWAGLAFLSDDLMDAGKEYSRINALKRAGRGDRAIEIAHNRLSEALKLTAGPKEFAQCIKLTDEWWDSHIHEEFQSLEQYLSVRRVNVGMFFANAWCRYALDIRLSDEQLFHPLMREVEGIVSDHVGLVNDYYSYPKERLSNSDDTNIIRLLMDSEGVKYKQAAETVRKKVGEKEKEFILAGEAVLRDPELSRSVNVHRWLTNMPYILGGNIAFHQTVSTLGRCLSMQAKICLP*
>EED81339
MAHRAGHRLWHGRSIRRLRPLIQPRTDTGDARNX
>EED81340
MSSKGSGGAPPKYLNDFSKALAGEVRILLQEVGKLRDERRALQYEIAELMALKSKHGAGGEYTPDWKPTHDEPAPPPSPGPPPPPASVVGDAPARPAWRVVNKREERRQKAKAKALPPPEPIPQPEPTRAPNLPAWAQWRRPPTPPPK*
>EED81341
MHRNNDSGGNISFCNAGSIGGDAATGGAYASTDNSGGNNNNTVGSNNAIPTKTVTHTIYGNQASHVLPEADFGESCYTIALLCIAEEISARDFALLSGSARHGEAEKVANITVIYTKDKKVINLFRRRRHSSAPVVASILVD*
>EED81342
MFYGTATFERRRCSRVDEEAQPISGLFMQRIIAPAESWIQSTFTTSPQNHAPQGPMLVVELYRRLDNGSSSKLAHILGGCTIDPRCESRRSSMVGSRRQSQHMDHAADSLGLLLSHLDAQYESYLKCEVKQESAAMPSFSLFNEEAVGYRAMTWSCRDAGVFLDDRAVVQCLYATVPPDPPSIDQSPNGV*
>EED81343
MSEDARQRAVDKSPNKGACILSRLNDRTVQFCHVLPRATDSSVLASLEWWWGLTKTLNVDSHHNVAFLRGDLHVLWDRGDLLIAPMPDVVMRLLDKFTDSGRYNIWEVLEEEKFYSYSALPHPALANRQTREGFACKFDLIECVQSQAKPHFMILNAVMKIKENKKLWIKVLEAFYKRINLKADASRVVEGMVTLADLWTAPPPWDAQLVRNEDEPQELEDEPQEVEDEPQEAEDEPRTPERPKAVVGPGGLVQDIGRESKTPEPEDQSCNSNLKSCAAQLTPTGPRCLLSLQDDKSVQCCHVVARSTTRKTRQTLAAWWGLVDFDINTPFNIFLX
>EED81344
MSSQPEFPPHAGFIRAVSISCNKVRVGANVVINEDGVKRLLTSPAFTTAFTRLRTAHGYKLPLVFPSTLAELNLLATLSILNFGSGYRVPLHAATGRGAFDSIRALVFALYISATGAGEGDYLSAQGMRSVGAHIIADLMGLADKIHVERAHESIPGLTVGELGGPLWELVQLVTRTLNDTGAALVQGGYPDLGSFLLEALREGERAQAHGGTAEGGADIMCDVALERLVRFLPAFRDMAIVGGEPVYCFKKALLTLHAVALRFSTTDARVPVPRTAALPVFVDNVLPSLLVHLGVIDLSAARFGLAGVFGDAGSAARVEVLLAAAATRGSEAKEERRAVPKEGPVLSEEQAYVLRAAAVDACEAIVQAAHKLGKAMGTDGAWLCDMTLPELDAWLWAVAKDRPDYRKLERFVLRDTTYF*
>EED81345
MVYEAQREPLRSIYIALQAAHTCLILTPLWTISSIPRMLRPRLLSLSCRAGPITKEPDHRAIPDASGVKSVWLEPTPQLLVGDVARWAEQADVRPISIPGYWYDKDGCDTPIGAPPEEGEKVVLYLHGSAFIALSAHPHNLTGACIPTVLRHAPAKRGLAVEYRLTDPASNANPFPAALIDCIAGYHYLIDIVDFAPENIILLGDSAGGNLALALARYIIDNITELSTRMKLPPTPPSYSMVLLSPWCDLGTSHETPGSSALNFWYDSMPDLHCHASFKGFPRTFVAVGTAERFMDMSHTLAQKMKRDLGDAKVVYREAQDAVHAYMYLPF*
>EED81346
MQEEGTVFTEHFTIHGHIHESAWLIQPAVQHDRDWGLEDDVDQFVKSWKSLKGKPDAQLELINTRRSQAEEIQKHAILCRQWEKDRVASRAEELNQLKRDRFQSALDRLRQLGWGEELDRLAPLYRPLSQYDPLRAAQKLTDRSQDGENCVITLRTAVEEEWTRWMSGSYDKTLEYKGRVATEPELAAAKKNGQERLWNRHQFIWCCSLCTPRGDYLDQARNTCLSMIRDHMKETHSIHNAREKKGHFYANSEWDMQPF*
>EED81347
MHTADANANAGGDALALLAEGASIWRMDAFDAGAFLADPPGDFDVQFGQLTAFDAGMAGMGDVRLGMEMGMGMGQDAHAPGGDVQRQAEQFSELLSHFDFSQLPGGGSLFPSSAASGGGGAGLGFSLGRELEMEMEQERPRSVTQDAFMQFLNVEAPQVVVSAPGAGAYVPPSGAANSAMRRPAGSWKPAQEYGGMAESPVERAEPYVWAA*
>EED81348
MATTGVAALPIAGTKSAPKKFTEKLASLLKVYLHTMLYFLTGIVKSLGAWREYTRGFLTISGWLRRNQRITTDEEALYFWKGIPRLFRQLLEPRLLTAQPNHDLSKPFVMSDVNSKAEALLQRNRFDTDRLPSDDEDSDDESSASESDSDSNDSSDSESEHDKKKRKQKAAKHKVRTKKRLVVKSEDSDNEEDIKKAQATRKKATKEMKKKANEVDDLVRQLNRMSLEDPDYGVTYLRACRLDPLVASVVRKPLIESTPPMTRPSAPMTSALRGNAQTGTSRAEMKCYGCGKLGHGLSSCPDILGLIDQGIIIRDGITGRLTMKDGSRIFRGMDEPFTTAIEHQVGPQSHFITAAEAPFCAKVEEAASEESDGDGDDEDEDEDSNTEAVYVMPVKPTEKIIRTAWKQAAQGPVVPPVRIQEKVDRAKGDKQKDKPPHMNESVERRLARIQGQPAPAISDPKPDREVRPVQPHTTRDSHERVWEHQQPVDTSQRNFDPANDDEIMEDDFEPITQKQKLAKDAKKGNKTDATPGKEDGTKRVPKKSDVQAQVDQMKILGKVLSTPVTLAVGEVFGISKEMSQHLQNVLKPKSPVVNIVASSFSTKTRGLLIRLRIEIDGRPIIAIVDTGSQLNIAHKRIWKTMLNRPMDIARSVNMNDANGGAGILQGLVENVPLTCGGVLTHANLYIGDKVPFDLLLGRPWQRGNYISIDERSDGTYLLFKDKDLEVRHEILVTPDGLDPNWSFDPAVWHSIQNSTQKGLLGQKDTRVLYCGDFREDYIYNSAYEKFWQRLGADLDEMEQQEQEEQTTSRESIQPANDYTVYQVQQQPTPTLLWEDKLLNDRLYVHDHSHLNEEPLLICGIKIPESGGDQIASPNSESATYEEPLQQLEIQKQKRKNLEANNWCAPGLVGTAFPETLDGGVPEDQYEMFRSNKEHTPVRTNEKCTHQVVRPLGLAPVCCGQEKQVVSCLRTPAEGARDPNAQLEESDRGVLGIGNEEYLTLASRSGYEPVSSDSNEVDEDAWQHTNVQAARPGNAGELRRNGDQYEPISQEDKSVPFIVSKELMQLEARLFALAPVHRSQKGATWIGREECYTPESERLIAAPPGMLSPLLRKDGSVKQLASTQAENEGDAENLPGDPSRERTPARANRWPWCACPGSVKTLSCTTHCSDTPQPVRASSASCAESNNAQTQTQTRQLFRNEIGSYLPKFSLMLRPQRAARTPPLAQIKTANKELKRLVMQRGRNSPDPQLVKQRMVNWLAHQRTGNLEHAAACSLTCHRDSPEVGNVGHREPIEGIAQEESRGRDQPRKEARVGTKEKGEGRARKRDRSANVVAERKVRRREAPIRVVHSIEICSEDLDTPDFALRALPDLRQASVPLASSSRLSRMLSLQVSSPEHPYHDSHDARRAPQQENARTRHNSASRQDAYPAECQNADARSRMLTTPPEIFKTLPTGLKSPSVKPAMPVLHQSTPYPSAMPPTQCYRLTSGAVGTVRFVPPTGPPPPTMRQSTGIQGDQLVLLGHHDLNVHGPANLGRLALGGNFTVRCAPQQTHLGTQQTFVVLGCVVWYTEPGESEACPYFGDAVITFTFRGPTETIVPFVHAYEPPPPPPPSAAIQTMSTTRNERPILPLPRRAPVPQERAQQECASHDIADVWLVENAAILGNILAASPSDIPAMLNARPLVPPPLSSSGLPSPSTPLLPVTTPDGCDIENLKIPGLLYPQDSTDADVRAVSPLKTNGLRAEPVQDEDEDMPSLDFLAMQAKRRTTSALYWSPSEPSEMQRVNTLRPSMSDFEDFGYLEKAANLAMDSTPMCCLIELENGETSEDVNAPLPWFFSSELEEDDEEIYAFTDFNVLGVYKRFLVYFLKMHEWNVDSQKTHCYHYQNCHLTRLTLYPERDLPETV*
>EED81349
MSARSATPASTSLVNRRLASLLVVLEAPPAADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRIAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVKEAAKRAKAAEDRRLEDERRRKDEEDRLRQAEDERRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVTELSDDPSIKTPRTVERPFAMTEVDMAAAVIEKRQAGQKCDRCAGYRSAPVECVWAKNATTCDRCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERCHRPKVFGM*
>EED81350
MTQPTSVIAKQPITPSASHKYISVILDQELQFKEHVAYALGKGQFWAAQLWRLLKPMQGMPLRFFCQLYLLVTVSHILYAADIWCAPTLTSTKNGRRPSQHVWKLIGVQRLVTMQSLGALRSTATDLLDAHADLLPIDLLVDQIVMHSMLRLVTLHPSNPMCAVAKKTARRLVKQHCTALHHTFQIFSVDPDQTETINPTRLSPNWEPRVHIAPSAKKVVEEAESCQDGHKIWMDGSLIDGGVGAAAVLEKNDEHKAVLRKYLGSGTEHIVFDGENISLALELELV*
>EED81351
MENSTALYLCRCLVIEISEAGPEGGGKGRFVCEWGRGPLHTHLHRCELGKVGSGPGAGISIEQAESDLHLKLDGGQQCVDQPGTTLSALGGTEDAAGAEAAVVALHWSDYEGSEYTSGLTAVTAVKALEEARRRGHAQALAQGLEEDLLVLDLDWRQFEKGGSWGRQGENAARRIKTRGCCGDQGGVEPV*
>EED81352
MVPMPLRGSKYAPRFRGKQLETFLIDFEKLAKRAGLKEEELPTEVLTYCSSSVCDLLRKNAAFKGNDWNAAKKVMRLFYRDKSDEQVTVLGLREFSERMRRKQKVGTSRALDEYAIAFGKRMGDLVSQGQLTEKERDVLFYRGLGGRPLIVDPPSMEEVLKAAQDLFNVLNIDYDPSSGENEWDSDTDSDEGGRHKNKRKKTRVVKVKTEQKGTTIPDTGALVEQVTRLSEQIRQMALAVGQASRKVVAIAGGVTIDLLREGLVKFASESGRLAGLLLSFEGSIESGEVRSATYHLTKQESRRMCSLWV*
>EED81353
MAYAILDSYSQSLQTEQAPTISSSPSQYSPSVYEQLTEKLPSPREILSPSAPSFLLTPLPTVATLTALLSSVEQPSYDPAGQVVWCRDVLSLAERLGSPLTTHSNGPLQINDPTLRRLLDVALPMLLRLSTRRKPMQSFVPGYVAEALYLCAMCEARGSFPHHIALNPKLAFTHFEAAAKAGFHSAYYNLGTDFEQFGDVKHALQCFERGAENGAPNCLYHLGLAHLTGQLGLPEAPDTALPLLKQAAVLATVDVPEPAYLYALLLLQEFESVFVGPALLDPLIPRGSTPEREARTHLKRAAYLNHAPAQARLGRAYELADAGCAFDPVLSVQYYALASAQGELEADMALSKWFLCGSEDAFAKDEVLAYEYAERAARRGLASAQFAMGYYTEVGIGCAKDVATARKWYTPAAQQGNTDASDRLKALSRSQSLSRKEHEKLTDTQLVRKRTQARMRSQAAAAPPMPPLPPSPSVASFANTSGVPPPMNAQASVAPLNFRKAAAPQGPPRAPAMGSGPPPSANAQYRGPPSRDASPARAAPYQYQSTPSNAPSSGPQMRINTPPGGLGHGPHPNAGPGADHNGRPPNSYPGSGPNPYGGPGPNPYAGPGPNPSARPNPNARLGQNSDARPGPNSNAYSGPNSGARPSPGSNGHAAQRREPQGPSDRAMTPPQMPMTAMPTYKGPRTFQEMGVSVQKQEDGEKCVVM*
>EED81354
MDRDDAISISDDSGDEQYADRRAILEPAIRNVVDALGGYEGESYRLGDECYGCLKDLKKLWRKDDTDDDRTVARIFWETRLLPNDLIPILLETAGKGLLEDKCAIACADLMTAMTWPIDLAEELKELDDDYDKGADYTQLLLSHLHYKVAVLKPGVMQALFGIILPCLAKSSKERKERDTQVINVILYLIRNLAFIKDLPANMHTSADQAEFSSLQTRLIKSLSDSNVFDLLLTIASNANTDPMFNSWNALVLEIFYLLFRGIQPSSLAIDQTQQPAQNLQRLLAVEDERKRNFARKATSRHSRFGTTISVQLNPKKGQQSHKEGDASGNANAPDPEGSSRAFVLHRQQAINREAGSLLDLAKRQKTQKSKIIDELGRDDNLSLDAKLILQNLARTFIEACFNRHGPIDAYTFAAFLASLLKDIRAERPKITEKDNLRLLYVAKWFLDFFLCVRAKEGPDSPWKFGLIAEVTERAWIVWVLKRMREAVEEKPKLWNELQAGIECLTQLILVIDNMSSSGDEDVIDAAEVLQQQIIYNGEMLDIAFESLRTYKEGTQSLAYLDSSVYLAFALMRMVERWGKKRGPGGEMYVRRKAKARRKKKSAAEGEGVPDVDEEEDNREDEDIVHETMFTFDMFQQKFAHPDITQTLLAYLARYKEISSAEKMKRVVNLMHRQAVKAKAEGLFFNVSTLDLFKSILADQKSLPKGQPYKDLVDLINFLLRKFFKAVEEDSFLVVEAFFPKNRGHWKQYSSWEPEKSIKEDRPLDDNRFPLEVQVKKGYSWSEQLGIAIACLVEEGKQSLIDWVKQILMLTIGQRQRIIEDTDGSSSAVQDVSDGEGDVSDAGSPTRTRGPSNEALAKFTDYLIPYINDEEANAATKDSYLKLVFRLVKFQVLDEKQLLTLPKAADELEWYIPAAILPDDLQRSLNIINQFLEKPLDLEGKKASQLISKKRKRRRRRRSPSPDANEESSEDEIPKKKKEKKKKEKQQYKSAQFIEDSDAELADMEAFLEKEKALREKTAKAAAETGRIATMKPTGTKKRRKKAGDNGTAKKRRKRGGNAEVTEAEKNQSDGNDSDESELNIFGSPPRSPSTAQTSPEIEEEQVKPRPRPRPRPRVQAQPSASDEAHENTQDAVKPLSLTSLSPLDEASDRDPEGSHRATMSRKKGRLQLVLSDEEDD*
>EED81355
MSTTTQILFNSPALHSLKREQLVKLCKIHSIKASGKNAELIERLKQRAQELPQSEDGTLHIGGLTFPSDVDVPRFQMPRPSEQWEIVMEDIEEVQESTSLGTISSKGSLRTQGTAGEFGTSNSKSSTVGTSIKALATSLGIKRATSTKSSNSEQRPPSIWDGSRQDELTKHAVPYSELPPAPSLPRTDAAVDWNAGANADGDVSIATRTIPATHVVLAAGAWSPHILPALPITGTRAHSITIRPRDGVAIAPYVLFTEIQFPSAAAGALQQVSPEIYARPDNEVYCCGPGDNSRVPETVDDVEVDQSACESIREHVASISAELRDGAVDKRQACFLPVVSTGGGPIVGEATSIAKGLVIATGHTCWVGILALAAPLLAATDVFSDWGFLQGICNAPGTAKAVAELVMEGKIKCANLKNLQPGRFL*
>EED81356
MVLSEQSLEGFFVDEGGCRSLDPDLDGGKLGEDGGGPSSRITIEETEGNLDLQLEGREGRVDRPVDEQLRDEAVAFDHRLPIVSEREKELQHFLATVVTVRRADFSRNECLSGLVAATAVKPVEEARRRGDVGQGLKSRRLGDAGAWRGRSGWDGSDVARCRSRGASVPECRAAGVLDSSDWYEVPFVNSDGSWSAIRARLPITDEEGRSVFAALWVVTRDVDLILGVEGVLKSRAVEEFISHGRLCTLVRAQLVCAQHADAATGAVTELCLERVVVYSAQIFGNLLFSLRNAQMPQCHTVELMSEVEDDSSPFSGGHPMLKRIDLDGEGMDGIIDFFEYMGLLTAVETLSLGKATPLRSKDVTQESLHRLLLAVAPSLRHLYWSCALSVAWEYLKLDRPAAETKDCSTPIRAVYDHLETIEIDADISSSDTYTWLSTFLSGITSSTLHTITISCQSFYSQDVRQRPLRKTSSDFRRVTRAFPPATCQFIDELLSTDPFISVKKLDICIRVRYDDPDIPSVQRCRKLFAPRFPACFARGILQPSVIVLGPVDLWYTALDPKLRQINIIRVLVAMSRTIDTAAAEVDSSGLFAANHCIQQ*
>EED81357
MVCPVPAQSFLDIVAACDNYRLYADRTSLATWRLTSHPTSPAIGLLRPEIVAQLCAEDARGSVPAWEFATHDGKQVVGFSSHIHGPSARTRVMKELCERWDEGCWPDVIGPRKWRNEMYAVYRNQFGVHDALPTDDTDNDGKNHAFMMERSACALFGVVTYGVHMSIFEEDEDSRATLDSCRMWVPTRSRSKQTWPGYLDNTVAGGIPCGLGVFESIVKESMEEASLAEEVIRKGARAAGSVCSFFQLKKVMHVYHNYVYDLRIPIGEGQETCKPKPLDGEVDSLELLPLIEVVLRMQRGLFKPDAALAVVLDFMIRRGYLTPEDEPGYQEIVTRLHGGFEYDKW*
>EED81358
MILEILVPNPIYNTSSIRFSPIFLVGCSIATLGGFIRYKCYRELGRLFTYEVTIQQNHQLVTTGPYAYVRHPSYASVIASWAGMAVCLASPGSWLKECGVLKTLAGKTAAWLYVGYGVWGTVTAVARTSLEDRLMKEQFGGEWNKWAQNVPYRLIPRVVLSKLFANAPSRTGMHEEHWEGEITCDRTQGEEMLSQCYRQGIVGARECVLDIIDPVRT*
>EED81359
MANKISSRLPKDFLWGFATASFQIEGSTQVDGRGKSIWDDFSKKPGKTLDGRDGDVATDSYRLWKDDLDLLVSYGVKSYRFSIAWSRIIPLGGRNDPVNEAGIRFYSNLIDNLLARGIIPFVTLYHWDLPQGLEDRYGGWLNKEEIVKDYVNYAKICFERFGNRVKNWLTFNEPWCISVHGYGHGVFAPGRSSDRTRCPEGDTSTEPWLVGHNVILAHAYASKLYREEFKQAQGGQIGITLNGDWALPYDDSPESASRGSDADLLTFADPIYLGHYPEYLKEMLGSRLPTFTAEELHVVKGSSEFYGMNTYTTNLCMAGGDNEFQGKVKYTFTRPDGTQLGTQAHCAWLQDYAPGFRQLLNYLYKRYRKPIYVTENGFAVKDENNKPVEEALSDYDRVHYFQGTTSSLLSAVVEDGVDIRGYFAWSLMDNFEWADGYVTRFGVTYVDYETQKRYPKDSARFVCQWFKENIEKDESSESAAGPSAPVSKLADDAHLIDAVRA*
>EED81360
MELGLLAQIRRRX
>EED81361
MSLSKIPALAITAVGIHTIFTPPQPKARESDSPKELGAFEQVFSQVVRLYSGTSKVLLCSGSIIEAVAILASQYPTHSVSQAVLGLLVPGSASIASGIGFSTLFVIGCSTVALGSYIRYRCYRTLDRFFTYEVNITKNQQLITHGPYAYVRHPSYSSGFVVLIGVGLCHGSAGSWLRECQIVDSIWGKAAVAAYAAVAMLLAAVLAKPVLVVITNDISMPKAHAHSRRAAFQILNNPPRGYTSNQTQTVGFDFLSECVKTVIVETQLGKQGKSMDAPTSAYSWRHDVSYWSIKLCGRDFTFANIASTAELTATHNLAVTLVHSRGEDENGRGAVLGVGVRVELGDKVLTSDEVDGNPLAGYPQLFGKSRKSFLAREDPEGLYAGRETRPDEREWAIAAAVSCAVQLGSLRLTAPIRDKYHDGGVSCCNPTRNIGENPILPVLSSGWGTKASRIFCESGLGTCGGWHFGLWWGLGNVDPYRACSQEGDLDLTPFQAELLAYTRLQRDEERRPLRQEMTEGSHITGEGSNTGIGSTKRYSMPAVNPRGHIDSIVITLEVNFDAGSTTSILLLSEVEESADVADANEAHQDGPPPNTIPALPIELCERIIDFLWRNTATLKACSLVCKNWHLRSRYHLVVYTDLDGQKQVARFAKWVRSNPVSACIVRRVRLRGDPGHTHTRVLMQHIGPFAPMLAGKLTRLDDFWIMAAVWMPGLMHYNTFLFFSAFTSVTRLGLIEVTFPTVLTFGRLVCSLPNLVHLRCRSLKFMTPTFDAEKFHVPHTKLTVLTTDGGGLTAIIDFLTTTRVASTLRNISLGSDETVCASEAEAIAIVRLLECAGVVLQTVALRLEPDLDTVPGSSTSPLWLLKGVANINPLHTLQRALLQNVSLKEILLSAPLGSQKPNCAWLLSIISGIRSVDVDSISIWLDFQPAHDAEDIQGPLEYFFDPITCASLDEHLTAEKFKGLHDMNFQLRLRPALSDGKFETGHFAFFCQGIRSI*
>EED81362
MSRPSRFWAPAPPPATKLGRHRRLAPLAGIHVSPICLGAMSIGDQWGQYGMGEMDKDRSFRLLDAFYAAGGNFVDTANAYQDETSERFLGEWMAARGVRDQMVIATKYSTNYKRAADLPQKSTYVGNNMKSLYLSVEASLSKLQTDYIDILYLHWWDWECSIEEVMNGLHHLIAQRKVLYLGVSDTPAWVVSKANTYARLTGKTPFVHDFEREFIPMAREEGMALAPWNVLAAGRIRTDEEEERRRETGELGRRTASNEWERTPDQRRVCQALEKVAQEVGAPSITSGWRSPYVFPIVSGRKVEHLMDNIAALDIALSPAQIAHLEDVLPFDVGFPTSMIGDGSEFIAMYKASGQFDRWPTQHAIRPAE*
>EED81363
MNGLHILIPDTSHMLAAEHPPREMSTPALSDDTGSCSSRESSPPATPVFGLSRAPSISFDDHCQHIPTGDGTDIGIVEPDADYSLPEAISRLLKRGRLADTRTDKVRAERPLPSDIWSDATRADDDAFFGLAHIPNSDCKSVGDVEPDALDPALEYVSRPLKRKRCADTSACDVRAKRAWTSGTSSDATKAEDRACFGLGHIPIHDYTKSRVVDPDVVHVVSPCTDLYVPLEATARPPKRKRCADPTTDEVRIKRRRLSDSPSDASKLKDGDLFGPHPRCMITGCVSAEVEACYILPPDTPQPLVYTYHVIAEDEHPADSGNPRDNTTTSPVAKNTSSYRSLGWHDLSANLHLMTVRVGREFIKRPLHYQHLLPIDALVHIPIIKLVHPEAVEPASLHIEQESPVEDIPRPPKRKRCPDTEPDELPAKRMRTSGTASEASKDRIPFGPHPRCMITGKQLESYWLSPAKSIIRHLRPTFDFTEQQYRCRGTCIEVNLECDNDGVNVTAYTPQ*
>EED81364
MPNAEMKSNIWPLRTGSRREGMPRGSIPNRERPATSVWLGAKRALGRAASSGPIVRRMRKMSRDLCARHRDDRAELRVSVAHRRRCRRASKAKSNCWETESKCRAGCECRKEVYRGPEGLGAYHRFLSQLRIYWLVNTSLTTIELKVQVALSLLDSDARTWATPIFAQLILVQLGTQGVTTLFANEAAFATMLKARFGNLDDKAVAQVELAKLCVDKSVHEKCTAAEFSALFKGPADCSGYGDLELRDKYLSGIPSCVYRKIELKMFTMWEDADKRATEVEQILDISRACQPELNSFFSARGRG*
>EED81365
MKRLHSITDFPSYRSGRRSFNVSLSGTAAGEDIIGDEGDEGLPADEGDCCDCPPGGLLEERVLERPRGGDGGENAAECRGARSWEAFPAAAPGRAGGSLEGSLKAVRLPQFLEGDGDLLALDLYWRQVGGCGSRGASVPECRAAGVLDSSDWYEVPFVNSDGPWSAIRARLPITDEERRSVSAALWVVTRDVDLILGVEGVLKSRAVEEFVSHVDGGRKGSMQQEPKPRLWEFRPNVATWGRATGENLKAGGVRLVLTEAMGRLCALVRAQLVRAQHADAAPGAVDSDASISGK*
>EED81366
MTYSHIEDPGKDRSVAEARTSIYVIVKGVTRCEENFRHYDIRGREDTDRTALMQEPVELPSSTERRATRSGRAAKRSRPLDEQRDGPGHWTWGPRPSVLIAEAAANCNLPDLSDRAICRRATGIYSDAWRRRGGPVPHIVAGSGSTTPATSERTAAFGDLHAVDHAACPLPLERAGRPKLDYTLGQGGTDSQRRRQQIRDRLPNENREVGTCCNDPRPPLLLIMRSD*
>EED81367
MSDSSIDEAQLLQLLQDGLGLFIYDSIISFNLEWRAVWSRKITGATALYLALRYMTLLNVIINVTDMAVQSCEGGFISDLMNFGTLCGAYLAQAAFASIRVYAIDGRQWTKAAIVMMLGLVPVAINIATRASRAVAAWSSRGSLTAVLFRDGTVHFVLVVGLNATNVVVALLRGFIDISTTVELISTVVLCRFFLNLRHFSSPDLNDNTVSSNRSSFSSFAINIIGNMGEVLEDDPQAFDNDLDRELDELNHAVEIDTAVKLDESAEAPSDTDTAQTRAATMAVFMQMADAKGADGALDQRVIDIV*
>EED81368
MSQSSMAEAQRIQSYQDTLITNYILVMAISLFVYDTIISFNLECRAVWTHKITRATALYLALRYVTLGNVITCLLEFILPSCEIGTLCGTYLAQAAFASIRVYAIDGRQWTKAIIAMMLGLVPVAINIIFWCSFVPGSQYDASKAFMYSTAEVCNIHYPFSTFKSDALFLVTRICVLISNLLVVVSTWQAAHHNRRVGAWNSRGSLIAVLFRDGTIHFALVVVLNAANIASGLLTSLSNRLSSTIFGNLGEMFEVDPQALDDELDHELDERPGAGQSDSTNYLPNGAKAPSTSRMTTTASCRLDTYEKPAADCELLAAPDVGIDPRMTDIV*
>EED81369
MSVSSMDEAQFLQYAQYSLIDGYMDIMAMMCHHVNELISDLLAIAAACGTCFAQASFASIRVYAIDSRRWMRAAIVMMLGLMPVAINIAALDVRQLMCMFPAPERGVFIAFPVLLVTRICVLISNLLVVISTWQATRTSRAVTSGNSRGSLTTMLFRHGTVHFARSPHRISTVVLCHFFLDLRYFSSLELNDSTISSRGSPSLSFASRIIGNLGEMLVEDSQAYDDDVDRELEDPTDSEEVDAAVDLDDSVDKAQTRTATTEVFDQTTSEGGAADCGLPESPDEDFDPRVIEIV*
>EED81370
MSLSNMDEAQFVQFYQYSLIQEYIVAMAISLFVYDTIISSNLEWRAVWSRKITGATAIYLALRYATLADVIMDIINDTVTSCKGGFISDIVEIGTFCGTYLAQAVQQSNGMWLAAFASIRVYAIDGRRWSKAAIVMLLGLVPVALNIYVSSTNHLSYTTLFCTVELSIPTSKYNIVEYDAFIAFAVLLATRICVLMSNLLVAISTWQATRASRAVIALNSRGSLTTVLFRDGIVHFALVVGLNAANIVFTLLLGVSEIYMTLYNDSAVYTNTFFDHTLKPSTPRISTVVLCRFFLNPRQFSIPDINDSTTSSHASSFSSFASRIIGNLGEMLEDEPQAPEDDFEGELDRLNDAGDIDGAVDLDDSAQASSDADGAQTQMATMAVLEQETFEGRAANHEFPEAPNEGFSQRVIDIA*
>EED81371
MRIALAVVLTVFLGLFCGILREADDLEINTVLAERQDYPRQAQGLFPPSNVSTTGTKRTARALVPNSSTKLGQSVAIFSPASSGIGIGPFAEPAEDEENQMSLMLVAGLMSLLPRASRLPQPLFSFLSFESNIIVSLGTMLKDGLKPFTTIEVANDLNDAGPD*
>EED81372
MAMSVFVYDSVISFHMEWRAVWARKITGAAAIYVALRYVTLASVIGSVIIDAMQACEAAFASIRVFAIDGRQWRNASVVLILGLVPVALNIYSSSQEFVYCTTNLLAIEHSFSASKSNKLFLATRICILMSNLLVVISTWRATRANYTVAALNSKGSLTYMLFRDGTVHFAQHYKRIIDRGNLGETIEDDPQAFYDDLDCELERHPDAEDMDSAIDLQDGASSPSDAQAVTVSISKQEAYEGTAADWEAPAAADESFGRRAIDIV*
>EED81373
MSESSMDEAQILQLYQYNLIENYIGIMAMLCDVMRSTLCGTYLAQAAFASIRVYAIDGRRWTKATIIVMLGLVPIALNIYGGAKTIVAYTAHYCIVESSVPISKINILLLVTRICVLVSNLLVVISTWQATRASRAVIALTSRGSLTAVLFRDGTVHFVLVVGLNAANIVVALLLEYSLDLSPPVELISTLALCRFFLNLRQFSSPDINDSNISSHASSFSSFASRIIGNLGEMLEDDPQASDDDDLEGELDRLNDAEDVDGAVDLDDSANAASSDGDKAQTQMATMAVLEQETYEGSAANHEFTEAPDEGFSQLVMLDTNL*
>EED81374
MVDSTILLYCALLVAAAWLLRMQSSQRSTYRTLGNVPGPSSHSWLKGNFMKFFDRHGGTFQQDVALNYGPIVRLEGRCGRKILYVSDPKALHTMLIKEENVYEATDAFFASRRLIFGECLLATAGEHHRRQRKILNPVFSVSHMRHMLPIFYNIIFKLREVVRTKVEAGKSEVDVLEWTGRAALELIGQGGLGYSFDPLATDTETRNEYGDALKALKLVQLGPRRFRRFVTDIFPHPHLQRVKDVIDTMDEKSRAIFREKETALENGDKASRLARCTDLHPVKANNAASEQDRLPENELIAQMSLLLSAATDTTSNTLSRILYLLAEHRELQSKLREEILESDAGSGNISYDELNKLPLLDAVCRETLRRYPPVTNLVRAKDSVLPLSEPICGVDGTMMKEVAIPKGTELLIGTFGCNVNKALWGEDSLEWKPERWLSPLPRAVTEASIPGVYSNLMSFMGGKRACIGFKFSEMEMKVVLSVMLSNFTFELTDKPIEWNIGVVWYPTIGRHSDLPQLLLKVGLYKA*
>EED81375
MSGACAHACEPYTRCSICNQSSSLFLIIKRMLRPSGMVWWCVHCSMVRRGPAV*
>EED81376
MPVKPTEKIIRTARKQAAQGPVVPPVRIQEKVDRAKGDKQKDKPPHMNESVERRSARIQGQPAPAISDPKPDREVRPVQPHTTRDSHERVWEHQQPVDTSQRNFDPANDDEIMEDDFEPIAQKQKLAKDAKKGNKTDATPGKVDGTKRVPKKSDVQAQVDQMKILGKVLSTPVTLAVGEVFGISKEMSQHLQNVLKPKSPVVNIVASSFSTKTRGLLIRLRIEIDGRPIIAIVDTGSQLNIAHKRIWKTMLNRPMDIARSVNMNDANGGAGILQGLVENVPLTCGGVLTYANLYIGDKVPFDLLLGRPWQRGNYISIDERSDGTYLLFKDKDLEVRHEILVTPDGLDPNWSFDPAVWHSIQNSTQKGLLGQKDTRVLYCGDFREDYIYNSAYERFWQKLGADLDEMEQQEQEEQTTSRESIQPADGYTVYQVQQQPTPALLCEDNLLNDRLYVHDHSHPNEEPLLICGIKIPEKGGDQIASPNSESAAYEEPLQHLEIQKQKRKVDNILRIQDVANLRKKHVGVSEHAVRKYIGQLNYKREYANINLPDADAILQNLEANNWRVPGLVGTAFPEILEGGVPEDQYEMFGSNKEHTPVRTNEECTHQVARPLGLAPVCCGQDKQVVSCLRTPAEGARDPNAQLEESDRGVLGIGNEEYLTLTSGAGYEPVPSDSNEVDEDAWQRTNVQAAHPGNAGELRRNGGQYEPISQEDKSVLFIASKELVQLEARPFALAPVHRSQDRRVMPGLKTLDEEARNLNTGLEVETWGSRIGRCSRESEQLIVALPGMLSAGQSASAQVENEGQAESLPSDSPWERTPAKANRWPWGACPGSVETLSCATHCSDTTWPERASFASCTGSNNAQAQTQRSQLENNEIGGYLPGFSLPPPDSYHSCLGPQRAARALLLSRTEATNKETKESVMQKGRDSPSLQLVEQRIVRWLAHQRTGNLGHADARSLTCHRISPKVGSIERREPIEGIAQEESRGRDQPSKEARVGTKEKGEGRVRKRDRSANVVAERKVRRREAPIRVVHSIEICSEALDTPDFALRALPDLRQASVPLASPSRLSRTLSLQVSSPEHPYHDGHDARRAPQQENARTRHDSASRQDAYPAECQNADAQSRMLTTPPEIFKTLSTGLKPPSVKPAMPVLRQSTPYPSAMPPTQCYRLTSGAVGTVRFVPPTGPPLPTTRQSTGIQGDQLVLLGHHDLNVHGPANLGRLALGGNFTVRCAPQQTHLGTQQTFVVLGCVVWYTEPGESEARPYFGDAVITFTFRGPTETVVPFVHAYEPPPPPPPSAAIQTMSTTRNERPILPLPRRPPVPQERAQQERASHDIADVWLVENAAILGNILAASPSNIPAMLNARPLVPPPLSSSGLPSPSTPLLPVTTPDSCDIENLKIPGLLYPQDSTDADVRAVSPLKTNGLRAEPVQDEDEDMPSLVDWEADAGDKTSDVEILDNDMSASDTDEECKPAHVAIERATPEPTTLDHLEVLDFVLDNIVPQDFYDAREARRGIARETKYVLQRAHHDGIREARQHEDECEGGIVDRAQKRKGFEDLLSPRKGNPFLWPNERVSLAYAREFFEEHGTWNPTNQDYDMRDMVSALRENSINGARWVNSGSRDTSPRHTDPFQPKQAMASLPHTRARSGTDLVASITDSMSLHTLYYTAMFVYDHLRKTLDENPSPWCDWLLNTFAKDFLTMQAKRRTTSALYWSPSGPSEMQRVNTLRPSMSDFEDFGYLEKAANLAMESTHMCCLIELENGETSEDVNAPLPWLYSSELEEDDEEIYAFTDFNVLGVYKRFLVYFLKMHEWNVDSQKTHCYHYQNCHLTRPTLYPERDLPETV*
>EED81377
MSESSMNNDRVLQLIWYGLIGDYIDIMTMSVFVYDSIISFHVEWRAVWARKITGAAAIYVALRYVTLASVIGSVIIDAMQACEAAFASIRVFAIDGRQWRNASVVMILGLVPVALNIYGSSQEYVYCTAEILAIERSFPASKSNKESLASMLLRDGTVHFVLVLCLNVANIASALSTESLFDFSVSVELISTVILCRFFLNLRHFSSSSDVNESTVPSLGSTFARFATRVIGNMGETLEDGPQAFDDDLDCELERHPGAEEMDSANDLQDGANTPSGTRAVTLSVHKREAHEGTAADWEAPAAADESFGRRAIDIV*
>EED81378
MSESRMNEAQFLQLYQYGLIEEYFRVMAICVYMTMFVNAALADARLGLFVYDSVISFNLEWRAVWSRKITGATAIYLALRYVTLANVVTYVITGTVTSCEIMLNYVGPSALISNLVEIGTFCGTYLAQAVQQSNGMWLAAFASIRVYAIDGRRWTKAAIVMMLGLVPVAINIYAGSQTSLTYIAQFCTMRFSMSASKYNMSDPDVQDVRQLMCTSPELEYDVFTAFPVLLITRICVLMSNVLVVISTWQATCANQTVTALNSRGSLTAVLFRDGTVYFALVVGLNAANIVVALLQEESIDLSAPVELIGTVVLCRFFLNLRHFSSGPDVHNSNMSSHASAFSSFASRIIGNLGEMLEDDPPAPDDDFEGELDGLNDAEDVDGPMPDTAESFQRVLMNTLCDLLFSYTHFEAGAFISVQRLTGLARAQLSVSFWIQCSIVTVETSQAPSMCDQVCYSVINSHLRQAGLLPPSNTGGTETRSMGQALDENCQRNILHDKSLGYQACGIGMEPSTASAKDEGDHARVPYHLVDGVLCRFLLELHNSLDLFEAKVSIIPSPGSSLLIVTGITIGTLGYMLEESLRPLTMASTVDNSNNAGKLEPADGLHDVGNAVSKANEDRVAITHSTEAGTSRGKREASGSALNQCSIDIVWSVAL*
>EED81379
MSIQHQFNVPRVQPFGTERMVTDKKKDDATALCDSVTVDHKRHSVTCIRIICLSRRSSSHRFSVDPILAGIGFILTPVSGRRWVMDGWEGSQGRLRLNPIRGLRFFPVHVNSGCLNEVRATSNLATTGQSFSEASGMVMRMLLCEPPISMTPDEIPRYLVWAKRARRAKVPRQMMVLGYLEGTIISSAVHAWANSVEVLFVAIDDLVLSQGPLLRTLYIERKAQFFQYVTTDIYILVMAMSLFVYDSIISFNLEWHAVWSHKVTGATALYLALRYVTLVNVIVNVISYTALSCELMMLSGVNYISTYIVLDVNAQHVGSSGFISELVQIGTICGIYLAQAVFASIRVYAIDGRRWTKATIVMTLGLIPFALNIFCSVERSLSTNKNNICNTQFQTSSDCMDQQRIPDGCAIPGWLVVVLNAVVVVAALLLGRHILKMPIRRISTVVLCRFFLNLRHFSSSPDVNNSTISSHRSSFSSFASRIIGNLGEMLEDEPQPSDDDFKGELDEPNDPGDVDGEVDRTTTATFEQEIDGGGAASREIPEAPDEIFDQRVMVIV*
>EED81380
MANGAQVLAMLRHRLRLPLSLRSTSLRLNCPPPRLNTSTLSSRLEWLLGQVNRPSWTTRPAMGWLSSVKTRDPCMFNGCTTEVVYFLCKIHAYINLQQVSTDHQKAMLLLIYLKDGSPIVWFNAIECTSAYLLNDWKQLQEAFMARFQDPNLVKSSLTAIENLKQTGAAADYANKFQEHLVHLDLSMFTQITDFDCGLKPSLKLVLVNTPRPATLDDWIATVVEANNQLHKYEHEQKSLMKAAGMQPTPCNDHHASPVVTTQMTMQMVVPTAASSHSNIIPMEIDTMRRGPIMAEEKEHHCKNGLCFYCSQGKHLTRNCPSMSKQAKKKVKASPA*
>EED81381
MHFLLLNPSNPYEQDVLSPIGQGYHGVSSGQAPQQAIYNSAEYQYPNVGYDPDIVQYHPQRHASDTHQIYYSTPPLTFDFATIFEPTQTPSVAAGPSPSAECRWGSPCSVRLTDLSAAGIAWHLKQYHFDNEFNAWHDRRRGVCMWLKDNGSCSTELLYEGFGKHVASVHLKSIARTCPQCGRKYSRIDALQRHMRESCLEAMPSA*
>EED81382
MDPELIHCPGPSLCPSNGRDLFAARPDPAIVHGGSDGVFAPADVVVTEVFFAPRYTFDDDMDRGCRRDERGANGRKRTYGPHGHPGICPASLGLAYRKARTLLLGPRSDLIAERVRTNDWLGGGRRPLVQARNGYQIRMALFMGGRWDLYFRSVDVLARQRPGRPSDAITINITAYARLEGGVALRRRESERAGKGRGPTRGNGRAPEGRVFNYAEAQCGRARRGGCRDEEASGRDRAVRANGERGGCSGAASVDGQPRALAEDGSCLRGRCELCRGSAQIPQGQTTMWAVTACAGTSPGTSTGRRWTRTLVLSGVSGVIRRWFAAIGKRFPVARGL*
>EED81383
MLLHPADAKLLVGGPFLGSCALFLSSREPLLTHLFSSPPEILPLTVRAKGVSLSTATNWAFNFLVGEITPFLQEHIEWRLYPMHGFFCVCSFIVVYPETRGVPLEEMDAVFGEAEEDGVTVPKPTDSFQGEDGRVTFVDVGVPKPIAHALPQAFPNVEHPTSTQQQLISAVLGGKDVFLQDPTGTGKSFGLILALLSKGWTQKSVFPEYGQWKRTGPPTIGTLLIVPHRDLAYQFIHWIELIHSAMRRPEVLAQTAQVIVRNKEIPLAEQLALLHAHTPHMVIGTPPALLDVLEKDPQVLMLDSLKTVVVDEADYVIEGTPNNTNARARIKALQQIKKHPSPARQILDQIWKIKRKFDFIKGEEQISTGIIRGGPQIIVSSTTLRADYRRSLLKSGWFNKGPHSWAKIPEVDQKSIPEDDDRRRIGGMAIQHHALVVSADGSAANIQMATGENRSETQPSADHQTDIAPDSEAMGSMEDAHLASRVVEMAPNLPFNSHALEVIAEAFALDVPSLALLVLPASAPLLEVVTALRGFGVNAHGLNIHASETRNAFLMHNSADPPTDSPVLLVSTLASTRGIDLPHLTHVFILGVPEDRPVDTYVHAAGRVGRFGRSGKVVSVLEARHLTAMKRGKPGWKDEPKKMREVFKMMGVRPTKLDHFS*
>EED81384
MSGLEHEHGSLTPPQPIQDVEGRPASVGRADSQYTADALGVPQAGLNPDPSLPSTPHESYATPGLGTSDNTPPFGTSSDAINANTSDAAAAAGVPATKETASTGSAEALAGTGTKGAGKAPWYKRPIVWLAAFIALAIVVLVVVLPVWFVAVKPHRDHNTTSGTGKSGGPNPESPSGATSGGNGSTITAADGTSFTYINNFGGSWVYDAENPFNNSARPNSWTPPLSEPWNWSTDRIWGVNLGGLFELEPFISPELFQAHPNSVDEWTLDTDLRAGSNGSQNILAQMENYYNTFITEQDIAEIAGAGLNWIRLPIPFWAIDVWDNVGVDSNGTVVSEPFLARVSWSYILRVLGWARKYGLRINLDLHTIPGSQNGYNHSGKLGIVNFLNGVMGVANAERALEYIRVIAEFISQAEYQPVVPLFSIVNEPLLATIGKDTLTTFYLRAHDMIRNITGIGEGHGPFIAIHDGFMGTAYWAGFLQGSDRIALDTHPYFAFDNQPNNQPVNVTVANGSSLYGGQWPLLACNSWGAEMNNSREQFGVTIAGEFSNAINDCGLWVRGVNTSADYVGNCDYWENWESWSDETKAGLKQFALASMDALGDWFFWTWKIGNSSTSNSPQAPLWSYKLGLDNGWMPLDPREASGACESLGYIPVAWNDSFASWATGGAGAGTIAPTSVSQYGVWPPATINNVPTESISYLPQYTSTATVVSLPPASTYTAATVSTGNGWYDAQDRASAPTPIAGCTYPDAWSAVGSPIATAGCGAVAYAKREVPEPVVTAPPARRGL*
>EED81385
MKSILSCSIEGEKAGGFKRSTVSSAIVGGTGVQNSIANLHETSQQDISNSGAELDRHYWQGHYLSNCGTAMLCIDQLHTDQCAQLALNPAHGFQFRPLVPKSSTQPNTPSLQLYITSTFNLMSIELLAVCTAFVLGSPSQLEEAHEVSWLVGAYIEPKKGRPTGDSNPESSADFWESRDPNPETDALTIRPAGRYECTLYSQTMAQKGGEMSEKRARFEVAEQEDLSRDLSIGVHIGQTLTVNSSQSRGPYNLPPRERDIDNLTYNPQADSRSDNAAFDDTDPSFRPVGARGADDQPPYRNAGREDLDAERSDQTGQIPRGEVDDLLGSLTEEERNVGGRTRGKKVDAYKQERGIDEDLDASGISSADQDVEIGAATGR*
>EED81386
MSNNSSTITSNNAVNLVPLGLPTLAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQFQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGINRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAGRKPVLLLPHQGPQRQGLPQESGRTTRGWKAEPGRIWKGRLPRQNQDTLH*
>EED81387
MSPASSYEFQLNPNESTTSRIPKLIEDGLNWILYKEQFHAAVYAKGLVRFLKGRDKAPVPTTAPGVDPDADECYESTNNVWVTKHQSIRTMLFQTLPESLKLRIASLQKASKAWQVIVDEYDNQGEFVQVELL*
>EED81388
MPTPTIISAETRRFPPISYTDQSSGTPVQYKVVEQRRTVYMASNVPPDQLDPQVMEQFLSVPDVPGQGYPTVPPMHHRTISLQEADRRQGGWEDLAPQPSPTCSEPAPDQRKNTRPSLLKKTKPKPQFTSTSPITPTSPQRSPHTPRAPARILFYNKDQPHYGFTNFSTHSVLYNNKSYPTSEHLFQSFKFQKHRPLLAEHIRTCSDRPSVAFSEARRFHSEVRRDWLSVNIAKMDETLWHKFTQHRDLMEELLATGDAELVERCHSRPKNTGHEYCSKTCAATANTATQASTDSQPMMTTANNVTPTGVPSQTASKPVHHIQMRHVPHGHAAQLLGETKAPQTTQLQSATQRSGAQVPYIIKGASAASTGITAVSNRTVRATPPAASLPVVAPAPSFNVPPVAGQQPTHRGVAVPVHPLPPSTCSLPSCSRPAHVNSDGSVSGYCTMNHREEAVTSGAVPGCIMCGKYPQSATDYFCSTTCREQALRK*
>EED81389
MHSPYVSPASMRVLRAYYGDAFAYSVQDLTIKQMDTAARKRLREMTSVPGTPAIGPETPFEPSTNSEVNGLKPSPTSLARPQISSPRGLSLFSEFPRACIVLGDAERLEREVMKLVGGMERDGVDVRTIWVEDGVHDVLMMGWWDERVRAKVWGEVEDWLVMTRQPCTFSHARGGGTVLFLIPEVKLRYAADPMKRALWTCLAGSPLGRSRAYCSLDAIDHNVLREGGQKTYATIDQVVSQRYSRRHAAQRSAMFQAAVDFLTVFASDGRRRPRSAVSRAWRVASTSRDWLGWSKPSSDARRDIPISNEAASLSPPSLAERRAKTDRQEDDPKGHKASTAWEVIPRQVTIDVVTILTPNRTPLDCEAKVEQSIANLRVSTLNTDGFVISGSTARCLTRVCGLAESGVANSAHIGDSGGCADMPNNMLASRLLCTQCASARGTEPKEWQQATVQWHTLLGPGRRPGRHGRDTIRGVSGYRVKQPAENKIPRSGWPGTMIGRAPRSTLTWRGREGGPCDLTCASDQQRAHGGGFRKPSPSTRRLVGGEDGRFDDVDGSVEETAEPHPSGNTLGVYRVGNRWACPKCHRTYKKRNEAIRHLATVHGNKEYRCPACDWRFNRKDAFKRHYEGVTMSACKDFMVSTLLPTETLRGFDASRYFMPKDA*
>EED81390
MLAQFPDWSGVFRASPYQSPIKRKRHRRSGDTEIDLDLGHHSKRPHTEGPRGTNLGSNATDKYRTHEDKGDQSTQDLIDTNAVDDAAVFVEHIAEDGVVEDEDSDGPAGNGDEDIQFTEQPGLEGLGLEELKQRIRFETEVVKFRCPLCLKLYSDYDAVVRHLKTVHKKGLDWWTCPRPLLRANPLSICSMTVTLSLVAGFVI*
>EED81391
MSLCLALAHVMLGLYDSGVFLGRDEFSCRVLEHLHGAPDIWEDLAVVTQPDAKVGRRGSKLSVSPLKTLAENLSLPVHFIPPDKPSFKTWQVLMFPKTTLASLKPFQPPPPFHFGPGHSPPPSHMLVTASFGRILSNSLLALFEHGRRLNVHPSLLPTYRGAAPIQRALLDGQKETGVCVIEMMERKKGIDAGEIWGRRRMAIPNGIAFPELRDALACEGGQLLVSVLRDMLTGKATAKPQPVDPQAPRAPAIQAKDATVDFSQMTAEGIKPIITYLKTKRTLQLHSPYVCTEPPPDMENLLPAPGERHPESHVYRYTTSFVSKVKQQDRSLLKAKEWWNGLSSELRLSGERSPVQFLALDTVCP*
>EED81392
MSAAFIPKPLSEKKVLSNKACSRILLNIANATAQADWPRDIGTPGELELVFSRPPAVGDPVAGPSAIPYTVADSATLRKASNTGSKKRKLGVRDKENVAEEKPRKRYKEGFPAATCDTAAQTNCGGTGTYQPPQSAGPVSWRNTRNARELNKFQRKLTGFRCDVKFKIRHSRGALHIINQPGHPARIYRRPQVRMEDTFQSNRIFLAGALVEKGLSDPSLLSTYSEERVPVIIDMLKITSELFRNVATATKDETGLSRAILALRTRDDHVKQFGVNYRWSSIVLDERTPVDEGEDIRANAYGSQKGDVLRAGDRAPDALDLSTARGRPILFCASLALRITLWLSPP*
>EED81393
MLSSFTPISATGKHFSSSLSAFRQLRKARHFIVFQNSPSPTSPRVDLVGCVVGLVSMLSPFKVDDKTRPGAGAPIGFPPEICDQIVDCLQGDGPTLAACGLAGRILCHRSRFNLFRLVALREAAMYALFLSILDRSPRIANYVTYLHLTKPAPNDVSAPVNLEGGLLRIVAKCYKVTALVLSEWNSSDFVPDAHIQMWSFPDIKFLFLIGIEIDEASFFQLLHIVPTLTRLHILLPAGSPPYPRTSHHSAHGVSSSRIVPILARCSLRLRPRKLQLVFDTGTEGSLANVVDLLREAGPSLERLDMFVMQRRTLEFFEPGTLLASNTGLRVLHMRDSAIRVPHAEGEEASFLSRIIPLHWMARTIALTVRILGTSSDWLDTAADSLQLDWSVLDAELARAADYHPGVEIGISARYPEDLDEWADVMEKVVLMRLPLMRQKRCRLRVTCFRDWAVFDEGLGGYAAGPCHTTWHECPLAAQMNPPVVQVSLKKIACDELGYLVLY*
>EED81394
MPKDEPEEFKTSSVGTPIEPCSEHPAGREPLHPSKPFQFPNCYQHSFGCAIVRIPTRDIDYGDAVRLSVKERMRHEDYLNEDWAQHNALRAQQSPPPVETEKTPKISEILQAVYCSEDQDGAPCPHKDAVSEHFDAAITTDDDRIPTLNGDTDTVYSDSVDSDADTDNLLLNADSDADTEAIVEAVLGPFRAKVDDIDVVPLVNYSFDLTEGGECADPRGFVEEAEAMAELIRKARDGALGELRAATPDGAVPLAQAVAEGATTEPDLVHDEAPTNANSGDAITDHSSNTMVMAVERSVDTPPESSSETKGETTLPEARSFWRLARMKRSLLTFTKGPVKQGQRQVSALAVKMKRLLCICNVHNN*
>EED81395
MLRRQTTNLLRARTPAPRTSRLALCATLTQHRTLATSSPLAWARSNSRISTVFSLLIALGVASTAYGVYEFYSAFTMWPQEVRGDLRTGIKAKNQGDFERSERYLRRAYETALALPLSAFASEPYLKLSGIAIALASVLEAAERAEPAYEVYTDALSRLRAAQAAAPGTLSGPERMRAVALAMKLGEMAEAYQLPVEEEERWLTWAVEELLRIVRDEGQNRQLVVGDAVQDAGVPFMLAELDVPPWVTKTDMGAPLEALGRFYSREGNVEYAIPLYLQAISLLVPPASSKKKATVEERCRGGQLMNNLAELSIRGEPTDAKRKQAEAWARQGLATIESTKALGKGSPEELMLCDEALAAVLFNLGSLLEMAGDTEQSRDLFQKSLDQAKNTKMREGIIQSQTALRRLDRASKRTSTPAQDDKSGP*
>EED81396
MSTTAAIPTPNRSRPRSRLNSLKSLGSGDDLPRFPFGGSKRSPSQGRPPSSYVGPLGPPEPDELPHVSVFRKSPARFYWTQVTARVQGDSRSRQVGFTTPECNRHSATAQMEGVFVHISSPPEGPEIPAKMRAATSTMHRHAFLSTTQALPIDKTLGPRRSSSRLREAIAATTRPRRERRPSQTSISGILRPFPFSIEVPPSARPGAELPQTFCAAADGLAGTRARAFVERSEIMYKLIATWETDDGTDEMSVGAPIILEPDPEFESLDGRSMEPESWIEHSLRSERPIPFKCAVALPDTPSFSRSVTIPYYVVFTTTPRSPTLTHEILADATISVSLLRQINIDMPPVSPSVSSASSSDEASSFILTHKTKLMKRVVNSAPPVLSRRQPKPVPPPRPERPEVSLDGFSETRSLYMDVYVGFPKRPRARLDPGQKHPPLTAHTLLPDGLYKAKMQLNRNMLPSIDWEDLNVKYFLEVSVVFGQDETRARVPIRVV*
>EED81397
MAVHPSASIIQDRYSQRLVDISSIYNNDAMVFGINSSGLRELVLKGDWLDVPDGPLQDGPFTEGSEDDDPHSDSSSDDSDTLDGSESAHGHRPEVENSSSNTPVTPFENDVDGSVEVTGEPQRGVQHRVQEMQEARNKENVAEEKLRKRYKGSFPVFCCGEQLSNKGPYTHHLKSRTHCDKTGEEHRTHVWVCVSWHTRYGRPDELRRHWDLPASAECSYCILEEHLAEYSGTEDLDRGSTGDKSGEKVKLKILGTSHPRNSERLVMEKPPKQQLVATIEEVSDQGF*
>EED81398
MFLAMREQNKNLGSSEADRRKRDREDADNPLTFLHLTSAEINRLYRFPILRRRVVQQTGKGKIPRQHVVMVVGNQNGLVGIGEGKAHEAQRATQAALKEAVRNMDYIERFEDRTIWTEMEGKFASTRVIMRPRPVGFGLHCNPNVYQVLKAAGIKDISAKVWGSRNPLQVVRTLMRMLMPGHSPLGMGDGVGGQGRRLDKGSGLRAKDDLERERGRKLVPTRL
>EED81399
MAPDQYVSFVAQLGKKTNDQDSASGPKTNSKAKHLPAPLPIIATVQDSGKWKKAKPVPLSNNKYVSLFATLTRVQREGDTASKFRVDVNDVTFLGNAPICPVNDVPAPSADNDNIRSPALKKLRVGLQNSAKNVGSVAVGKRSRNDAA*
>EED81400
MNVEGSPNPQQEVLDLLPHFDTDPNSWPWRFPVPDQIEDRRLNMNDVLIFDLLLASGGIPYIAPKIYPPRDLEGLKTLLLAILVSTYDALKKDCLIYFLLKWHQDGREVDFSEAVCIPPQFVALADAYWHLDSGVDIGHGVGLLSDVRLNREHTSKILQALALADDPGPLIRRYIRTAKPLLTSPVDLDVFIVALAGSSLREAWQFQRSYSEMSEARERLFCKMLEWCFVPKPRATPLKDLLALPLSQYEENILHAYAADPPLEIPSVSIPVLHDLVCLRLVQAGEFSAAVMLERKLSARSTSSTSKAAHKAAQERRQMMDDIMAAMPAVERQLLELELEQLAQGKGTGMPTLSASWSSRIGNSGDLSMSWESVRTPLPANGSSASAVANASRISAAFPEPPPPSLSQRSGAPRFGGPLPVSDVFSPLSLSAVGSSHASSAAASTSAASPILATSISASRPISSAPRAGPSTPVFTVGSNTPQSQYATPSSSRPISIFETLGSANRTPNAFYTPPVSAGVKRSFGEDTPRAATSSVHSPVEAVDEGMMDVAEVEDIVNDEDVEMHADEEPVGNGHADPSHNGEPSHQNGVTEEVSFSMFSPPPDAFQPLPGLRSSRTQPETQTLPGAFTQDSDEESEPTPPPPQTPRKRARQAPPQRSPSPALTRRTTRTRKVPQERISGRSIPGSLMDDDDEEEEDVVPPLPPPTPATKRGARKTKTTRANQDDMSMSELRPRRSTRLSSAASAESSSEEPMSPQKPSTRTRSTRKPGVNAPAKNTRKKRS*
>EED81401
MSTDTNLPGSTLIRARSPHPLELETPIVKHPTDNTNFIELAELGKQNDLPTSASSAERAKTPDSRLQKVHKHRARIQFAVMCFSLFMAGWNDGTTGPLLPRMQKVYHVGFAVVSLIFVFACLGFVTGATANVALTDRFGFGAVMVIGSVAQMIGYAIESSAPPFPAFVLGYAVNGTLARMGFGALCSPLVATQFSQLPRWSFHYLISLGIAFINTVLLAVVLRFRTQNECLAAIGEDLPQTGAPGDGAHMTGGAANDSSKSKYKQMFRLKELHLLALFIFIYVGIEVTVGGNWLCILPHIIAQALLQGWIVTYVIEVRSGGLTLGRVALLWVNKTTPIVPQVGERRVLFIYSLLAIGYETLDRKHPSSDTYIALTRSLELVVWLVPSLIGGAVAVSLVGVLLGPIYPIAMNHSGRVFPQWLLTGCIGWIAGIGQAGSAFLPFLTGLLASKEGISTLQPLLVSMMGAMVVLWAIVPGHAPRVD*
>EED81402
MWCNGSHGISVGSLGQYAGETDIVENVFVKNVTMQHAQNGARIKVFGGSPYANSTAGGGSGYVRNITFEDFYVNDVDNPIYLNQCYSTSAEVCAQYPSNLSISDVHYINVTGTSSGAEGSVVVDLECSAECEDITATGTDLRSPNGTATYICENIATVSELDFNCTAPSS*
>EED81403
MEKGTTRAYDRDMYVLSDAHITGSSVVSRPQEDGTSVAGPLRFSGALSYSISWIGENTTHSHIQSSRGNTVSLASVAGLPYAEQGWRGRPRCLGGDGADYGEADSSIHHMDEEDNAPLASNEVESAILDVDANNVPATDLPLDNCPEAILSTAILEVVRVPLFENVNSESKESGSSSDGNGGKHEGGDKGGRDGGMSETICRRPEAIYRSMKIKFGLAADAAVVVALRTLNKVYFAYGYVRSAILLLLMEAYNQKPGAFSLGRIPRLNDDVLAHIVSWLSTRDALNMSLTSHDIHPIAKRHALSIVTMRHNATIMRICTYILEDIPGRLHWIRELEVGFDDMTDTLAYPSQDHLHLGNTTARLLVSLFENAIHLRSLWFLYSFDTLLLKQPRIGPALSALRHLRILSLPSPLLSLNLNPGDKSKTESLAIQRQMLRDMRSRLIELSLSIPSSDLSSIQHMQTIRRLTLSQLHSDNEEHPSHAERSQLSLSWPAVSSLTLGRCRLSMSDVARAFPNVRELRADYLSGSSSTSSVCWPHLDYVEGPKALFGTWSFDCRVHHLSVTSILHLATADDVTVLHAIRQMSPRVLEFTVPPMQDDTFWARLAEIAPQVRSLEIMTWVFWSETDLVFKMAQQLERARKLGRASSRGPEAPIVVYNDPARWIEFNPG*
>EED81404
MREADDFDGEIPNGIVETLGAPQTTAINANEHTGLPSLSYPVEDHDMQVAAGISIEEYYGWELGFGVDPSVHEPTSPSSHPEEERWIESSIDKYTASINMDVELTIGLSKEEGTAEVYGGNMYIPPDMDVWWPSGAMMPEEHGAPIAGPSRFPGALGDSTGWHGERADLSHTQTSRGDLVSLAIAPGLLYAEQGATTNEYEVSIDEDEGEDDLDTYDDGADGNEDDAFILDTNDDDSMHLVSNEGESAILDDDVNIEATGDSPLVAPSLDRPITHSHTRASYGNSGLTMPDPSRGQKSRADDDNEEDDAKEKAAKKARKGKAKDTGQTKQETKAKAKGTAKTKGNAKAKGKAKRKAKVQDKAKAGNMKIKTRGSGGQFPCLKPGCTMSFERMTDRNRHLRSSCPKGKPGDLEKPRCSHCNKQFSRDDAVKRHIDDGACPALKQPPGESKESGASSDGNDGKRKCGDKGGRGGGNGKGGRGGGNGKGGRGGGRGGRGGGKGGHA*
>EED81405
MQVEGHEIIIGGATLQDSATVLGLELDPAMHLNTTLFTPIWAPWPGDQIEEPQVAPVEDYTPQYGHGTYSWDEGSTPEGTIPENIGATNWASDSDEPPVTNAAYGYSVSYANAPGLQHAEQGASTIEYISHGIGHDASMANQAHTDSEVDPEVDHIEHREPEATDHATNVASPDEPSPTAPSCILPRTVASNSPDDPATPPTVGEVISSRRIDRSEWITWQPRMTRSMTNAAASSSAQASTSTAGPSTAPRGQKRKSPDTDDDEDFPWQYEFPDDEDTYDQDNGENKEEGDDAIEEAEENGGFDPEERHIRDTATAGPDGRWECPAPNCGKLLSSLEIMVRHWKGCKKRPDPQSIPCPGCDKFFARGDAMRRHHKNPNACEGAPRGESVEVVTASAGELRDLTANLVKPTPPKHTLGGMSLQPRIRARMGTPGRAVGERLSENLCPPKCRDHGVQPQELKDIARGPAALGMQLAGAVVVGERERRGHRRLRANPEWTLEQDVVPSLQATPARTVLGTRDVEASAILATREVVGQDARCAGNVRVRLAGVLEKPGGSGSGTVQQGIIGHPQVATPVVDGIGIPGDAIPLGLHASLDPRKGERIGHEVRLVRVESLLGERIDELVRVNALVGRDPQKDESTSRVTRQEGAQGGASRNSSHLGGAKLRANDLTQSSLVIRAQCQLVDMGRERAQGFIHPTGKTHHLSVKACGFAATKLAMSNVRGPIHDEPGTTRAYHRVGRVRPIGEHKPIGSVAHKATKRRPPRMLQPSIKLPRMLRRSSVRLQEHLKDLEVVEVKESVDLDGALPIKPNNLKTTLLRGEMHAAISSMYVWFMHLLPANEICSILIMSWTCVDVQIVEGETMNAFGTRRGGIGRDRSTVKCRKPINRIREDTSKSVETNTCVGYSRRQAIIEVDGVQSLGSAERSVV*
>EED81406
MSPEIAPAARCDQQETATSCGGGFRMDEFMIGGATIADVIEALESLRETDGQAEPPSQAGLLQDNDERLARDISIQEYYAWHSASSKGSRDDECALEWPTLEDKGPHQSPIGGHGASIDMDAELNVGLSMEEGTAGAFGGDMYVPPDVNMARSSSMSMPQEDGAPVAGPSRFPGALGDSTDRNGERADLSPIQTSRGDPVSLAIAPGLLYAEQGATTRRYKVSIDEDDSEEEELDAYDDGANDNEDDAYNKDDAYNEDDAFILDTDDEDNEVESAVLDDDVSIEATGDLPLVAPPLDRPITHSHTRASYGNSGLKMQDASRGQKRRADEDGEEDDADERAVKKARKGKAKDISQTKQKNKVNAKDTAKTKGNAKAKGKAKRKAKAKAGNAKIKVRGSGGQFPCLKPGCTKSFRRITDRNRHLRSSCSKEKTGDLEKPRCSHCNKQFSRDDAVKRHIDDGACPALKKPPGESKESGASSDGNDGKRKGGDKGGRGGGNGKGGRGGGRSGRGRGRGGRGRERGGHA*
>EED81407
MWWSPEDDAQRVALRARSTGTDRCHFGHRTHQLDVRNGYARGWFGGFLDLFRGHEQASNRQTHPAIYKQERAVNEAPFGAHYQPVSHARPWAQRCPGGISGRPRIEASGKLDNDGMTPRERTIIQSKSPMTTSAKRDKYTRGIFTKFDAANTPSPQSAKGGKHRETANYNTLTLVYFGLRHLCLLVYCNMKKEYSPLPGWDTEIAADTDAVTDGIERCNNALVDQCWETQGEGVAVSTAYQEVPTGTVLVLDMETSTSSQAALTSAAACPSDTSEDSYNASDAHSRGYGSSISCAYAPGLRDTEQGANTQKYENHQIGNTSGHTNGANSGSKVVDDANVTDSEPGCADNASRSDSRENSPPTAPPMVDEAIFSRRIDRSEWATLPRRVTRSITNAAASTSTQASASTAGPSTVRRGQKRKSPDEDEKDDDDSAIEEHGADEDQELEDDGDENTRPVARGPDGRWPCKEWQCSNTYTREHDMLRHWRSCKMRPAHLRASWTCPDCGKSYSRRDAKGRHKQTACKGKDLGQGTGDGNSGGRGSKGGKKRGGGSGGRKRARSG*
>EED81408
MSSRSATPASTPSLVNRRLSSLLVVLKAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERALESWVEWARGDWPELATAIDIEVQRRAEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEERRLEDERRAQEAADEEMARIAAAKGLLDKGKGRARVDDEVTELSEDPSVKTPRTVERPLAMTEVDMAAAAIVKRQAGQKCDCCAGYRSAPVDCVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPVPSVAESSGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQQMEKAALAKGGIGFVRGAVDDE*
>EED81409
MPKDEPEEFTASNYGDAVMLSLKERIRHEQYLSEDWVKHKALRAQQSPPPPPMETEETPTFSDVSANPDSPVPPNDAVNVRDWLQAVDCSEDQDGALCPHEDAVSEHFDAAITTEDDRIPTLNSDTDTESSDSVDSDSDAEFLVLTADNNTDTEAIVEAVLGPFRAKVDDIDVVPLVSYSFDLTEGGECADPRGFIEEAEAMAELIRKARDGTLGDPRAATPDGVVPLAQSVAEGTTFSALVRIRWKMPADTGTVASDX
>EED81410
MFSNASTFKVELDECCKILRDATPQSFVILDELGRGTSTYDGMAIAGAVLHQLATHTLALSFFATHYGSLTDDFAYHPNIRNMHMETMVDDEKRELVFLYKLIGGAASSSFGTHVASLAGVPSDVVERADIISKDFAQNFKEKTDKKEDKVSGRLPLVVQADFAYLYGLAMGKDELPENRVRRKAILSTIKGAYGMPFAPFRVEGIPDAALPSVK*
>EED81411
MHHKRWDTLVYIDGDPAEEHHFRFENENTVSCYIASEAGKRFSVQWRSDLSMTASVNCFMDGVCMGGSKTDPGRNGSRWYVKTDDNIRYPFMFAPLVLTDDDGIADPDDVSAESIGIIEVAVHRVRFSGYPWRHTPKPRPMPADRAPVHERSKKAGAHCVSLGDGFKGADPPDAGQPSPRVIYIDPPADPYIRFIFYYKPREVLQADGIMPLNPPPRPTAYLVPKREPSATPALYTNDSDDEGREVESLLRYREHTTAPSVKSGPSHRRVIDLDAKVIDLTILDD*
>EED81412
MQRKESGIALTSPSDVEARAGNKPIIGIFLHGGGYCHMSAHESSSTSKIPRQLIKDKAFDQIYAVEYRLLQHAPFPAAVQDAAAVYAHIRVARATQSKQCKVVLIGDSAGGNLVLALARWIRDEGVLPPPDGLLLLSPSCDPSHAFPESPSSYIPRPHGNTDYLVDTPEPRALLQRTFLGHNPLETMHSPYVSPASMRVLRAYYGDAFAYSVQDLTIKQMDTAARKRLREMTSVPGTPAIGPETPFEPSTNSEVNGLKPSPTSLARPQISSPRGLSLFSEFPRACIVLGDAERLEREVMKLVGGMERDGVDVRTIWVEDGVHDVLMMGWWDERVRAKVWGEVEDW
>EED81413
MLKSFFATQDTDAARLVLWSNGDLSGNAILAKWRRRYPGAFELRVVDYDALAQGTELEGSELLRVKDARAWIDGDLVRLLVLWAYGGVWVDMDSLLTRDLAPLLEHEFVTQWDCYDKLYVPFNGALMRFRQHSPYLCEAFHVMLTSQAPRKGTTDWGATLYLKLWRRLVAASVPPFKVLPFCFSDARSCRLDNRLPDPFAADPKNGQWTLGQGREEGGGLDKALGRVFSVHLHNQWEKAFPPDGWVDRLLLRRYEQKLEYKRTNGDL*
>EED81414
MAQQQQARPSSSTANSDAWKSGLRPPPKDDRPQTEDVTATRGLEFDEMFLRRELLMGIYEAGFEKPSPIQEEAIPIALTKRDVLARAKNGTGKTAAFVIPSLQQVDITKNKIQALLLVPTRELALQTSQVCKILGKHMGVQVMVTTGGTTLKDDIIRLSETVHVLVGTPGRILDLAGKNVADLSECPVFVMDEADKLLSPEFAPVMEQLLSYLPKDRQVMLFSATFPLIVKDFKDKHMKSPYEINLMDELTLRGVTQYYAYVEERQKVHCLNTLFSKLQINQSIIFCNSTNRVELLAKKVTELGYSCFYSHAKMLQSHRNRVFHDFRNGVCRNLVCSDLLTRGIDIQAVNVVINFDFPKNSETYLHRIGRSGRFGHLGLAINLVTYEDRFNLYKIEQELGTEIQPIPQYIDKGLYVAPGATEEAPEQPQPQQKPRANQAQPQQPRQSAPQSQPVPGQVVYSSAPQQARVNGNGTAVPQAQQPPRAAYNGQAAYRGGVPVAR*
>EED81415
MSDESFPPIFSPTRSNTHDPYGQLPWIRRIRSTKNTILSFEGRQLFPWFWPVNDRGERVTPDELNDHRLTHEFRGPGCLCASRIQAPDAFTEARIFCAESGVVTGQWVAACGRGECKYFVRLEPFYIKLGHPIRRYDRRPKTENPLHPVPTDTDNPDVPPLVSTEPNTPTGPRRTTRTRSIRPLGGIMTKFVQCNMTISTKKTLTFSTAADGQTVTEVKLLGNFNLTVIPLAPMGVPQIDITFDIDADGRVKLVHDEFWLRGKRAKSTLSRPNATLAERAEQEQKLSSAVRTILECIGEDPGKESLLRTPERYTQVLMCFYSLRMRCSLMVIIDLKDQKNSRAARTDPRWAGHSPDEIVSRLALFGSLRGVTALPLMEAQMRVVLHAFAHPHTLDGRQKAVGIIAQYEDLQARLGLRTSSKSRCGSTTTQCGLRSIFPGLFLRRLFSACCSLSENWDK*
>EED81416
MDAYEMQSSKRMLNVQTLLTATSVPRCYVILLLGALAPTYQDLAPLLLSGQYAHSLLIVVTHEPPDIPHIVIPAVRILHLSYPLVERRGNASRLVAALDWAAQIAHDWRKYGGSGVSELAEDVSGEPHPSTFNGRHGGLDCSSAWRAPTRYSTSRLAGRSTRAFDVLINLLPDEDADDILLRQTILATAISRPYLTSPAPLGPRYNDLFGKCKLMFSSARKSSGRSQSVDIPRTHLGDLFTSAALSGPVAPAGTPHLIHLLPRATARYPRKTLQLIESMEAFLSAFACCIANEAARCCGHNAADTNASSYIMYSSTFGTALDCALSPPAVESTARLTSDPWGCEWTVADAVLSGALDSAEYAPGDAGRAMDWRNWVSGTEEIVLGPIGAQDAGRPALDIPAACRPGNSVSASEFVPTDIQQVSAQLEVQKEKPESVYSQYSASYAHSLIRPSSPTFSVAVSSSVEEAMSLRPSNPTSKIEAASATIAEGKTDVRASLQHHLSLKRVRTAIPAFKQPSAWRFGRKGKVITTEKTSGKEST*
>EED81417
MFKDVVMREEPAFTLFISILNRSPRIADYVTSLCLGKPPARFVLEDLLRVLTELQNVTDLMLVGWSAFDIGLDTRVFQRRFSNIKSLFLANIEIDEIDFLLLFHAMPSLEHLTLCTVKVLPAPEEYAGQLPVARSNSMHELHDMIFVHVSSPPMRIVPILVKAPLQLRPRKLQLVLNPKAEESVEDAQDLLREAGPSLEHLAMTAMQCEPRVSAISFADNTELRVLQLKDIALGMLDETALVRRMPLDWIPSTLAQVLPLHTRLQKIQLLLRMVHWQDWTDTGEYQSRLDWSRMDAELARIADEHPDVEISICVRRPEELEVWYYKMEDLILDYLPRLTKNKCRLGIICFQNMSGNGYLGGGISGRTYDNWYYCPLPDSGFYDSDHVYSADLYEDDEGVALH*
>EED81418
MSSQASLRTMNLSTIPALAYLRCWDTFHMMLLADPTPSIPYACPIGFWRSVDPQSSTQVVLRARLEKHGRYVEVGTELCSLEQYENKVTASLAKHIAGETLMESMDCRWLVAMDGGRSAVRKLLGLTFQGDAIVGGAIELAPLVSDRDSLLQAFKKGIDRDDVAFGDIINVAEWSNRVFLAGDAAYVHTPAGGQWLNFSIQDAVDPSFSTSEDLRANAYGSQKDDVLRTGDRAPDAPDLVNGEGKTYTLLRVFGPTHHTAVILSMNEEILELYPSLFKAYPNGIVRTLVVSPRGSPGLSKVDGIDTIVVDNGGHAYATSGASDKENIAVAVRPMV*
>EED81419
MGPDARRADGPGEEDGKEATSVQGGDEGLLYGPECHATPWWQDVDRTKSHDSRGQSVVLSGHLWHHAELESRGAHDQSLYWQGVRHCDAIYQSFRVSNG*
>EED81420
MRFLLLLSALVLSTAAGTVESLANQCCATLSNIIPNNVFFPNDTAYMQEEITYYSAQQTSQKPACRVSPTTAREVSQIIDQATRLGCHFAVRSGGHMNWKGSSNIGPSGFTIDLEQLNSVSLSSDQKTATLQPGAPWSQVYDTLSPLNLTVVGGRASTVGVGGFLMGEIVLSNGTIVTASANENADLHWALGLGSTNYGVVTSYTLETFPLGDMWGGTIALDISQAPAILNYLANFTLKLATPSADDDAISVSTAYLLPVSFPPLFSGLEPFVPDATSDTLTITNITAITADFAAGDPSGFRTAWWTLTFELDPQTVLEVFQYGQELFSDLVAVNGTQWSLNIQPINQDFIKATEAANNPAGLEGDNLFLILEHVIWTDASLDDVIEARSREFLAWAEFIASERGVLNRFLYLNYADGSQPIYKQIGSSNLAGLQEVKRQYDPDNLLGRLWKGGFKLP*
>EED81421
MSVSLSWLLLVPLGILFKMWYDKNAGARRSLPPGPKGWPLLGNVNDIPEVAPWLDYADLGKIYGGIIGLKVLSQSLVVVNDLSIAHELLDKCSNITSDRPANKLLDFLGWEFSLVILRYGQRWRDQRRLFRQAFRPEAVYGYQPIITQKARQLLLNLLDNPEGFIDHARNYPASIVMRLVYGHEIAPRNDRLVYLADKAGEMVELLLLPGLDLIKVFPFLRFLPEWNLLTGFPRKARISREMVKEMRDVPWDMVRDQMTAGTAPSSMSSDLLERSSKLGGDMSKEEATIKDTVSIAFAAGTDTTAATLKTFLLAMVLFPEVQKKAQEQIDNVVGQRLPTFDDRDSLPYVDAIYQETQRWHPAVLLGFPHVSTEDCSYKEWFLPKGTVILPNIWAMSRNAEQYPEPEEFRPERYLTPSGDRTEETSEFTFGFGRRICPGRHAADASLWLAISSILAMFSIEKAKDENGNEIPIPGDYGGHGLVSHVVPYKCVFRPRFEGAEQLIRSSK*
>EED81422
MPLFKSKKKTQAAEQDARSAHEHHENRNTAGSTAGANPNVNDYANQPGPPGQVHTINRRGGGPARAADNGKVGSPAYGNTASTDPSLTTERHHDRGKTRVRAEGGTEEVAGQLVGSRALQAHGAQKEQEANAIKVQSTELAEAERLEREAVLRRETAVAHGAHPDNKHLGAGFSNSTGASN*
>EED81423
MNTIYVRARQAWVDLGNPCTTFIPPRPLCGLVKDRRVLQPAKQPSLDHSASSGGDDDSNSDDGETPAGSESAHAHQSEENSSSDTLVMPPLQGDVVGSVEETTEPPQSGNTLGVYRVGNLWACPICHSTSKTPNDAKRHLVTIHGKKKFRCPACDRSFNRRDAFKRHFEGVTMSACKDFMVTTLLPTESLRTFNASRYLVAADTISLENREDGGMQHQPHKAQAELKRTLSGEANAEQRRLLARPLKHGQLNRQRCRLMDLGTLATLGLEELKQNSVDRLRNTKRASPSSVVGNNYPIRERVLATSNHAPTVTRPEKSIGRICGSVQAIAGTHKIAKADRTHLQIATRIRELHSASSRILKEPLAECSGTEEAQKPMLAPSYDIARVCTASSTRAKRKRRRAARSSLRRGAALASTSPTPSGRLAKPLRPNRTNTGVDITNTQGENGLSELTTYFPQMSLAARQHAIAPQMVDPFGPDMLVTLERLQNDLQDSVEVHQRSSEKTNNNDILSFVNRVRNVQEDSRDLKW*
>EED81424
MRIIRGASGVLGSAIYAGYKSAGHTVLGLAHSRPTDELRQLDLLDSAEVERVFSEFKPDWVIHCAAERRPDVAEKVHTRKALWLAFLPAHLAQISKALKFTLVYISTDYVFDGTSPPYTPSSLTNPVNLYGRTKRDGELAVLGVSGSKTVILRVPVLYGPAPKNSDSAVNILLDVVTDQSGKQYKMDHYATRYPTNVLDIADFLVRLSALPSSRPIPPILHYSGGEPFTKYEMCLVFARILSLPHKHIVADAEPPKGDAATTRPRDCQLYTRETEDLMEGYGSLGWTPFEEWWESYLKAKQ*
>EED81425
MCTSPSSPPPSWLPVKAKFMPSTLRTSSTSTSQTALRLSSSPASSSRAPIGHLGRSSKITRTTKRSEGPNIRSVPAAPSHLDPPRGTLALSPPVPAFPKLSPVQVKREEISLQTLRQSLSLRRVRVKKESRSPSPRILLGPPRRQRLPPRQQSLTNGERCSNLRLGTMDNDVSISGM*
>EED81426
MLCMDQLCTDQCAQPALIFPCQFERKLTIASYAHLPVLPPSNVAACQGLVHAFDSQDLVDVYIPDGPETVIYRCEQQPCPNRTPRSVVQDYLRYKAIRRAQHPLGPRSTLASQSASRHSRPVSPSSHLPQTVAGPSQARGDLPPDPAPEPEPKESASEEGVSESESADPARPASPTALASTSAVTDVRNPSPGLPPAPSLPSPPQGHPSTRSSRSSPGGQSQQSPSSVGSPSSPSSPIMSSPAAAPDKEMLKLLLPLRYDGKTVVECNRFVSQLLIYWAVNTTLSTIELKVQVALSLLNGDARAWATPIFAQLAAVHTGTQGATTPFADETAFLTAFKARFGNLDDAAAAQVELTKLCADKTMREKRTAAEFSALFKGPAFWYLSGIPSRVYRKIKLETFITWLEAEKHAIEVEQILDISRAQQPELNNFFSA*
>EED81427
MVCAEAERDHPLR*
>EED81428
MSDLYRSALQARISKLPMLPPEPDDDDEEAEAADSLGSLPSGIGPAPMQRTPNVDFAPISAASFFEQATQVAVPASGLDVRAYYTPPRFADGTVMVCHHGAGQSALTFACVAKEVTDMSRGECGVLALDCRGHGRTVRTNQSGSAEEDFAIETLTADFVNTIEAIFPDPTTAPTLLLAGHSLGGSVIVRACTLLQEQKYKITGVAVLDIVEEFTLEALPMMNNLLDVRPEGFDSQEAAIEWHVKTNAIRNPHSARVSVPAIIKPAPDDAPVGTPAHVWRAPLRLTAPYWTSWFTGLSSKFLSARTARLLVLAGTERLDKELMIGQMQGKFQLVVIPGVGHMLQEDDPTRLAEVLVEFWRRNERVIAGVKKVGER*
>EED81429
MATSAETGGYLVFLLFIPLLSTLWIWMIVSKPAIIFHASQAFFNFLAMCCFASVAAFQAHWSVGPSGLTGFALFVAVTGICFPLFLLFVPVIYDKYNKGARLARALNEVRVGFIMVGAGTAISLLIAFIVTISAWTEPGCKNANNDPHASKGETYRNDLSGWCSTKKAGGVFFWLTFVFWLASLILNILDWRNGKSSRPRDPPFTHPTDFSEIETHAEDDDESTTGYYGKRPVSDAESGQSPFEDSNTPTGYAPPTAPYAAPAMPRPSIDAYGAFSDPAPSGFGAGPAATPPPAESPRVSRTMQYADPYAAVRASISTGPQHPAPAPEYTSYGGGYS*
>EED81430
MRGIVHHIVIDPPHRELEQPSSLIAIVVLAIVSILAVGFFLLYVSWVSLHAFLERRGIIRGGTWERSFFRTQLGAYTVSLLMSNFIMSIGLIINVRWVELKRVDKGSAYIGALLGSLCTAQGALTELANTATAYFTAAIAVHVFNSLVCHHKLPAWFCGFAVVSGWVISLIISVIPLMIKNAPMGPIYAFGGISCGISKYYPAVRVLFRLIPVFFAIVISIVFYFMIYLILRGTLDIQQGLHINLREDMRMSIMSNCERAPGYRKFIVAVVKSMIWYPGVYGFLSLPSMVVDLMQVADDPVPFGLHVFGDAFLALLGSANSLILLNTMRLLSPYLLTSCNQDNGSILSDDSNSFHAGQASPLDGMRTFAIPDISEKDRIGSKRGSDVESLTELDMPVLVISPVPPVYFAAPRDKHFGSTVSLPNMSPTGLLDGVPSSDKTDAATIHLPLYRPITPVSVLNALVSSPTTSTETGLRRSGPTSNRERPLPSLPAPPRRTRSPVARQPSMVDDEIPASSSIHVSPASANPPIASSMPQDATADSIFPARRDTLGVENPPQPFPRPSLEAVNVTRRQGVLLSPPTMSSLLSPPSSRATSVASSFGPPGLPSNPRAYKALYEQQPAHGHPASFLWSDSYAGAQRDAVAATGRVQGSHRPMSSEAGAVNASREAILGLDPNLPRSGVDPGALRANISLPDIRLAARLGAKPVGYI*
>EED81431
MSSLKTQMSKAAKYCSKECQKADWKNHKQNCQNNASLADALKDHAALPLGMIERLMLPDGISLYDLDQRLEKWVRFHNPTLMGATIHALRLPESLARARTHVLHIELLPRWDHGGAVGKYFRVVRTKVVEVAQAQTWAEPWPASLSALREMQDQSESMRRGYVAAAMVESPPLAVQTVPFGSIKKLDYPVVQQWEEVLIKDVEAGRKFGKPA*
>EED81432
MHMTAAVTSPETARLCGQQFRDNHNLRRYRAQTRFTTLTLHFGNSFKIEYVGTSDSSVLRTDRYSMTTERPLPIPPSPSPSPSKYTFPSPIRNDPNLKPNPHPYAVKTTTSGLLTRSNSSSHNADTARHYYVPLSPKASRSPESDRGHKASKSLNNLAESPTRSSPRPLPVPPGHLHSQSTGSLGIFEDEYVSPRRNKRADTLPSFGSTEEVQLLTIPEDLPSNPKTWAPAQLSTYLVMALRVTDHSKSESAGLPIRVAKDIAAFAKSRMITGRMFLRLSEAELESMGMNRKWREALLAASHELRQNVLKGRIWGPEVSPTPSPGSTSPLPPAPFSSSLYNSSSSSLELSADEVEGEAHLLSGQVAVPANFHLVSESFSATRVYAIGGRAWQLAIVTFALGLVPVGLNLFSDIRYVFTTAYIPSFGTTYRIKQEAVEANMKTTTVTLLLRDGTFYFIVLLVINVLHLTLSLTNVFSDVTYFSTASATPLSATSPREIDVDLCSMSSVIVSRFLLNLRQVHMEDNYPDEQPSFVSSISRISDVRFASTVVGNLGAPLDYSSTFFTNGDVESQAASHNAIDTGDSDVEPRGDIESILKNDLSPVAEPDEP*
>EED81433
MVYRMAGLAGPRDNWLKRLDSESGKGSWILEAEENLDVGTVGDGIDEAVYWVDAKLESLASGSSRSSEMREALERAAAADAAENARTKRQVAESGPSGWKSQVKTEKRDGSRSQTETSAVRDQSHYS*
>EED81434
MIGDARRGQANGGAPGTLVAEEEKGLVSVRTRVGHLRVTTKQLYLLIAVLVFVVLLNVHTVEGVEANRCLAVLVFATIMWATEAIPLFVTAILVPLLLVCLRVIRQQDDSGEKVRLSTPDATKYIFSVMFSPTIMLLIGGFTIASALSKTAIDRVLITKVLSLAGTRPSVVLLAFMAVACFASQSP*
>EED81435
MVMEHNDQEELGKCEYCGAHKTARRLVVALDGTMNQFGAKVTNSNVVEIYHRIEKNDQQLTYHNSGIGTYTDPSRKFSWKLMKTHLDSLVDLAIAWWDLYMPEMRNKFRSPMSSMQPQGTRSQAGGETSIASRFKETFSRPNVRVHFIGAWDTVSSVGLLPSKALPKTRTADHVCVARHALALDERRVKFAPEFLQTTRLDNINTTAVNAVPPTCVTSTETSWMANEAQAEGLTFNDSNVDWEMSKIQEQKPRESLRWWWWLAEVIPFLRRQEDCPKPHQWIIPHMGAHRIIYPGQKIHLSVALKRKYLPKAQFHAYSSTAHSPAWSTILGKDLDANEGDTQDASKYGWMDDLGDILELDLFDITAIPELVKKLSQPQDSKVALDRLFVLAHDVHRTRAIVEADRSIGRSLWRIAHVNRDAPDLLRSVLRLVERLVTDDAGLQALWDSSLVLLLSDHVGNSVQEDSNPSETLRLLRLYSSLYRHAHTVAEYTVYPYTELILSKTLHTEWAAIAVSAYATLVRRCEWKTADVIEELLSLFVDSTLSHETSAITAQALYENVTLFRMQPDSDPKVVQDVIFQSDPEKQIKASSFADTWSAHIEQMGQRAFRPAFLRWVEEESRRQWTYRSFLLLCLVEVAKDCGDRFAECLPSEFVQCVVKFTSNDADGYFGYELVELLNYKDAVRAYHSGQMNHEEESEGFSEEPQSTGSRRNADETRQMCIVAKCAIASARPAFGNVGKARADIHQSPAWRRVWQRLMTITDKGGATGEWYFFTLELPPPR*
>EED81436
MTQVAQDRPTFGGLFADYLESQGTYVPPTNFATLATLPVPPAGGFPTIKDELRGTKRRLGDDGDEPGRSKRVRTATSGPVPPSPNYKLSAMDESFFLDDDDDDTSVFLDAVDSWHWGDTSFTDSTDEPVDATNPGSWDDPFVPQGSPGKDAFRDATKPDAHVSQVSEMHFRSLLFGDEHDAGVGGTTYETFASSSLANALGDSNDPMLTWPLNLDFPTADAAPMPIPRYEGELDSWDIPPPLDRDSGEPSTNALNTAYRPAASPSPLSRAQVEEDSKKDAAGAAEKVQVVEERRFRPHFPKARCLWKQVCKSRVQTVYALHGREAVRGAVERWARPYVKDAPATLQECSHHASSSQSVVPVHNPETLQSETIDASSSSPDVQSLPQCHEDTDDASEDEEAEWEPVSIPVYPDNAPDADARRSLAPSPSPRTEPQEIPEAPELELPRLSSIRVKGLKEAKPMIPSGTPAGLLDERYGSPWFICTPEDPFDYDIRRTSLLDLCELPIMCVKHKSRVHALSSKTFGPVMPAPGSMAWQSSRKIHPLSFVINASDLVNTASKKRKNSGEDDDDSDSERAYKRHKVEGDASSQLRTSSALTMPNEVHAAVTRTTSCDTYDDLWPATEDGEIDGGEQRDFHLSVRRTNSADDILEKDRSFAPRDPTKEDGHLNPPCFFACEDEDDSNEHGDLNKEVVPESSLHEEQVKDVDEDDEEFEEMKFEKERPLWRRTCRRRIGVIAQQCGGRKTIRAVVEQEARQYFDTKQLGTAGTGPPPDQRLPRLFKDASPLCRDTEPKALKGTPREVECKKFEARKRKLSRKRSRIHLRNARDKAKASQNDYKRQRLVPRWRLFPRWRLFSHMGPQESEATGHDADVGDLSCPEHHFSFIPDVSEQGAAWGSGMLVSADRKAFRTDARSYETSGGDAPVAQSGHCLDVVWLTIQTLALACRLTSVRPLRPTEVNILFVQVMSPADTYEITLGSNESPVSRLPKLAEDGSNWVLFKAQFKATVSSKGLLRFLEGRDKIPIEPTAPGVDSDADEKEA*
>EED81437
MNCARIIFELERTGSKNAEFILHLDVDEIHKVPIFRKTLKMITSDFAQSGIEQFKNVLGRLQDVATKGRSAACAIITRPPEIIACFMIPTEGNGIFVIFDSHPRPNKHPHGAAFILNNSLSATASYIADLLKYDDRLLSDSTLQWQAQLLAHFSAHIFTSSPTSGSAAELTEATLQASLEVLSLRARVLDIESQNGYLTADNERLSGEVSRLEDEVAELKAQSQTQIFVQDKSYRNVSRTFDVARDSQSQKGKGREVYVPHTSTNEVDSKQFIPPRRQIEGSHASSSRRAGSAFYDPMYDHDFALAAALQAEQMPSQDGAYAASMQREFDGEDARLKAQMTTLKHTAPGIFECGICLEEFNVDVVARIDICGHQFCRTCILGHTAAKIDERRYPIVCPICMADKGLKKQGDKQYEVFVEMQLAAFSVILHCRKCKNTVFVDKSEHAATEILKIEFGGPKHSCDGSSELKHLMQQRGWKYCPGCKTPAEKIDGCNHMTCMSPGCNTHFCYVCGEAITQSALRSEIKSALSAHYRRCRLFEDVA*
>EED81438
MSQSPVGKGQPEEGPTSPALPSELVLLIFIHGFKGTDHTFGDFPERLQHILSETLDNVRVQSVVFPAYEVSLHASAVVRFADWLTTLTVQREVANDLEGGAGKAKVVLCGHSMGGLLAADTVLEFARTRPDNKAPLWPNIVACLAFDTPYLGLHPLVFKNRATQAVSYVQNAFSSYQSFTSKSTTSGAATVGVAPPPPPPAPASTSAWQKWAPAAYAVGGALIAGAAAGTAYYKREDLGVGYKWATDHMKYVGTLWDKHALERRLQSLLEIEQQMGVLFCTFYTYLPPSPPTYSSSRTFIILPKAPSQIADHFVRAPNTLAFDEVQAHTGMFDIKTNDGYYDLGMSTAQYIREAVLLKKGPTVQSTKEPNQLQAATRTPPEQLHSDENPSYSTGSSEEAEDLLS*
>EED81439
MAYSTEILQCDPSSISFTSCIPEITSVTTLEAIQRGAKQINECQTVAFPTETVYGLGALALDAVAASRIFSTKGRPPDNPLIVHVSSDAMLNTLLPEGYTISRTYEILIRHFWPGPLTLLFPTNPTLVPSIITANQPTVAVRMPSHPVARALIALADAPIAAPSANSSGRPSPTRAEHVFKDLDGKLGVILDGGPCGVGLESTVIDGLHADGNIRVLRPGGVTVEDLESVLQRELGDSGDVPKVLVHRRDFRDEAIEQAPTTPGMKYRHYSPAVPVILLRTSSPPSDVTPTPIKTFLESLHNRVQGSEGHLKIGLLAPSDSPLPTNFTSSSDFEWQTFPLGPIADPSISAQRLFDGLLTLDAAGVDLILIEEIKEEREGLAVMNRVNKAAGEAIWLGPS*
>EED81440
MDYCADDILLNGYERMCLAISSLPTLEEKDIPLDESCPICLNSFKSIHEGETQSDGALVGLLVHPIELRGVTKLEGCGHVFCRVDLIEWIRGRHGTCPACRHQFANIRPLTESDYESSDGEYVPGEDDEEEDDGFLDTDDFDGDDFEFDVDEMDLDQSEELEVYLDVHVETDGDVEVDVNVEGDNDVTHEVVWEGGDEDDGMENWGLSDGDGSETGTKR*
>EED81441
MTITRALHDGWSFTQIGGGEAVKDGEWLPVQSFPTTVHVELLKQKKIPDPFLGLHEWDVQWVGEVPWAFRTQFAASQAEVSAPNVDIVFDGLDTFATVTLNGQKILETENQFISHRIPVKSILKQGSNELLITFASAFRKGREAEKAHGKLNLWNGDSSRLHVRKAQYNYGWDWGPVLMTAGPWKPISLETYQARISDVDIRARVSEDLSASLDVSFTLSRDDHSIASVNVRGPDGSLVTGQSSIQIQQSEAKARFKFSAGTYELWYPVGYGKQPLYTVEIEVKDEHGALDSKTQKIAFRRARVVQDKLIDQEGRTFLFEINNIRIFCGG
>EED81442
MSTTSTPNDSPAHVQPLHNPSQAAHVVVPQAPLGNTTNGLPSQAPGMGAKALLAKRLANHNPKYISPTDKMMTPVSQKLNAAKKKHFTKGAKPMPSLFAQKEISSDSESGDEAEAPASSSGDKKMGEDENPF*
>EED81443
MTSIPSGQLSTPTHLHPLLPEEGSSRGRRSRGKQTESGDFKPATDYFTLKAQLESSAEEHTKHSHANWDGSVRGYGKGRKRKHVKPAPLIVVESSSGHFASPSTPSYNNLEPVFSEPSTPAYSNVESVLSEPVLGESVSHSDSQILLTTWHEMSDSDIESAILQCHESTPQSSVPSHPYHSVLRVLSSAVHHLTRIRRELEESRRLLLEKDAARRDRASQLLQELPPSEKGVAELLLVEEWLMGLKSIAESLTEAIEDDSALSHSYPSDIVTPVASATTVPIDTHADIHIGSQTDGASVIEDSAPLDIGPQKEAEDSGDGGSHHRDMSSSGETTRSDQASLGNWMGTWWLKGKRNGRTPSSLHSEDSSGAVASDLSTSSQPDTDAVVELPATPQTVNKTGRRKVSRSVFGTLGFSILNPSAGAATSRKRRNVSVADVGPVETPRPTQRSQTAQSAASSPVRDTVLRAESPQLSVYLSSSKPPSVVSSAKPVGDEKPPQGASLRAIIQATRVMTSDPASILDDQGQDTSDFIAQRAMELVRNAREAGLDFRKERKPDKPDGQHQQGHPVSLSSTNDHKPALGQVIIQDETRKLHTRRKPSVNLSSFASPLFGSFMAQQEKTISTVVGAVSRGYASNASSSHAQVNGPTSPTVPVRRPGSVPLESIIPTNAKPPTQFLSRTYTPLTSRDFHFSIPLPDVASALSVPLDEQSHEGMTDRYGFIYDVSRYDVLLLIRAKECSNTAPACLTGIKIADRREDNNWPEDEAVPDDAIEIVKEGCDLHNAGDSNETLSIRSSSTRRT
>EED81444
MTAFRRPLIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWDAEKKPLDTWSEKPARRVGVVVDNVFLEGIINEVKERKEKERQTKAIPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWTNVGRNARKEWFDEEEDDGVDWELYGDGEHRYLYK*
>EED81445
MIYLQNLVNKVYDVLDYLEFGAVCEAKKRHDHIGDLLVIGILENIKDRDATDSPSEMRVASECKELSETSLLEEGSHLRRVVEICQSLSVKEPNPDDSADATHIFFTEERAVAVATAIRTGPEMTRAKIAFTVALMRKDLGKTWADTYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFIKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAKQGALTVTDTRDYSEPMDIDATAVAATFASTLGGRKWELGAVLNEADWKLHRDGNLCFYCHIKGHSAKDCRKKAATRQGGGRPNQGGSGKDDFRARIKALSADEKWELYEELTMEDF*
>EED81446
MSVLDAKLATALHSREQRLIRRRLPDPAADEGLADFSSNDYLSLASSPDLRARFLRKLSTAPAVLGSGGSRLLVNGSAHAALEARLAQFFDAPAALLFNSGFDANVGLFSSVPQPGDALVFDEHIHASVHDGMRGSRVAPALQRPFAHNSVEALRDVLLQLLQENPGFRTGKSSVFLAVETLYSMDGTIVPLTEMVETMEELFPRGNGYVIVDEAHATGVYGPEGRGLVALLGLERRVFARLHTFGKALAGTGGIVHTNKREAVLLVTPLVRDYLLNYARSLIYTTSLSYANIISADCSFDLLEDGTATQLLDLSTYFLSLLRPQLRTIPLSLLALPPHLASQPLPGAPPHSALPTPIIPILTPYPRPLSTHLRARGVNARPITWPTVPKGRERVRVCLHAGNAREEVEMLVSGMVEWARAWMRKSIEAGDAKTEVDDAVQAKL*
>EED81447
MANDPELERNPALYALATTCTDFRDSALDLLWRKQKGLGNLIKCMPADLWQLHKCYVWNNASPYHRAEYDRLSFKRELNSSDWYRWDFYASRVREFRPTTRADARSGGSHMEMSKATYWTLMEHRPHPGILPNVIELDWHWAYSRVKYRFGRVLIAPSLKSLSLAFRNPETVEDAKALVAALPQSCPSLHTLKLQFSDVAVFDELSDIISGVRSLREFDLETNRSLPAELFRQLSSLPELTSLVLMVWTPDHLAFRPQTCTDASQLPCFDSLRSLIVNSDDLSTPTTVIAAGQLPDLQDLSVASDTGDVMALFQVIHAHCNPMSLQKLRIFRPEYGLKHQTRTEPASTLRQLRRFPNLQDIHIQVPRGIQPSDHDLEDLAAELGQIVNLYLAETLAMSPRSEDEYGNGVSLRALTTLVERCPRLERLTLDIDASTIDAQATQRSGKHITNSNLKSIRLGTASRPGDPGRVLAFLCDLAPKLQNIGFDYSGSSPEDREAWQKVVWRLHCKRVRAGKEREYCYSLPRDTSSDTETDT*
>EED81448
MRNQTSCACCSQRVRRHYGLIQGRRHSQAARSTSPTSMPLPLRVVRQVKKWGYHYVTLQFIQSASCVPSSPTGRLS*
>EED81449
MGTEESKEPRRRRKVTLKKASVRSQQDGLLRKPNNPRRHAAPAAARVRMQSTAGDLKLPIELWRAIFESLDHADLRQASRICRGLQAEAETLLYRSINAEIHVLRFHHALSAAPRRAHAVRALALQPPYKELRTRTRVWNQVLRMLANLRTLEICNGEVPAHLWGPLAAGCGFRLRAFGSAFGLSPALLGFLGRQTAIEDLTLYLHDARLPALAPGVLPALRALETSQSCVSAFAAAPRNITHLHLGCDDPDAIRPALRAFAHQLVSVRVDRQLRPGDVGRQYPTGVVDGIALPALRFLERPLTHMDEDTIADALARLDTGLETVIWHPDWFWAHPPRGRKTDDWETCIGDMKRFAAALFQAAPTLRRFAYRPMRTAMLVSWTLERGELREDEGAVGSSDFAKIAGARHDKQDRSTSSTDAGDRAELAERSRQLEEELRESRLRLQVALESEDVKRNPLFAAAFEALKNKWKASREKYKNAKESMNTLQSDLEESQLKCQRLKEKLRMTREQLPKPEQFIETLVVGDFQPKILDHFSAELRLSIPPEVLQTFTQNAIISSNIDEIIWSKHGQKHGVMIVPTYRYNAKAQRGQGSWQASGLLEDLVKNVGQKRELFYMHDRRWFYNGLYEYVGMTAMITRDVRHMGSTRVTDNAIKRTVLFPDLVPPITKTMVQNMYEEGVLKVSCLGLKHRCWKMGSINTTLPPEMGDRILDHLWTDRKALVACSLTCREWLPTTRLHMFNTITIDANTRQRFEETLEESPHLALYVRHLIAHVSPGSGLSEQIGVLNRLDNTADLTLVNWHVSSIDSETVTVVQNIRRLYLRRSSLGISDTIIPLLCAFPVLSELHIQQPTYSRAGFRFDLAVDWAAQSDTKFATLTLPESLRTGSLHLANPPIMLIQWLAEEQLQLSPTALHLSWALGNDPLCFAPHFVMFLSRLFRAAGSKLQHLTLSPVWVLRGFRVPWGLQTNDHLRSLHLDVTPFFERAHWVHQALQEITSHVLARIEIGFCFDCIGIDVTAVHAVASCLVWEDVDRCLARLAEDNPGLEIAFLLPISEHDAVTAVFNHLPLLRERQCQLGVYFDAQNLEKLRGGDRQTATLTRLGRRVSCPE*
>EED81450
MPTSSTGSPPRWSVSGPPSKAAGPGLSTGNSVSASTRSFVKVSGRKLSLSEGPSVTSSSPVKQATSSRSTSASALEQTRLGSFPATGAVNIPSFARPTKTSASRTQHSPRPVKTPPRSDLRPLASSPPRSGSTARQAPSPKASVTSPKHQVSPRTLDKANANAAPLTRTATTTAAAPPVKAQGSPNFLTAPKWQYGSSIPLPAVAKNGETRRITKARRYADEHAVQPVRSDSAMKTVGTRPSQVFTSMLEGLQEDEEESEDTDEPVPLIKETIQPALKQEAPFVHDEDEDEDLEVLRRIRDKFSKVIADDMDQDIHSLSSMVFRRIKSPDLNPEAYPAVYGELMRSLGGLASLVEEVNGAFGLDDDTHKTSAATVGPLVTGCVDTVVDSGSNDGGVISVVPLAKDEVLRRVDAVHVLAPASMFPSPSFESAHCIVKQACFPTEALDMDLTATEEDDGLKRIRHVYRFAGFFRMFADFPLENDFNYNFDDDDQDEYSFTSAQVHPRKTIVGSLRAAVHSQRALWRRKPIREGLEGEKRFLAIATDEARHQGVVKQADAARILTKRHVRPSTLGIHPSSTSEYDCIGDVIEQDISETAFQSECALAPVETDKPIAIMNEQRATVSKGKAAPTPRLVEGIVSLPSEDIATAKYFLPRALSSYYA*
>EED81451
MYGFNLRYRETVGLCSPHDIKHKRIGTHSEDGYINRRCIALCSRYKALEWRWLLFALPALHPSKMGNNLSVDKRDAETDLQGLRDEVERAQRESLSDDINGCLNDTQVQEIALQTMQASLSVPDRSSYVPVPGKRSLSAVDSQTHSCC*
>EED81452
MGNNASVAQQDAEADMLDLLTEVERGHPGVFPAEPTGYLSEPQVQRFPPQVNQALFQVPIRSSPSSIHMPGSFPTQTAPAPPPVPSTNHQPSPTYYALRAPNTTPGIYYATPADSRQNTLSSGSHFATPHSQWSYSSSPGSWIHYSPGTICAPPILPVSLPQSQDAIPYLPPGFIPQRIISPDRYTAPSRGQSLPPLAGSRSSSSIRTSSNVSREQRHPLAQSPTVHVTLYESPRSTSPTQSPGSGPPASTAANVIHNVRFSESAEGISDGSPDVQYISGEGSPTPPIPGRLSTISEAEEPCNSHVQLSVESPSSAERSASPALSSFEDPRPDSRSSHHSSDTHISSTSSLGDLLKPSPQGGSEHIPLAPELVAQQIASLPAAMQSRIDLSTFAQPLSATGSSQSTDFIPPRFSPAVNGSDLWTDSSASPVPFPSSAPLVSPPAPPGSPFYQPVIPPFSSHSALPPQQRGTYSSQTSTPFATPLYTTPPYPNPSVATFATTPHRSPWYSAQVSMVGSSSSASPEYSTYASFQHAPHPNCATAAGFCVGTPFQSVMIQPDQPPHSVPTATGGPVQGLFVKAFRGSTHIRMVVDTTWDDAGLFREMGKTYDKLRAWRKWTSLKSVRSITLVRHDEKLVYPQRVGPKRVSRHRQMRLRYYLQHPDELKDSREFMQVLIQRPDLSIEFLERWQASRIGLLLLVLVFLSLAVGIIYTETTGDVSSGFTIASYMTSAYSVCLVLIGVLNLVDL*
>EED81453
MQYPPMQTHTPVSMLLPGLSTSPASLRTTPA*
>EED81454
MADMNAILSEEYVGGDDGDVNPESWSETHVFNSQFTTNTQAVSPRDSMTLPRPDMRLAPSHDPRAPAVDSASFPVHDVISFPTVLPASDATDQFTSATESSDTSSGSVSDDSFHTVVQGPLAADTVTSPQLDYDLVNHIKGMYRILDLISEQGSSGLVDKVIISQEPLGRLINDLQPGAYSSMTKVDFAALDSLNMRPVGIYGSKSEIVRFLEVKGAVDELTALALRGRMTASPHAMTPTLRSGLYLLRVLETRGNAEVFYVIYWPEEGTWDANEFSTSGRNRVTFMRYLTKLADQLICLIAEEDASRIAWKEGLETHSLDFDEDEQDRMFTFEVAKTHEQEESATSRPGFCINMPPGLGDPKRSRACPRNVDRAKLEPRLIPGDMEQGILEVAYIQAFTTKRDLLETKTEFQLRSMIENDSIQLGDSTSHETLEVMIRHGLRSRASRECEIYSEALQNSKNNSNAFIQAKERDLRTTLEANRATLTRMVHRHIASYINFHQNQLAIYPRIKDILSQLENRHLYAINKSTFAEQKRRIISLDIILRECTDIPSDKHDELIKTVLARGLIRDVVDILDENHSHAKTRLASFIPQTLRDMFSSGNGALHVQFADASERATRMDDADFLSLLRDIRMRGALLADAATVAERLAIESLVGIILKQVRITVARIETLQEDECNRQIKREAMAQLEQERGTARYELLRSLADKLLVLRMKAAETSCECDPGTWGDQYHVSGEHIIRADACLKYTIHPLSLTAEDKQRMQLDAAHVPTPRFPSHSAISFQLPVEHNVLHIRLLSNPKVLIIVDDCRGNIRIFLENTTSLNGALNGGAPKKVLYREKVGDHCLFAFDEEKRRLAVVASSSPTASANKALILQAYHWSKFGSNRGIDLELPVTLRNGLVVTSFMKRSNTYAIGLELATQNCLSIALDITCQATEFTFQEKNRSQQDSNSESNGTKNCFIDCHGEVWTRFPIVPAVQRQTITMTASRAPRHLLFVTPLDHKAYAPYFADLMHKIERSTRKPTGDDFHRIDISAVTFEEFPSLTVQQETPSFKVGEWVVDLLCLIPIHIAVARDNRFIPLKDGVYSAEFEQSLLGADVAQIIDHLSFGWYESVFSSYMATKQVKVISSMVTIVGEQSVGKSYALNHLLDTSFAGSAMRTTEGVWMAVVPLNDIIIIALDFEGVHSIERSAQEDTLLVLFNTAISNLVLFRNNFALSRDIAGLFKSFQSSSNVLDPSANPTLFRSTLVIIIKDVIDSDKNEIVKDLKFQHIVQLEQASNFISRLHAGQLVIVPWPVIESRQFYTLFQTLKRRLDVQDFTHPGGSIFLQTLKTLMAKLKANDWGALSQNMASHRARLLSTLLPNALSFGASEMSPAFEPLVDFDSGIAVGDPSPGPELFLSEDNFVDRSRDKNAVLVDLMKSWNRLGRRSEEHDDAWVKDLGEFLNQIVQSRIDRVEKWLSVNTVRYPPTHAGIQDLKRAANSMFIELKANVQLCELDIPDSMCTVILERGDVQRALTFRQVANHEDEGHMCSATVHECGKAMQAKLIHARRHVEFLAMSHTKYILAEIVNARSNVSYAEDFALIQIICMDCDQESYISAAKNTPVLHSALKKGSVISTRHHNRLKRHSQANMRRFSILNRIIAHINPSGRVLLSDVTIVDTFAPCREDIHKINTKPVMDPCLRRNGQSKVRKVLLENSAEDDSGPQTKAPPCSALWFADP*
>EED81455
MSTPPPRRESLPAPPPRNGTPVQNFIDKHVNGHAGGRSSTSTPPSRPSSAASHRRSLTISKGHTVSVVLISSALETIAASREAKRSAPLRESVQRALEMVKAGQGGDRPREIFEPLRLACETRNEKLMIASLDCISKLISYSFFVEHSSDAQPLPSPPLSPAPTSRNSLSNGSQVNLPSISLVDVVVHTITSCHSENAPETVSLQIVKALLALVLSSTILVHQSSLLKAVRTVYNVFLLSTDPVNQTVAQGGLTQMVHHVFTRCKIDHPTLHSIDSSITLHSKADVASSYRRPSTSTPPRDSVSLPPQSSPVERPVSLAYSEQTASTLVNEDEFKARGSTNGYDQEEEPHESLDIRSEYSGNGHVPEGSHHAPPHREPSEYGASEAAHDEDHDASGRQLSANDLFIKDAFLVFRALCKLTMKPLNSESERDLKSHGMRSKLLSLHLVLTILNSHMALFVSPSAIIYSSSTHEATSFVQAVNQYLCLCLSRNAVSPVLQVFEISVEIFWRVISGLRTKLKKEVEVLMHEIFIPILEMKTSTLKQKAIILGMLQRLCQDPQVLVEIYLNYDCDSEAVDNIYEHLMNIISKIGTTPISQAQQRANDPTSPGLPPTTKIHQRGSVPPSLSTTALTGPGSSDASQPVLSEQQLRRQGLECLVAVLKSLVAWGTNSSTDNPPDTARSNVGEDIRKDSVTPDVASDKMSAPLSADPTRQPTPDGTDDPSKFESAKQKKNTLLEGVKRFNTKPKRALLIFLQGIQFFIETGFIPSNSSQDIARFLHETDGLNKAMIGEYLGEGDEENIVIMHAFVDQMDFRNLPFVDALRTFLQGFRLPGEAQKIDRFMLKFAERYIAGNPQTPFANADAAYILAYSTILLNTDAHNPQVKRRMSLQDFIKNNRGINDGTNLPEDFLTSIYQSIVTNEIRMKDEVESATPVVTPGPGLVGALANVGRDLQKEAYVMQSNNMTNKTEALFKTLMRSQRKGSRSSEHFFSASHFVHVRPMFEVAWIPFLAGLSGPLQDTDDLDIVEICLDGFKNAIRIVCFFDMELERNAFVTTLAKFTFLNNLGEMKTKNMDAIKTLLDVAVTEGNNLKGSWREVLTCVSQLEHMQLISSGVEIPDAGRKSRVRKPPTEELANESRSTHITVAADMVFSLSHYLSGTAIVEFVRALCDVSWEEIQSSGLSQHPRLFSLQKLVEIAYYNMNRIRLEWSNIWEILGEHFNQVCCHNNPHVGFFALDALRQLAMRFLEKEELPHFKFQKDFLRPFEYTMTHNSNPDIRDMVLQCLQQMIQARVGNMRSGWRTMFGVFSAASKVPTERIVSSAFELVTRLNKEHFTAIVRHGAFADLTVCITDFCKVNKYQKISLLAIAMLRGIIPIMLNSPDCGFNASADDSNRSIDDPMIKFWFPVLFGFYDVIMNGEDLEVRRLALDSLFTTLKSYGKTFPVDFWDTVCQELLFPIFAVLKSSQDLSRFSTQEDMSVWLSTTMIQALRNLIDLYTFYFETLERFLDGLLDLLCVCICQENDTLARIGTSCLQQLLESNVKKLSPARWERVATTFVKLFRTTTPHQLFDESLRVEIDGNVSDLPDSPETNGQAIVPAPLSPNEQQKNAKVSVNDRRRIFRQIIVKCVLQLLLIETTNDLLRNDEVYNTIPPEHLLRLMGVLDHSYQFARMFNEDKDLRTGLWKVGFMKHLPNLLKQESSSASTLVHVLLRMYYDLRPEHQAARPQVAERLLPLGLGVLGDFNKLRIDTQLKNIAAWTPVVAEILQGFVRFDDKAFARYLPAIYPLATDLLSRDMSPEIREGLRDYFMRVGYIQGIMDRS*
>EED81456
MSTVPNFKLNTGSLMPAVGLGCWGGFTKEDRVQAESWILAALNAGYRHVDTAWLYGTEPYVRGAIKQSGVPREEIWITTKLPWHHPGNRTVEQSLDESLRNLGTDYVNLYLLHWPQVIDWPDVDVDFVREIKARDQPTFNETWAGMEEMYKKGKAKNIGVSNFSIKTLEQLLTTANIVPAVNQVELHPYLAQEELKAYCDSKGIVLEAYTPTGYGDVRSDPLIVELAAKYKVSPAQVVLAWHLARGIVIVPKSANAERQKENINLPMLEPEDVKRITALDRGQRLCNKPDANNVVHGWTVAQLGW*
>EED81457
MFTPNKCSVPQRTASVASCPPSQLTPLPRSRAFPVLRSLVP*
>EED81458
MWAAKPVIIGTQRIADANEAEIQPFIALATRFEKADRPPRQVAFQEPNMAAYESTASLPHEFGGMGSNYDDEEVEKLPLTAAQGGLYPPGPIDPNAYGDPYDRPISVVSTASSGVESAWRRRQTIKRGVTRKVKLTKGNFIAEYPVPTPVYSAIEPKWLGSKTTEFSYVFSA*
>EED81459
MVEEVSNQRTPWMADVLIASLDADYADKLDFLSAVEANDRLKRATAILVKQTSITEVSKKVAQSLDESLSRQQKEFFLRQQLAAIQRELRNLQRSSAITRGKLSDGTDTSSGKLADTVTSELDDDEQAEADDMAGIRTKIEAMARDSEERRMAVREWRRLGRTPAASAEHGVIRNYVRASIPIWS*
>EED81460
MHCRKISSNK*
>EED81461
MSSPAAAPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLVNTSLTTIELKVQVALSLLDRDARAWATLYFAQLASVQVGVQGATTPFANEAAFAAAFRACFGNLDDEAAAQVELAKLCADKSVREKCTAAEFSALFKGPVDRSGYGDLELRDKYLSGIPSRVYRKIELETFATWQAAEKRATEVEQILDISRAHRP*
>EED81462
MARTETVVDDDPLTLALAPPPNETEAQREARLKAETEARRVSDRIDEQLKAERAALRRKKPVKVLLLGQSESDFQITYSPRAWAEERASWRTIVQFNLVRNVNAILDVLSEEMAAAEPTRRPPTAIDSDVTDDEADTQHARRSDSPLRFTDQHSLLKLRLAPLRELQKDLEEYIGVSSTEETDADADARPRSQEGFIRANSTWKLNLKAFDRQAQGAMQRSKESRARDTAEIIAGCAEDMRAIWEDPTVRQMLRRRKVRMEAASGFFLNDVERIAQRDYQPSNDDIVRARLRTLGVQEYRINFEKGPAAGSEWCLYDVGGSRTQRAAWFPYFDDCDAIIFLAPVNCFDERLAEDRKVNRLEDSYVLWRLVCASKLLARTQIILFLNKCDLLDQKLKSGTRVRDYVRSFGDRSNDVDTVSKYFSTHFKEIFKRNSPEPRQFRVHMTSVIDTQATAITLGIVSRNVANIPTFQLKRAFCKLIFDLSTSSEPVTHLS*
>EED81463
MLGDDDADADNDGGWFVPVVVSEPREDRDKAGKPSAVFDCVYHSSLKARALRDAEFKTFLIELAFQRIEAQHGLLLSRQIGTPNIKFKGELKPRTVLIPAALFPGGVPPEPRAKNSIAGKKLVEDVSPATKQPTDAPQGILKKPAPAAPSAPDLATPLPSPEISWSKSEHGLTLYLKVPNLTHTHIPSATLDLEPRRLILHVPPVYALDLDLDTPDAQIRDSPWLSKPAADQVLTLKRQRDFDVDHARAEWRVGEGRLVVHV*
>EED81464
MSDDEQHNHNFEQASAGASTTFPMQCSALRKNGHVVMKGRPCKIVEMSTSKTGKHGHAKVHLVGIDIFTGKKLEDISPSTHNMDVPNVFRNEYQLVNIDDGFLNLMSADGASKDDVRVPEGELGDQIAAAFDEGKDLLVTIVSAMGEEQAISWKEAPRGA*
>EED81465
MKSNQPLTCSCTL*
>EED81466
MTTIPARLARTAQISANPAQARQRVIQLYRDWYRGAPEMVSLYAIPVSVQYFRQCIRRKFEENRYVTDQRVVDVLLLKGRQEYQETINLWKQTDHVMGILLETQSRPPRSFLQKFYEGRDEDAVLPAATGAIQ*
>EED81467
MSTTLVLGIAVATGLLVSRTCREPREESLKQTAYILESQVTHARLLPEPSSHAFSYSTLSFLLSLNSLESHELDLWRGWVFGYDGLFFRLTGLRPSAYLTDAGGPACSIREKLLGVLAQRGHDPLRFRDAWMMSMPSYLGFEGINPLTVYFCYDGNDNLWLVVLEVHNTFGERHAYTLEIGRAEDASPATGGGVGWQAEAMLDKYARSRVEAFLRQRVDEVGISVTLVSANPLFAPQVFSPIGKTSRGEATERDRSAALTIWYLSPQLFVTLLLAPSAAHTLLLGYHTERFFVPSSLSLFAAVFGAHGGDNSHDTAPVLTVAQRMRANAIPRELMELPGYTVPATHPLDPPPSHAVRNLLNITVIWAVLALARVERLVYRLSRASEAGKAKQTRKRQRLSCVECTKRRQRPPVDATQSVPDAEKIQALSSRIAVLEQMLLGQNVALPTGQASSRESSSGSGNSLTQSSNDPESLERQSARQNAMAAPSPDTTIGGPHALYDFKVQAAAVALAQLSLAPRDEYVGGGTILCALHKMGDPETWRFPFSRSASVYAASSGSSQPDMHPMAVPMRALVANMPPRAIIYSLLDTFFAARNWEFGLPEGWVRRSCNTMFSYLDRRCPGTTCRFPGICTACNEIINPHWIALLFAILALAPAPAAGVTGTDTIDHAAYFTQALTAKRLGEDILLAVPVYCMSEKYVQGATHSCIAAALLSAYLADRGRMSEAWKVVGYGMRVAQAMGLHRDPCWRKWDHMSKEEAEMRTLGWWLLWMRDRIYSFILGRPTMAPKDSFDVTVLPGLTHGDGSPNPHAPFLKAFIRLCEVIGDGADRHQREMLAAYYLGGLMNMHRIYLMQPPPSPAATAGKAQRSPNPCREACITLALELVRVLVASPLTAAYLAALIPSNTTGPSEMIPQPLHLFHRAYFLFDGAVSLVGARAQTPPHPLEESCLAQLRRALRILRACAASADKQNTFDGLGETARRAVSVLEALWRAVGARAGDPHETNDNARQPPAPPPQSSTSSPSAPPPSGDRLQHPMSLSPSGFAVAEMSPFSTQPIESNQGNLGKSDNGSHRHNNPACSNERATKHALAGLPNGRYGAFPRTPLVVVGEVVARLTVPPIGNDVEERGQDGVHDADWVECSGRCGQRGDGYSLCAKRLSKRRRMVKE*
>EED81468
MAHTLVYPGMLKHAAPRGQERARKLGRASSRGPEAPIVAYNHPTRCTYRTRLSLMGIAMLVDKRAPAPFAPLFQQGKYFFYLLGNTPAVCLTRDIPPEEPANVLLLGCGDPRSVLFTIFSEPQKESRALDFTCCDIEPAVLARNVLLLTLVADNQLYANSMWNIFFHTNAKKLIQLSDSLTVWMKSPYGQFIGMSTEYTLSELRRHWTLYVDMPNLPFSRVKPIRDKFTQIAKSKAQRPGRNISTARGAGPLIFQAVEVTSRQYDSYWKTGTTFSDQSLINAAKFLNPTFAYSFAGEGFAVHYGTDPMESFHLVALFGNARGPITVSDVVKAAKAEFSDWCTAFSSAVSDASRVLTVRFFLAEAMAACRALHDFVETGTLETTVYVAQWNTQLILFNQAEYVSGAAPARFNVVDTSNLDDHIGLLNVVIAATPLLAPPPRLIALYTESLLARGEDATREFSQRLYANLTVMSLLLGVAPIDYVSSFTSRSNTHELMLYLSQKRESSQFQQVTTWKRPVSGDAYAFRGGGGCLPPTFDSIQLGTLLYDMYHDLFEQEDARHFWRLNQGNIMKAISRSNMIHYTRESFVLFLKLVRDRLHLSREMDRVRVILVIPRDKLTVLDDASDEIATPLLQCDIRGDWSHNIFSSVHVAFGRAIKMGTKAHPWVTFEADPEGWSGTSSLVASFTMASRYLTDIEPAHRLRVTVSVRSTHASVLLTDKLGVALIVHEAKLMDETAVFVLPEHPLPTRNQAGASGVLRSPGATIREELGQSHSVVVELDEQCELVSALTSRISVENEDAKRAFAAGALPSVKQVSPSTIRLTLDGRVQDVTYPFPVIGGQNKLRLARKSLYIEVVVPIYGPFQPEGMKLNPFTVAAIEGTLDLWSIHRVNLSTLPVLDTKASKLADWLNPHIGSMMSKRERSLRKKHKEDILMYVKDTIHAILVRASGIQVGPPCRLFALRDHTTRNSDTVIFVDTIRYDMHRHTVVCDGFVLPLTIDLLRRLEQPFCKLIAPGRMVDVTVFGDEMRAWKQLFPALAERCRTSWTHGPNCEYRSQGKIPLTEDMEVDPLCSCGRGQDTERMQTVDIWRPFAPHVTRIAISPLFAVSYLETIGRDPDAHRCFVCRGKGKPRIRACGGCKKVRYCSAACQKKHWKIHKSQCKP*
>EED81469
MSDTVKCANVQANGGSCSYPACNCAEPPKSKSVTRLGRMDLTAQASTPRGNGKANKILAVPCVAERDGRDEGPEAWRRPLRGPRDKYSLQRSRRGNQRAPTPDSDDDLAVPSRSEQGYPLAKFHIQLQPIEHSHSGDCRRRIEQRSNKRPARKGAYNQSAGDLKTACRLPKALYTDRCSRNYVTNQVTEQETLSGRRQSSQSSARLSPVVEGEVEVVDSSDAMLLGDPLEYIDLCLECAVVQPRDVSAKQALYCQHRGNVVDYTTHSNIMSDTVKCANVQANGGSCTYPACNCAEPPKSK*
>EED81470
MVYTRQSNVNKHPGNILKGTPHRPPDIIAEERHLAAQAKQLIADQQAASLQRLAELENHLEEEHSQAATFGSSPSHVEHAGGGGRANILDSAVEHPSNDRGGVGKPKQVHASNAPTPKMPAKAAPQSTTSKMAKKSRTTRADIDAHRNSLLNADLNDKPIVLVSGKRKSSKDSSVPTVVKKARAANPSGLLAGWTQHDSSMSPAAGTQFKHLTHVSLPPSAMTAMDVRDYGGYVSSEDDGTEECELARSSQNITKGVVAIKREDPAIMIKTELATSHNSVTGEAGSGANGGFVKVDLPAGAEPRWSKEYIPTVLDYIGTLPNPWDIAAVNFVKVFQQIWDLVFPDILYLVNDKGAVYALTQQKIYDWRSGFAKSAADHVARLFQLDILEELKKRRLENTAEGHATYVAQQITGNYLFLYGDVKVSKDDGSIVSWNKPFQSQLVLATLAYHMNATAGAILYIEATPRGALAMSTVAVECAIGMYTTGTYITPREKFAEQIWSRVLNLYKISIETLMPGTWDVIVDSANGLAALNRNLPNLNEAAGPVDGRALITDSRFDADWFGKEPTTHLDQLKQRLPHNRGNITVSVAELREVKHMSDVGQACKISENGLLKETKERGEDLEQAGEETLDADRPIDRTSAPAPGQDPGESPKSSDPENPEKGASGAKNLYLKPPKRAPDGGQVMCQVPPKPGVRGEPPCNILDLLKPDVNLPVSEFLQLLPVLPAAVVWPVVSRSSTPQKADYVESHLPPKLTGIRRGYVKFPRNRPWADPRRGVRAGSELLGDSPGSSQGSPVVDVVDDLAFAVPEELAMHISCPCSLHRCLASPPRRPSVSCRPFMPVGRTFCTFQLVPYGLSLRWLYFGLVIMVCDAHSRQTLIIYRLVFALNIVG*
>EED81471
MPKAKPFIVTAKHEPTGLLERIAIHNTLIGAEPRWAPIHLFSALASRPALRLSPQHFDFAFDALRRLRRRSFPIGYRTSRHAFTTATGPKWPYVALHLRVRHDVTLLMDLITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADIRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYX
>EED81472
MALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQHDDKEGAKKEITALFINKDLASKDFVKYAERFRTLRRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLNILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEATSTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAMSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYI*
>EED81473
MVSYSLFDELQLADTFTPSASYVSGRELCDALPTALPESPQHSRASPSSGAQEDAWPLLPAFLAARSRVVRVSAFPTPSAPGPDLAAMLNGWAGQHPASPRPISIWLAPCDGGNPNEIAAGDVLAVFGSHDTLRGKPKTTVIWGFFGGFFVTRNHHQCKSILGVQNIQAQGWKRDTDALPPTCAFLSSAAKHHQYAAAPALHRDLEALRPHHQIFPDRELPIPVLSACESPKSPPALPSPIVTLSDNSLLLYPMRESDATLLSASVGGPYVISSNPPNPRTDFRTGDWRCPVKTCAAHNFGRNIICVGCGRSRLENIYVGSMPQGLPPNASSPINASPRFATPVSGFHPFGALRPAPPPMPLRSATDPSPMSQHARLPPVQHAPPGGAKPASSPYPLLTPSGRALSIGGRVQNISRDPLVPCIMYWPDNERIPDQGQIRPLGSAVIQYPPIINTGNKGAAEKQPGDWICHKCHYLNWRRRKVCQTCFPYAEGNGDSISAAVQAERIALLENVLASQVHGAQQQYTWHAVDAASGAVPRADARPRSFVTPPVTPTTLWPEDARRPSAAVHDFQQQRHPIYQTDGNALQQRLGPLGVTVNAAPAPPTTPLLPSFLQDIVQSPALSPSTSTSSTDLSLEEHDGVRVYGARRAPGGGGSGAASSFTLPGHSIWKLDGEESKTLSGSAAGPAHPAGAAEAVSKPDVSMELVADDSEEGTSGRWRGR*
>EED81474
MFRSGAGNVTPVPDDTDKIQRYIQDRRVIGYDPLVQPSLLRHEIQSSPQSQKTIAAARFNAARILAGQDDRVLVIVGPCSIHSPSQALEYAELLKSKMPEWTNLHIIMRAYFEKPRTTVGWKGLINDPDIDGSFQINKGLRIARQLLCDLTHMGVPVGSELLDTISPQYISDLTSWGAIGARTTESQLHRELASGVSFPIGFKNGTDGSVSVAVDAMRASSNPHAFMGVTEQGLAAIVKTRGNQDVHVILRGGTKGPNYASEHVKAAASSIEKARPGHHPSIMVDCSHGNSQKNHENQAKVIDDICEQLAAGEKTITGVMVESHINAGRQDVPPEGPGALKHGVSITDACVDWPTTVEMLDKLNQAVKKRRLNYIEAGLKKPAAFQRVLESS*
>EED81475
MCRKASALAGLATYFSRTGAHSRAVKILPESYALCADSAKIYTVDQEKPSVDCVLVRRDRISSTGSLDEVQEAWDIYQTELIHKFYGGEAKAKKPLKVVHAPKGSIVVPGLADAHAHLMMYGAKMQLNLEAAKTINDVLDSIEEYVNSHPDVLADTERWIEGFGWDQTRWENWKGGFPSKADIESRPLLANRPLALSRVDGHALWVSSRALDIAQAKIPGGEWPAPGDVEGGEIVRDASGDPTGVLLDAAMALIPVPPPTPQLMREHAERAMKDALAVGLTSVHDAAVNSEMIKVFKSIASTLKTYKIRVYAMGNEEEPKYWGGRFEKLEDFGKDERLNMKSIKLFTDGKLSEAALKETVSRFWDEGWGVNIHCIGDRANKAVLDIFEALLHNDTKVADKRRPRIEHAQIMRMEDLERAGRLGDSTSDMWYAESRLGVDRIKGAYAYRTLLRSSQKAVLPLGSDFPVEGINPLLGFYAAVSRLGANGESPHGEGGWFPAERLTRSEALKGMTLDAAYASFADEDVGSLVPGKKADYVVLDTDIMDDSVPFADILGAKVKTTVIDGRIAYGTI*
>EED81476
MSMGEAAAGESVPGTGGEHNPRMSNALGNPYAYIDTLRRLESSLTDAISSLEQEETSVGSSEEQETLLAKFKDWRQQILALNSGHKAAEGAQEGSPAKGGMFAD*
>EED81477
MSPIESPALQHPQASYFSPRRSRSFAASPSESRASTPVTPDVHTQLLTPNSSPSTPKSFSRIRAPGFSKLSKGYRKEPTRLQAQWIGTDAQKSSSASIHSDTSHSDSSSDADRHVPISSTYAAVPIPTIRVDSQSSGTTSSSTDNEKHKPFSLLESKLVDSKFTSDITPEAVAIIKPASIVVEGPSRPSSPLGLSARPPLSRANSSNKWAERLRQRSSQGSLSRSPSTSSLREFTARDGSSPLLRSPLASSSTPNLDQLLRPASPARRRSGKSIDEAIDFNRIRSPAPLSPSPSMPNLRDPFADTDVRAAFAKDARVHDLKPYCGLPQLSRANSTDSSSSSGLDVESEDEDDDGLGPPPEVPPALEYVDPEIECFAPSASTATLPLAEAAMADRQHPWSAPPFNIVERETPKIRKVAATFKITISLAVVISLLVGYGLRVKIVTAGPWSFGIRVNKMTKKSPIVKGVAEHLAAKDEIPTSVPAPLPECSIAVVGYREDEEAWTGCLKSLQAQEYPVKHIIGVVDGNDGPDLAMADAFGKAFPEDQRLISHLPVLLSVMYKEKYWEHMNTLGHPKLSRWEYFKMWLTQKTRPGQAEAHEVAWNHMLNYLHAKATEERWPDWKGICFSQPHGHKRHAMFTAFVVGAYALGTKDAMLTTDSDTYVYPDAVKNMMALLFSDSRLAGVTGDVRIWNKSESFLALMSSIRYWFAFNVERACQSAFGCVGCLSGPLGLYKTSDLISVLGPWILQSFLGKETTFGDDRHLSNRILSLGHKTGYTHLAMCDSDTPAGYVRWVKQQTRWSKSFFREAYWFPKSFAYHRFWLTVETTKQFLYPMVLTATVIHMLYAPSTWLRPLIWLGTMFGVAVIKSIYGVICLRDPRQFLFGIYGFMYFFGLLPSKLFACFTVHITNWGTSARSKSEFARPESFLSRTTHVGHLVVWYLMLSVGLGYLLVTVFSQPYFWFVGLLGGILSLQAYSDVIVGETKYAVYMLRKKWRARRANVTDVEKTAGKRRRLSIKKLRRSKKEKVPIVALTAETVPTEPEASVSTAPVAPPDTETGDARVSVVPPALMAAASSDPFSSDSVAATSSSGPHIRDYAQSSSPTGSQHGITTPSSDTSSLAIPEFQVARGRKVSASSSETTSSDEAGALTPDALSIEPALTYFPQSILQALSSIRKDDVSQRREETAQDEKMQAIADDTDTVLTPGAVVGY*
>EED81478
MSAFVSPLVLWSLGAAAAAYALWKVLYFFVIERFTSPLRDLPSPPNPSWLYGNLQEISEAENSVKQEAWVQEYGTTLKYKGWFSRDRVYTIDMRAMNHILTHSYDFPKPPLARWSLSQILGAGRSIVCVQMEHADLPIPHIKRRILNPAFGPAQIRGLTEVFVEKAYELRTMWNAELEKHGAPARIDVHAGLSRATLDVIGLAGAGVNEIALLV*
>EED81479
MLDSSQLEFDIVIAGGGTSGCVVASRLAAADPSLSILVLEAGPPTREDLAHIQPARFLTHLQANSTTVKFNVGKESEDLGGRAPVVPCGQCLGGGSSVNFTMYNRPSASDLDDWENVHGNPGWGSHDIIPLLQKMETYQAQPGLETHGYSGPLKISYGGLSTQSGKEFLDVAARYDNTRPYIDDPNGLFEVNAYGNWHLNNNSRSGRSAPTVFGMLPSILTELRFIDAETGRRSDVPHNYIYNQNFENLTVLTGHLVKRILFEFHGKAEDVVHVARAKRLVVVSAGAFGSPAILERSGIGSEALVRSLGITPTVDLPGVGENYQDHIVIFTTYYADDSVETLDGILQSKQDELSKWTTQWNQNGTGLMATNGIDAGVKLRPSDEELKDIGPGFQQRWKAYFANAPDKPILWTGIGALFVGDFTAAPARKCFSTPYFVTYPCSVGYVHITSADNTAAPADFDPRYLSCPEDLLLMRWGYKFSRELARRLPSYRGEHLASHPIFPPGSKAICHADARPVDISEVDIAYTTEDDEAIDIYIRKTVATAWHSLGTCTMRPREKGGVVDSCLNVYGVQGLKVADMSIASANVSSNTYATALAIGEKAAVIITEELGLI*
>EED81480
MPVLDLQSLPEAILETSTLHAAAALIAVAAVEDQQDELYRQKIDTCKRLRSSSSALDTPNSTLQLHPMSLVSLASLLGTSGVGTAYDLKLIRVPARLATWQDSMRSQIPLTAAMCQLYFALSTLILLPLTSPQPGFMDDEEYIIEVKGGPEARVESRVRADPGAGLFVGPRDKYPLIGRGNQRAPKTIYVVLSDAI*
>EED81481
MRGRGSLILIFQYSLQTRFILMVHMGQRQHRGPIFRGDDAPAKVSPSNDRDGVKGPPQKCRPPKIGMGRRQHRRLEPWIKLAPAKVSPSNDRDGVKGPPQKCRPSKIGMGRRLNRALQISSLQADGTKAPRHGAGLCVALGISTRFNARDGETNVRPHPVSFHILLRPLRRMKQKRTTLEQASNKQKASRRKKLEGGDEMALRSNCSGSLHEINEREALSGRRQSDQGSARLSPVVEGEDAGGIDACPRTWSLPRQPAFLHSTPRFVGVLLRSQYETAGERDEYKGSPVVDNTTHSSNMSDTVKCANVQANGGSCTYPACNCAEPPKSK*
>EED81482
MSRTRRRSGSRKARSTSTSRSRSGNGTTQGSTLVTRAGPSEGVGESIPLKEIKVLDRDGQPAHDADMNSVQSQQEVREAMLPYQATVAVFEDNGTMQGLGNGKDSEGDEVNEANGVMTEGRALIADTSLTIGAPSDHNDSREVSTDGKLDEELVRKVLQDALEAKRKQEDPWVKCAKEVWEFEESLVEKWKEDINNLLLFSGLFSTVLTGFIVPFYVTLAATQALISMSGHLSVVAADAGHTTIANWLISLSADSQFSSGPSSTIIAVAVLWFAALILSLGAASVSISISQWLHHHVNGTSKTSQRSVRVWFFRRRGLTRWGVEQAVAILPLLLQFALVLFLLGLDILLWTLSTAVAGVATVLSILLLVPTIFTAIIPSLSPDCPFKSAQAWWFFRFWRWAMRQFEKLWFGGTGSGKIICRIWNQCCRTEKWRTRRDLPALGDWRELDNFCMQTLEDVDGTKLKMLVEANSRVMDETFLSTVVQPCLLQADVSEALLAFYEIVDHRAHDHDQDEEHSPRWYYRERDYQVVDMLGHMSIALFEKVASNETRMDDETRKKEQKHILKLVNRLLNGMPRTTSGVYSWLLDMWATTNIPDEIRIDVALSLGRHHWWFHSVGIDTSTCQKIVTFLSNTRKELGSGIRSFQCSNAVLCLSADFPLSHSGPLCEDIQGALGIVAKYISSSDIKFVAQEITAAHEWPYVYRMIKACASIIKRDASLFTKHTIDSLARCAEQWPPDDDQYANTQRAMKRIRKRFAQSQEKQ*
>EED81483
MTIGIDDGRGAHTEAGHRVLDLVLTDQDWMVQHVEQSLCAKMLLRRRPVPTIITKVVTYGASSSQSFGTIDVRAFDVEKNDKALVDMKEKGIYWKHTGGEVL*
>EED81484
MAFEAQSSFNFCLRLRARGGRSLNLHFGDAICKTPARGDKTNSTRGEKRRGALIHAQDAAVLKTEEGANKYSEYSARTAPYDVWPDARLRAYLREHSLSEDALPTSRPGLLQEVRIRYVQTTGRAEALFGHVKAVFNGGVEAEEDRFGKVRFLSLTYVGEAILTSWWRNQVLDVLTGSAEHARERTGEGMQEGGQKLKDAGAKVSKNEL*
>EED81485
MQFSRRWWEESPLLERGGASYTDRPTRRVIYPSYGIGKSSGTIIVSYTTSQDALRLGSLAGGKGTHAEERLKDVILKDLADMHHGIVSVETLRSLLVDYDVWSWYNHENSAGAFAEFAPAQFRDLYPKVTTPPGGILHFGGEATSVHHAWVLGALNSAYRCVKEILLSDIRRKELIAKLEELWGLDSELTTELVTNQVIVGQNPSEFKFVFKPTGSLDGVKVQPRIPKRLAMLLLIGHHSDPDSG*
>EED81486
MNPQFDATVAAHPSLVKFPAELEDIGNPVMFLLAANDHGYDGARGRETEKILKGKGLTEVEMHVYDGVNHGWTLRCNMEDPKQKAAREEAKERAIGWFEKYLVVESESNVDWPTPRFRISYTDH*
>EED81487
MSERFTMLFAHLRASRQLRGKWVGTWKMTRDAEKLLRRMILPNADLRCMASEALLDGYWSPELLAPSHRKATSVSHAPSLSLGLEKDVFKFSDLIAPWSPRKTDKGKEKDNSKVEIVAKPTPTPATDEAQSRPVFKPTHDKENAAADAKAVTERDSKKLDHRTSLIRSNHARSQSQSKLPLLESQARKNIVTPSMLATLSPVKQSPAAASPIVVSSSSDGKENASPAINSKNTRAPRRPLGPRSPTPPTSPAAPLASKENAPLQPFNAVKVREREKEKQARRGRPFKDVTSASRNVAEGVSGIPRRVEKPQVQSNSVRDRMREWERERARLREMELIKERTKEVEEERERAQREREAEVARELEREKEAERRREWECQLQLEAERRRQEELEAVRQQELQKERARALHQVQDRLRLQQSDSDGIYARIAPRRGSSVTPPDSAPLTPLSPLKEEPSEISAAVECEYPPVGNESGISIFKHGLRMSIDKTLRLYKSSTMALGRSTPALILPEGDDDNLSRKSISARASWEDDVLSEMKS*
>EED81488
MATRLGRRFISQVARPTARVARTVNATGRRCMSTAEHGAHPTGSDTPWMLIYLLYPSSKKSSHTAAHTHGAHDEHHEKQEPEAPAEQEETQEEAPASEEKQDSVTDADGETVSGDEVKESIQQAASNDLPPDAQAQEEQDAKFSSGSPGITSEAESKPDQKEKPQTQLHTGTLQSDEDSGPTNIGDARQAAAAVLIKEQVKKDHIIEVDPPGGGIQ*
>EED81489
MASLAHPQRHHVAPGIPKHIHVTRYAPSHNLVTVLLTLILPIAFIHIVLHNLRRPLSIIIPPTTPVLTSPSSAQAVEGIDSRHDELLQRKERTLQRRRLGRRIVWDIGVWVLLVPVGGVHAGWTGAVRAVYVENVPLDAGQPATLKNANMNTKTSGICGSSQVPTCTKKPPPNRVIADARQLVILKSLWQCGPNPTKEHVLRAVRDTGLKEKWIRDWMQRQRRNKRWSLAAGSASGKSSSTRASSSSGSGSGTGSTISASLRRIKQYEDLGYGIAHKVNSMEASGGREEHTSLEARVSLTLKSEAANDSEAPHYTLPDGFLGMRSLSQSPSLGYIPLAFPMMIPGASEHGLGSGNSSYVYGGTQHSNMYSQPAMPSAPVLPPVPSQITQAQTQDNGSNMFYLAQLLLDATDALPHDALLYPEPSSYLSALSQSPTGVLSFAPSLQSHSTSVLGHIMTGSDAYSRFPPAPVAYTAPYSDVAALTKRIRVTLEDNAKRVSDAESPAGMDTPEQSSLTWETDAPFEARLARDAIMQREESTKKDSGGPPRVAVSPAAEEEEEADEEVEALTPTDEIPAFIHHPLLYPAQDKGKGVGRKLDFNTIRGNDPAIASETEEEV*
>EED81490
MATATVLHAATHTVVSAQAQSNSPPIVALLRVVSSWSTIVLSSVLRAVASLARAGAIPLSALAIALYYTLLYVLAPAIVFCDIVLEVFVRTPYAIVTSVLANVYPLVHWAGGAGLHKCCQVCFVPAHKTYGTSADIQDAKAGIDKGREGIGFTIVFPYTPSRCPFSVRHVYCLAGHKSYVTSSLPRLLPVLKHTTARQRRSLSAHSLVHKLPVVAGAERISRRAMPTIKDVNIPNYWLESNGLAPLAALPAWVTAFPRDIFVHRVAEEGSQLCTRLRKGTMREGVLEWVNARPQLRCPGRPGELDVEGGHGVTEIRPTWHYPVDGRKAKSHITDEGRMEAFLYRKPALLPQNNSVFTNDEIISIGPFMAQPEMDGCRKIATPTFFFCIDVNDTHTQALSFGLCKCETGPNMTSTYSPSITSTVALSSIIDPKSSVKSVDHYLSTASAASTLLLPTEASNTVSANTSSTSTYRPIETLGTASTSVSPATHPPTAAIIGAVVGLIVLATMLFVFMRRHRQRSRRAMLCGGTSWQKMEDYQEAGGAILRERFLRLGSLSPAVSPLDETLSSCPGTRSHSPFLDQHASWAASTHTLAATLSPLSLDIALRESAGHGPALSKSSSLREEIARERRGVEEHVAELQRRHGSSGAASRVSANTQSGEETAPGYGVDEDDNAASRRQMEYLLAEIERLRAIESALADPPPAYDFLMSLPNKEAAWATLFQLNGRSLWYIREAMSPGELSSTEISKRVSASGSNKRARRFTEASGAGKDQIRSGTRYYRAAARHVGEPVVGHALAQLFQQYGPWW*
>EED81491
MGSVRTSYLISYHYVSFFAKHDHTDTMVRSPLLQYDQADCCFVTSTKRAPIYPRGGTWVRTEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEIPNIELPPAPPAPTNTPVEVPMATFTQEDIDQRIAVALAAYQSQHGKAEDLRRFIHCILSYFVATNNTHLSDEAKIAFTVALMRKDLGKTWADTYYEKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTVLSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKELPKKLYFALATRVARDRPNTMKAWYNKVRNTDAAKQGALVVTDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAALNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAT*
>EED81492
MDHPIWEASSPPPEDWQTEDVDREWQHNGIVSEEVDAFGIKQYVKWADWHRPDNTNTTWDQGLNDMDAELEAWNDMQTEKRVREAKNSLSIKIPLDLGQLWHEDATVELSRGYEEKRRESARTGLQRYANWDNVPKLTDNDSESSEDQHDERRACTNFTQSDPIDKVIYRWHTRRGFADNGPFHRVSMAAVAIEYVAVQAITPTEAVIAPNTSPSEDNTQPRRPIKPLPRRRQMVRSAIVESPEASPAPLSRPSNLKDTWNLVARGAGAAPISFVNEVNSEPYPLGLNGFEYSATACLIVKMRQVAIAKGHRTY*
>EED81493
MAVGQPSPMIDRHAYWSYDKLLLSAEPPMSSNDTFKPYTFLLNGDTGTDLPRPTTMQTRAADKRRTSGRRRACTGCCPEPTALVLGSGIAILALVIVGVPLVGAGFASLCSYSGLLMLRHKEGYADTDITTIYIASALGGAVLAAVVCFLAWVVILIIHWLREGSLELLPWVSDDSAADDNGWSLINWSTIGLIFSVPIGVCGQVVGYCVLQGRLSGGLDLRLALKLDGFGYLPIITGVAVCGGTWSHTANIEIRTHAIHRSFVEMKTNLAPGVYGRHGLLPVC*
>EED81494
MAAPHAASPARGPTPADLQSHLYQSFLTRKTADVALRVRGSWHAIYKLHRVILIQAGFFQSLFTSGFVESKAKLASSRRAEPDVIDIIVFDDPNITRAVGASSALAPKIVPLGLSTEGAWGTGGIRPLTPAFPYPSSAPSSSTPAGHHRATPRFLLSLLATSLFLSMPAVASEALQMILSTVGPYTAVRYLNFALGHGIGPPDNDEPSDGHEAAVGLESVAELVTEEEEEADQTREKGKVKGTARARDAAITDKMQALAMRAEPTTPDSGSSQEDQICKEGPASATSDDSGSDMDLGTDDYDGESRRAPEPAYVYGAVSNKIGEAAACWLARWGADILHYEEDATRVPGSDKGRELHVQWVPVAPGHAQGHAHARSKSEDQGGGRKRTSTAPSRFAEPARNGGVVGGADTREMVVPVILDDVIAISRDASPSTGQHFVPLSVLQASHWSQDVLRHRITARPASGPLSPPLSPSQRDKELGIGLSASDVASLVAQERDMSLYPVPGDSSIRIGDSTGIDGASMDQLFDLGSSSKIDANKKMVPTSEANFFGLQQQRFPASTIASYAGSPVSPVTPGTSKWSPHPPYRFSVEFWDVDSLKEKSRLHSHTVWYAGSLYNVYVQVVRKKGVQLGVYLHRQSSVDPLPASSAPSAPAARTPGQAQAQGQQVQNRPPSASVSTPRPPSLHSPPQGYSFSGLPILPLSRSTTPISTPNSPALASSLPSGLASTSSGSSSSSSSTTLGAGNTLPATAPAVSPQQPYRDQRASVSAYFAIACASATGASLTRFTSAPDVFSVSQSWGWKSSSLRTEEYIEVGPDGQPREGRAAPAGREVSLRATVVLGVV*
>EED81495
MRKPKRFSLPLFQLTILSASLRQVVGAAHSASVTWMSPSVGDVFASGDTIVGQWSSQDGFAQPSFSLCSSSDGDSDDGGENCGADVWPIVNQDSGSYLIYLSTPDISTAARVYLRMDDNSGQTTESPQFSLSPISSNSSTGSLTNPNATSIPLASPSASAASSLQPLPDLASTAMPAPTAAYAVPLSLVVSVLLAACGLAVRQRRKLQQEREQEEQKLKEKTWYERQNEKNDAFTRFPGMRRQRSRLSNPGSVGASEVGMRGGSAESIRSGWGSRASSPTAAMSADGSCRGFPDPHADFHPRPHSKGDDDQLSLYSVASLKRQPMVRRATREAFCARPQTRSDMHTLPRAQAPGRTLGQRRAGRVTASAFRAGVSPVPPVMSRFLSGASEWDYGVRKSSQRRREERVNASVEDEVVECYFMPSPVPPAQPERLHVRRYTADVDKPLPEKPGSEKW*
>EED81496
MASPSVQLPSRERPSPFSLEEFSDLVCSAFNLGTQQPALSLAPTSSRDLPATVQSASVETSLSCFDTDTDDDHISAYLPSRAHSTTDASRLSVTYTKSRASALNMLKQVRVRASALVLRPANTNIDFSNSEPLAPQSLPRISVSSQNTVTSLTNSSFAFLSRPHTPFETPRPSVPFPFNSTTDLALSRSRTRTRSFPGPMSILKFSHRQVTPATISPAVSACTTEPPSARVDLPSFFEDTGYTERRPVPPTYSRPTTPVTPATTPLRVHARLPPLRKAKSASTGIFRGRGTKSKGPCPESAAVAAATIDGQWTFDEGEFMRPRDPPPVPPIPVRLRGLVGGWEGEGEDNDALLEVPDYVFARRGSATSTCTTSTMDLESNDYVHGLERVFTLESDPFKKAEIEVERGDSTPTPRSGTPLVGRTRRPRGLGIDMDGADGIQGIDIHSWREGARGFSKPPARRIPTVAAIFDSPSPRSPAYTFPSSCSVYTDQAGRDEEDVPDTPSTIASFGDRAFPVSRFSTPSSSRSFRSSCSSMLDAEEARERAFGGDINADIDATFVFGSPAPAPVMIEGQTMESASNRRATVEECPSPAIQGNPQSLARRARVAARASMPPSPPSAPAKQTQERKSLPPSPPISMSRYIQRSPASPTRRKASVRTVASEPAPGESRGVMRPGSPFPLMRGLSDGSPRGREGRRRKLQTRYEAKRAIDDNKVAVADDQSKYEEDLDFVDSGVMIDMSVVVNPPEQTEDDEDALEDSFVLPAVEAAKLFLRSDKDSMDIDYENKAVLHPPSPSGSCIRLGVANGLHSTNPSTDSDATMTPERYQSALRRESLREAEREVEWDRCTALSSATTQSSSCSAGTFYSARSSVESTP*
>EED81497
MTGRKVVAVRAQTERVRCNSRELFPRGGNRAGQAISPRMRPFKPKSAAQLPQRDVDGGQIPDGDRILQLGCVSWHKQRPPMIHLPAERVARQKPVRAGRLCCPAFWVWSAWKWRAVSVATAGLGYRRHDGGGRGACSTGRTEMDGIRYGEGQSVSQLWMSGKELLDPRYVFDRWSNPRASSNRTEGEACGPCMIFRDDLYRALAATLRPQSRGAAPRLLKTARMQTNLPSALYPPRLTTPPRTVYQCQPYGILPPFSVGSPALGHTSYQGRQPSASTSATGPSYPLSGYAYAFTSSAPAPGFPGHSAWHYAPSDKSRPPSARFPPQLSSRNMAIPYSQSGASATSPVASSSHDIQELDVPREMPCSESPPPPQDVPQAAGGSADKKKHPCWMCHKRFDRPSTLRKHLLVHTGEKAFACDTCGRRFSVQSNLNRHAKKCPSRPVNAASAAAPASTAIASTSAAASAGASPVYAGVASAASAQSAAAPAGAGSSGAPIIQEAGVPQLATARGRKRKEVPTSDDAADQMSQTIIGTTTGTASKGKRVRRAPSPSHWIPESLKMFDLAPTAKSTPVPLSPVRPFSDPNGRVWEERDSYDENVAPAPYHPSGWKGVLPGPGLMGKDVANTSGGRLLIFGR*
>EED81498
MITERDAALSETRQSVGDLKAQLEETHRHIQKLKARCFRSMKKLKNTKKYATLNNASKYSLVCNGRYTPKACALARTLARSGCSQRQVANVLNAVASTLGTSIKHCMSQHTVQRVIIEGGIAADIQLGYEISQTQYLTASCDGTTNHHVNLESRHIALRVEPYSDAPDANPSTTHKVRLLGVDASVDHMSETQVRGWKRKADEVSQLYHESPFAERTQTSLSPDDLVLKLCGMNGDHAEDQKKTFRLMREWKDKATYCKLGQEAMVSLLHNEWDCLHPVIEVAMQKKIEQAGGLEAWEALPLMEKTARDVAAMRDLSIHLGKEVFSQLPESVRRSLMFLIWAGCCMHKELNSIKGGDYAMQAAWALLGLEPPMLLANKDNAAVLELGNVSNPANAMTAAEEHVIETSNRGGVKATSLAGVLFNHKD*
>EED81499
MSSLEFVHHPRTPTLRIFSIREPQMQTDDRPSQTEVRDIARPYRSEAADAYQIFVRHWPRVVAASPYLGRRAQSAARTVADALGTARLGLHRMRTGGASYLISDLPSASKLLTDPDVQEEKTPAEDLETLVFVTRCFAGPQEDNRVIIKWCCYSLQRSTEPSYPVELIEGKASLLACEAQITCRLRMYIGAWRAAPRLHNYVRPGTVTSEPSDNSIRAELLPGGVN*
>EED81500
MRSAFVSALVLPLLASAHGPRQHHGRADASSIVASAASSVVASSAASSVAASASVTGSGSVSGSTATSVAASTTQTLTFTLASTNPTAVPLSLIASGAPIQSTSALTTTWAAGAKPTVFAGAPGLPNAAALNPKDYPTLDKTPPLDSPEVQQWIQEVKNSGIDIPGFAPTNLGGCANNTAAAADASRCWWTCGGCTRQSDITTCPAKNTWGLTYDDGPSPYTPDLMAYLEAHDMRSTFFVVGSRAISRPAMLQDEYMMGNQIAVHTWSHPYMTTKTNEEIIAEFGWTKKIIKDALGVTPLYWRPPYGDIDDRVRAIGMAMNLIPILWTRISATQTFDTGDYDIAGGLTTSTQVLNNWDSIMNNSTKIDTGFIVLEHDLFQQTVDIAVGYILPEALAHQPALNITPVITCQNMPMSNAYVETNDNSTNPLPAPATSGNSSASGSASATGSAAGASKNGASGTAFVNTGLVSAAVAFAASAGALFL*
>EED81501
MAANGMTISPDAQAQVNGIVHDDTAKNRVPVHTFNPDASPEAKAAQAGQHADKLKPSDDGAANGRELAVDTGNSAIVPTITIEHADDTHNNGDAQYEELQSPLSPQSSETQIPGAMPEGPAPAIPDWYKVGWRAVGGIDSPAKTEGGEKDKYVLAAFISEQFYGEWYHNASLVVALMRAPKAVIFSHFFTRFGFGWGWLFILLAFCNTYYTTSMARVRRRARDDIQRELVKTRLSSESEFETADWINNFLDRFWLIYEPVLSQTIIASVDQILSTNCPTFLESLRLSTFTLGTKAPRIDKVKTSPRTSDDVVLMEWGVSFTPNDVSELTEKQKQDKVNPKIVLSVRVGKGIASATIPILLEDISFTGVLRVRMKLMTTFPHVQLVDLSFLEKPAFDWVLKPIGGETFGFDIGFIPGLSNFIREMVHGTLAPMMYDPNVFTLNLEQLLSGEPLDQAIGVLQVTVQSARGLRGSKISGGSPDPYVSLSINSRSELARTKAKQDTANPTWSETKFLLVNSLTESLILSVMDFNDHRKDSEIGSASFDMSKLREDASYEGLEAPILKDGKDKGMIRYDVTFYPVLKSSGDTGGKEELPEDTKVGIVRLTMHQAKDLDHTKSMSGDLNPFCRVHLGTDPHPMFTTNKMKHTNNPVWETSTEWLCTDRPGSVVTIKIVDDREFLKDPIIGYMSVRVEDLLNANKEAGRDWWELSNCKSGRVRLSADWKPLNMPGSVHGADQYVPPIGVVRLWLQKATDVKNVEVALGGKSDPYVRVQINNITQGRTEVINNSKCFLLTASVLTSDALCPDLNPEWDQIIYIPVHSLKETMMLECMDYQHLTKDRSLGYVELKVSDLAKPAAGDSEFLHESTGKRAVAEPIRLDKGSYKGKLHYEAEFVPAIPVKGIGFESGPNLIQRAAQRGAESEGEIVDDHSSTSSMRRERSAHHVPEGITVSRPLGLDEKSEAAGVNLSPSSPTSPIPAQPAENADGAAAPEGGKVEEGRPEEQGKELSKEELLQHQSGVIVFNVISGQLHKKARLEVLLDEAYWPAFSTVRPHSHNAHWEYIGEGFVKELDFGRVWLRLNEADEGDRDDIIAEWKGDAKPFLQHTLDGPMTFTLLHKDDEEKKSTVELEARYVPVPIVLEPRESVNNQGMLRIDLLDGSEIQGVDRGGKSDPFVVFHLNGQKMYKSQTKKKTLNPEWNENFVLQVPSRVVADLTVEAFDWNQIEQAKSLGSGKLDLADIEPFQSAERVIPLSSAKHGQKGFVRVRLLFQPEIIVKTRKNTSTFSSAGRAMTQIGHIPVGLAAGAGKGVIHGVTGVFKSKDHVKSEVVEADDRASVSDLPAGQSSQPIGSSSPIANAASAVFPSLGTNGSIFNSAGSLNGQSQEHGSLRVMIMDAKDLSSGDIKPYVVVRVGDKEHKTKHSHKTMTPECPCHTTQDARMDL*
>EED81502
MASKISPNRRRSLAVLNQGASSRHNSRRRAYSIAPGEKLSPAARARRSLAPRKSILKISNVPESTESSALGDETGTQSMDFTEVHSHGPRKSLARRVSFASHAHVRLFEIREQNTNSSGGPPSSPIEDSPNGDHRADDEDASPDAAHSRRRSSMRRRSSTGFSEFGERSMDMDMDDTAPLPRDFLGQSDYQLGGTAVEDDEFSDEDDEDDEDMEVTEAIRLNIERKRSLSLGQGRVSLPNRRRSSVAPVTASQNQSENQPPPRITRPEPEREPFDDQGQDDSVSSTMSQSFVSEGSSADQSQPMEFTIPIARSLRPSEPPSEAWLKLRAMTHAGDEPYEPPADSSEDDISIPTTYTQGAEEGEPMELTDAMTRLMKARSSLGLPPVSAPLANPGPTQTVSETFDDNDAIAQEQGFQDDSFTSTEDSFANDFDAGDRTMNVTSLMRASLGAQDTSMESASVYSGAGDDERVVKVPLGVTVGPPAEAPVQHPITIQKTPSPPIVDSTTSAPAPRPPIFSTQTSSRPSIFSAPAAKTPAQTPRSPSKIPTATVPKPFTFSLARSAAQVAPSPVPSGASAGSNVPQSPAVKPSAAFAPPSVRKSPQKRPAPPGSESAAQPSPAKRAAIGKLEPAKKAPFERIVPSGQLPVNRRASMVRRPSGYFAQRKSLGAGVLPSAAGSNGRLGSPKKPGAVGLRPRSSVGAAPSGQGLGIAPLQERTAEEAQLYPDVARILREDPPTPSRTGSPASGNGKSCERETLRQAIAIPSPTRGSPSPASSRPSSPSPFAARLSAPQRSASPASLPPRIPSPAVPLLEPETPGASSAQSPPPAVAPTPTVTQTPFLSTGIPRPTAQVQSENSATQQWQQNVVQEDLGYEDEGVSSIQRVS*
>EED81503
MGIVEKIKEIEGATEYHLGLLKAKLARYRAQLLEPATKSGGAGTGFDVQKSGDARVALIGFPSVGKSTLLSKCTHTVSETAAYEFTTLTAIPGVIDYQGARIQLLDLPGIVEGASQGRGRGRQVVSTAKTADLILIMLDATKSDEQRRLLEIELDAVGIRLNKKKPDVVFKRKTTGGLNTTVKLTKTDERTIRSILASYKLHNCEVMIREDITTDEFIDVLIGTRKYMPCLYVYNKIDAISLEQMDKLAHDEHTIVISCEMGLNLDHLIERMWDELGLVKVYTKKRGAHPDLTDPICLRRGANIEARRVQRYSPVIGNAFPIWARLVRKLDFRGKSSKFSPHAQKVGLSHMVQDEDVVSIFTK*
>EED81504
MSESIPLARVRSPAAARSDYARVDPEDTEQEAELHHVSSYDSMEDDARLSAIHPPWKRNLYMLVERPTSSFSAFVVHVLTTSLIVISAVVTVLETIPSFHSIPPQIWFGLETALVVLFTVEYVARCVAHSADLWTFFRWFGSFFALIDLLGILPYYIEIALHQDTATFFRFTILRTFRLLRVFRPFRHNNTILLTIEVMYLSFRRSQHALLALSFFVVMVLVVFSTLLYFAERGTWDEVLGTFINSDGDPSQFAHVTITTVGYGEITPRSFLGRLITLPLLVFGLLLIALPTFVLGREFSMIWEMMKEDQVSHEDVFNAHGIDPLASPTLARQRSISVHSIRAGPSAVTADLWRHPRDEPGTLHTSTGHDFQETQALRAQIAELKTTVDMQGAMLRRILDALEARGKRDTFLFILPGYLQAIEMSSTPPPGTQSLAVNMSTNMQRGLQRGRGQHKTYAPGKRRMELMPSVSRSSGLDKDGDALRDHKVQEEYRVFIEGKVKASCKLREGLLSSKRSDAFALEVYETSLCLSVIFCNTKQTASILSHLLPHLYSASPFSPPSASHSPLPNVILSLLHELLESYPSQSRYFERLYSLPRAFIPRDSEEYRWVNDLARCLRRRSYANLEELTCRHAFTSFFLKSDQSASETSKTQDATLIDAKDANFLAGAPAHLALEAACVLNDALRAKARETTWLVLRSAYRELHCLPSCGDNVELKPDTGTVPSTAHWLIHSLALHSVTSGLEHTESADKLVNEWMAERCTAGEVRPKDGAGMEGRWMICKVVAK*
>EED81505
MSQPISDALLTQILGQLEALQVSQQALQAKLDALAHPASPVSSPQYNTIAIPTHHPDSSLSSIAPTAISPSGPLSHGQSTTSTSAVGTGSDNGVIGDKERERLLYPGRVLLTNPITLIPVGLCLAFRCITNALRRRRESRAAAWCQLPVMAVLSAYQATGGQISLVVSRVVDAMVEIGRF*
>EED81506
MGVHTAVYNYFTSQSEQAAPGPPAGLRPDASEKAKASGTDLYEQIDRFYADAARDLFLGAPTDDTTLVHYLVPCFNRYAAGAQSVSRLLNYVNRHYVKRAIDEDRGWLRLADVLDAVARTIQEDDTRDKIQKRLRERRTEELKQWGYTEGAATAVLAQAEAYAEAASPPDRVVPLASMAYRRFRIEVLEPLLMVPKMKGKGKRKKPPANGDKPPMPRGRLARAVKELLESDGGDDEERKKLAGELAMVLKTCGVKMDHPLRKKLDKYIPPKE
>EED81507
MTWRNEAASKAPKREIRFLPALMSIHTQVWRAVFGRPADAIEKSVENADEYMIIDNDPPITRHISVPRDMSQLSCSSFTAGVVEAVLDGLGFPARVTAHNTPTDQYPARTTILIKLEKSVLDREEALKM*
>EED81508
MPKSASSSDTCYMPVDSTLSKIDLDLEDQPPPFSDLPTEKDVFSKSSSSRTSSMSGDTLLPYSATIPPLATDKPRKRRRLRRCAACCVDLAEDAGLWCLFIAGISLLVAVAASLVGAGFSPFCSYIGLYFLRHKEEYVDADTKAIYIASATGGAVLAVTVVFLAWVVCATIKSLWEGSLSLVPWSDDDDGSSIVFWAIVTMLASVPVGVCGQAVGYYILQGRLSGGLDLHLAFKLDGVGYPLALLALIGGTGGCCAYNSWGN*
>EED81509
MARQIKARKHIERDQRHVSRIVSTAWKELDDDAKKYWYDRAAVVKKCHEAKHPGYKFSPRARSEKPKKR
>EED81510
MGNALSNALQAFPPKSKFSTDQIPDLSGQVIIVYMASRSKDKADTAIQELKAQTDGKEAIFLELDLGNLASVRKAAEDKETELHVLVNNAGVMWPPVDHLTSDGYDLQFGTNVLGHFFFTKLLIPALVAGAGASQDQHSRIVNVSSSASYLETLHWNTFKDSAERKKMSPNALYCQSKFGNVVVAREFAKRYADKGIVSTSLNPGNIETDLLRYVSPLKRRLLNLLLYPASQGALTQLWAGTMPETLDYNGKFLIPWARVGRCRKEAYDDQIGERLWN*
>EED81511
MSSIPTTHLSPWLSSLRSVVDDSDSDLDSPPASDLGSDIFDDHSGLLSLQTSTDFGIWPNSTVEDSDALWRFVPTGLRKIITVRTAKTARCFAVAHTHGLGCALGEKHLDKTGRETPAVLVTSFHVHISAHTVNFHIHNHA*
>EED81512
MVLSSTPSNGRKLKPADPRTIQDKFMVGYQGWFTCAGDGPPLGPGHHGWLHWFNYPIPDGGRPNTDLWPDLSDYSPSELYPAPGLKYSNDEQAFLFSSRHPKTVQRHFQWMAEHGVDGAFLQRFAVYGAMWDEYDEGTAFLPVVSKKQQVPVLETHKLELEDYWSTRPRYEDNGVQAEPSTSSEGKSSGYAEWSKALEGHEGDVDVPPPPYTLEMENPATEPVTPAAPAATHLGTSVPLQAVLMYSFRKLTPHHSTHRSLWTSLTFLKRPADPYGFPYPAPGPQNVYGGPYPPPPGPPPPPPRPGSYPNSGAPLMDRRPSLSSNPLGYAEARLQNLAQSGQRLWNKHTK*
>EED81513
MPLQPKAGKESQSTPLSTPINPTDVFNKLKTHHPEATNATDCTALEVYLSARHEYDKAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHSFQLLLPRSIRAQHSKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVQCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEREKQTKAVPIPPPRSANPEPPASPVAGPSRPCPDTPVVFRKVDPDWTPDTTQWTWDSSWPRQKHLSGEEWKNLGRNAHNEWFDKEEDDGVDWELYGDGEQ*
>EED81514
MVHAQSSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTSTKRALIYPRGGTWCRATGPNPQVVAHQELISYYQRHPPAHPEDVFTTLRIDVEPTQTTESVQSPINEQPLELPEVQYIPIEIPDIELPPAPPAPTNTPVEVPMATFTQADIDQRIAVALAAYQSQHGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDTEVNGTNHVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNADAAKQGALIVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNHGGSGKDDFRANQDTLR*
>EED81515
MSSAIADAAKQLFGTANAKVTDLQSDTIDPASKPNKGLTTDNGVYVSDTDNWLKAQNGTTTGPSLLEDQIGREKIHRFDHERIPERVVHARGVGAHGYFKVYNDKASKYTSAPVLTDPSRSTPIFVRISTVQGSRGSADTVRDVRGFAVKFYTQEGNWDIVGNNIPVFFIQDAIKFPDFVHAVKPELHNEVPQGQSAHNNFWDFVGLQPESAHMVMWVMSDRAIPRSLRMIQGFGVNTYTLMNAQGERFFVKFHWLPELGVHSLVWDEALKIAGQDPDFHRKDLQEAIENGAYPKWKFAIQTIPEANEHDFDFDILDATKVWPEELVPLEVIGEMVLNKTVDEFFPETEQVAFCTSHVVPGIGFSDDPLLQGRNFSYFDTQITRLGVNWEELPINRPVCPVMNHQRDGQMRHKITKGAINYWPNRKAIGAPVPTLRRRLC*
>EED81516
MPRHQPTLYTHPEHDADDEDDGTWSSRSQQTPPSSLNTHSKRSKGRQRSVFSPGPIQPSTPQASSSAFPQRHPNVYNQFVRRYRSGPGLDDDPRNDPDSHYYQRGLGQLLDAGDSDDEDIRGASGSSGETDRLSSLMLDSDPIEPETLEDRERLEWQTMLASVLAGDVLRTENTRIAVALRSTHEEENNPRANLWLDLRAKVHGRSIEDERRKLEERRLRTVDPVIKEIMSFRVVDPSPGSGESRATHALRQVNSVLHRLEVAHSLYPSLRAFSADSPASNEDAFLTRCDVLNTWSTVITSLRQLINRLRKWTGSDTLDVTKPTSAPSTPFGTQHGHGESSSGNPTGTTFVDRLLKEESIQRQFEKGSMTTIHALVGTTRDAHVNLAPMFAEMNLPSFEKELVPLVSFLTNLAQAVLRVRLDYAQRLRNPDVLIIDQMTEDLKVKIGFACTLKRQYEAFLIPDPNGNWKLPPCISEDYDSVILEALTFFFKLIHWKLKSGARGIYFKETDVIEAQWATFSDVSLTIPGGASLVAEQLCALTNKLMVRVTNYFDTQIRIPVVGDSSKAPTTPDRRSIGSNGQSNSAFQSHIKAGSNKPMSNEQRISWYSKVLDGVKQRYRKLQRLARVLTQRFGNSAEYDLEDVPLDLFISLLVETDHFLVYTQNFEEDGTYIVAPHTLRDRPEDIRKILMEAFHVNEIDEGSWLVDGGDAFQDDDEDFSYLLILSPRTRFLWNGLVLMLQIPKFELDLKDNRVRLVADGPQHRLAMAKQRFAELFTPVDEEGEFLEPSLPPLTCLIDQQAHLPMVNKELRKISRANNKLAESIVESVNYVRNALHDATGYQELLENWYGFASEHGQHVQKHMDRTTMLKFNRLLIKLAISWVSFICDDCDPNDRKTFRWAVTALEFTLHRTRRNNILQLPDDQFEMLRQKVASCMTLLISHFDILGARSTIEAKREKERQEELLRQQTAIAATDEEEDLHDIPPDQDDPTVAYTDPIIRMFWDKAMRASFDLEDQRARSGIEQHTIGRVLDEEKLVDRSLVFLAASASNVSIRWQQGRFIGAGSFGSVYLAVNLDSGSLMAVKEIKFQEVAGLPNLYSQIKDELNVMEMLHHPNIVEYYGIEVHRDKVFIFEEYCQGGSLAALLEHGRIEDEGILQIYTLQMLEGLAYLHSKGVVHRDIKPDSEYPSGPHGCHKILAKNQRSIQRSRRASDAVPAIGAIGPGGVGIQNSLTGTPMYMSPEVIRNSHRGRQGAMDIWALGCVVLECVTGRKPWSNLDNEW*
>EED81517
MSYICPKTLGLAPCAQLLFRTENLRELQLCKLEGLLQHEPRYGDAILALKNLVSVKFTGAGPLALNLISRLSSSVRNVVLFLEPGTMTWHDLLSQFRPTPNVQKLELCHVRWLPSEEDVFSGPLPQPRKGDGITACWPNVRTLNLLRGAVPMHICARVFPGLRNLAVSEKSLWTTSCDNSNWQVLDRVSTIPRSLLPTTIRSVHHLRLLLVEYTENLLLSIEKVSPAILSLRSDSAIDHIFWRSLMQRAPNLRCLDVVVECESERQETPEDYLLWLLDLANALAATRVMCVRIRFNKAEGWDVAPLRAHLSRFIATAKPVRYLSAECGSVVFRGHEDDLCGWRRWWRAQNTPGGRVLKDMSFEVGEELLERLYDVRLFKMMDLDEPMDKGN*
>EED81518
MHCLVSKSSPQAETRVPSHSFMLRATRSRRALSWSTRAQTQPTYYQY*
>EED81519
MPLKTFLGLHRVSALQVDVRYPEVAIIMDSAYPLPIPVGLRRFEQRRTASLAVALLENAVNLTSLSVLRTSQLLVQALHAGPTRATLSHLRRLHLSSPNSQESLDVLKILRSRLTNLDLVVPSSATRCVDLLSSLAAQRMIKSLIDIREAYLPREPSSFAGNPGPLLAQAVRFSTDFVTGGHVARYTAVSLSLRMISTVDPTPAFWGELAPMAPRLRGFDIMLYAMQRDDIPAMPERFMILRWPAHRPLWAASLAYACTWWISNGHAALWQTNCSLTNSDGWESVGPGEKRAIWAIAIAHGLSLP*
>EED81520
MEPMDVDWDAAQEARHEPMQIDCIGSFKYDVSMDWSTQDYTANDGCTATKSDTDAILSPCTAIINVVGLPSPHGYGPIADAANEGFQSWWFETVSAAGTPGSAATQDFISGGTVLNLFKQNIFQLDLRNTQGYNPPEPL*
>EED81521
MHIHLAQVQVEAFLETHVPGKDFKHNARKEPLRFNTQLLEKPDLNEREMAEEFIRVASPALKRRSAQRLVAKITADVPDSTESTGFGQGGKTRPHVVLYPKDPVAAKDYTLSKEDIEGLKKARDKRKTYKKSKDGIKTLEESEYDDGHLARTCWSRVCVPVEFKADHEKSAFGFGNEHDFLPDDIHRQAAVGQLADYAARILQHQHRLFFFMIAITRTEARLMRWDRAGAVATTALDLKDPDQADKLLTFLYRLSMMSHKQRGYDPTVVRATNKDIGLMQEAKDSIPEDDYRLKRLNVAMAEGWPVYKVLCREDDVVSVDAWRAASTNIDSASSTVSPSSSPVANIGSLSEDPDPSGPGTWTARTASKSRAASKARNRSTVYYRCFLICKHDFSSTSPIGRGTRGYLAFDMKTGKFVYFKDSWRISTGHSEIKVYQHLHEHGVGYIATPICGGDVVHTDGTLHRTLAQDRNGNAEYIHFRLVVEEIGESILDYPTSKDLVAVMFGAVVAHRQAWEKAGVLHGDISAANMLMIPDPRSPIPGVTKRGILIDWDLCKFDAELKQGAKQSNRSGTWQFMSALLLLRPGVKQHTVADDLESFMHVLNWLILRYHDTIHDEDLQGHVSNIYDGCKKGDLDHWIGGGQKLSGILNAIPSAQVSKPSPLNDLNYSLATMCRDQYNATDLTAYADCLPATTPAPASFYTFRDYDIPGFRDSVGVKGIHKHERAPTAAKTVRDPPFSTHKQIVDAFKMALCGHAQCYPDKKDDKFAQFAEIDQSLDSVSSVLSPKFWVKTTLGRASRCRRGATTCEETQVREDELTAIAHIRHNS*
>EED81522
MAEELLHVDSIHCARLLEDEGLRKWPPNSLQVTVNDFQKLVGGTELSSDVEEKLCSRGDNADKEQETCYQFVMQSARGKSATYKYIVKVTDCHTRWDRRSAMASEPLHFVDDEGCTVYLFLCKYGTTTEREHGCDPTAVHARKVGISDVKRVAYSNADFMDTTEPMVVDWDGAHEARPEPMQVDNIGTFECDGSMDWSPQDYTADDGCTAAKSNIDAVLSPCAAIMNIVGLPSHEYGLAADAAKEGFESGWFERAMAGVMPDAAGQTMLSGDTLMDLMTENVLQLDFGMAQMNDDTPEPMDTTDPRRSENGTQDGVGHNLWMVLAPFIFEHADIMTSFICFACIAHGVHALPSYSDNASGPSGPVVDVGYAAFLGNDSIPGFPGIEFFGGIRYVQPPLGELRFRAPQLLDETVGPHNVTDARDWGEICIQEPAQVGFGSEDCLTLNVWRAAGTQAGDNVPVALYIHSAQGFPMSNWANETGGAMVGVNIQYRLGMFGFLASAAVQEDGDMNAGLLDQRAAFDWVRLHISNFGGDPSRITVSGQSAGSADIVHQMVSYGGQGEPPFQAAIAQSIGMDPLPIAEEYEHCFANVSASVGCLSPTNTSQSIMSCLRAAPLSALVTAINSRPSSCGFLPVVDGTLLPALPSQLIATGRFHRMPFIGGHVTDDGSIFVGDPANFVNTTDGFVASIMKRYTLLSNTTIARMIELYPLSDFPSQWERAKRAFGDTVFTCIQLALNRDWVIAQKLMSEGRTDAYNYRFNTPDPVQLAANPWEGVMHTSELFFLFQGTNSGPSPTTPVALFAPFNASEKVLATETIGYWTTFSRAFSPNTFKPLGLSPEWLTADNGRLVIEEGGVNGTGSYMEERSQAYEERCAFWMEVGTETRIREDMSKKRTWYRHFDAVVQRIDGGDGLGKRLC*
>EED81523
MTGASHASTSSGKSSSAGSVSGFPEEDLRQGGHNNAGYFPARLGQVLDKGRYCIVRKLGYGQYSSVWLARDKGEDRFVSLKILTCEATKTLSGTSPLSDELGLLQKIADGDQGHPGFRHNIKYYGSFEFPGPHGKHHCVITEVLGYSLEYVRTLNPNGDRHIKHDNILFRPVDVAAVVAHELSTDPPACYDCGTEISPPVVPIVSQVLPLSTEPSIREDRLEAVVSDVGHSHWRDRHFQEIIQPAALRAPEVILGYRWDTPADIWNLGCIVCISHNIPVMELLIGFWLFEPNKESGWGVEEDHLVRMTEALDTRFDVEFLSKCMHKDQFFTADGSFAHFDAHKEPTWTIRRLLETFSLEQDEAEIVEAERFILRCLRLVPEERATAGDLANDTWLETNSMTGPTTVSCYITEILTDSTACTHKAQYPRSLSAIIKEFIRVRLKVESIEELVFGLGTGIEQRPCIFFVRALGAFLARALSAFLGSRMFRGIIAANGNINLDWGRRGLRWRFLALLGLDDRFANGNFPQSM*
>EED81524
MAISSTQARCGAVGVLRMPVRLAKETLGPYCFIRPLDENNDLAALEGRQSTAVLSPSPFSLDPNIANYNPLDSSTCDSSAVYKDFQEAGQMSTATNQVEATGQLLIDDLPRTTSRSRCQHNEPETTYLDLPLILVEYKGPATNHVQSQNQRRLHCTSAARFMEAIGITEFPIFSVVSDGPLAVLATTWVKDGIVQIFERHMVSFDVSNALGAWHYASVIARIVVFWGTALENRFEQVKDRFVEGVKKDDPRLRWTQAHQAPQHAPKDALVETASDNESWMGVVC*
>EED81525
MFAFDLPAAYYSSRFSAFVIYPYKDSLGHRAPLTSLNANGDPGPSIQRLKTMNGKKHTSHRSLDLTTYAGVGFGSTVPPVSRSRRNSTNHSTSFNYGNAGEGNCTYSSPAPDYLNNYAPSAPRAARWPIETLAGSVSYTSPPPAYSRRPQIYAAPVPRSPAMPVPTVTSQASQASPIGPFALLYGKIWAAQDCHRGQVFSIRIIKKSKLRDDPKACESQDDPKARKTQDDPKARKPQDDHKAYKPHDDHKARKPQDDLKTCTPQDYPSAREQVATEMRCYQRIAADLPLVDADGTNFKADKKFLMELFAVLQDKYTIGFVMPAVEYSLLEAIKTRPTERNTLRWIAQVMMGVRVLHSLGIIHRDIKPENIFLDTQHNFARIGEFSRALLRPAPLTNKDGCSSECVGTRQYTAPEIIGGAEYGRMVDWWSVGCLLFEMITGQVLFQEEKWYKEYINLASTECREEYLRMRLDMSRARYDEKAEQKKALHVLVGFLDIDPQTRLEYNTVLELDFFLLGSTVTPGFPSFQGPQTPPPFAAVHPGRAIQGGAPKRAVAKWPGSSKTARGDGDKFSSFSTYLDLAPTQYIGGIYYIASIGRGRRWDITMGDRLGGFATRSCDGIGHHEKRY*
>EED81526
MTAQRSSAGRLRSKTSDISDLIRGTSRTDPRQSEVPAAPPVSTLPPSDTDGASKPKHRLSFFGRRRKSSSVSPSSAKSADARTRSDEEAPPPVPKLEMGRLSTSKTPASTTAASPISSTPLISSLPPVNVSPSSIGSLSRVPPDSPRRPTATHSAIPTLRHLRPQKSTSFEFSRRSRDDSPRPLPRQSGERPTITVSPPPQSAEEHDPRVYTTPRSAPLPPAQHAAPSRAQPDTPRAQGQGLTRLRGAPPPPEQHTELSTDDVASSRTSISSTSSTRETLSALARSGRSRFQRHASALPLSLSKPRKDSEVGRDQDKPRDTDEDRGKADKRRETHASANTTPHVNSSPPSSLRSRIPASPSSSQSSLSLRKAPPPLLTNFRRGARTQPPTEPLPSPPLSAPLRTATEDAAHMAALPTIPSLASRMSVGEVHQLVFRRRTDTLSSRTSTSTGRADGVCMADESPVTPTRAEVLREQAGNGAAAAEPGDAATVEQLREALSAAHAKYQRLSSYLLTLSERHAVEKNELMRRVEVLERDARKREREITGLRWLVMHAGQRGGAAGPGANGESPRPAAVTRLRSGSKSSAGSPRTGGGPGSPGDGVQRGFSMDSTVDSMEEGLFEMQNSVSDLIAPLAPSPPQSDAIASPVPVPVPRMSPALRASMTLGHGQGAVQPRMRRAHTLPDGLTQFPTVSQKQKQKQAWRTSSPVLPTGLGIGVDIPSIPSLADSDVGHITPASSSSGSAMSSIPPLTAANTASSGLSAIPESPRSARQSSDTQRTERAQQEYGDKKEEERTSHASESMSTSSTFGSSAYTSKLPLAMSPSIGQVLDTATEKDSGMEVVLRKLRAFAPS*
>EED81527
MPLDPRQAYLNGFLQDVRYHNSTDAYSDCDIFPDTVKEYITLLHDAFQLPTWVKEAHVDFRLGTRRGEWERGRERAKLADCYKRVGARHRGVGSARSTPQAPKSNSPSPPNPAWLRRIWLNTSPRKTFKRPRSLVCRLGLTMKPGPVAKSAAQSRALGPSRALKNTIESVMVALPRHWSGESSPLFGYMETTVEAALLVSVSAEVPPTSPALAGDMDDLGGDNCDGDENNSRTSADNGKGSANKGTRLAGSNGIKQTQVEVCMEPGKRPVFLFPDLPMYTASEWLDLPSNREIDEDEYTDEDEDAVEYQCSLNLHILDYVPFRRLPTDACMPILCMGDESTLPIIMTSALYQRHVWHVDEPVIGVSFLQILLSATKNTSCLGSAKSELGESETVGAELQPPPTSFIWMSEDGHKVPRFWVRGAPEQCSKFCAKAGVSTDDHALVWLFDRKAALVSVTSDSEMFKIYEDVTKFIWPKAWNTLNDLPLVDDTVHPIRDRLWALVNIRKKRGYHWLDETSSKRPNEDNGTESDGTKSNNEYGNNDIDRNVDKIDVDDARWQQVFELLTGSLSTIAHTCMHAEAKVRLGEVPIEATCRHDLHRVCVDFLVDGVWDSIASREPTSPESVHVRPSYVIVTALQILADWEIRLERTIALPRNYFSDVLVRSGNKKEAYTFTYDIEDKFRAELQLLKEWGGRRMRAERLAQVEKDARLEFTTAVNKWYKTITMKRLQISMQSAPLRGICDAIGTVRVTLPLANYILDPYRFVSRIGPPASIEKPAADAQRPGKSHDFGTRPSSSSQEAKPQGLKGQLSPSLKAGQSESAGRATSIQQTRSSATGSSTRGSPSNHGMLYQFSRCESDELSPPKPRARPDRQSTMLEMVMELMENAAIDDVSPAASKPTPSSIEKALELPLLLIEYKKTETDRVKGQNQHRLYCTAAARFLETIGITKVPIFSVVSDGASVVLTTAWVNDGVVQIFERHTQSFDISSPIGAWHYASVLARIAVIWGPQLAERFSAVREGYIKGDSQVTRLDTKKGKTKQKDEENETQQKTAESVQPAGA*
>EED81528
MDASDIGRYGTLSLLKRHDPDTIVASYPIDDSQITIGRDPSCSIRLYYPAVSALHCKLVFNEDNKAFLVVLGSNGVLVDGCPVFPAPTNSAPATVPLPNNSTLEVHKKSFRFAYPPKHLRPVFATLPPTPGPTDTPGRHRALRMSMIHSAHVFSPRPSDDPRENLRVLQSPMKSPFKMQQQRSDADTAGEDEIVLVESDHPRVVESDRDLVILDHVTVTDSAPPPSPYAQTSPTKSLAPQMLLPQQAWAPPRTPVRRPPRASLHRAVLIRSAQRVALRQEMQMEEEMEVEEVEESIMGDEGMEDVEEEDDAGYEEQVVEVRDQEQRSTPMSGWRKSLEAVKGSLGWAFRAASVEAEPQANEDANSELTNDQDQYKEQEGHEEEEQGEHDYDEQGGHDYEEQLEQDDQQQYEEYGAYEQHDEQTDDMPMNEQEDTLQPVSPPPRPLGAFMTPQPGASKRAMRSQRFRYSVGGIAPGGLSSSVSGPTGPRRVRLVEPWKVTELLVPLKDTDVKEEDESKVEENVSVPPSTSPRKERATEEERRAIRERRRSALTTPDNFFNGQVPGSRRTPFLQSTPALPSLFASASARNILGPSPAKANDSSTKVEESEENTEVLLARMRQMVEGVKKRQSMEASVEHSRRMSVSPRKKSTFSLLAAEAEQGIIAEEEEDRGMETDAVGGQPHDVDNEMGEEFDMFMGEEMAEEPVHADEAMDDEDENVPVAGPSTHFQPPKTPKMNDLRHVFSAPAAPATPRFTGVRELFREVPTARETPRMDGVRDMFLRERSKRGMEESALEGMGEMLASPAGWRGQPAPETAIDEREAEVAQQKHVSKPAPSRVTKSKIVRPIASIPRRTPRSAAQLPESREPEPAIAPEASADVATAASKGARVAPRTRTRTAESAQESAGASGSSRPRTEEEDTVPELASKTKPATRRKAASAASDDEAAAAPTTATRRTRKATASPAPEAEPSATRVVRRTRRTPVPEEPPAAPVAKPSTGRRGARAQPMEDVEVADQDPADQPAAAARVRRNTRSKIPVGAVKEEDDGHAVPPAGETGVSRTARGRKALGSTGAKAAARAGTSASKSTTRARTAASAKKAAGESSGKSTPSEEGELEEETNAGDKENTPEPQEEDEPAATKGKATAGATKVPANSHSKATRSATKGAVRTGTEEPSVAGEEPAAGRRVARTRIAVRR*
>EED81529
MFSYTLHVQSDGYVRNRGTRVFLCASSPDESGRQALEWALESLVQDGDELIVFRGVDTEELVKDHDQYREDARELMRQIQEKCVESDPERKLSIIVEYIAGKVPLTIDRLISLYRPDSIVVGTRGQRSMMQAWGAAFGGQGRIGSVSRYCLSHSPVPIIVVRPEDKVRKTMAKRRADPKR
>EED81530
MAQDLCEGMGRKVSYLPWPLPVQPDRDGPGPAPEGSTDSGVHCRAFDGRARAERRNAYVGAPSGADWREIAGAVRTPRAGTGRRATHRSSAKSRIQVNLITRASVLDPSEGGPNTKAGVQTVRRATRSARELRLRSEERTWIRRLSTAPRWSFCSLASEVFVSPAERARISRDHVLSQLAHHACKSSAPPHISITVLSGLLVSRDSASSATPSSANVCACKGLRVVKKDSVKWRFAMMKRLLFLVSLDRQRLLKMVVYSLGNPTYLPWFNEPICQE*
>EED81531
MSTVDIDGPASIPLPLSPSPDQLQCHNISHYPDVVLRDPVDENYARSTDGELATSREGLTGKDTETVLLSDERAAEEFIDKHVNRHTGGRSSTSTPPSRPSSAASHGRLLTISKGHTVSVVVTSSALETIAASREAKRSALLRESVQRALQLVNAGQGRDRPHVWHVRLAMRNPFLPVLIICPSLSPTHPSLIFVGCPASTLSASVTGSYKLPTISLGDAVVHTIPWHPENTPETMSLQIAKACSTIQLNTDFHNPQDEVDSTTPVVPAGPGLLGALANVGRDLQKEANVMRSNYMTNKAEVGARCFLKRFMRSQRKGSRPSEPFFSAPHLVHDTGDLDIVEMSLDGFKNAIRVVCFNNPWLGVRQWACPRLFEYIAVTSERFTKLKIMIRQRYEIPFLRDVPASLHRCADGVVHIAVTFSICMCIRVTIHRQVPTPVLIHHRGFPKAGDTRYCPGLNIRSDMRDVYIRYYGGLAPMCTQQRMWMARIYAPLSIVTALATVGTDLFRVIVDFVLKNELLDECAKIAQSDTDFKRFVFWGPTFETKLNQSEASTHCHNDWNLRSSLEITIFILDALGVALSSSLVLAWAYHLHESARLEIVTRPSGREDACLAHYKPPSLAYNGYNNGGAKLYGGAKPSLYSQDVPRTLGKNGTYIAVARTDPFEDLEGNHGEKDDMK*
>EED81532
MIIDFVWPDRPTLVACALTCRAWTPKSRRNLFYSLDFHSTKQLTRLSRLLKARPNLVNLVKQLSVAPDAAHLNLASAFPFPLARKLTRVEHLRVFGISRNFPCLDPSFTAALHEFKSITRLEITRTIFPSLVVFGRLLFALPNLSVLICASVRWTKAEYKASMIPPMAVPLKLICMSMSFAYWSTDLVDWLLAVVSHESLRAINLRRIWMKDMKIIEKILSTVGPSLRHLVIGCDILKADEEIHYPSLERNTRLRVIHLEFRAKGEWIPEMLSRVPFADLCQVNIMCAGKIASTDLDRIECTRIDGLLSHKKFAKLEAVVFQYHNYVATGWIAWWQVEIPRRFPRLWSKGLVRFEPWRRDWWEVSAALP*
>EED81533
MSSSVVRNGESDNDGDGIGTERTLSRVYARRARGRREGGVVVMEGSEKADGGGGSGAVGAGAGSGGAGVRDARRALLQTDRVAAEAAAAHACWQWRPFGRWSAGGGVAARASAGDEERLTCCARCGGLDGRERGRVRAEGGASTAEGGACVCDGLCDDGEGGRTWDGCAGAEDKGGGRGVGVGEEGVCGLAGSGGRRLGRGVLVGEETRREERRVERGHGGCLSSGAIRVGGVESAARMQAAPACGSVAMGQVKPRGSLGVFSRPVYLDLVVPSSATRYVDLLSSLSAQQMVKSVRLTRLVFLSEDVSRTDFDSALMDILETCLPGELSLLDVDRWPVQSASPEASWQADVLRVIQAPSPEAFSLRVIGTIDPTLAFCGELASMAAKLWGFDITLHASPWDDISAMLERVFHGESVTVGSAGEHHDARMDVDEAVFVSASSGASSATDDMHTQVVTQPPSPVADPIASLSSSSPRLLSRVTIKLLSPAPEYFPDEHDANPVDATDLSAQPGPYPDTVASVKPHCEAVHTQPLADVKSVVSSGTLPSVDNTPSPAPSLGLSNAMQEAMAGADTDIPVSCPHPVGAPQDPPMPMREASPLRVQERARVVDLPEDLDTRLSTPVSLHAKVELLGQGLPSAAVVNRSRSLTPLPPKPPRLPKETSKRSTSPDEGIVSTDPKPPPPLRSALKSLPIAQYRRMVRWCRVNGTGLMGLGRED*
>EED81534
MAAPMKALVVQEDKTVAVLDHPVPAVGDDDILVRTVAVAQNPTDWKFVDHVTRAGTILGCDFSGYVVQAGKNVASPAVGDHVAGFVQGGTFVDSGAYAEYIRTPAELAWVVPQGTLSHEEAATFGCAFWTAVQALFHPARLGLVAPPLKVDAPEWVFVYGGSSSVGQFAIQLLHLAGYQVATTASPHNHALVRALGADVVVDHSAGAEAIAALKAATGDTVTAALDAISRRDSQEFAARVLGPRGGKVILLLQPNPEARVREDVVFQHTLIYTALGREFALATLFPVSAADYAHMTAFLRTVPALVQTGALRPNPVRLWPGGLAAVPDGLQFMREGRVSGEKIVYRVAA*
>EED81535
MVVSAVLRSAFNCILSSLRDVVCDTTAAFELGHRRDHNSLSSHFTLFSSQKVYNATRRSCEGFENARSIPVDQSIDDDEFAWHQSALRIAVNLGLSSNNLTVSPLRSVHIPGVLVTGLPASLCPTLVLTHYVHCVTEIRASDLHATGRESTTYPAGTRDGPSELSLSGETLRDENASPLCSHSSQSDVHRRLDVSSLSPGTYNRESKPSRSTAPPHSAKAQTPAGPASPAHTAAHHLMLRGSTSTVVESLEPLPTRLQPTEAPVYASPSDTEYGSESDCKHGRNGANVDVHIFDKYEIDDDVDIYIHMAPLPPDADPVGILHSGRTRYRLYGLLGDGSFGRVFLAEAEDDTTGGGDEGSVFVAVKIIEKISLGDVEHTAGLVENEVRLMGMAVGGLQRGGEAEKGWERGAAEDPNGKIGAVQSPFLAHLLECWEDPDNVYLVMRFYPRSLHSLLNEVELEPYQTKLYCAQLALALHDMHSRLHAFHRDIKSGNVLIDFRGNLVLCDFGGAHFPLFPPSVMPPTAVSSSLPSPPSSPPPANIEANLDAAFSAAVAYDRHGTTGYFAPELIARDLASRGYTAKADVYSLGMTFWEMMTGGLTPDYDSLPTYLKARTLLEDNIEWMQDAEAMDLITKMLDEDPKTRLSIQDILAHPYFSDIDLEALRDGSYDMPYKPDPIPRCYVGNNLAFFDYDPSYQGQYFTSSWRCASERVRDARHGEAHRTHPLHDISQSL*
>EED81536
MARRDRPPRTTQDRVLKISHYKIPLAQIFAPLLEMEKEKEKQRSTLNLTTLNEDVLLEILEELSPLDAFNLSLTSRLLYPIARCRALSAIELRTQQQLVSFCDFMLDDIDSRLYWLRRLCVRLRHYAFPESLLSPPMQRLASLLERATGLRHLEIDCLDLAIDIEPYVGHTLEALSGLVSLKLFNVSQHSLGVLSRLQARVQRLSVHMAFGADGDSLLPTISRFTACESLSLERVSIESISSSAFPAVRELSLCGCYVPLSTLRAFSHLRVLHLEQHIRCPDPLVSSAPCWSHLDYLEAHTDFARHWRLGCPISHVSLASVIGSPARVLRARRVPSTPESVVLLRLVKDSRPIVLDFRIMVGEQLCDDFWQKMIRYMPKLRSLEIELCSFEGQDNLLTHVLWLDAMAEQLRSLRSLMYIGVCINSSIVRHNHEGTKVPMPKDCETARSLAAKLAAVMPSLKCVLFGFGSRAQDAGRYAHLFRGDFSWWKVLRVRGMSGSLVPLSPQRGEKVRALLRSPPYEEPVSINDDQLEKVNLTRCSILYP*
>EED81537
MSLQINLSSRELTQAYQDVLNGRDIDWAIFTYDKGTNDLKVQATGDGGLDELQEEFSDGRMQYAFARVKDQNSELPKFVQINWCGDGVPVARKGLFHTHSSAVANFLRGAHVVISARNESDVTPSLIMSRVEAASGAKYSVHKETARKFEPIAPVGTSYTPIGKVDIGAIRNAATATKPITPSTPRSVPLAPSPAPGLGRAPIANRAPVDAWPEDSQPASPPAPPSANRPPVVTRAAQAVPLLQSFDITQPPRSVPTAPYIAPTAAAPPKPEEDDRIGPVGTAYTPIKLQPKKLVNPFAAREAQVQAKATQPRSQPTGTKLTWRDREALAKKRAEEEESRSRAASFQAPPSAPAPAFRSLPPRPPVAPAEPEEEEEYAPPHPPAPPATMRAAVPSAPSPPPPPPPPPPPLAAEPEPEPEPEEAAPPPPPPPPPPPPPAAPVYVEEPEPEPEPESEPAPASQAYPRGEGLCAIVQFDYEASAVRSVGMRQMAQEDNEMSLVEGELIEQIEQVDEGWWSGVGDGGAKSGLFPANYVEAIEQPEESEAPPASPPPPPPPPPPPPPPAPVAVQHVPEPEPEPEPEAAAGVTAIALYDYDAGEDNELSFREGDRIVEIEAASEDWWQGKDQHGNVGLFPANYVEVQE*
>EED81538
MSPDWYTQAADNNNNNDSQRFTVNEAEQTSTASSSTDGRGRPPWRLADDQSFKVSISLKVSPISTASPKPFSDVAGLTHGPGMTGASHASTSSAKSSSAGSVSGFPEEDLRQGGHNNAGYFPARLGQVLDKGRYCIVRKLGYGQYSSVWLARDKVDDRFVSMKILTCEATKALSGTSPLSDELGLLQKIADGDQGHPGFRHNIKYYGSFEFPGPHGKHRCVITEVLGYSLEYVRTLNPNGDRHIKHDNILFRPVDVAAVVAHELSTAPSACYDCGTEISPPVVPIVSQVLPLSTEPSIQEDRLEAVVSDIGHSHWRDRHFQEVIQPAALRAPEVILGYRWDTPADIWNLGCIVCISHNIPVCATDDLLIGFWLFEPNKESGWGVEEDHLVRMTEALDTRFDVGFLSKCMHRDQFFTADGSFAHFDAHKEPTWTIRRLLETFSLEQDEAEIVEAERFILRCLRLVPEERATAGDLANDTWLETNSMTGPTTVSCYITEILTDSTACTHKAQYPRSLSAIIKEFIRVRLKVESIEELVFGLGTGIEQRPCIFFVRTLGVSFDSWMFHKTVVTNGNIDLDWGRRGLRWRFLALLGLDDRFANGNFPQSM*
>EED81539
MSSASQNLQNSASLATASRIPPESNAGAENAPVHHKTSPLKGGIASRQQFSATNPTGENLDRNRKDLAGDMQNHLAEVTDEEFLRMFVPGDDPTPEEQQKFLSFDEEKLKLAEPDMGREVIRVSKSILGSHEGNDLEARDTSYWPDPTDTKGKKRPDVVIYPKGGQASQEYTLTEKNSKDKKKGNTELADYDSNGGDQAANFDADQGGAPVTLQDQDQHLARVRWAWMCVPIELKSKSSRSAFPLGQQIFCNGGDESRAARGQLADYAARILRRQHRTFCFMITVTRTEARLLRWDRAGAVVTNSFDLTDADKARPLYIFLYRLSKMTPEERGYDPTVVPATDEEKELMRDCKDALPEGDYRRKRLEVAMKPGWPIYKVMIPQKAVVSVNAWRAVGEAKAAASAPTGPPATGETSVPSPFHSKHTAPPSDDKNYRCFLIAGDDFSSDSPIGRGTRGYIAYDMETGRFVYLKDAWRAASSNSEIKTYQQLHENLVGFIATPICGGDVIESDDNLQQTRTQEVLSTISGHIHCRLVVEEIGIPISDYPTGRNLVAVMLDTLLAHEAAWERANVMHRDISAANLLMLPDLESTDEIIMSGLLIDWDLAKFRNQLEKGSIDTRSGTWQFKSALLLRRPGMKQHEVSDDLESFMHVLTWLCLRFHKHDNSQGLQGYITSLYDMASRNPKTKLMEGGQMKMKFISEGVSFVDLSERSLLKTLVCTLALLCKQHYAAIDLTGYLDKGADAIDARHLRKERRRVGYRKDVKAVDIFRLRPEDTASKSAQLYLSTHEHFLNAFSDAVDMEGNFPEKVEDQFKAFEKSSAGVTFTGSKRASRESTADPERPAAKKRRTLSRKKGKKLRTTKKRLFAIVEDRRLRNVLAPTAEGSQAAREGTVATEEDIASGDVGYDATSEDSGDEDYDDPNDKSYVPGRRIQ*
>EED81540
MDSYILAADVSHACHLHNGVLNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELRLPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEARERKEKERQMKAIPIPPPHSANPKPPTSPVAGPSRPRPDTPVIFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDSVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED81541
MFSYCLLASICFACIAHGVHALPSSSDNTSGPSGPVVDVGYAAFLGNDSIPGFPGIEFFGGIRYVQPPLGELRFRAPQLLDETVGPHNVTDARDWGDICMQEPAQVGFGSEDCLTLNVWRSAGTQAGDNVPVALYIHSAQGFPMSSWVNETGGAMVGVNIQYRLGMFGFLASAAVQEDGDMNAGLLDQRAAFDWVRRHISNFGGDPSRITVSGQSAGSADIVHQMVSYGGQGEPPFQAAIAQSIGMDPLPIAEEYEHCFANVSGSVGCLSPTNTSQSIMSCLRAAPLSALVTAINSRPSSCGFLPVVDGTLLPALPSQLIAAGRFHRMPFIGGHVTDDGSIFVGDPANFVNTTDGFVASIMKRYTLLYLQSNTTIARMIELYPLSDFPSQWERAKRAFGDTVFTCMDWVIAQKLMSEGRTDAYNYRFNTPDPVQLAANPWEGVMHTSELFFLFQGTNSGPSPTTPIALFAPFNASEKVLATETIGYWTSFSHAFSPNTFKPLGSSPEWLTAESGRLVIEEGGVNGTGSYMEERSQAYEERCAFWMEVGTETRVSGSYTIDCIICSADRRGYVKDAYMAQTL*
>EED81542
MEPMNVDWDGAHEARPEPMQVDNIGTFECDGSMDWSPQDYTADDGCTAAQSNIDAVLAPCTAIMNIVGLPSHEYGLAADAAKEGFESWWFERAFAGVTPDAAGQTMLLGDSLMDLMTQNVLQLDFGMAQMNDDTPEPMDTTF*
>EED81543
MVTCCDAASGGVCPLLPSNVEAFLETHVPGKDFKHSARKEPLRFNTQLLEKPDLNEREMAEEFIRVASPALKRRSAQRLVAKITADFPDPTESTGFGQGGKTRPDVILYPKDPVAAKDYTLSKDDIERLKKARDKRKTIKNSKDGIKTLEESEFDDGHLARTCWSRVCVPVEFKADHQKSAFGFGNERDFLPDDNHRQAAVGQLADYAARILQRQHRLFFFMIAITRTEARLMRWDRAGGIVTKPLDLKDPGQADKLLTFLYRLSMMSHEQRGYDRTVVRATNKDIGLMQEAKDSIPEDDYRLKRLNVAMAEGWPVYKVLCREDNVVSVDAWRAASTHTDSASSTVSPSSSPVANIGSLSEDPDPSGPGTWTGRTASKARAASKARNRSTVHYRCFLICKHDFSSTSPIGRGTRGYLAFDMKTGKFVYFKDSWRVSTGNSEIKVYQHLHEHGVGYIATPICGGDVVHTDGTLHRTLAQDRNGNAEYIHFRLVVEEIGESILDYPTSKDLVAVMFGAVVAHRQAWEKAGMLHGDISAANMLMIPDPRSPIPGVTKRGILIDWDLCKFDAELKQGAKQSSRSGTWQFMSALLLLRPGVKQHTIADDLESFMHVLNWICLRYHKTIHDQDLQGHVSNIFDSPKKGDRENRTGGHAKVLCIFSGIPSAHLSEVSALKDLLNNLARLCKAQYNATDLTPYAGLVPDNASAPASFYANRNVPGFEVPENMESFHKHVEDPAFSTHMQIVAAFDWALCGLAQFYPKKEDDKFEQFAEIDQSLDSVSSVSSRQSSGSKRHSEEFEDGDEEQPLAKKPSHCTYSTQFLRTRRPGTNRLPKDPRLPVIEMAEDKAVVSIKRCEWTIMGRRRGA*
>EED81544
MKNPDISVCKDHDEARATHQPATKANEDAENTEKAQASSEVATSWRCASLVIECKSSTSRDHPFSFPRASNGRLGKTPAICDKERDGAGSNRQAEKDSFLPQTHGAVKARSQISEYALHLMQSQPRQFCFMVVVAGCYARILRWDRAGAIVSEPFEFVKDSSTMVTFLYKYGTMTQEERGFDTSVVEAPRHDIDEMIVWKVGMVEDGKLSPYHTERFKDAMETKWPIYKVTIPRENLISAAELEPKVDEACVPEDSSQSHSDIPAEDLTLLIGKPLSMSNSPTGHSTIGYVAFDIRGKRLVFMRDSWPLDSPLRTTERTVYQDLWRNGVKNIARPISGEIVKSGDKATWTKADILHCDISMNNIMVKCTGPKVGQVEGILNDWDLCKYESELKKGSSHPTHPMSAHSLYTD*
>EED81545
MADVDSSQEPRRSQREKKQTTHFVSVNSTLLKRKRSDATTTDEDIGNEPSEPSDEESNDSGLEPEEGEEFNAPKPKPKAPAKVKRKARAPPPPKKPRVRAKKAAAPKVAAKITLPKPKKTTTRKAKQGGADSTAFDAEKVAQDTRISGDNPLFNAIMNPAAALQSTAEDFLESLSQTPGASLAELTNCILHEAVDYDGVVDALDNFTEGLKKDMKREHSVITDTCMQ*
>EED81546
MPKRTKVPAALHSELSEYAALLRALRTNDALDLASQLTRASATPAPEDDQMYQDKDGNELDKPLPESAPQEDLVEDVYAMSASVTHGRKRKRAGDASSKRRKGKAKARDTWTRWPLLAGDVHVPEWGLEDEVKLFATTHLCSMSSASDHGHQPLVNDEDDVEDTGLPSSTINVLTSESADHLSRILALLAAHVPPGEKIMQNRVRPLGWEAVLDVVGASGLVDANIVQNVQRRLQTVYGPTSSNVVDRVQTTSSVEMRLDGVCSSHDLSFLTLSGFDPTNSLPKRPTRLSFVTKYLTGQYCSSQYPYCVICFVGGW*
>EED81547
MAPRSSGPKIIIKPRRARGAAASQGTPQEDEDVIITDAEEHNENTELEGEDESVNAAQTPRDEEEDDQADAATPDAEDGNTLDGASNAGDLDIDEGATPVKRGRGRPRGRGRGPGTSTPRGRGRGRGRGRGRGRGITIKLPRRADGEAQDESETLVMDDPQPASQEAEEEGPTGGGKPFRRIQGKVYIIEGDEYVTEDDPKGDTKIDQHGNLLGGRRFKAQTFTLPNRHPERKYMLAIDAARTSGFRDSLYYFRRNPLAMKLNATQAEKEHLIEVGKLGSHLRTRSVTLITARSAYKLHGAKMMADGRWVVDDYYEERAREEAAAKGAKAGDFVGELQEASALATEAAALGPGATQSKAERGGSGQGMYRAGGPTTLFGGSGWGPYSDGPLNAVRKSLLTRDGVNEENWMAVAAQRTADASAEWARLRREALKACGGILDEERGGEPQEGTGEKRSAENTKAERDVKGKRRRIWNDGELPFGVYEPHTGIVLYRSDTQPTRARWEALPDDGEARRVLGGTKAGGGAWGLAWVDTIVELPAENEIDVEGAMTREPYLQLNTYRKWYGTSLLGRPGLQYLIQPLGKALGQLEHTIRCAEAEVSTYLAFLYSHRSENVVGVANTPKGGNIAAVCGGKRWLCTWKNRRTRFRTYHLTILDEIIESRFARALNRERTSRQPAYNGCREHRWGGALEERQTKHRLRTAAREGALYDRCARSDKLAVNNDEALARKITLDVDRAQLITSFCGNIER*
>EED81548
MVDEAPTTRHYDFVVEEAIGAPDGVEKLMLVVNGQFPGPTIEANAGDRIVVNVTNKLPNATAIHWHGLYQNGTNYYDGTHAVTQCGIPPGEWMVYNFTFDGYAGSTWWHAHADTQYTDGVVGALIVHSRDEQVPSYDGDIALQLSDYYHGLSADLLEYYFTPGGIEGGPGNEPVPDGGTINGVGQYGSHNSSFFNLTLEANKTYRLRLINPGTFVPMQFSVDNHLMTVVEADGTAVEPVEVSSVSVAVAQRYSVLLKTDQPAGAYWMRAELDSTQFTYDNPGCQMEIRGVIRYGVSDDTMPDVALLNNPPSLPSGSPAELDESDLVPVGGGPAPEPTFTTYFAMSMQFENPEDSNSRYLAFINETSWEPLNRTASLFAHLGQSAPSGSANYEVSQLITTINDISVVDMIIDNVDDGDHPFHLHGYKFWVMASGGGTYQGQAVNNTAPPLRDTVVIPAESWAILRFVADNPGYWTFHCHIQWHMSAGLLFQFNVLPSKSAQFDIPQYMLDQCSR*
>EED81549
MSPMCFPLLVAASVINTLLPAPLVLDPTFTRLPTGSVKPSGWAWNMALVQANGLASQQPVWFSYVKNSVWQGGMLEYSEMQEAAPYWFNGWVALAYQTQNETLREYTKTFLDYVYASQESSGYFGPYPFDSSLPTLLWPRYMTMLGLIQYAEADASETSKISDLLHAFVPYAAEKFASGDLGDPSLGEQYGYQQVRWEEVGALVQLELFQADVRRKFVLVLQWLYDNDPRGQQTQLISLMKAARAQGFSWKDDLFVDNGTFPRTAVPAAEAGMHNHALKSEALAWRMTGEQSDIDSTTTDVLKAFSTPMNIWPVFTHQEGAPQWADLLERVAYNSLPAQARLLCLVHVNWVLTVKPRIQSTPDWWAHQYLQQTNQIWVANHSQGVVWTDGPYSNVMGMEPNYPCCSGTVNHPQGWPKFWSNSFLLADNGTALVHAFLGPATVSTKLADGVSTSITVKTDYPFGSTLIYEVTSSAPFTFYIRIPSWAQLTSTIAVNGGDASALSPDTSSSLQSVRVGAGITSVELFLDMQTEIEQRSNGSIAVYRGPLLYAVDLGHNDTTTLAMRSSGPLEFLETLPNVPAADLTLYDNHTHDHTWVVTTPWNVAIDPSTLEFHEQTISELPYYVWETGAQPQSMTATACEVEWPTLLGDANWPPANASCLGGSFEVTLRPFVQPAGQRHACTGGQGHRVVKARMRVSGNVDEHVGKRLQATWVDRGELHPQEGVCGVAVAVLFRVQALGQAEAAVVIAAHDVVLLCPVRWPRKSRLHEVMVPDVLPGVRVDIQRITVIENTALRAVRSSTSIAWVLTDLFRVEVETFSDYFSARGCVAVKQGLLTGTELSSAVLTEFHTAEQEVCKPCAYVSGPPF*
>EED81550
MSTTGSRSPSPSPAANADDAGSKHSVDGKQPASSSRSPSPHPKLDAESPSTPANPAPSDPAAATDSAGASQNATSISTGSGVTGVTAANGDWQAIWSPAHNAYYFYNAKTQETTWANPLQPAASASASPSPSAGSPGPSASTSSMYDLQAAAAAQGIDPALAFLDPSLAAGPSNPAAFTYSAKFNARTGAFTRPDGRDPSHLSEYERAKRMSEFYFDVNAWEHEVEARKLEEAHEEETRKRKRPTKKDLPRRLTFSMQERFKEQKRAKKIAKTAWLRT*
>EED81551
MIRQLYETAFLRLEPASFHYCGGLVIRTAVTFSVVLALCADHPSLCNIVGFQRLGTFDIVLGSIYAATCGMCLFGVMAVSLQRLWMTWLYARLSVVSALAITGTDLFRVINELLDECAKIAQSKTVIKWILTMAKLGLSEATTNCRNKWSYGSTLEIITLIFVAIGVSCHSSLVFAWSNELHEAAMWARLMRPFATKPPRPSGGEDAHPAHDKPPLPAYNARQCAQPHDAPPAYDGHDGSDGAKLYNDAKPPLYSEDDLEMLEKQETYSDVVGPDPSEDLEGNYGEKNVVK*
>EED81552
MNSRPPPGSGMILRAYNANLRPVVIVVALLSAVWSLFSCVVLALFAHHLSLCVVEGYPKLGTFAIVLGSVYAATCGIEIFGVTAASLQRMRMARLYALLTVVSALAIVGAGLIRVIVHFMLKNELIDECTKIAKGDTVIEQFGFWGPTFETKLNQSEANTCEQRPVLASALLDEWSVPGASLLYQLWAGYLLSKAPLFVRWLRITTQKKLLYWADVVLLVLKVVIEDPVWL*
>EED81553
MAPSKSNTQKAGKTRSALQDVVTREYTIHLHKRVHGRSFKKRAPWAVKSVVDFAQKAMGTADVRVDPKLNQALWERGIKSVPHRIRVKLERKRNDDENAKEKLYTYASHVVVESFKGLETVVVEAE*
>EED81554
MRFLPPPSLLAGRLVFELDPSPGLAKTTANFRALCTGEKGACKNAPNKKLHYLDCPVHRIVRGFVAQGGDVTRGDGSGGESIYGGKFNDDKEGLKRKARKGSLAMANSGKNTNSSQFFVVLTDDETKLGKLTGKYVVFGSLKDGWDVLQRLDEIGGGTEGKPACPVWIGGCGVL*
>EED81555
MEDLVVHRCRELSKLPKAAKPRSVNFRRTTPGSLTPFFNTDVEAFCGPLDPSHPASRLRQPVLYRTVPTAHANGFILRAVPKAVLHRVPYPWPDPPFRPASERGPDAGRINMSLLKVLGKNVSRSAVVRKRIGYRVKTALGLIVSRGADVELDTKGRERVVFRQEDAGQKWVLQDWTYYMLPTLELYRMPIQTLIPSLRRALITVNQRARQLDERGWQRVASPDGKAKKLDRLAEQS*
>EED81556
MSSTGFETALNILDATADGVTIVAPAAKPAFKAIAGLSPWHQLKRGDKAQTSTLEILEDTAQIMEVDLHNILQTGYDGLRTARADLAKMGAIKALRKRKQFSKYATSAVQLNDTTVSSSQAARSQRMWNNKGLPNGSTSDSASAQDDTASVDITSIYEDPFRETASVIVSDTAFGLRSESIMEESESIYSEPGDIDHDDSFRLHTLRQSGCAQ*
>EED81557
MAAALVANTANVVTTATPIAKPAVEALVNWTPYNRLQRADAAQALTLDMLEGTAGIMKRDLHDHLQSKYDSLAEYRSDMGDVGPLKAWKKMGKIYKYGSGADKLNRKTVTSSQAARSRNIWKTKGATNGSPSSDSQYTIGGTQVDDQEPGAAGDHISEDITDADPFRETDSAIVHYAGAAFSICESESVYSQSGDERRKQSVSVREFTQFDSKASRATPVEFYRDAFSLVPSL*
>EED81558
MTICKIIYGTAWKQETTTALVVSAVLQGFRAIDTACQPKHYREELVGEALRILREEHGIQRQDLFIQTKYTSLGGQDTTKPLPYNPHDSITTQVETSFRKSLRNLGTTYLDSLLLHSPLEQMSQTLEAWQVLIRLQDEGKVKMIGVSNTYDVNTLELLAKATGRRVQIVQNRWYEGNRWDREVCSYCRKNGVQYQSFWTLSGSPKLLAHQSLREIARTKSCTPPQAVFRLAQLQGITPLSGTTNEQHMRQDVEAEQIDLGDVDAAVKEVTKLVLGV*
>EED81559
MSSTPLETALNVTTVGVTIVALAANPALNALASLSPLEHLKRGDKDQASTLTILEEIAGIMDVRVHDTLQNDYNKLAETRAGLANMGSFEALRKRKHLMKYATDAAQLNAAIMKSAAKLVRARLG*
>EED81560
MSSDAAVFNYLDATADAVTIAAPVTKPVLKYFAGLSPRKQLKRGNKYQASTLSTLEQWSEIMDSKTHNELQQEHDRILATSNGLQKMGRLKALWELDQFYAYASEAEKLNADTVTSSQAARSKQLWSKKGMTGGQSLDAAVGYHDDAASVDITTIEENPFRETASVVVADYASDLESEASVTETESIYSEPGDIGHYDSLSLHALRPSA*
>EED81561
MSSDIAEELFNILDAAADAATIVSASKPAARLIMNMLPSTKLKRGDQYQESTLRLLEASRKVMPPAVFEDHFHTFEKEKLVLDGLIQQVKINGEAGEYRKRAKTLHAKMKISSQQARRAALRTLPRAASVGSSAASDTGTSILQEANNRGADNHDEDAQSMDITEERNVYCPSSSTLTLNDPFRETASVVVQDPDDISIFRSNVWEDGDDAKTSGRTTLTS*
>EED81562
MKTFKVYVGQTEDNMIEAISGALKNDPEKETFSIRHRNSAGIFFPTRYIKIVPISLPMTRTTIPQSGKYHEASVLRHVLKHLRKRRFLTPFADILSRCGIQLEHPRVTDLYDSIVLQGNWPRSEELLQRLASEGLLDEHQRASPLRTEWTRLHGLDADGDAPCRRGGHAMCMDEENGLIYLFGGWDGQRNLDDFWVYDVRADVWRLLSLATGRDLNGPGPRSCHKMAFDRKTGSIYVLGRLLDSDDVDQPAMNSGSVNSPSDSSGVPDIRAASASHTVDIPRAGSVTRLVWPSTPSEFYRYHTRGLDAGKWDLLTMDTQVCGGPPLIYDHQMVVDSEAQAFLHTTFARGLGKSSGQRSPSLLVKPCTKYTAEFLGQFPLFSNDSVGHSMLLEPSSHTLIILAGQYREQYAADMHAYHIPTGTLTELFSSVTASGGPEACFTQRAAIDPDLKEIYVFYGLIRDSPGVTVLDPASSVWVYRYDRPDRPGKWSNTSPADTSGGNSPHGDPISAETPQPRYAHQVVYDRRSKIAYMHGGTSGVVTNVARTPSEWTGDWPVQALEGLCAVTEVRLDDFWKLQLERPALSDILRRGLFELRRQHFRELCEDAPPVKALTFLQREVSAVVDHRIQEEAHDFHSLLSHLLAVPPGTSSENMPNTEAPREELMDGPPALVYTMEVDPQETSRGDSPSPARFKQRTELFEKLLVFVNTSAKEPEKDLSELVNTDREE*
>EED81563
MCFYITTYRQYSCGHEIPELRQWVDCNQRRCRLSQRHDPTEHSCPDECTDIPRVDQHLVVGWPPFQCNACRGIHTANGNGNGTTSEPSSSEDEESEEEEEEEMEL*
>EED81564
MVYPTPPQPFTNRQLNNTIGLYGVSCAQILYYYRHYPKDRRGLKLFVCRVFSTLHFSAHYRSALLGRVLDTSGTITHTLTEFRNHYKCQWVFNVTYHANPISIKSTPAVFMIDVFLTVSMPFNNLQRINQSYLLRDPPFSSHKVNSNIIEPKSYRFIETITMLFASITGAAQLFIAEITDIYIAVSLSLLSHGMKTGLPSSNNLIMRLILYTFNRGIVTALVWMIFHFAGSKYTNPYAA*
>EED81565
MFGPPPSAAGPSQPTSASPENILQNRPDGSDASPGGNVPDAPYFNWQAAPQNSNDLPAGPNLGRSQISPSLPAHVPNAAQHPPYAYYHYPPNAWASAWPPSTYPYGVVGPYQYAFQHPQPNQDATPQLPPSSPPTRAILQERIDRSPSPPPPLNNDWDAVIISFLSSAGLTQALRGFKADMVVMNPDWANSKIPVALDELGDNLMRLRARGENNDVKYETRPLEDRKLDYVQVSHAAQPRAHTSITKSISQLLAHNRARNDTSNRAEFLESLVQKRRRLSREDHPDVSTSTPSCARTDAKTQDRDVQMKYDIAKNEDGPLRRTLKNDHVTSEQAASGTSQGRGSMATSADSEAAPERYPALDERMRSVETHLAVRYGEVNPITLPHRIFISVVPSPPRSLLDRLKFLEDHIVRLEKEYPPWAALHFNQPSRGWPPPPRPTPIIVPSHLTSSAAQSHGSQLTSHRDVPPPWPMHDPTAPLGTASGNDAETTDSNTLKTKGKIARNSKSSLHRAVMERLEVQKAMHDLAGGSD*
>EED81566
MRGKIKGSPRSEWEFEVHETTNRQRGLCRPVVGKTPSIALRHHDAVAPSYVAAAEKSIRKAVVAADLRRIREDSMGARGNANLIYDEDGDVTELDECNASNARDGSDVVNLSYTTEVEEGRDKDIVAGRAAEDTQFVVI*
>EED81567
MNMCPHDQFNYSCPTSLKWRRPQVALCSYVKIVPPVQPSQLTMSLLDESYDFKPATRDKVATCSYIDLPDVDDVFVNDDACYMDWKMGNTAKTDSFTSVPHSDEEHIPDLSELNLESPCGDPHLTPKLRSLELKTELTFDNQESISESSGETNTQPMAQAQSAAPQNVRLGPLLDGLSNALRLEVDQRWNAWLEPINAWLEPLKMWF*
>EED81568
MVFRASSFSEACRYARAYLHTVRGDLHVLWDRGDILIAPMPDVVNKYMDKYKDGERHDILEVIGKKKIHSSNSCRELWVKGLEEFYERIHLKIDASRFVENILRVNAMWTAPPPGEAQLVRKQKLPMNIPTGVPRTPERPKDRKPEACKSNGEQRVNTLKSQVAQLAPTGSRCLLTNQEDRSVQGCHVVPRRTDNRLRKRLAAWWGLKDFDIDTPFNLFLLRADIHSLWDKGHIIFVPEPQILDEYRAQSRFPIVVGAPLDKPFRVCNGPLYKYCVIAHRGLPRSEEDSDFPRALDTVGCVVSRVPPQFVTYNAGLALSKGDGPEGFEPALDAFYKEHNIQYEAINVLADMKGFFDLWSTDTDDAAEEAFWLD*
>EED81569
MLRTDQLRTDQRAQPALISPCRNSTKLPAFLGTPHTHFSFPIGWVITYDTSPTLEKRTLQYLRFSYAHLPFLPPSNVAACQGQVHAFDSQDLIDVYIPDGPETIIYHCEQQPCPNRTPRSIPEDYPRYKAIRRAQHPLGPRSTLASRSASRHSRPVSPSSRLPQTVVTDPDQARGDLPPDPAPEPEPEESEGEEGVSESESEDSVGSASPTAFAPASAVPDVRDPPAELPPAPSPPTPPRGRSSTRSSRSSASGRPPQPPPPPQRPPSPPTPIMSSPAAAPDKETLKLFLPLRYDGKTVIECDRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFTAHFGNLDDAAAAQVELAKLCADKSVRKTHGGGILRTVQGSGGPFRVWGPGTTRQVPERYPLPRISQDRARDLHHVASR*
>EED81570
MAEIHAAATFILHGTSSTPTTVANQATASTSNTLTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHKNSGVEQTCHYCSNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALKRELLQLRKRREVFDGVEILQWKKPTTPAIPKSAEASGSGTSKGVAAPPSTSTSTAPPSTIPAAPPASSSTPPTQSTSRPTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPVYKTIVPVIQPKLAEEIFQRLMKSQFVTLTPEELLSIAPDVQTKYRDTVTPKRVSTEPVTSAHIVEIGADEVQHWNLVLQSYPTPMKPI*
>EED81571
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADAPLDMVEEWAQDLSPLILAYRKALGAIRDEETELCVATAVKQLAERASESWVEWARGDWPELATAIDIEVQRRAEEQKRLAEEEARCVEEAAKRAKAAKDRRLEDERRRKDEEERRLEDERRAQEAANEEMARIAAAEGLLDKGKGRARVDDEVTELSDDPSVKTPRTLECPFAMTEVDMAAIALEKRQSGQKCDCCAGYRSAPVECVWVENTTTCERCAQFQQGCYFDKVSVLGKTKKMRGGGSTTKKRIQLTSPGPSVADASGLKKRRVDEPPCPLLRLPLNGASHLGLEQDDLNALDLDDESRGIIRVIREECAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED81572
MAILIHAFDSQDLIDVYIPDGPETILYRCEQQLCPNWTPRSIEEDYPRYKAIRRAQHPLGPRSTLASRSASRHSRPVSPTSRLPQTVAESSQARGNLPPDPAPEPEPEEGAGEEGVLESESEDSVGSASPTALAPASAVPDVRDPATELPSAPSPPTPPRGRSSTRSSQSSTSGGPPQPPPPPQRPPSPPTPIMSSPAAAPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLACAAAFAATFKAHFGNLDDEAAAQVELAKLCADKSAREKRTAAEFSALFKGPADRSGYGDLELRGKYLSGIPSCVYRKIELETFTTWQAAEKHATEVEQILDISWAHRSELNNFFSARGRGCGGARGGAPLINAAVGKGNFPGTCFGCGKQGYRRFECPNWVDKGRLCALVRAQLVRAQHADAALGAVDNDASISGR*
>EED81573
MLS*
>EED81574
MILNDLVKENIPDIDQWISKARLDHETLTSQADNVEKAGARVSFWSLKGPVKTVSLLVDARSIKESTEMLRGKVQRVSDIAHNKRMGNGTLMIHRISSNLSLISTRSEVAGTATRPSVIAAQGALGGNGGTTGQDDPLSDAIRIDIEPEQIFRDEQPIVSILLEHNPWADTANHE*
>EED81575
MDFLSSSSTSPVVIMSLPNGILDIPTLLRKSLTPSADGAAPKAEASWLPVFRAQYNTQEAIKELTFETDALEKDAANVNVWAFGATKKVQSMLDRALNVMSSCLGLHSKIQDVSDKARGVHMDPTTEAVDEEPVEDTDTEHHPLFVDDELDV*
>EED81576
MMKTMTPPR*
>EED81577
MSLSCSARHMIGAPCKKKNQSVTSVDQDAYYRTLAWQIVHLSVSTHLGSNTYAPRVTEFIQTAHPYTPVGVTGNAVSGMSSIQFSPYRHSSNRPAVTGRETVDQARYYSNSDLIECVDEWAGGFFCNLDETLARQDSSLHRSLTPFHEKLDRYTGWRNPPATTNSENNIPNVEWLFLSTQSIRLLFRALDRSRVLGVCMTLTLSQDDLTREEVDKEPMRVQTDFIMSNTGPLAGFTVRTELKELQKADLGRKYGLKDRRPLDPPPVVQLQIFRVHNPGTSQETEEEIVYTSKETGPESMEWSNDDDPSSVSRPPHSDDQAGLASLMETESPVDNSIQPFHRFNPPYAGPSSVSASTAWQLSVLEAESSSTHLSTRPQTHLGDRNVVSPADDLAPTRSGTYPESMTSNEILCGSQTVSPTCTDYVGKKALLFVFSDLAVKSEGTFALRYRAFDILSSIPTGRGHVAIAECSGGRFRIYSTKDFPGLRASTSLTKSFLPVSILVYRCISRPGALFDMSVHAIGISELKHAFSSHDSDAEENRLVAARSMSTVQPDGGGEDRIEGIAGGVSMYQGLRNTSLNRCEGSESLWTVGRRRTKAEDRSLGPSQKRFTAFRLWPLSCGASNRLYDNHRTIALNDPVLTETSALGRPSMREGKLEVGQASWVTERHSCTAYKKGRNSEALKGSTWELTVGLVLCRSIAKWELPSFMYEPGALDAARSCYALASWAQTAQGGTHWHAYTNAFVKRYSLLIYDTNVDGQTRRQEDATKLVIHMRSEALEQVA*
>EED81578
MSTPNTFREYRLPEVGIHNLTLREAATSPINASEVLVKVHSVSLQSHSARQYRDLLVSRGQYPLGQKDNVIPCSDMAGEIVAVGNDVKGWKIGDRVCSNFAVDHIFGDVTDEIKLTGLGGPIDGVLTEYKTFPAHSLVLIPEHLSYEEASTLPCAALTAYNALSGPIPLKGGDYLLVQGTGGVSIFGLQLAIASGASVIVTSSSDEKLKIAKKLGAKHLINYKKTPDWEKEVLKITNGRGVDHVIEVGGAATIQKSIASVRYGGSVHVVGHIAPDGSVDLVHLPIALLIRTANLRGILIGSRTQFEDMNRLITANGIKPLVDKVFKFEEAREAYKYLESQKHVGKVVIKVSKE*
>EED81579
MSGLDLNYWIGLVLSSVMFSILSFAVFHQHYMEAQPIPPRVNTAGGCLGIIGLLFVCSIAVSKPPDELSLACGIASLLYIGEIIMFQRRERLDQDMHVGQYGAAVYSEAVPWALTMIPGNSSSIYINMSGGLPASSGCLRVLLVKAAPFIFLVRINTTVHIAVSQEHCTSRDRVTTTKDKRVKGA*
>EED81580
MKRAADPEMTLPSSPAESSQSPTPSTSELADPPRKRSRSDISPDERKEARAHRNRIAAQNSRDRRKAQFVYLERRVAELEEENRQLRAGMGLTGFYRAEEDKVEEQRERDTARDRENEELRARIKTLETGWEAVVKALAASGLPLSIPSAPPSSSLSDSSLSSQPSTSALPVLVPQPSASVFPLSPALSQAPSPRSSTPIYPLDFDDSEPTRHLARVATTDAPLLSSVSLQRLELSGPPAHPSATSIPSGQQDPLSAVDEITMDKLLREILAPSPILPAATLPSGHADAVGTWPHSQPLSESEGADPIHQAMSPATAGATPAVIASIPAGDWPGQAEMQRLLDMVPVVRPDAGAAVDADADMTDFTSALGLELNEWDFTTSTGQEIDQSQLSYIAYSFSFFSRIFSLLALFSISALSFTFSLTPIYRRREEDSDALSLLEPPDVEPSLFRVNHLLSLCSPSPLGSGVALSVVVPEVELPSSGTERTGSGPGTSMSGCRLGTSFVDSVFTLSARAGSSGALVSAMKNIDGMEKRVGVVIVLWVVAVRSLAGSPLWGVPVLGVMLGIMEGRSMEPRRRRAATDGGGLDRAAPSDLGRIGSLAGVLLVSGCFGGGGRGGSDLDEALGGFVGTCLPGICTNSRGWAAAGRRRRWSSRALDPGIALVGIDASSWGDAGVAAGKDLSSTRLCSSARAGFS*
>EED81581
MSTDATSPSTPATAHNAGANASPADKGKGKGKFIHEKVTDDSDDDDEEEEEEEEDDDMEEDDLGEIDPSAIITGTRRTRGVRVDYSSAAALAKAGLKPEDAEDDEGEESFVAKDDDMHDD*
>EED81582
MSKTSPPMDGILVAPHVGLLGRLLLAPIAVARFVYTLYMFTHSDMQTIMLPITTFAYISVPSTSVERMAQAVLWTWLHLLQCCVYNQIYSIPEDGDNKPWRPLPAGRMTVQRAKYLRWTLLAICFALSGHYGVFEAGAVFTVATLLYNEGGLDSHWETRNLLNALAYAAFDTGASSIARLDRDSALSTIITSAQWYRILIILTTIHASDFRDEVGDRLQKRRTIPVVMPGFGRLSMPIGLIAWSYAVFTLPRCPTSLSSAILILGTVVGTRFCYLKDAPEDRTSYLLYCRLYSFATMGQVIAPFIIAGALFTTVASFIKSLQGDSESGNIGPNPVMEELERRMEEEKQKAEEANNERLRAEEQQKAAEEASARAAEDARRAEEERVRADEARARAEEDARQAEKNRRFAEEEQRRAEEHRRRADEERRAAEDARQRAEENARRVEEARNLADGARQTAEDNARRADEDKRRAQEQRLRAEDEKRIADEAKAAAEEQRRQAEADGQRSEALRRRADEDARRAKAEQEKAEIARAAADKAAAEAKAAAEEAHKALKDGIKPVIMPTRGEYEAAKKRLQYKDGIFHFAIAGISGSGKSSLINAFRGLRNGSRNSLVAKTGVTETTSRIARYADPNKANPFVWYDVPGAGTLSIPDWVYFNEQGLYIFDCIIVLTDNRFMQTDEAILRNCARFQIPSYIVRSKSLQHIQNILNDTPYDEDEDEDEDVRMGKAIKQYVAETRYSVAQNLEKAGLPQQRVYVVDKETLVQVTSGKEPKTLLDELELVKDLLAEARRRRVRKPVFKPEASDPVASSSSTVLATT*
>EED81583
MVDRGGGEGVERPNQGGRGWNMRRKGENGARQGTQTEVRTDDRGCGRGRHPGGSPGRHVGGGRLAGGGPMGWTTPPEEDMQPETECFPGEGLSPTWTEDGVALAAFCDHRTLHICICICIRTAPHRTFQSPGSRSCQDLDWVISLEAFATHQGSPETRRRIFHLQLPFLVFSIVAICLPVMSFILTRPPSPRADMHHPHPDEDSLVAALNSAFHSFAPSAAHTPHHDHDMHREKQDRGVPYLDILVASDTLVLRGTGVDVDAALLSGNVVLYLTEPTDIKEITLQFRGKARLPVSASEPLSLSSSQLTYLVCNHEWSFLEGTRTHSHTLKAGRHLFPFQLQIGGSLPSSITTSALGGASVQYKLRATAARGLAHRDLATVCPVTILRGFGPEALEYQQTLEIENTWPEKLMYSIMIPHKAWAAGEKVTAVVKFSPLVKGARVLNVTTTVNETIKLYARGGTQESTRVISSTRHDIVDGLAVCVDEQHHRYRIPLLHHSHTTHTTHPPRHNASTPASPATANGHAHHSPANGSTGYFPAVTSHPPSPVHHASELVPLTTTTTNSSQSSGVGTRPSTTSSNVAPQSRPSTSSNESLPVNPFPLPPPPLDPTEAEMIEPSVDVVTTLNISVPMHATPSHSLEPIQVSHRIRWSILISNSDGHTSELRCSLPLHVLDNRLYDEARAATLHTRRILLGPQDIDGGQAVGTHGEDPEEDNDLPSYPAHVRDRVANAYLPDAATLRVVNPWVMQGVSPTLHPPHSEGSSGLQSPATFETWQISHTANGNNNNSAVPRHHLPHEPAPGAAPLDWVNTELLLSLSREAPEMPVQAPVPPPRVTPPSRTPPESMQTSRHGSRFPSHRPSRANSRSGSRAGSRAGSRAGSPERGSPTAGMPGARDETYVHSHSTASRNMHSLFSIAMKPFTSLGSTFSLASRSPSHTNLHQHGHSNSLHGGFAHGPSNLHSQVHTGVHTPALPSASASSVNLASLGTPQPMTSPEQLHYAFTEVPDYSMASRGFLGGGITPLSSLRGLPSYEDAAAMRGAERGLSPGERSFSDTDLAGMFASAQRARRQQQRTQTHPSRTTASTPPPPPPPPPAASVVQS*
>EED81584
MDECYQNTLKQLIDRAPRSTSGKRKSRVQPSLPQAEHHEVSFVQCRRRMGGRWRHASWKSAHLPSIKLEIAEDPRAAPEAKGDHTRGLSCRLTSAPAWARCDKTFDEHMVDALLHLGLKLAGDAFAKLDYFPRWVPRGELRSMVDERIADEKPTALEFEPPNVFAVRSVQKWGERQRSTSVCAQGGRRTDAGSDTGTGRNTVAPAGRGTRTHARGPCDGAQLAKPDRTLGRSSEASNIATHRSGLFSPSFSEGRASSITICARTILYAAVRGICDTGPARSLGGERHGHSVRDPPTGLGVPEIARGVCAKLACKAAPSRRKTNASRRPAIAEITAGTQLLMREDRPRPGPTRSATGIGVTK*
>EED81585
MEAISHAGSVLGVLAKDGVVLAAEKKVTGKLLDLSGAREGGYGGSGEKIFLLNSNVISGVAGITADANSLVNYARAAAQRHLFVYNEDIPVELLAQRLCDLKQGYTQYGGLRPFGVSLLYAGYDPHYQFQLYQSDPSGNYSGWKATCIGANNGTAQSLLKQEYKEDIGVEEAIGLVLRVMSKTMDSTTLGSEKLEFATMTLDATTKQPKAKIYKPAEIDALLAKHDLAKKDEDAEMRAT*
>EED81586
MVWPFPNEIWLDIFHGLAEGGEYDTLERCRVVCREFKPMAWECLAYGMTFNDIRDVERIKVDASGGRLRSWGGPKDVSIEGGNGEDGRRPIPHLATFASRFAGRWPRVEELLIHSAVWRARDLDLDAVVRDLAAFAITHLRLVDVTFPSILTLGRLLCALPRLKYLLLDDVQFTQHPLDAGAISRFHLLPHPQLETLYLDHGHYSTKLRPSFVELIDLMVAVSNRRCLIPPPNLAQASPWSAVRRLTLGHVTFPSVTTFACLLCALPSLESIELPESYAFVKHGFDPRSVPVHPGLPSHLADVDLGNNFLLRSDPCSVADLVDFLIASGLSEKLRRITARLFSSPRAKAACDAALDRLVKHSQSLRHLLLHASSDADVRVHVDHSAAPYLDVSNNTCLERLYLTVEVDHENISHPCTPAVEILSQVTSAHISSIALHFWLRNDTGAGLDIDLGKLMDGLPQLDAIFSRPIFDDLTDVIIHISTLRGSNVRDKELAYDLRLCLPTLDARSILGIELNNVNLSRSAVHWDEETCGWRSHRIKSVSAQDAVVSHAGAGADDDRRTNNATSVTIPHDDSDVASETSQPVWVPPAVYADAETPSSSNPTDAQVPANSACDDELVLQNATAGSVTSVDRFAPDDHGDKLSAEPETLVSERSPLSVLTEAHLA*
>EED81587
MVLPFSNEIWLDIFHGLAKEGEYDALERCRAVCREFRPMAEECLLGAMSFISTEEVERIRLQVPGCEMRRWRGPQTVGINGGNWNDGHRPIPHLATFASRFGGRWPAVEMLWISNAMWQAADLDADAVFRDLARFPITVLFLYDIIFPTILTLGRLLETLSLSGMFNTPEPSPLFVELTRLQLDAILSRPVFDNLAHVNVDIHTTDGLDVQDEKRANDLRVCLAKLDARGILGILVNFTRMGLRWDYETRSWKRCGVERGAAQDDVNDGEVTRVDDESCTTDADSRTTACSDTGAVLAALQVGSASLAVAETPSSSLTPNARIATELARGNGTPEQDATTGSEEYVRIYAPEEHVTNAESFMGTGLSKED*
>EED81588
MPSAVLTVDSEDVIEKLRKAWIGQRQRRREDAQKRLGLLARREGRHIMVQPFPNEIWLDIFKGLAKEGEYDALERCREACREFETMARECLRSIMLFKNIEEVERIKVDASGGRLRRWGGPQMVSIIGGDRKDGRRPIPHLATFASKLAGRWLRIQTLSITDAVWRTRDLDLDAVLRDLAASTIIDLHLVDVTLPSILTLGRLVCALPHLEALYLKDVQFTQNPFDAGTLSRFQLLPHPQLKRLALTHQYSGTELRPSFVEWIDLMIALSFRRRAVAPNLAQRSPWTAVRQLTLANATFPSVTTFARFLCALPALDSLHLCRSCAFVKHGFDLRSVPVPPGIPLQLAVVQLTDGFCIDSEPCSVTDLVDFFVATGLGKSLRRIKACLSPILRVANEVDVTLNRLVKHSAQSLRHLSLDSTLSYWISNDTYEWVHSDHSAAPYFDVSENTCLERLDLTVQVTHQEMTHSCVPMVEILSQVTSTHISIIQVHFTSYCQPGELGVELDVDLEKLMEGFPQLDAVLSAPIFDGLTHVVVDVTTLDSSDVRDRDSAHELRLCLPVLDARGILGLSRIGLYLDEEIGEWKRLGIDNATTGATLHEAEADAYTDTQRPSSNLVYTQVPAASACGDGIAFPDAAAGSGTSMVVFAPDDHMPYVMLMPSVDVFGLYVEEADVLKLGVSGGHPEQSIDENQRSEELVSRMSRKSCGGRLSDVCRAWFDRRARGMWTGSAATRAARDSKREKREVGNIASRRCLRVKFLSAYKLNDP*
>EED81589
MPRGVQRAPGDGRGVLRGRDGIQECREVEHIKVDVFGGRLRCWGGPETLDIIGGAQEDGRRPIPHLATFPSRFAWRWPCVKELRVYNAASQAQDFDLNAVVRNLAVCWITDLNFYDLIRAMPLIDPTKAHHIEFVSVKSAWTNLAMEWMASCLCKNALYGMLPGLDPSLARSLIFGVARNFRSDVKGCSHFYHTPADVLVPEESSSSREWILRGLLAMVHYTQDIVNAMELCALIAIDSITKATVSLTPAPHNADEALSEHSYYQIQGIVVNTWKSTQNEAGAHTRRTPSGSEMPHSRPRIAVVLQILSRLGHSGNFELRSANVAGLQISEVKERQRAWSPRFELQTADAWAGVEPQEYEWNLRYEPRNALVKEAARIDFSVTIHAIKPDVETPKIVVPASLSAMLCNNEDEPGTNPYNRTEDLALRLFGHSGSQARREALYTMVQPFPNEIWLDIFKSLFEDGEYDALERCRVVDASGGKLRRWGGPERVSVNGGHGEDGRRPIPHLATFASRFAGRWPRVDELSTDNAVWRTRDLDVDAVLRDLAVSSITELYLYDIIFPSISTLGRLASPWSVVRELTLDAVTFPSVTTFARLLSCAFVKHGFDLRSVPVHFGLPLQLADVDLTHAFCIYSDPDSVADLVEFFIATGLGKSLRRINASLSSFLRVASEIDAALSRLVEYSAQSLRHLSLHSSSLRQNWVHQNYSAAPYFNVSENTCLERLDLTVQFAHGNRSHLCAPVSEIMSQITSTHISRIQVKFISCHHTGARLDVDLGELMDGIPQLDDILSGPIFDGLTNVVVDVRTLDRSNVRDEHSAHELTLCLPRLDARGILGIWFNGILYAARIGMHWDDDTGGLRRRGIERVAAQDAVVTNEETNAEDDRRTQSPTTGAILHEVAAYVDA*
>EED81590
MSCLGRRNKVLAMTSPREEAEAFTYVEFGPPHPNDVNAIKYHDKITSTVSTAINDPVTTKFGTYEILRPLTPNCSPSFHKYGKNPPSRVLDLGCEVHNVEWDRSNFVKDSLPYAEDSFDLVRMANLSPCIPRQHWEFVFSEVWRILAPGGRLELIDDDLEFPTIAPPPLSVPHLQKSLNRHCVIIGAEWLAAAVGGSDTRLPLGLRTPRLVPQKLEQEDEVLEELYLLEAVGEANGTKQPNEQDNTVIPSDHLHLVWENQYTNLLGLGIDEESNTSLEPLDLPVLN*
>EED81591
MSQNTNTPLMPPRGHSMAPSFDPSEVRSLRRYFQDLKALFTRCQITDDTAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADTWISSSENALVSGFTMQQI*
>EED81592
MKYFAVFAALAATCLAQTTITIQTSLANNTVSPGQNFTVEVTKPVEPTPSVEVAIVIGLVQCPDNNCQDPSFNISQDIGSTLYYGPYNPQYDSITPPDHKPPYQNFTVQAPMQLVSGQSLALSVTRLALFEVHPKQPEFYLTVHMGEGASLDTRKPSPQAAFCRPLTASRKSCKIMQDVRNTSQYKPQSCEWNEGWSFEVASHLSSIISPYPNVERLTTTNSGHFCNLLTRVVREFGGWPLQLIYRHTLEVSVSTGSFVENRRVAVIGSGVNAHEYAYFFKPAVAQIVPLKTPQTVCG*
>EED81593
MTSQALYGSGGCSSRDCAAVARRAYYECQERDREGVLLSSSKTLTALIASRSRGSIAFVTFKRDLNINFVPPKFSILLQIAMSADASGSIVCCWGSPICGVTLNDTTPAGIARHLRNYHFPVGQWHNRLRGTCQWRYAGTVPCDREMFQGNFGKHIATCFDTLSLDIVPISDDSFTIDKCQWPGAFAWFLCKDGPITILHIRLSIWQIVTVYDRDCRVVFFNFVVCGAYSPRLDTDEVLLKDPRYLGVSPAIDTKVRRTASPSVPDEFPVHFKNVNASRMSGGKHVQCYDRVGLGFLTDPDRHLNLKRRRKFLVEASVCTLRSLTSISWSGDVLAAVAVLRMETSRVTSLVVIVVGKMPDGCPLLDAVTRGYE*
>EED81594
MSLAQCAKRGVRIIALPLATPARRTTPTHNASDHLTYYHFVTPPPHESKTQTWSNWVTTKAAHLWAGLGKAPDGNWKRRAFLYGERLVDRLDFEELALKSFDTSLGPKILPVGRTDKIKPKDHPTIPLIYPPSACTSPLPHLRALLEKRTPRHRNGCLIWIAISPFTAPFMLIPIIPNFPFFFCAWRAWSHYKAFKASQYLQAFVAQGAVLPQENAELDAIYLEYAPAPPSAAHSDLDPDAFATPSASPPPDPCASPANATDAERDPCLHSNASSHSHLARASRRMYTAHSNRHGYAFRPNAKLDILLNDVKFGEVSHVESLTFDTQGLLSQVTRV*
>EED81595
MNNGSANGSGRSPSNLPPLSLSILGVEPLDEFIREVADFIHHMIGQRPEGANGVEVEAKVGVLRDKVSGQRLSLPVLVETIIMPNSVDCRFESNMSPIQHKHFNTLLNNLKTTSSTPSEMNYAHLHLVDSFYAAPDGRGEKVRVTRDEKSGVVQACVQKVRLGSLDIYSPKRAADWRISVNVEIPVPQPIGTATHTRRKDRMSYTHEEFIVDLTQVTSTFGASSKPEVLHELEVELARSEYLLSTAAKRGDPNVSESERGAFDELIRAFVNNARILVRNSGDGWQ*
>EED81596
MVGLFVEARIQERARKLGRASNRGPEAPIVVYNDPARSRGQTRSPRRRTGCSNTIRVNTGVGHLMVTAGKQRPPAPPYVLRALGIAQGILEEGYTNNDIAYEITLHA*
>EED81597
MSFYCGLLLTSLLVPSALAGSYSLSQSNVGSDFLSNFQWENITDPTNGRVEYVTQSTALAENLTYTSSDTFIMRADYTTTLDASGPGRKSNRIKSNTKYNTHVAVFDIRHMPQGCGTWPALWEADDTVGTSAGEIDILEGVNDVSPDSVTLHTNGTCTMPSNRTMLGTALSNDCSSSASVENGNNGCPVDAPYTSSYGTVFNTYGGGWYAVERTSEYIRVWFWSRNGTTTPSEVSSGASNINTDSWGTPIAYFPDTSCNLKDLFGNHNIIIDLTFCGSWAGEAFGPAGCPGNCTDYVNSNPSAFENAYWDFAAARVYLPSSSASNSSNATSTSNFSAASSSSTSSGSYVTQALSVPVLLSMLIGAVYIMN*
>EED81598
MRRQLVTRLQSLVVAAVCAASVTAIPLAPSLTESAPAYPSPTVPYATDDPNRELWNPLSNVDPQPIRGTLGADIIAQQNVPLQLQNSDLLAPPTTDHGSVPNIKWPFTLSHNRLHTGGWARQQNIHDLPISTEMAGVDMRLEAGAIRELHWHTAAEWAYVLKGSTQVSTVTPDGQNYVATANQGDLWYFPPGQPHSLQATAQDPDGTEFLLVFDNGEFSEDSTFLLTDWLAHVPKEVLVRNFQATKSAFDHIPDRELYIFPGVPPDPNAQPPSSPQGQTPLPYTFPLSQVEATKFPGGTTKIVDSTTFKVSKTMAVAEVTLEPGAMRELHWHPTQTEWDYFMSGYARVTVFAANADARTFDFQAGDIGYIPQSYGHYIENTGNTTLHFLEILKTDIDKFQDVSLAQWLALTPPAVVKAHLDVSDDTIAAFSKTKQRIVGK*
>EED81599
MF*
>EED81600
MGVRYNAEKKKIGNYFSTPIYSFRCKCHLCDGWFEIQTDPKNTRYIVTSGARQKDEEWDPEENGGFAIHSEIFPDTDPNEAPVDPLAALEKSTDAQNYMNQVQVPRLEALQNVSDHYGSDPYSLSRIVRKRFREEKKVEKAKQESDERLKNSYGLPEVLALTEETEDTRADARERWQLERQALRSREDAKRRKL
>EED81601
MTQTMMGPKLFSSSVTDSCVPIAPRDPSLLKKDVTRPSDGSGIQKDRLSNTLAPRDPSPTITGQAQEDPNLPRGIEAVTQPTATPQAIPQSFLEPQVETVPKSEPHDSPAVSWASSSSAVSSSTLVPFLLIYWQVNTTLSTIELQVQVALSLLDSDAHAWATPIYAQIAAVTVKVQGAVTPFANVELAKLCADKSTRKRCTTAEFSMLFKGPADHSRYGDLELRDKYLSGVPSCVYHKIELKMFAMWEATEKRAMEIEQQLNIS*
>EED81602
MAFRASSFSEACRYARAYLHTVRGDLHVLWDRGDILIAPMPDVVDAYVEKYKDGERHDILEVIGKKKIHWYCVIPHPRLSGGARSRAKRAIRQGFTNAFHKLKFVPSNARPHFMIVNAAMKIMENKELWVKSLQEFYERVHLKVDASRVVEKFLRLNALWTAPPPGEAQLRRKQEHMLPMNFRTGVQRTPERSKDRKPEARRSNGEPYVSTLKSKVAQLAPTGPRCLLTHQDDKSIQGCHVIPRRTDKKLISTSTHLSTSSCACHWTNHLECAMVPFTNTALSPIATFPAQKQKLKNRAMGTYYFSVPITFYEMGTP*
>EED81603
MAPASKTTQGRKSVRGSQRGRKVKSAPEEDSKSTITGRRGRGPKEKEEEEEDVAQGDSDNNKKLASPDWDIVDSASESPVKRCNRNPPSTPLTPSPRKTAVKRDATSPAIEDMKNHDSSDEDDKGGSYPISDEEDNVFLDWTPHRHCDTPVVNDSEEEELMSLMTPKRTRQDVLYISYYVLFVYLSTKTITSLQAKGKSPVKAKANKNTEMPFTNTRAVLDKLLDKYIHYLQRNEFESTEEHRNFVNTNADIKTVTFSTIVKNLNMDFK*
>EED81604
MPGTHLLPPNLLKLFAPRPPLPYTRPLDKDIDRVRKKDVDGVGALLARLREDKTQDLMKSGGVEGMEEGEEPSFTHAEETKRAIRREERKAKKTEEFNKAKETFLAKIGI*
>EED81605
MLTEISASTSTTPTPDPSPSPASTDTNAESPAEGSPSAPQHVVPSRSTSRPDGVKPKRKRARVSAEQLVHLEAIFVVDKCPTAARRKEICAQLGMTERQTQIWFQNRRAKAKLQARQKAFAAPEASTSEATDICPEPDMHDRIHEAGPVMFIPCTELVIGSWKRIATTAGKHDLLAYVHDTTGIRCMSWFVHCAGTGFKMDIPLYSIVGMNVTSADRGVARVVFTLSRPPLFFTDASPPSASSETPRKQWRRCPDWTEDAQATAVLQHVVTGPHLDLTAALQKFNLLDPGGTSMTHSTPPPPPLRRPQYQNSAVISMAPLPTMHQNSILYQPLSYEGPSSLLAPPESATASLQHLAAEYTSHPSTSYSSYHVGAGTTSAPPSFASPLPVRAGGPLDWINNVKSPTQSARRVSPFPGPSSALPSLSPRDFEQQSDTATGITSAYGSAGGRAGLPISPPMYPPSSNGVTTGPETPPWDTSFLSPPPAPGSGRSPQTQVSSSQNVPAGLPMTFYGGVQSDSAPWIFYR*
>EED81606
MPARRRTGHVLAL*
>EED81607
MVRPRVFFDFSIDNAPVGRVIFELFNDTAPETCENFRALCTGEKGLSPLSEKPLYYKNSIIHRLIKGFMIQGGGASFFGYDFTKRNGTGGESIYGGTFADEDLTRPLDSEGLLCMANRGSNTNGSQFFITLRDCPHLNGKHVVFGRVIRGYHEVVEKITDVPVDEKDRPQVPVIIHNCGELVLRSKVQSQTPEGKCCPS*
>EED81608
MCIIRVARDHHRIAWASVTLLSSIEGQRYIPNVVHVAGTIKQAQLAAIQHNREVI
>EED81609
MLYTQPIVDDQNPFYCPAITAVAFLESLRHQLRDAPPPYSRSPEGHDISFAERLDQSVDEKDLYRLLFRFQPHQSHDLDHNSSVDSSLSAPAYGHSTPVPVPQSVASPAGPVKVRAKRKRSRSCDTFSGLLAPPKRERSLQSVNDSSFELSAKAERLRYFKGSADALFSPASHGARGAPEIDGPLTKYELERRICILEDELYGPPIGTETPRGINTLVARLDVLLPGIRLKERLYALEVLNHQRVADILAIDGHLNARILNMLRMSEIDCLDLTASLMDEEGLNLGAQELLHVFEKPNSFLFLSELSLSGATLQDYDLTNIHHLPRLSRLWISNTGIGNEGIFHLVALKRSLTELDIALNPRVDDDAIPALITLPKLRFVSLFDTSMRMPGFRRLAVALRSRMDRERIQVEVPRECEEYLETCEELSVSALRRNLAEHAVFNASILTDGSKEEMVERLKRILTRREMDLVARDLLWQDADKILDREAVQSKSGSSEIETCHNGNAHLIGNILILRGDIEILPPERTTVSYDFLSRLVGEYLLTSSPHVDTSAALSIMPVTRSTSLCIPLPGTAEGMDLNPLFTGVSSFRPAGEGGELKLFEQAGIRLVHGWLADPDSQEYQVLAKTEDYDTSVNLLVEADYLTKGQLVAEEVLAASPEAGVASVYENLSPEEREKVQDAIIIRDFIDRTQSQLTYNGLFTLASTLQPGTLVALFRNSHLSVLYKPPGEDGGLYTLVTDQVFLHEPSVVWERLEDVEGSSSSFVDSDFVRSSPAGGDYAGHTAETALKALEAQARALSLEESAEYADTPCEELARQLQEEEDARAREIYARREQERLERERAQQPRTPVTATTRDDPQRMKKKGDCVIM*
>EED81610
MDVDDDQQPPKFTLQPTNALAGPSSTPELPPMYLLPPGPPQRPLLASTQDLISRFQLLPAYNKYVRPYAPPVGQPSIPNVADKGKGKEKEASPPPGATPGAGADGEEEVGKGEKKWKNTYRSLIKGIPGKHSMKKDDVDYLTMMMQIPPKQKIAITPFDLRTQREAFSVSLEGLKGVWLHFTHWNINALVAESPQAREDRKKRKELKKLAKAQGQTIPPAPGIPAHPTMSTPASTRTGTPKPX
>EED81611
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELAIAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEDRLRQATEDERRAQEAADEELARIAAAEGLLDKGKGRARVDEEVAELSDDPSVTPRTVERPFAMTEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQNDLDVLDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGNGEKRVRIVVYK*
>EED81612
MLTFVAVVLPIMTPLRHAPLDPQEPMPPHPTQHASILSLILYAWLDRTVLKACSVTHLGLDDLPPLADDDHTKNLVKRAFPTVAYLASPFAVNKLLIYLENDGDHLTVRPWVWIGLLFLGPVLGSLSFQWYIYTATLISTRARAIMIQLVFNHALRISMKADGEGEQRETAAAPTQQVTAGSSMTISPSEHPDDATLSGTPEGIDAAAAIIDALPKPHTADMKAEAAEGGVNLVGKINNLVTMDLDNVDSGRDFPFVLVEIPLQLVLCTWFLYSLLGWSKWWQRTRGDGRNVRHAAHSNLATFLQALQAEKMKKSDARVQRVTEMLGVIRMIKVFGWEPRTAQQLAEKRAEELKYERKSKFLQFFTHYLNQIIPVVTMLITFGLFCHMTKWSTVFESLKNEVREIFSMVPRIIQAKVSLDRINGFLHETELLDQFSPSGSAATSALVNVPDEHHATIGFRSAAFTWNNTSNDTEAPSPHQRNFTLRVEQEITFKRGCINLIVGPTGAGKTSILMALLGEMHYVPMTPDSWFNLPRGGGVAYAAQESWVQNETIRARITLARAVYSSAEILLLDDVLAALDVHTAKWIIDKCFKGDLIRKRTTHNVALASPIADLVVSLGSDGKIHSHSTITSALEDDAALLSEFVEQVKEEEKLDEVVDEPSAVSDKPTGTLIVAEEIEVGHVRWPALKLYLGGLGGQHPILFWTICIGFYILLELMTTSQVWFLGLWARQYEELPAREVSVSYCLFVIFVRPILAGDYQSLAYHSQYTRILHSEHYSWISSPVDDPIPNYLYWIMEVTIYMLTKLGAVVMITPVFLGPGALVALLGGICGQMYLKAQLAVKREMSNAEAPVLGHFGAAISGLGRTVRKTHYARKPIVGSTNGQGLPGPYTVSTNILGAIFSTGLATYFIYGHTIDASDAGFSLNMAVTFSGGIIWWMRLFNEFEVSRHPPPSLKVAVSLERIQQYLVIEHEPKSTASGVPPAYWPASGDLRVEKLSAKYSPSGPRVLHEISFKIKAGERVGIGLC*
>EED81613
MAGSRYQYVKKFELPDPILPGTFMVLRIDGHAFHRLSEVHKFAKPNDERALQLMDHAARDVMNEYKDIVLAFGESDEYSFLFRKSTALYNRRQAKIVTTLTSLFTSSYVFNWSRYLPDTPLEYPPSFDGRIVVYPSQKEIRDYFSWRQADTHINNLYNTIFWALVQQGGETTTQAHAILRGTVSGTKNEMLHSRFGINYNTIPARYRKGSVLVQERVCSLCSWIFELPPASSDDLASTAGETPGTPQQPEQAPSGSSKQKASKKAHALTRIELHHCDIIGDEFWDQRPYLLAE*
>EED81614
MSSTLLFLDQFNAPSTEGGKRTSIYTPKHTHVSDSTLLTLLLSNPTDVFNKLKTHHPEATNATDCAALEVYLSACHEYDEAVKAADEAIDHHKRLLRQQDDHLPIAFNHSYHAASGRDTTNSSRAPSPTRTYPYLHPYRRLPSGIPRSHPLFSKQHRRALSSRLTGNPTLVGPQREAVGDVDRLDTGYGTVRTYNAQDAEKKPLDTWSERIINEAKERKERERQTKAVPIPPPRSANPKPQASPITGSSRPRPDTPIVFHKVDPDWTPDTTQWTWDSSWPCQEHLSGEEWKNVGRNARNEWFDEEEDNSVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLY*
>EED81615
MHSTRFLWDYQPSQDHRSSSTTKQIAASSPPQKGLSSTFEVAPGVMQPVQTCRSSPIKNSLATTRDTHPLIQKMYSPSYGSTLNLHKQQKVCSHPSTNNHSNSPKFTPPAPTNTLVEVPMAMFTQEDIDQRIAVTLAAYQSQQSTANQPLHLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTQLSDEAKIAFTVVLMRKDLGKTWADAYYEKLAGGVQKDRKMALSLGNYVTRFEQLASKAQLQDTEVNGTNCVKNDYHTLHANFVKELPKELYFALATRVTRDRPNTMKAWYDEVRNADTAKQGALIITDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAVLNKADRKLHRDRNLCFHCHIKGHSAKDCRKKAAA*
>EED81616
MVLAAFCQLRMDKLGIENSMVSSFATHLTPVVVEYPALMTQSRLTGSPPKMFVHLSFGNLGACIMVASDSLAYWRFFVYSHRSSIVCLASGWETNCLSRKLFAVQAYFDRWCFSCDVPNTVSTVVFIISFITLLLILGSRLLQLSNVTGEDSFMFIMGHGTVGTIEESPELQDCLSIWDTSPIMVFNHLKTLALESGGSRRVHSPAPGQTVRLHHPLSVTCLVSKYNTVYEQHILTGRHMGQAKGVARVVNPCTQHDRFIPCYGPL*
>EED81617
MTGHIIREKDGELLEEPGTSGEAAIQVDPQFSMEGEPAITVGKIVGKEVDSAGESESLSDKDVATIKEWVGFVSGKQETVRSDVIMDDCVMQEMSNTRPDQFTKAHQCMKASEQLCKEKGVIIVGKNMKCSCILRGCKRKQAQARLKAESSSKLLVGKGISNNVFDTGHMVDTKIEGVAKLHIDSRLENGIPCM*
>EED81618
MSAVAVSPLPTSVPVLLATAIGTTLCSASANTLNQIQEVPFDAQMARTRMRPLVRRAISPLHATGFALVTGIAGPAILWTMVNPTVALLGAGNIALYAGAYTWLKRKSIVNTWVGALVGAVPPLMGWSAGGGHLLPSSAHPVEIFLPSFLSSVPLDATLVDNPLAPLALSILLFCWQFPHFMSIAHFQRESYAQGGYRMLSVLSPTKNALVSLRHALLLIPVCSILIPLSGITTWTFAAVSLVPNLIAAEAAWSFWRQVSDKQARRVFQHSLWYLPVMLGLMMICKRGLDWSSWMGSGAEEEVASDPEVSAT*
>EED81619
MPGSPTRTRRGIASRTTPTTSSALLPRARSSRLASSSSALTTRSALVRIPRALSESGMSSARTIPSRLRLSX
>EED81620
MRSQRKFNAVVLGAGGVGKSALTIRFHRNVFIEQYNPTIEEQGEPVLTFSVKLELLDTPGTEQFTALNERYLRASLVPFIFSRTGEGFYSLIEESSLREIDSIRQQIYQIKGTDRDIPIVIVGTKLDLNNEREVSRAKIQELARQWNLPFYETSAKRNWHVEDVFQDLVRQMRKRYPDDHSSSRRKKDPCLVM*
>EED81621
MLSGASLDLLWSAQLGLANLVKCMPSDLWEEKVWRYPWSVPGCNDRVESDLRLMIRRPMLPGDWTRFHFYAQRVRKLTLDNNWKAEGHLSNEDLMLLGLFAPSSPLLPNLRKLETKRCSSPVPLELFLKLPGPKLTHLEMVVSDSDKVTNSVLTHLPTLVPNLRVLELYQHKTPSKARLDPRECFSFPSGALRGLKHLEKLAMFVESPLSHQAFVELSSLPQLSSLEWDVEANIDQFVMPFRGSEAHGTPSALENIRTWSYYITSSLGLLKAKKWPKLENIQLTAAEAGCEDLTTICQTIRDQCSVSTLKHVSIQFEKEESADNVPGVSLQPLYAFHGLESLTIDSEYNIELQDADLEEMALAWPGLQDLAFVHDEADSWVFTPAATLGGLVHLVKYCPNLRHIVLDVNASRTEISPGAKPGGGWCNTMLTEIGLGPSSIAGDPHKIAKYLSDLFPNLESIDCDAEDPRHRAAWEEAERHLPAFAATRTAQRAKGPPIPRVIHHHVRGRDK*
>EED81622
MSVLDAKLATALHSREQRLIRRRLPDPAADEGLADFSSNDYLSLASSPDLRARFLRKLSTAPAVLGSGGSRLLVNGSAHAALEARLARFFDAPAALLFNSGFDANVGLFSSVPQPGDALVFDEHIHASVHDGMRGSRVAPALQRPFAHNSVEALRDVLLQLLQENPGFRTGKSSVFLAVETLYSMDGTIVPLTEMVETMEELFPRGNGYVIVDEAHATGVYGPEGRGLVALLGLERRVFARLHTFGKALAGTGEAVLLVTPLVRDYLLNYARSLIYTTSLSYANIISADCSFDLLEDGTATQLSTQLLDLSTYFLSLLRPQLRTIPLSLLALPPHLASQPLPGAPPHSALPTPIIPLLTPYPRPLSTHLRARGVNARPITWPTVPKGRERVRVCLHAGNAREEVEMLVSGMVEWARAWMRKSIEAGDAKIEVDDAVQAKL*
>EED81623
MANEPELERNPALYALATTCTDFRDPALDLLWRKQKGLGNLIKCMPADLWQLHKCYVWNNASPYHRAEYDRLSFKRELHSSDWFRWNFYAPRVREFRPTTRADARSGGSHMEMSKATYWTLMEHLPHPGILPNVIELDWHCAYSRVKYRFGRVIIAPSLKFLSLAFRNPETVEDAKALVAALPQSCPSLHTLKLQFSDVAVFDELPDIISGVRSLREFDLETNRSLPAELFRQLSSLRELTSLVLMVWTPDHLAFRPQIRTDASQLPCFDSLRRLIVNSDDLSTPTTVIAAGQFPNLQDLSVASDTGDVMALFQVIHAHCNPMSLQKLRIFRPEYGLKHQTRTEPASTLRQLRRFPNLQDIHIQVPRGIQPSDHDLEDLAAELGQIVNLYLAETLAMSPRSEDEYGNGVSLRALTTLVERCPRLERLTLDIDASTIDTQRSGKHITNSSLKSIRLGTASRPGDPGRVLAFLCDLAPKLQNIDFDYSGSSPEDREAWQKIVWKLHCKRVRAGKEREYCYSLPRDTSSDTETDT*
>EED81624
MPVAVPKTEYFQVLDLNPDDTGVEDIRIAYKRLALKWHPDRHTTDKEEAQQKFIEISEAYRALLQEYQHKKRGRSPRAKRGHPPASTPSASSSQSRSSDSSSAGPSAKTAESTYVLGQLIDVYEKVYPKQAAGFTNTLRIDCQSEVTHLSPTNNNVSFVAKLVGRRFWEVADEAKSMSGKVVYTFSIAQYRTVTGFSIQSEGTKRFVRVAKHMPSGQIGTKAVFCHQANQNQAQMVNDYVVVGVNIGHSRVILRALAILALVHDLQAASEQAAIPRWGQAATLVENVLFIQGGRTDEYNQYSYSSAPPTNDMFVLSLSSSFNLSSPPWQYTSGCSDCLSSQGPAVAWHTVSPVNTSYMLLFGGDLGPNSAVTVPESSDSADLVNVKNPQSPIWDDEADSWADEPLRRMYHSASSTGGKVYIVGGEKTDGSGSAFSTHYVFNPSAPSFSELSSTNAPPGIYGHTSVVLSDGRLIVFGGYEQSSNTLLPFSTVWSLDTTQSTPIWSTVSVSASSLPDPRRGFAATLVDGGKVVIQGGADAQLQTSYSDGWILDTTKSPMVWTSVSALSELGPRRDHFAVALGSQVLFGFGYATNGPANASLYLFDTSSGSWLSSYSPPQAITQPTTTTLSGPAPTFSGSSDPSGSGHGSSGTGPGSGGASGTTGSGADPTASNSGSGSGTGSSGSGSGNGNGAGTPVGESSHGHHATSIALGTVFGILGLLVGTSAAVWYIRRQHSRDSFHVLEGSDDDAGGALPIAGNREKAVVQNVRSKLGAFVLGSAPVRHAQRRDMLADEDTRQFDVPYHGMRRETSSSRSSWTSVSRRPTLADMMHESLASLRSVGGTVLAYASGTRGMRSREASAGSRGTTAWWDKEQSYEPYADDAALIVEDSVLWSTRRTRHRGQLSQATVASSAMITPYDDPFADYEVEDVEPPADYYRYLDADEDGDDAQGVPALTDPPPRPYASIASIRGPAATADLTRLSPVPERASVPTLSSNPTLSDASHASSSHTPANAASAPSRSSHSHERAAPRQPPRPRPSSIIDANPTRAEPVSRSNSWWARLAKTPLLERVASDSGRRQARPIEIRDPNPPPRLVPIEESTHSYADETSPHDRRGQMYSIAHGRSASSLQTTKTANSEVLEKMGRTMDIVQKGTVSSHSSGPSMDSTDDPMAQPPSGRPPAITIPLGGSEGPGVHVRPIVESPQEMRSPEYAHLNFSSPLTALSGPPRRTSGQVAAIVWFRIECLRPETAF*
>EED81625
MPPCLPARRLALACRIAGHLPFAARSPERLPRRPVLLPAACLQPLSTRVRRPAPHDTSPTWHLHKSVSATGAVPDARRPSRAINARSFLTDSALAHVTQTASEASGVDACSPLHPAPPLSKSRVASGLPHLAHRRDLRFLAMRAAFESVLDFLFPRRPVAAASTRARNEPFHSTKPFIDPGADTEHLFDVEQELPNLAIIRSASRCRRRDAVGRGALLKKICDWASRHAVSTSAHALRAAIRPCSNGRRASTGTKERGVKHANNRAKYPSCAVSRRVINVGPRRTPSLVVRAESLGPPIVWPYEHALLASWPCPAGEVCLYWHLEPTAPTAQRIRGMPRIGLAAVSCGLHRRSRMEQNVQGIFSVFRARAHRGALDGSMCSMSASWERSASDPLEGVAERRAKSEERTGPRRRLWPLSAQAPTAMSDAVRQQRRRCRALHLHPDDGAGCWMLDAGCWMRCPRAPGEEGRAPGPRPQRRTATSHIKLQRAEQAGADTGKGTDNKEPVRTGWAGAGTGAGAGAGNRTAPRESRMAVSLRCSSPRLPGARRSRPLSRGRAQTQTQTQTQTQTGTGTGTGTEAAAPASPYLHKVTQTRDARAPPGPLPPGPLPPGPLPPGPPSSPRLLCDFDTGAGRGREPRAAIAVASDAYRFRPVTRRAARRRVLVRLAHRALAADMPARRACEPHIHAPTSKIKDKDADAPPPSGVAVGSSKAAPRFLCRVVAYCVRDTQAWGYMILAETPCIELEPRPRAGAVVVSLGAPRRGFADRLGRWTRKAMCPALQDIEALNIYVYVSGELLFSIALQVSRSQVSRSQGLKFQGLKFQGLKFQGLKFQALKFQGLKFQALKTQASGFRIQDKGYTTIWRARHESRCGGPGEEQHDTSICGKRGMSAYVREQGGARAGEWREKGMRGEGPESHVPSREGRAGRARPPALACRRRLRPPRAPRRVLVDAETAHEQREVELRPARIVAAPHLREAALVERDVVREHDGPVVLLAQADEARDVRGARGAQAVHAGEVARRGGGGEEDAEVEGERVDERGGRGVEARDALERGEGQGGGGRERGGRARAVAAGQGELVEVVRAECVLGDGAEERGVQRGEELGERGAGAGRGGERVTVPGETAVAASSKVSSYSASALSRSSSMERGGMGAGMGYTELVSGVAVGSEMRCVAELCAATSVLPAGEQALRQEIKSMIASGMPTVWYTHVEEEAQ*
>EED81626
MPVPAPELGSFSFVPETKENLDWADLVTLDFSLLGTPEGRAQLVATLVRAVREDGFFYVKNFGISQERVNRQFALGKRFYELPLEEKLKYVPEGLDNGKFNGYIPAGRRILDETSGLRDRVEMYNIPKFDGYFPHEHPALIEEHIAEIEEFAKSLDSEVLQPLHRLLAVALELPEDTFLQLHKYEKKSEDHLRYMKYTKYSPEENLKLGRIWGRGHTDLGTFTLLFRQPVAALQIRHHTTGAWKWVKPQDGTLTVNTCDALSFLTGGYVKSTVHRVAAPPKDQEHVDRLGLLYFQRPNNDVKLATVSASPVLQREGLTQNEFERTGNPVPTMEGASLA
>EED81627
MATRIQFENSSDIGVFSKLTNSYCLCAVQGSTNFYSAFESELGDVIPIVHTTIGGTRIVGRLTAGNRHGLLVPSTTTDQELQHLRNSLPDAIAIQRVEERLSALGNVIACNDYVALVHPDVDRETEEIIADVLKVEVFRQTIADNVLVGSYCAITNQGGLVHPKTSVQDQDELSSLLQVPLVAGTVNRGSDVIGAGLVVNDWCAFTGLDTTATEISVIEATFKLQGQSSAAAIGEMRDSLIDSWA*
>EED81628
MCREEGGGSRQTTFWSRPMVFFDKSAAWQLLTIVTPPSKTTAELLWSPFVNSKVSLMTHPPGIHYAGGSEPITAALEMHEDVALFAMQQNDRNRFGQRIVYSLNTGNDYALFFGCAIIRNFKPLVLDSLGYHDGTFGTEGWQFNSPELLTLSYSYAPVVAPPGDASGSECWDMEKWMNEVLPLLRGSHVETLTNKRSATKFSHRSATSAWCWVRNFKFGNDVVHQENQKFSEMLSQCFQHVHSASTGVLSKSALLHECGFAHTGIPTFTFSGI*
>EED81629
MWHGGGAKRLGYENRPFVPSHSWMGQSIYKHAAVTVLQLTNRTHRSVLTSTTVRPFVSVQESLPCPNINNKMSLTTGSPRYFYKTESGVALPLETPQDFALFLQDIPQNGGPYLRVLRLSDLVCEMLTRQDHHQWLVYFAKVLSGASNLQELRLGHSQNLLLAPSSLSTSIRNCRSLKVLELHAGGPLTIAMLPKMTGQLVHVVHQLGLGGIVERVGTELTNLCPILDTNILMSPAPHSVYTHLKGYEQEIDAEALRPSQALIIDDAERLAPEKSDRQEGAIVPRSKNVRSIPTGECLGPVHWHGFLKSPLNILMCRENWGGSRQTTVLSIPMVLFDKSAAGRPLTIVTPPSKNIAELLQGCLWSLEPVPLTCHDRPIEAGGSLFQE*
>EED81630
MRIGNRQRTHPQFTLVQGSKTEGVSANGAVYERRNRATERNAAGPDAAPIAVLQHTHRPSRDIPLGTSRDWSSVDPRTIPLLRTLNPDTPPPDHCSPSVQGSAPTFTHMQAPSRYRNERQMSGFCAYTLNNRVRLNLLGRFTLEYDPTTNGFWKSGHNPRWPYGIELLGLLLMEAPSLKHLCLENFEELLWMHEPLGQVVTRFRELTSLTLRVGWGPILTSAALANVTSLLIEGFQAEDLQLETEVEVEDAPILMDSWAPLHTTGTYAVWRYGWLICLYVNSSASSPTFGSSSATFSISQ*
>EED81631
MSVSSINEAQLLQFYQYDLIQNYIVIMAMSVYMTMRFNAALTDARLGIFVYDSIISFNREWRAVWSCKITGATAIYLALRYVTLADVIMDIINDTVTSCEIGFISGLVETGTFCGTYLAQAAFVSIRVYAIDGRQWMKATIVMLLGLVPVALNIVICFALWKNHSLPQKIILLLVTRMCILMSNLLVVISTWQATRASRSVTAWSSRGSLTAVLFRDGTVHFACTNTFFDHSLKSSTPRISTVVLCRFFLNLRQFSSPDVNDSTMASHTSSFSSFASRIIGNLGEMLEDEPQAPDDNDFEGELDGMKYVEEVDGAVDPDDSAHAISDAYKAQTPTATTAMFELETDKGGAANPGFPEASDEGFEQRVIDIV*
>EED81632
MSESSIDEAQLLQLYQYGLIGNYVMIMAMSLFVYDSIISFNREWQAVWSRKIMGATAIYLALRYVTLVNVIASVVNYIITSCTSCGTYIAQAAFASIRVYAIDGRRWTKAVIVMMLGIVPVAINIYGTSQTIVTYAAQFCINKLSISTSKYNILLLVSRICILVSNLLVVISTWQATCASRAVTALNSRGSLTAVLFRDGTVHFALVVGLNAANLVFTLLLGVGFSNPDANNSSMSSHASSFSSFASRIIGNLGEMLEDDPQAPDDDLEGELDGINDAEDVDGALDLDDSAKHSSDADKAPTQTATMAVLEQETHEGGAANREFPEAQDEGFSQSVIDIV*
>EED81633
MSLFVYDSTISFSWEWWAIRSRKITGATALYLALHYVILVNVIVYIIYLTMPSCESNAMRSPAFASIRVYAIDGCRWTKATIVMMLGLVPIAINICFLSPGYASSCQISWSSSQVSTWQATRTNRSVTAWSSRGSLMTVLFRDGIVHFALVIGLNAADVVAALLLGELLDISSTVEFLKMSTHRISTVVLCRFFLNLRHFSKSPNINDSTTSSHQSSFSSFASRIIGNLGEMLEDDPQAFDDDLDFCNPEADEESAADREAPPATDEGFEQRTIDIV*
>EED81634
MRGSSMNEDQNVQLMEYTFIENYIEVMAMSLFVYDSIISFDLEWRTVWSRKVTGASALYITLRYVTFITMVLDVTNFSASYNGSLNYIGFQLLHPIFTGRMHCLRDIFGSGRVYAIDGHQWTKATVVMILGLVPVVLNIANVWAIESSLSTSKHDKILLLATRTCVLMSNLLVVVSTWQATRTNRAANMRNSKGSLTTTLIRDGIVHFALVVGINIADITSALLTGELFDFSAPVEL*
>EED81635
MALAWLQRARASAGAEPGPGRGLWPGSGLARAQAMACYFLATYAQWLIMGSTSSVEHHQKRLCIISKLTVVDHMTTYENLLIMEFRTLWRCTKQLVKLMGAAPEVQTSTAGPGLAGLARASEPGPAQHYPPCGSQLPNADLSAVKKSPVAGKLASLASEYGRRVVPEAPIWNRIPSYEKGVTFSSSVIDAAVTPE*
>EED81636
MARVWESAQSALVFDPPFAPVPSGPFLITHNQELQQIEDKPTTFRTYIDEIHTFKSLSHAPPAKGSLLTAAARKAVETLKIRAGGSPLDSENEVSLPPSPTPAERIRGSPSRPSPQTLKLTPKLVAIASDDSHVGRRMPPSLTRAKENPRSGAIRSSLGPPRPVVRHAPPTAPQSSPLRMLPSPKPRHVRHIPETEQGLELDAPVSPSPIKIRRPSGLFKVLSDSVPHAPSEPRTPKVVPRSPHRKRVRTLLRPANTDEVLASPTPKRSTARARPSLLLAQSTPLPLHGRRPHLPLHRLPSRMRETREAQASTPAAAREPSPLPPSSPPAESEPSLLPELEPDYDPGFDMYTEEGAEVDEEEEDKENNAAYKPAIAVSAQQDRLSEEDDPFGILAAERKLKAKRQPSAPPQGKGKGKEPVVPRRPLGTLTIALPSPSPVPSTHSPAQLPTPASEDEHNIDDLYLDISSPRMEPRAGPSTEVADWAFAEDEYADENVEADENKENAPPTITVPNLLQPASSTLSSPQRDPLGTPHKPRSARKRALLPSSSSSWSSELAEPFAVAGAPRTPSRSRGLGADEWSSPSRAANARHASSARRESSARRASALPHAVEETGRQRAAEIKPVQRQKRKRPVAESDEDPMEAALSMLPKRPVKRPTRSTAAPARGRDRGRGRGRAKVQAARESGSESGSESERESESESGGESEPATRKATRGGTARGRSTSRGRGTSRGTSRGGGRGTGRGRGRGRGRGKATGAGASRSTSRATQDKGKKRARDEAELDTEEEEERTRARAARIEYFRKLDKEYSLEKEDVYVI*
>EED81637
MAHTLEFGSVSITFQWHNYAALAILSAVVILSLSRIWRARLPHNGDVPTRSDDLSGSSEKNAPKPLRYGSGKLEGGAWDAKGPGYLPDPDPLSNLDLSTASVRDYVYVNKVLRYPYFQTMAHQPMHINDWIEIDREYKWYLDEKARVIREQGKVVIDSLPENDAACTELLETLADYLPKRFPTMFDAIFDNPSIPAIGIVNKVTGESFPDVRTLSGVDALLAVSRLVQDDFLMGRERPDGKIYLVGGLIVFPGSYLLSEKIGQPLHELHGSVPHFNKMLMSVERTMARFAPDRPFERASWMIVDDRELFWHNIISGTMPADMHPKDLFLRIDHQTFRKLPKTRGIMFGVHPVLKRIGDLTDSPLVPALLAKIHTEADRELMGYKKSEKYTGVLVPYLQKLTEQQIAKGLVSRKMYLMVMLLSNRPDDVEEVARFRERANMTT*
>EED81638
MPTVEQAQLWYNTGKTWFTIMPPLICPLTFIIDAPFGRFTPSQNSILLVDGIKSWMFMELVSPLMFSYTYLNAPLTRSPHPPLTLSHSSSLLSALFLTHYLNRAIISPLRTPSRSKSHVSVALSAVFFNVVNGFLLGAYLSSPSAQAFLAGAFARPSFWAGIGLWAAGLVGNIVHDEVLLNIRRTAKAKGKAKADDDSRGKNKQEHYAVPHGYLYSLISYPNYFCEWCEWFGFALAAAPPPSFASFGVLLATLSPPYLFFLSEVLLMLPRAYKGHRWYHKRFPDYPRDRKAVIPFLF*
>EED81639
MCMQRPATFALHPTRPSIKSARRKDPKHTPHLDCEAHGRDCHAGVKSVCPASAFAVDAVLTRIRRHPVCVRLGALPGGVQAHRGVGETAGIRWRGRAERGVCTGEERGEGPAVRATAGCERGARARLTAAQVAVDSPPTTATCWNRAALHRTGCYGRAGAAMLEMRSDAGATVRGERLRVVVAGEGNRERARALAQKARGRGVREGEVVAGEDRGENEETTHPDGTWQTRGQ*
>EED81640
MSHVCIRAGWCSRLATCAAERTVHVLAAALQHWGLEDAVALCSRHSDETRARTQPRARGPGWPGEDGSTKRSCVAQAAHLGDGAAASGGGGDARKASDAPVQVRERVLPRLLGVPRADLPHRRPLRAPRITTCAHLAVRIGGRVDEPGPQPVRGAVSAHSVDTHGIGGRSSGDVFRARRQRGYVLGPAQASAACKRAGRTHSRGARTLREAKRCSEDRQTSIEARSERRALIERARMSSVQGDRTPSVLERNGTLLAMIEGIRIACGSVSGLQQAPMIDQYAEHEAFGRQRATQDRLAGTEAAHSVSA*
>EED81641
MTLKWDNWWMVFALLKKEGEYDTIATCTADGKGRGSIAHLGTFAARFGGTWTHTVELTISAANWHRQDLDSDTIFHNLSRFHSMSGLTLSDVSFSSILSFCRLVCNLPGLKRLSLTDIALSGQGACDYKTISDFRLLPSTNVEELVLDISPTVQPFPLLEILDFFAVVALSHRTSAAKHIVPAALFWGKVQSLDIKSMGDRFDISENTQLVHLAFTSAVDTEHVLGLCNSLHDMLSSVTSARISKIEIDFAFDVITYSAAVERLCEGEDGLARIDVILSTPVFEALAEVVISYSYNRQHAEWISPILHVQSAQQAPAMASHSMGKYVSI*
>EED81642
MSKLTRSTLDLNDTQQGPAAVEGDHLVAGASSSACTPGFDTDSAHGFSQRQNQPVDTSPSSPPGRGRPVQEHALDSNPPSPLSAALLSKRTPRTLSADSYPSAPLLQQAQPDHGRAPFGNLIRYTYPVEPPLDSSDPKWLEQMFHQRHTEQRFVGDDILATESIFGDVARELRIAEIQLEQERKLSQTAVKLLGRLAGPQFGVYMNQRVAAGDGNLSEESDIGSNQPRQTRNNDFPHITTQKKRPQEDGNDDGGGSSHDEEGNGGGPKIRRDNKRQRLALKPESAVVLAEEPGDDAKTQ*
>EED81643
MYSSVVALLLAALTAFNGIAANPVPQTVTTSEIGGTSTTSEIGGTSTTSEMFHRVQGRTLLIRPNTHRFRATGTSLRPGALALPRYLVNRFVYRLATCTFGIDASKRNP*
>EED81644
MYQPVSLKWDIWWMVFALLEKQGEYDTIATCTAVCQTWRFIGWSRLPRSAVFSSDEEVAHIKVAYEHRECWRGPLDVRVRGQYGKGRPGSIAHLGTLAARFGGTWTHTVVLTITAADWHRHDVDSDTFFHNLSRFHSISFLTLSYVRFSSILSFCRLVCSLPGLKKLSLTNIALSGQGACDYRTISDFRRLPTTNVEELVLNGYGMTQPFPLLEILDFFAVVALSHRMSDAAKGIAPAALFWGKIQSLDIKNMAIFPSVAAFGRILSIFPALQRLQYCQGTSFPFNHILEPRSVPRNPFPRMASLRLVPGNPPSVIDGLLDFFITTAAVFQLSELHGNLSPHLLIQTDGDHVLHKLTKYVGASLHTLRLHSALPSQLLVTSARISKVEINFKIDWVTYDAAIQRLCEGEDGLTRIDAILSTPVFGALAEVVISYSYDMQRAKIGIPAMQTPAMQTPAMQTPAMQTPAMHSYPTP*
>EED81645
MSIKPFDEITYWRPGTHDAVLVSPLQLFPSLVGGLNAKSVRAHTITREWYNGLCFRPDVVALLLAALVAVNGITANPVPQTVTTSEIGGTSTTSEMFHGVQGRMVLTT*
>EED81646
MGSVRTSYLVTYHYVSFFTKHDHTDNSVNLVPLGPALAGSPLLQYDRADRCFITSTKRAPIYPRGGTWCRATGPNPQVVAHQELVSYYQRHPPAHPEDVFTILRINVEPIQTAESAQSPTSEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQEDINQHIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRCFIQCILSYFIATNNTRLSDEAKITFTIALMRKDLGKTWVDTYYEKSAGGVQVYSTWANFITALEEVFPEHGTQIKAHQILMKLPE*
>EED81647
MCLGSIVPWVDMLLDRENRAESRTQLNDDCVRLVIKLLSSPDARQLALTSKYLRGLAYKRAHEDVEVSDDEQTRAYCKYMLGEPRRMSFLRQFKLAYATDVKAETVGLLAEVLKNATGLHGLNLDRIEGLLRLDPTLGHAITELPGLENLILHDGGTLSIDLFSRLRSRPAYVNHYHSLGELHRFGVDHTPLFTSPALVNVSCLCINGFLAQELGFLSENRQQASFYMDSWKPLPQLQRLDLYNTDAPLRQIVRLFPNLRILSLAHVRDVFRSAQDIEHWQSLSYVLAQVGDIYTWRIKCPVNCLILQYTVDRIQKGMNERREYSTILWAIRHMRPIILSLDMDLAAPPEFWHKFARKAREVKCMELELQVSGEYLRYWKDEVLPILGQFLDVLCLKISVEYKKPESSGRNIQPERRAAEHGGGTIDGVDATNDGEARATAKSLTTRKKETPTTTKKGLATMRKKRRARTEKTHQISSSSGRSPSRCIAPSSVTSAPPGTCVSHTATQGTSLHASAVSSGGTRHAQATATRRTSSRQR*
>EED81648
MPNQHVALPHLLAYTFQAVHPGRISESMKERGRRLYGPVLQDAGRTTSRWRPSASASAHDAPIEKVDGRKIEIAIIFAIMNPRCSRDSTRYPLVGTREMGFAPSLSALSVYETTLALTGGRSGGPNASRLSGCLNASRLSVQGTRSLYSILSVSGLCPRRHPRIVCVKIYGGAIPQVGIAESLALVTLGPVCSS*
>EED81649
MTPFSLEPIEQKHAETSDSGPAVEHSSINAADCDFDDVPDGGMWAYMAILGGWLVEFTTFGYSTSFGIFQDYYTVTSSSSSSNISWIGSIQLFLFSAGGLPAGWLFDAGYSRYIFISGSLLFSFCIFMLSLANPHQYYQLLLSQAIGMGIGGGFLLTPACSIQSHYWRKYRAIALGSSCGSIVFPIMLNRLFYGRAGFAWGIAILNAGSVFGRVIPSIAADYMGQLNVIGPIALICSALIFALFGAVRAAPVVVWTVLYGFFSGSCLSLFPSVFAYLANDTGEVGLRTGLGFFVLAFAMLTGTPIDGALLGDDYSWYKALIFSGIR*
>EED81650
MCRARRAYVVKPKKARGRYRARLPIRNNNGTGAIEWYKRARRIFETCHLGRAKQESRTCACDGRTLSEPNLKTAAAHFVPQQGSVVCRLALHDLRQISHGRALQCGSLSSALFARRALASLGDNGNRLEDRPVAIEGQQAVIQDVILQESVPRPENHRHHIVAILNKLFAAIPLSESAVFTRLVSLLNLENISEHGPSDIVGAI*
>EED81651
MITQCRNLKTLKLYEGGNVTIAMFPEMLSQLTTIVHSTDRKYSLRGGYDYGRFFQCTATQALETLVLDSLECHDDTFVPNRCFTSLKKLSISHSTVSVASLVAAFPLLENVTLEEVTCYPAFSGGWLSLQRLDIDVMCLQKFAITSRVTHLVIMRSAVAEHSQGYKKTKPISQVIQNTQPVKLTFSATFSMREPDRHFWNTLLDSMSSVRTLELCFEAVLANDYQGMEHWMNFILPRLLKWSQVDTLEIKVETWARILEPSTPTINAPPHVDGNVFTGFRNYCRSLDAHLATIICPLRHSRLQWISVQVSEEVAGCVVPRRPTQPALCLTKCRGGYLDQ*
>EED81652
MTVFLPLEASSPFPQTPSFLVPSSDPSAHVKRVVKAIMKARRIAVVCGAGISVQAGIPDFRSSDGLFQSLKKDNPALSSGRDLFDASVFNSETTTSMFCQMMAQLYELSEVAVPTAFHKLLRALDDRGRLLRVYTQNIDALEQKSGLTFGVPETMSSTSASTSKPQETSSKPSESKDENAPPTLGLLEEDDEFEEFAVADWDDSETNLAHLGGAAPGAAKSGGDKLWEDNWDDDDIEDDFSVQLRNELAKKSKSSGGPEPMQH*
>EED81653
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAINHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFILRAIPNAEAAGDADRLDTGYGTVRTYDAQDAEKKPLDTWSESAEPGR*
>EED81654
MDPFEVRIQFLSLLRKLNASQQSIQKIVGYALKFFSGCGEDLWDCLVEECQKGSINNRINILYLLDSLCEASLIAKSHSGALSHDGSQNSFYVDYVARDLSKVVESVVPEGRQGLPNLMSTKQNKVAWILESWRSKRVIDPQKCQVPRGVGTLCNMGMKEIRAAMMEWRQTMPTPSTSLLGQLVQETIASTADYFQSRSARDSKRMEKESLLRINSVEPLAKERVGVEGLSYLNSRGGQGVLRGFCALRCPPHNPIIVESVRPFFEHLPKLPMIPDANLKKDFPGEDPVLSLTSELAEN*
>EED81655
MSSTPGPRTPMSSNPEPRKDMSIFHSTAPPLIPPPDDVTVPQFLLDNNRRHPTRPDRPLGVPYLVDGETGREVHHDEIVMQTDHFARALRHVWGIVAEDKTFFPGKGDVDYPIAVWAAHRLGAIIAMMSPTLTTPELVYQLQTARPSLLIAHAGNLANALDATSATGLAHTHVLVLDAHKLTEPFPLSYTSVDAAIGHGAACPRVPELQFASDEAKRTIAVLCFSSGTTGKPKAVAVSHYNVICNLVQIATSNGLADSRTPPLERRWRPGDRGLAGANQCCRCIGTSNNIGSRIYSAPLTAELTAQMLGILPDIHLGQAYGLTETCAGVTMQLPQFPIDKKVGTLGSAGRLLSGTTARVVKSDGGLAGVGEPGELWVKGEQVTMGYYRNERATRESFIDGYAVDSFLRITTSNRAALNHRWFRTGDEVVIHANGDIFITDRIKELIKVKGHQVAPAELEGHLLGHPHVADAGVVGLPDEFAGELPLAFVALQPDIAAAVRRDPSAAENIRSSIAQHVSEAKAPYKWLAGGIEFVEAIPKNASGKILRRVLRERARTLPRSLPARL*
>EED81656
MSHITPYLLEKPSSSSRQSVSTRSGASTWETTDPSDVPPPAYEEHYSPPSNASAAPKDAPHTAAGSSYLLDKPAGPPMHISPYAPPPDAPSSSKNAAPSMHTVRSSNSTDSGAVELLNPPPASFARTPPPTLSYAPFPPTALLSYSSDLVEGFPGLAPPSILAPHPFAAHDVNEGDWLRFLGDMQAAAHLAPGSKFVATIAPAAMRIPLPLTAMLVSKGIDGHLKKRKKGPVGDVIDQWNHCFFHPRRMHVVLAQGKISYSGPEAPPPDMVRGPSRTAHALAEDDAYEDDNYGDRASVIFDEAMQKPKSWGAAYKHQKQRRRDWSRSTGEKWRIVVAFRDFVL*
>EED81657
MSDFNQAQLFQEYQYANFPEGLLFYDTAIAFSQEYQVVWSRRVTGASAIYIALRYSNLITAVMTLALLAVPSCSTLYILYSVLTGALCLVYLSQSAFAAIRVYAIQGGAWMTAVIVMALGLVPVATNIYGASQTTPADTLSFCESSKISATEYKNLIGSDIPHFLALQRWISSVILCRFFLNLRQAANSNDDLMTSSDRSFSGITSRIIGNMGEMLEEDSLESDTLVGTYCGTELDDEGDLLPSDNTREMLFNRSKGTLAATYQLVSEMTTTRSYSSSVATNSNSEGQTLDYLHGKRSQDHDQLALDPSNVPIRDYTLMLSASEPTTAEEPFNEPDADVVLRTSDMVDFYVHVVILRIASPFFKDMFSLPQPRSGGLDAPEIIPVAEDSKTLDCLLRMSYPIEEPLLTDLGLVGSVLEAAMKYQMDTSVKKLRRMLSAFSNPLRVYTIACTCRLEKEAQLAAQEWVEDQQSAVTDYIDEMDSIPAGSYFRLLHFHSASRAGKAVPEGYRFCSPAAAAAPEAADAVTTAFVTPPPFDVPASPTDVIVRCLDADFYADRGVLLLSSPKLKAMLNDPELKSEGDRSVISLRERQEVLLILLQLTYPGTLPELDDWHMLTAVVEAAGRLEMTRAAELAKKQWISQIEVHPLPSYFVATHNGWDALAHAAAKHALLISADAYVPEMESVDARSYRQFLLYRQRCRALVTVARRQIVADRNVGKQEAYDVFFDERERDMSLDYTLHRAWALCGAASEPMDPPRQSASAANAPAAGLGGTWPAIVAQLSSVRSCHSGEMLDIIYSCEEHGGGAVAAIDQMPVPGATMQPASCKGHALNIIIYATHAATMENGWMRGKFSDLAPHGSVESLFPPVAYTLDHQPPRQPVQPLAYDDDNVQIPAHKRPAEEEVSQPIHRTLRDNYGIHPWATYKDITRAPPAEWPREPSPPVLQANTAGQGTASRISVTAKHRQAAIADVPQPVAGSSTSVPGAATAGRSSVGKRCSCVFCGSSFASYSNRREHVAAHCRSDERGPLYCPSCWAEFPNVNLVVKHGVVHGLSPMQMARSQYFMSIGWIPNYQPEKGVSIKQPASIELYEALGSLYFRDPIHCLNARHRPLRFPARQDQMSSCAKTPASPSFTSPNADIILRTSDGVDFAVHKIVLGLVSGFFVTMFGLPQPAIDAVDAPSKPIIPIEETSTVMDCLLRMFYPGVTAPQLDDVKSVGPVLLAALKYDMKEPAARLRHKLSIFEDPLRVFAFACQRSELEHEARQAAVEWCEQPTALITDYVEELDNIPAGSYFRLLHFYTMYRAGSIPEDYLLCSPQLSRSRTSDAPDSKDCTLLPPFDKPSSISDVIIRSSGGSPKDVDFYVNRDILSFASAKICKLLDDTSTPLKKAPKGHCILPSPSPARIVHLLLQLTYPFVTPEFQDWETLTAVVEAAESMGMVRAAEVTKKRWVEQIKAHPLRSYFVAVRNGWAEEAHTAAKHAQLVSVDEYVPEMETVPARTYRDFLLYRQRCRSVVVRARREVVSNHDADEQAYAAFFSVNDAKSMLDYMSHRVWVLCGAAAGSSLPQREHHSKPPPATGLDGMWPVIVGQLLAAWTGSWSNMTNIVYRCDELGGAAAGTLDKMPVSLNGTGGSFVAHQICQVLLEVVEVVDETSAPDTQA*
>EED81658
MYRPSLLTFTKDGKIGLPALERSSSVIAIGPRLKHRVPAMGYLGRSTASDAGAIVVLVDIYDLNVSTYREQTVVSRTVDQSMGGARGRVEAIGRTARHEPPKDTT*
>EED81659
MDPRDAGHYYRPLPPQFRMPEFRAPGLMMPDGTYIPSGRVHPHFYENFGQAAAFADVPQGMLYTGFEAAAPPARRMPGTAGAQTAGVQSHDEREDVRDITCDAKDLKPHGGGSAYHLHTISGWWRRGHLDGDGMPLYPIWVTVEGILEEGSPQTHFAPPLRGSS*
>EED81660
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPNWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED81661
MNLSVISGAVGYAHLPVLPPSIVAACQGLVHTFDAQDLIDVYIPDGPETLILTCPSQTRGNLSADPAPEPEPEEGEEGVSESKSADPARPASPTVLTPTSAVPDVCDPASRLPPVPSLPSPPRGHSRTRSPRSPTGGQSQPPPPPPGRPPSPPPPIMSSPSTAPDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLVNMSLTTIELKVQVALSLLDSDARAWATPYFAQLVLVQTGTQGATTPFRNKAAFATAFRARFGNLDDEAAAQVELAKLCTDKSICEKCTAAEFSALFKGPVDRSGRQAPLGPDTPLFGSRIPPGTSTQSPNTSISPSTLFDTFDGARRLLEARHGCPDASRVDLGASSAFGEQ*
>EED81662
MRWSPYVVHVVVFCLWSFLFIRLPSCTDRQCARRLSTPSPALEAIDYHAVLFNGSLSHSSIFRGPPSPRLDAAWDEITLVPFLTTVFPYDTGTCRGNSLSRRCFEAVRETKTPNGTQDAGCCVKETDRPHMIEFDSLSITFQRHNFVALAILSAAVILSLSRIWRAQNPRNGDVPTRSDDLCSSSDKTAPNPLQYGSGKPEGGAWDAKGPGYLPPDPLSDFGLSTASVRDYVYVNKVLQYPYSQPMHIHGWIEIEPREYKWYLDEKAYIIREQDQLLDEGKTLVNQAVRDPAMSVYCMYEGEDIKGTIQGCVANADFGGI*
>EED81663
MGSASSKPARHLPRTAKPTWAGARTPNPGEAPHARPSIPRASETKNEAIERDARDPQFMANLSKLGQVRVDHHMQTVRPDAERIQVVFQTRLQSEVEASSARSTHNKLVAGSLQDLLQERKSLTSRDELHKLAQRYDVDPDKLESIARFVNSPSIDEGSIKRTIGEDGAETVTMKLRTTDDASKIVQGTSGDAMIMVLRFQPLFENENRDRTDYFCTIQAVSSYLIKLFVPSYLWCPDVNFIEGYMSYFIHYSIYT*
>EED81664
MCTATCLLLILSTMHIIVDSRRVWVGFIRAENAEIYFSDVSKETWKNAIYEFETLIADGVLIYRCYIVWRSVWVIVPPIIGYCSVIVAGTHTVWSIAQLVGNPNDIFINETSQWVISFYALALATNFVATGLLAYKLWTSASRVAPHGGSSLRPVLIVIMECGALYSVSLLVMITTYRAHTNAAYVVIDIIGQIIPITFYMVIIRATMIKIKPNQPSTIQLSEPVYAVPSSTIATTDSERTKPMEVHIARFVDRDRTSGTHFGDKDF*
>EED81665
MYAGNKTSHTTTHVQYGPSIIYGHCEGPSTFISARSNLRQKMPPPHCKRHSAPLVAQRSGFLGTLSVMVPVILWRDGMTPYTFLFVQDDVRNHGHWINPLTETMRELFDPDDSRDTLLTPTPGKEPLGKPTSRRLEPAKWCPQTMRAPTIDSLTKLQRPAVEYRATLLNGTLAFPSIYRGTPSAEIDAAWDRISRVRPIAITPNELAHAGKTLRRSLAMFTDGRVVAGLEFVHQLHCLNELRKFTYPDHYKPKEDPVTYRDHIDHCIEMLRQEIMCIGDVGVVTFDWVEGLPNPYPDFNTWHQCRDFEKIFAWFDEHAVRARVEVNGVVPLKEAP*
>EED81666
MKVYRVSVLLEERVDYWTDFKRIARTDPITRLPLLALRHTQKDGPPILPYNPDEMQAFMRSPFAETLPPGEDVGEYASSLMGPGPWRIRKDIQLPDLCTQLHFTNRNKRSNIFVTHTLKIVFRVERGDDQAVDPHTGKRKLFDIVVQTPIHILSVCSFAFSLRHRTC*
>EED81667
MSSPAAAPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQVGVQGATTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDNQVVVEFQLPNGRSAEPVPKYVAHARRAVPSILLQRGPLARQVKHLPDHLSVLCARAPVQLSAKRLEDGRQVDRGTHPPPVCILIT*
>EED81668
MSSSKGGAYGTRASDTDFRKKWDKEEYAEKARQRDEDEKERMKENEERIKQGKKPRKGRKDDLPKPTELMKRREGPLELDKNLGKTMVVQNAGTRGPGVPGFYCETCNRTYKDSTGYLDHINGRAHLRALGQTTRIERSTVEQVRARIAYLREKTREASNAKSFDFEKRLSEVREREAALRAEKKAAKKAQREQALVELAKDTGPAPGGDDMMAMMGFAGFGSSKK*
>EED81669
MILITLFISYLVFTTNIFIAYDHAARTFGYPSLGGPLKYLQLSGITIRQPRWLPVVRVDTKTPSAVQWEMYEEFTLDWKVPGAPTQFSNFSISYVLPSLVGLDEDEEPFAQEADGRDDYHGGDKWCYALPESSFLDHLIWYHTQSAQSMCALTEAPEEESVTTPSIDTINIVDVFDWDMPSSSINGTSPTLLDSPSIDHVDRLVGWSILAMVLLFAVKHSKTATRDTGPTIKCEKKDEPEFVPEAPEAMYVVLGSEMCDAYVFGPTRDVPAPSNVFTGPVSVNGWSRDKKKRKRKGKKNKNSIVFQSGNPRDDLSDRRRRVCSPRLLLFRLDDVKRMWDWIPSRFCLSNRIPSLRATPPDINNRLRVYEQSPKLALPLDYQQNRFCLFHSSDT*
>EED81670
MADVRIAVLVVITSIVLFGTLYSVVNSTYLDTSNPLLTYLPHPLHSTHYFASKGNILNVYFIKRVWGWTSAAFLAHYLTSPPAARTKERVLQFLAATAVWLTFTGWFFGPAVLDRLVAFTGGECVLGLPSGDVVSVPADYCYTKSTLSVATHPALFPAALLLPEDGWRGRPRLRRGHDVSGHVFLLTMSTLLLADQLRASFGRAVGRWSAPHWWAMAFGVGVVVLELLAVYTTSVYFHTPFEKLTGYLLGVAGFAITQLPVFQPAPEAVVVSNREVPREAGEKAEIAKRQ*
>EED81671
MAADNTMEISSILQGGYQHPLSRSWQARRHLTKSMLMYPIFITDDPDASVDIPSLPGQSRWGVNRLDEFLGPLVKKGLTSVILFGVPLNCVKDERGTPADDPTGPVILAVRKLRALFPELYIACDVCLCEYTSHGHCGLFAADADTPGTLDQGPSAARIAEVALAYARAGAHCVAPSDMMDGRIRAIKRAFIDAGFANRCTLMSYAAKFASALYGPFRDAAGSAPAFGNRKCYQLPPQAKGLARRAIQRDVAEGADIIMVKPALPYLDVIQDAAQLAPDHPLACYQVSGEYAMVVAGARAGVYDLRVMAFETVESMVRAGCTLILTYFTPQFLDWLSE*
>EED81672
MIVGVIIGEFVPGVQPAFDTARFASVSAPIAAGLIVMMWPILTKVQYEALPRLLVTRKTLTHIALSVFLNWIVAPLVMLALAWATLPDLPGYRTGVIMVGIARCIAMVMVWNQLAGGDANYCAVLVVLNSVLQIALYSPYAVWFVNIMGGAGADIHVSYGNVAISVLIYLGIPLGAGLLTRALCLYLTSRDFFTNRFLPLISPLGPLGLLYTIVVLFAYQGHHIIHDIGPVFRVIVPLVLYFTIMWAGAFALVWWLGRRARGNGQWSYEMAVVQSFTAGSNNFELAIAVTIAVYGVGSEQALAATIGPLVEVPVLLALTWVALYLGRTLHWDR
>EED81673
MSSALTQQPAYRLAPSASSSTSDINAAPDADSKKKTGTKRRRPQDGTSDSADSSSQPPRTREGPKKKKANRACFHCQKAHLTCDDSRPCQRCVKRGMADNCTEGHRKKAKYLLDEEELAQPDPPYVPPDPMFPSSYTASAPFSLGSEGANLEYSILSAILGNSPDPTGPPNSGSPSVSHAPQPSAPFNGQPDIGAGSAISGGAQGAAAVYQAVTKPYDYTEGYHFLMKHLPTRFDKNDILRIVRALAIFRPSLIALQMPLSEEDEVFVEKCFQRSLIELDKLVSFSGTPTVAWRRTGEICLVGPEFCMLTGWEKEELVGRRKYIYELFENQSVVEYWENFANHAFENTTQSVYSHCVLLKPSGTPVPCTFCFSIRRDIMDLPSLVIGQWLPLL*
>EED81674
MPPSLPPELTDHIIDHLQPAPKSLKACSLVCSAWRPRAQHHIFEREVLISQPKYDVYQKLIEESPRSCRHIRALGITQPPETLTTGGDPRFLQFMHAVPLVSDTFSRVTRLALRCVHIGTLHRSENFKLDTVTELHLQTILTPYLTTLAEFICSFPNLAKLTVLKLHVAADFSRSPVPGQNLIAPCNPLLSKPAIKSLRFRGGFLRTSNHILLTAWLFSESMLASLTELEIDIQHEADISVLAEVLKACGPQLRKLYLDVNLVDINEDLCDRLTLRHCSSLRTLSFNSLHMASQGEGVIIRNLKWVSKALEVNSPHIEDIHFKIHAGGAVAEDMGRLNWKEVGETLCAERFNTLRRVEFLVCRGQTWRDKIITYIKAQVPGLEARGITDYRHIEE*
>EED81675
MDTGTSTSTTSRIRAWTRRGTQGRRGHGIYGDARTPEKTAHEVSYTNEETETQECQSFTPFSSSGVNRDDDAKDSVIWRHSAPFSERTVKDDKVAAPRQRTMSLSIGVNFDMPVLETERGDRYVLAIVLSGEPLVATGPFAHEGTLRPTIRDTSQIEASSECAAAARIGGRRGSAVDDEPELPPPPPREAIGGREAGRDWLELNEAVGERWISEPDLWASTDGMIVVSEGSLRGPAGDAEGCVRDGGHVSLRVGWCAVDGGENRGQ*
>EED81676
MSGFERIPLDHFKQTFSTATELSSVALGGQVVSVSDEFFAEAFHLLLVEPAPSLKGQFGPKGALFSGWETRRHNPTYDWCIIKLGTPGSIIGFDVDTAHFNGNEAPQVSIDALYANADSPQENDTQWEQILPKVELGPSSRHLFRIPQSARVNYVKLNMYPDGGIARFRVYGLVAPVFPASKSALFDLAHAFSGGRVVFTSDQHFGVGSNLILPGRGKDMGDGWETKRSRQKGHKDWAILKLGDAGYLSTVEIDTAHFKGNFPYSCELHATASDALIPNDATADWTLILPRTKLGPHRQHFFQLQDVEGKPYTHVKITIYPDGGVKRVRVIGSRAP
>EED81677
MTTDNAAVPSDVTSATGPTGLIIPALPLTPEAFAPFGQVVQAYADVNAVPSPRTTRITGANQGTAIKFHKLALLESSYPAGAGATAGLSVYRCNPIDVLTGGLWTVKLLERHPYTNQAFIPMGAGEELPQPGTRYLVIVALNGEGDRPDLATMRAFVAHAGQGVVYNTGLWHHPMAVIDKAMDFTCVETQIGDGSDADCEIVNLDESSGHYSVQLPIAL*
>EED81678
MPGLTSIRTSEGKIEIVNQLLLPHTTEFIEISTIEQAHDAIKSMKIRGAPAIASLAALTIASHLSRALQADPSPDFLTSPLTLQEHVEGHLSYINTARPTAVNLGAATRRLSNILEQSVRAGKDARAIAEDLIREGKEIDEEDVGRNKQMSRHGAEWLLEQWAAKGLSGSNLNVMTVCNTGSLATSGYGTALGLITHLHETGRLQRAFYTQSTPYHQGSRLTAFELQTLNIPSTMLCDSMVGSLFQSHGIHAVAVGADRITRDGDTANKVGTYNAAVLAARHKIPFIVVAPVSTVDLDIADGSSIPIEHRPALEACVVRGALYPVRTDAEGLKEQATVMITPEGLDGVYNPSFDVTPAELITAIVTEKGVAVKRDGESTFDLTSIV*
>EED81679
MYINRGNHETKEMNRTYGFEGEAKHKHGEQTYKVCTPILFPFCRPLTFCVAFRACKKRYFVVHGGLFSKDGVTLDDIRKIERIGRQPGQEGLMCELLWTDPQDTPGRGPSKRGVGIAFGPDVTKRWCEANGVTGVIRSHEVRQDGYAIEHDGLCTTVFSAPNYVDQAGNKGAFIRIDAAGSQEYTQFDAKPHPPMKPMAYVSGGLANLLM*
>EED81680
MDKTKSKLAAFFNDDDEDSSFPQKEVDDQKRSLYTQGAARKSKREKEKEAAEAKRKEEEENAARAYAEFLDEFQGERVDRKKSGAAFANQLPPSPPAPAPKPKGKRAMDSFLEEIKREQADREARLSRHVTHGRSVTSIAAYEGQSGSKDRGDPETSNVFVANLPPHVTEQSLGIFFARVGPVGSVKIMWPRGDATVGPGADMTTTRRTKNAGLSGFVSFMKRKDAEAALRELDGFDWGGSILRVGWSKAVPMAAKPLYGHDRGRGQEVEATLVDVTELNIVHTPALDHALLAIEADETSDQFIRLVAAEVKGHDMEYEDSLREREKSNPIYSFLKSEARIFLLWKDGIPLSLSLRTTYVVSSLLKNVSSHGSLQGYNSVYSTDSGEESERERGRKNELGKLARRRFEAMLRALTGRRGEIARCMAFSLEHAEAAGEVADIIISSLVVDVTPVPRKVARLHLICDILHNSAAPLPMAWKFRQEFQSRLGLVFDHLSTIYHSFPGRITAETFKKQITSVVDIWEDWIVFPPDFTAELRARLEGSTIQEPTKEEEQVVSEVKETTPAFTAKFKTSAFKPAEEVAAVLPVPDSDDGEPMDVGSDDDAASAAPIDDVDGEPIDADIDGEPIDDIDGEPIDSMDGVPMVDDDVDGEPMEKAYADGEPMDDIDGVPADI*
>EED81681
MHSIPRPLGPRGLRASSPSPYGLPEVAPLNIRKVSGTAPRKPALKLPQIDFDKVDGGAFAGAHADGAPPSYTSHSHSDSPGDLTVRPDQTVSPHPRMPAAVVSMENIRQTVEEFDEWSDDYLDVINRLGEGAGGAVDRVRDRRTGRVMARKTITTLEAPMKQLMREIKITSSTSHGNIIHFFGAYISPSSSEVKVLMEFCEGGSLESVGKRMKEIGGRVGEKVAGRLAEGILQGLAYLHKLKTIHRDIKPPNILLTREGVVKLCDFGVSGELVNSLAGTFTGTSLYMAPERLSGLEYTIRSDVWSTGISLLELVQNRFPFPSDLAAIELMFHITQNEPPELEDEEDITWSPEMKDFIKKALTRDPLVRPTPKDMLAHPWIVNIMLHEVNMASWMRQVWGWRKSRKAGETSSRPGSSRSDAASSLDATMAHLSLGGDLDSASAA*
>EED81682
MSTVSENSRNRAFFRRCR*
>EED81683
MAYPSENPVLAANLQFILHRNRGSEMAVESLRMALLGIAAVHQSFLLSRQGVCNGEGGADDCMQLAYSFRTKAKHSLYAACNTVDGARDDATLAAATGIILIDIFSGGQGWGKTLTLAKTLVNMRGGPAVLLARSLQSKHNTVTGVSRARLLLETVAVYELFGCLSTGQEPTLLSPHTSSWWLDHANAEDVTSHVEKVFGMSRQLVPLLARITSFVSQALRNRSKVREYSPDESAVESEDVERARMLYSLLENWTRAPTDVVPSRVRMGDNIYTNLAQVILLRDVLGLAPDDPLVQQHANTILNGCADCGQSTMGVDLNWPLIIAGCQMFGADRPRVLNIFDQFRLQCCYEVETSEHIALQVWKRLDEELPGADWRSVMRDLKLDVLIL*
>EED81684
MATTAISSPGKVLLAGGYLVLDPAYSGVVVSTSARFYTVVQDLDEATTVARAKADRPIEIRVRSPQFVNAAYTYLVSFDVDGVHVEQVADSASASFTKNKFVHLALQRTIALAIEARGAGTLQNRLGYGLDITIVGDNDFYSQRAQLTSRNLPPTLASLSQLPPFNHTGVRLPEVHKTGLGSSAALITSLVSSLLVHLGVVARDSFDNDAEGGTASASEGRRLAHNLAQYVHCLAQGKVGSGFDVSAAVFGSQLYTRFDPVVLGSLMKDESTNEALLPVISPSNTAWNYRVEPFKLPPLTRLMLADVDAGSDTPSLVGKVLKWRKEAATAANAHWDALDKVNHALSETLLKLSEMHARDATAYAKAVKYLSTLQSVQHLDQWLAINPNIPPATQEMIDIFAEAHRLSEDVRAKMREMGKLSGVPIEPPEQTELLDACMSGAGVIGGGVPGAGGYDAIWLLVLDPTNCPPQELPSSRIEGVWASWKGLDVSPLSASESVAKGVRLETVDQVNGLRQLLDAPW*
>EED81685
MYIKTLTIQGFKSYRDQTQIEPFSPRHNVVVGRNGSGKSNFFAAIRFVLSDAYTSMSREERQSLLHEGVSVTTTLSAYVEIVFDNSDNRFPTGRDEVILRRTIGLKKDEYSLDKKSASKADVMNLLESAGFSKSNPYYIVPQGRITALTNAKDHERLALLKEVAGTKVYEQRRAESLRIMAETDAKRTKISELLDYIDTRLTELEEEKEELKEFQEKDKERRCLEYALYQRELEEVGEALEEIEEERRGEVHNTNVRREEFNSREKRAQYIRSRT*
>EED81686
MSSPAAVPDKETLKLLLPLRYDGKSVIECNRFISQLLIYWTINTALSSLELKIQVALSLLDGDARAWATPIFSQLASVQIGIQGATTPFVDEKAFLQAFKARFGNLDDAAAAQVELTKLCSDKTMREKRTAAEFSALFKGPADRSGYGNLELRDKYLSGIPSRVYRKLELETFATWQAADKRATEVEQILDDLRLHHAAAETPQGLTREPLMAKSCIGGDDTVVRI*
>EED81687
MVDEEEAVADSPPKACGPVRLPATATRVVDADEEIFLLYTSLAARGPCNGLTGFRGLGHIDSHHDTLTIEFTLDEPHDSTAQGPTQSSTRQNSKKLARQEQTLTVELFQDKTALRSRKGDTGSVLWHARHAAQLLSDARAGTGLLSIVLSPLVMRYTATDIEDLIPLIRKNLAHNSPRNPPAAKTSQKTKYARGRIVDHTPNVIAEALDWVVLHNASPSIRRSLCSFAPVNLLLVVDCIYHPSLLPALLSTIDCLAVPRDTTVLVIVELRAEDVVREFLDRWLSLSSATGGQWEIWSLRDVLDGPYAAWVGWKR*
>EED81688
MREMSRFTCAVKVYYDGGWVETDSANIVPGDIVNLLEPSLVTLPADMFLLSGDAIVNESMLTGESVPVGKVPARDEDLARYKDGKDITGDMSKSFLYAGTRVVRMRGSLAPDGSPRTPALGLVARTAVGFDTTKGALVRSMLFPKPTGFKFYRDSIRFILVLTGIAGLGFCASAVQFVRLGVKWRTILIRALDLITVVVPPALPATLSIGTSFAISRLRKLGIFCISPSRINVAGQINACCFDKTGTLTEDGLDILGVRALERNVDRFGELLEDVHGLPSSRDKTNFLHALATCHSLKQIDGEVIGDPLDVKMFQFTKWTLEEGDVAGTGVVKSRAGGDRPAALVQTVVRPPGSAQFRLEDALKGGRHAHFLELGVIKTFDFVSALRRMSVIVKRLRSSSMEVYVKGAPEIMGDICEKDSFPHDYDDLLSYYTKRGYRVIAIAGKSIEGLTWLKAQKMKREQAESGLRFLGLVIFENKLKPGTTPAIQALRAAHFTCRMITGDNPLTAVSVARECGMINPAGHVFAPVFVSGNLATPLSRLEWSSLDEPTWKLDDYSLKPLTPPAHHLVESADHEYHDYTLAISGDVFRWMINHAPLETLQRMLVKAQIFARMSPDEKNEVVERLQSLGYTVLMCGDGANDCAALKAADVGLSLSEAEASVAAPFTSRTPDISCVLEVIKEGRAALVTSFSCFKYMALYSLIQFTTITLLYSFASSLGDLQFLYIDLFIIIPIAVTMGRTLPYPKIHPKRPTASLVSKKVLASLVGQIVITVTIQFWAFFWIRRQDWYEPPPPADSNSDDDQLEATNFENSALFLVSCFQYILVAAVFSIGAPYRRPMWTNGLFMFSLACLSAFNLVVLLVRPRLLASLLELVPLPFSARTTLLIAVVINIVLSLAYEQWGTQLLARMIGFIMQLRQRRRISDGKMYKAVEGGMR*
>EED81689
MRSATSILAIAMGAACLRAAHAADVFAHFMVQNSYSYEQSDWENDITTAAGIGIDGFAYDYEVGQLVTAFSVAEQLGFKLFFSFDMSYSWSSDDMVALVQNYSTSSASYKWNGDILVSTYSGETYGESFWADFKSTLAGEGITISLAPAFTSYRDPDDADSLMSNFTSINGFFNWWSWPADVDANLTTATDLAYQAIVKSDRTGPYIMAVSPWQFKNLNTTDNDWVEYSDTLWHYLQAIQDVQPDIVEIVTWNDYAESHYIGDINPKVNLGTYAPNYVPKFTHGAWRNVAQFFISWYKNGTEPTDDQVVFWYRVWPKDTVCCCSLEPRNYEFPEDAIFAIALLSEPATIQKNIGSSASSWDAPAGHSIGSVPFPTEDAQIPRCGRGLCEH*
>EED81690
MILIPFFIAYLVFMTNVFIAYDRTARTFGYPSLGGPLKYLQLSGTAIRQTPWLPAVRVDTKIHSAMQWTMYEEVMNSSISYVLPSTMSLDELICPLLEHEEPFADGDGNDDYNDGSEWCRALPESSLLSHLVWFHAQSASSMCALTEAPVEEPNTTPSTDSVDSLDAIDSDIPSPMNTTSKTSPSDEDVSKDNLPDRSTLAILVFISMLGSTALFVAAEYPQLRADSASDFSGKDKQGVPSDLSVSASGLDINPSDSPSIGSIPMDVQGRNRRKKKSKKRKKKASAVAEPQPNPGD*
>EED81691
MQRHKRLLSLIVWLRSNPTLVQRPMLHSRTYAEDSFESRPQRKEGTNNAKLQLRLYDTVRLAGGPSCTRTEPGSAVALREPFPFKINTTSQVGRCHKPHAKSMLMPEVPSNMESDNPGAQKGREQRSRHPLHKHTTWNTNFSLNFDWAQAPYRARVSVGSKCGAARGIYSYDGHHCHWPPVRLALALVWRPLQPNMAPCMHASGQAEQGVDVRHALRSPPPREHPAATRTGRCRLASAAAQGDLHQGRHGGGGDSGTTNNQQDLLVLHHAQGPPRVFQMLITHSNRSPVHALPVALGHACERRDLDSDADADKEGVRTHARMLLVPSVVGIVAVARHKGSLLIGTVRSVRPANANASASVAGAYRRKARATSIRSDWPFAAARRNLHRAGAPAARSTTRRRWLVCREWQDSDASGARSCVDPGAIAPRARAWTAATAAHDGLICFAPMAWAGVASARDHYTSQARDVGDRTRLYLRAAPAVAPPVCALSVVTLRAGRKRTGCPVCPGTQADQWVPPGETCQAGSSPGGQDAGAGQERSLFQLECARSLALALALGAGWVTARGSDEREDMDILCGRDGARTHRGDPTAWDLWRAAWAGMATGIREAVRGIAMRQRRWLSAGAIWCGGTAENGKGERETGAWACAWAGSCGGWWGEGEAGAGDWGCPGDGTASVGWKEARCAAKIALPAPVPVQTPHYPRPVPGDALTLHLSLPLQHPPQARDSTDPHCPPTLDALQFRRASYPHLPFQADAFRGPSSSSSHIYPDSRSHLHHTPSLSAPAFSSPAHPHPHSARTHPQHLHSHPHPHSSPASASASASSAPVGQTTTCIPSLIPPGMDPAQVDMRNFYPYQPNEVKHRKRTTRSQLKVLEDVYKSDTKPNAALRKKLATELQMKPRGVQVWFQNRRAKSKMLAKKAAAARPASSSASTVRENSASPSPPPSPALQALDLTERMQESFDPDALSPQGEAHAHGREGSGTSSSSSSGDTVTYACTSPTPRNGMAEHRHQHPGTASPTMLSHPPNAHPLPPRSDSLPDIAMHFDVPFASPSPAESSAHQHQHQHQHDNDSAFGAYTLSALPLRPGRHHEHEHAHGPYSSSALAPPAHDLLAHRRPSLPILPMAQYHARAYGQGSPYVSASAAPAYDPALRRASIAVPADGHAMRLCMHPYAYVAAAANHQGPSASRNLSPDADMRLSPNMDRNLSPNMDRNLSLNARLRVSMNDQEPVFPRARYAMALEPVSPHTQAPPPNISARYGLLAHGAGGHGHGAYVMSTREMGPPIPGPLPAPGFSFGAPAGGFEGYDAGASEGEGAYSTDKGAYSTGDGAYSTVHRGSLSSEADTEGSEYDAVSRFGSVASVAGSETSWTSYYESDDGGMKEGAAMCEERRGSCGTSMQILEMFSSMGVSSASHPYPHPHSQSSPSLLAHCSDDPQSSPTQDASMMYAPVAGRSSLSPPTVQRSTSSELAYALSHDRCGGSENAERSAPALPSGFEYADPPPGPGDGGSASSSPQGQYGYPQARDGYAQAQDGYAQAQDGYAQAQDGTPKRGYPGTAYDVPTYAEAYGLDAGTYAYTSPGGGQEPDTVYTGGVEISERNMCIPASAGLQYVGFGAYGAAGYS*
>EED81692
MQPDWTGMFGTGSDPSGALNFSGKAVLHAQGVNFSNGSSFSISMSQLQLEDELGKGAYGTVKKVLHKPTNVAMAMKEIRLELDESKLNAIIMELDILHRAISPEIIEFYGAFFIESCVYYCMEYMDAGSLDKLQGAGVPEDVLGRISGSMVRGLKFLKDELQIIHRDVKPTNVLVNSKGEIKLCDFGVSGQLEKSLAKTNIGCQSYMAPERIKGESQNNVGTYTVSSDVWSLGLSMIEMAIGRYPYPPETYANVFAQLTAIVHGDPPELPDTYSEDCRDFVNRCLHKVPEMRATYAELLDHQFMRQDRDRQVDMPKWVVGALDYRKRKHAEDRQKKLLLQDGSTSAPPGTS*
>EED81693
MILITLFISYLVFTTNIFIAYDHAARTFGYPSLGGPLKYLQLSGITIRQPRWLPVVCVDTKTPSAAQWEVYEEFTLDWKVPGAPTQFSNFSISYVLPSLVGLDELTCPVAEDEEPFAQEADGRDDYHGGDKWCYALPESSFLDHLIWYHTQSAQSMCALTEAPEEESVTTPSIDTINIVDVFDWDMPSSSINGTSPTLLDSPSIDHVDRLVGWSILAMVLLFTVKHSKTATRDTGPTIKCEKKDEPEFVPEAPEAMYVVLGSEMCDAYVFGPTRDVPAPSNVFTGPVSVNGWSRDKKKRKRKGKKNKNSIVFQSGNPRDDLSDRRRRACSPRLLLFRLDDVKRMWDWIPSRFCLSNRIPSLRATPPDINNRFYVHAQSSKLALPLDYQQNRVCLFHSSDT*
>EED81694
MIDHLLGRPTPSWKRVQVFLVIFFWLWRILHGNPGGPRLLWLRQSCCTERFTPWQLIVSTLTGVYTVRNLDKILGLGGKCSTLTEGYSPSYYRATWINTGLDAGFATAMSIRPKWLKDFCSVLFAVYYIIYAHEADEKLRKFRAVPTVEMLRTTWEKTANPYVSMVLPMLSRRTSYLLCRYVLLPRPHDSAYKRPVTAWLFFAPPEHHLARATDLILDVPGGGFISMTPEHHEERLRMWAVRTGKPVVSIDYGKAPEYPYPYAVDECFDAYRSLVDSGGQIVGMSGHKLNIILSGDSAGAHIATCVVLRILETQLAIQRPAAFVLSYATLDFNFTSWMTPANLRVLHPLSMVGTRRPVRRRRSWRDALRTLSSTSPDAVHTPALAERLPGSASQDDEAGDMADVEDDAEPKRSSESAKALQARVRFHPHVHEVAPEGNQKEKESPPPSKDVVQSTHLRAPEEPHAPAQENAPLGTRLTMTSRTGYFQDRIISPSMMRAMAILYIGPFRNPEFSSDYYLSPILAPSRLLAQFPPLLMSCGEKDPFVDDTVIFAGRVREAKRARRAELDAMLAGGKGAGKDHDVRALRRERDLLASQGDEDWVTMHIFSEWSHGYLQMPMLMQEARTVINDLADWMDGLFAKLNLDPVRSKRERAAAANDFVR
>EED81695
MTSPNRPLRLWEPVPEPPTKLGRYRKLAPLAGIHVSPICLGAMSIGDQLGNFKLLDAFYAAGGNFIDTANNYQDETSEKFIGEWMETRCVRDQMVVATKVDKSIPQQTHYIGNNMKSLYLSVEASLKKLRTEYIDILYLHWVGVKYVLEDIRPNGSSAVGLGLRCRTQRKVLYLGISDTPAYIVAKANMYARLTGKTPFVIYQGAWSILQRDFEREIIPMVRDEGMALAPWNVLAAGKIRSDAEEERREQTGEKGRRVWNDAWKRTPDERKVCQALEKVAAEVNAKSITSVAIAYVMQKTPYVFPIVGGRKIEHLMDNIDALNIALSLEQIAYLEGVLPFDVGFPGSIIGNGSAYRLPYLSTGAFDKWPYLEAIRPAK*
>EED81696
MIWLIRVLHAVQAKLDEDCDASLHGDSLRFLRLLHPRSGIPALFLPSRDPTYGTTSILEVQAIAPPNRRSWLLPAGEVLSDGQLLLMTPVDPAFLLIPLLRHIVAVDGSSGSFRPMDDLFEEAIAKLAQASNSSDVLEGVGIPRLEDVNYLASFDCVQNSMKRICEVKEIGSDMTVYRYAPDKVLRYLRAKATRLSTQQYASQELYNKLLATYDFTPLDVYLKAFEKENAPSVPEIVKETRAKAKSKISETSGTKRKASANAGVEKLKKANVKGMANISSFFQKPTNARDVTQHVKGLKLRLLALVLSQTFSHNGDASVSLETARSSLRDHSRSSTQFDSAYSHSTDQSDISHSSSSAPSLSGPVRAYSDQLSLGRPSGSNSKKFPSSRVISLPETVSAYSAKAVLERASIRVVSMPLIGVTDSENITLDDADVEKGTTTAEEGYLRTRSQSHVSDMPYTPSPPSSPESVLIIANKDQLAEGFLRGSYNGARTPAPIEDEGWITWAKSPPRPIPALHGPLSLPYARCPSGAEGTIIEEQDNLPRMIWGLEGEDLSSARPRGLGSSTRLRNTPSLWSAKFPYR*
>EED81697
MSSSGKYSVGYFVNWGIYGRKYPPSLIPVQDLTHILYAFADIRGDTGEVFLSDTWADQQIHYPGDSWNDVGNNLYGNFKALYKLKKDNRHLKVILSIGGWTYSPKFHPVVISPVLRSKFVASAIRLLEDNGLDGLDVDYEYPQNDEQARGYVALLKELREGLDAHAARKRINYKYLLTIAAPCGPDNYQKLRVQEMDRYLDFWNMMAYDFSGSWDTVANHQANLFGGPISASQAIQWYISQGVPRGNIILGIPLYGRSFMNTQGVGQPFQGIGQGSWEQGVYDYRALPLPGSYLLRDEHAAASWSYNYETKELVSFDSEQVGRWKGEWIAKEGLGGSMFWELSGDKGTARDGMESGPGKDPQAGQSLVATVKDAMGQLDRTPNCLRYEGSQFDNLRNGTL*
>EED81698
MPPFNVQIIKRPDRDTILELVQLIYCSQDQWDIYKLDPEYDCLVRALPSLTAITRKKGKGRVNSPSNVASESPSPPPYSKRRPPTPDSNERPSAEPRKRYRTYISVSDSSSGDESDELLSADMESSDDEEDEVEDLVAEKYCSPKKPSAADRARKYQELEQNRQHRRERLANKMKMKAPVAEEQYEIQDIEMKDYVSDAADASFVPAFTFASASTSATGSTSADTPKRKVSPRDEPRIRRRRNETSASPTSNFSDSESEQRVKRARTRSPGIPNLEAKRAQRAKRRLDRFRNRKKAWNDAMHEKFMESVMADVPPRPPPPPEETAQPYEGGPQSRPQRMESPPATDNAAMDEDAARLAAIEESRRKLAELEKDRPIWEEAARKRRAAEEAEEAARRARKEAERQAAEAEARRRREEARAEAERKERETKERAGRDRHEKQRKLDNVWRSHKRWTAERAYDRYRLLSDWFDDAKFTANAPLTFDLIPWPVLQHPSSITVEDIDWTTVEAFFKAMRAFIRPQEYVSFVERSHKRFHPDRWRARGLLRSIDDDELRNCLEVAANTVAQAVTPLWRSLKSNE*
>EED81699
M*
>EED81700
MQNMFYPGSRTGLQRRERLKPRQPKLPKTFADAMFLFSA*
>EED81701
MRQKISSSSRSWKS*
>EED81702
MFYRLKCKPFEHLERLDPFRTLANSIIGQQISWKAARAIYHRFIRLFDPSLPEKPQDYTQPSEFFPTARQVVSTDLAILKSAGLSGRKAEYVYDLASRFADGRLSTEKLLQADDEELYSMLIEVKGIGRLTCSLFSHFVVPISFQSVRDLGVQRGVIRWFLSLHSPSAYPITISPEKLPKNPEEDEAANGNKNADKDESTLPVVGESSGTQSARASTPDASSILPAPATGPTTPAKHKGKSKNSRDTQDDGAVLPTPFTPSINKTLNIAAQDVLLQPPPPLPEGLTPAILRSRLNGKNKIKGALLTPKEMEELTASWRPYRSLAVYYMWALAEPPK*
>EED81703
MLSSGCSRRAWDNATSTWQYIVVDPHTSDAVVIDPVLDYDANAGAISTKTADGLLSFIHHEGLHVRRILETHAHADHLTAAQYFKQHLGQDVPVCIGQRISQVQETFAPIYGLDDPALFEKTFDCYLKDDEQFELGRLSCRVMHLPGHTPDHVGYMIGKAVFTGDSIFNPDVGSARADFPGGNAEALYASMQRLLSLPADYRLFVGHDYPQDRDQTCWSTVEDQRERNKHLKVSTDAQTFIQWRKQRDAVLGAPRLLHPSLQVNIRAGKLPPKDEKGRVFFKVPITSEVDL*
>EED81704
MYQTFSNIAAVGATAVRTWGFNDVTSPSGDYYQLWTNGVATINYGATGLENFGRYNVVANAKANGIRLIVTLTNNWDNYGGMDVYVSQLTGTDYHDYFYTNPTVIAAYKTYLDAFVGRYVDEPGILAWELANEPRCAGTTGTTSGNCTTTTITNWISEISAYIHSIDPNHLVGIGDEGFYAIPTSTDYPYQGGEGINFTANLAVPTVDFGTAHLYPLSWGETADPIEWGVQWIQNHAASQKAQNKPVLIEEFGVTANMTETYEAWYSAIISSGLTGDLIWQAGSYLASGPTPNDGFTIYPNNTVYQMEAYYAGQLKARNAA*
>EED81705
MPPSSSKQPMISSFFSQSDTPQKPTQKKRTSTPIDLTIDSDDEAGPSRKRARTTSAFFLSSSTPTTPRKDVAGSSRVELPQDVAEQWRFNPTQPRRSSQNPAGELEQRKRHDRARSILLGDRNLFRSRASPHETEFDVDDMDDVDNKVNDTTHVSDDDEETDQQFSELMEMFSNSKGKATKGRRSAPTLKVSSKQKMATEVGPSGQTYTPLELQIRELKTKYPDTILMVEVGYKVRFFGEDAKIASQALGIALGIVEQTETAALKKAGDTRNELFSRQLTHLYTSATYVDEMNSTDDLDPSSAPALMCLVEELKGGMGADERVLVGMVVISASTGDVVWDEFEGKSFCSTRMVHSKPYELLLPRDKLSKPSEKMIHHFTQYELHSPNHKIRIERTQEDLTYTEAFSYLTRFYSDQTKAAIASESYNSGKLMAAIADFPKLVVQALAYAVKYLSDFHVEDSLRETKFFAEFTERTHMLLNSNTLTNLEIYRNETDYMTKGSLMWILDHTTTKFGARMLRSWVGRPLTDLKVLQERIDAVEEILADKTMKLTQLRELLKRLPDLAKGLCRIQYGKCTPQELAILLPAFDKIATTFQPMNNPRDASFKSPILNSIVYALPQLREAMKELMGAVNIKMAKEGKKDAMWNDPDRYPHLDNLMMAIQVAESELADELHNIRRVLKKPALTYTTWNTEEYVVEIRKDANREIPATWQLLSRRYHPPEVRKKLQERAQYMEALEASANKAYDSFLREISQKHYGLLRDAVNKLAVADCLLSLARVALQEGYVKPQFTNEDTLEIVDGRHPMVEALRSDPFVPNSIHMGNGYPRSKIITGPNMGGKSSVVRMTALCAIMAQIGSYIPARTMKIGLLDGVLTRMGASDELARGRSTFMVEMQETSDILQAATPRTLVILDELGRGTSTFDGYLVQTKKSKTLFITHYPQVASSLELNFSADIENTRPWDHGGIVWGRVCSLG*
>EED81706
MSANEPNHLFFTSKDDPREDCIIIGFTYGTFTAEGTDKPIFYRFTTEQTPSGETRTRIARGNGELVASLDWSMHDQLGMVSIGEMRRPMSYCIRRFRYLHLSLELTEPL*
>EED81707
MLRFPARSRLLQRPPILAPRQRLVLRMWCLRRVTLTFLHAPGSTSPDTPCSCRSTPGRRTSVPNHSVIIG*
>EED81708
MGTSPRTTRFVGTWLRLDVNRKSGEIVFDMLGDLYCLPADAHSTDNLSSAESTWARPVLLGIPHDSDPHFSPEGDKLVFRSDAELGVENIWVMEWTGCDSMNVRPSYSHGELQEALSLKNIEEDLLASGIKETAERKQRRLLREGRLAAQRVTNETYRWVSDARFHPSGDSVIATKWYTSSRSLGAGEGWRYVIPELGSKPEIKAGDGERLVGRTLPPGWGPERYGDQQIGPEQFIWKGNDTLIYSKNVADTNGAFTYSKDVHKGVYAIFSTNLTSKRTTTLVDAYPGGATRPELSRDERTLAFVRRVRDKEALVLMDLKSGTINHVWDGLTYDLGVISAPMGTYPSFAFSPNDDAIIIWAAGQIYHVPLSVNARGEKVAGGAPQPIRFFANIEKRLAETVMAQVDLSALETAETQRVHAFTELRVDTEGTRAVFQAAGATYVQDVGRDAPQAQPVPVLDPSAPYYSPAFVVNAEEFVIHARWSDVNFTTIELANLTSGEAFELTGLPLGRYYSPILCECLGAQRQLAFIKTGGDYLTGDVVATAGAGLYLASITLPSPGQHSTEIAVKNVHLVRTEIDTDDLLKTQVRFLERNTKLLVQSPRRAFVIDLAAGPDEMGDYKHETLATGRMSTELVVVPELAKTVHAKSLAFIDFYHVYYVSAVSSDEAVWSKPGNATKGLVRLSVDGGHDVTFSRDGKRLFWFLGAYLHYVDLDKLGACAGAAEEDADTFGISCTQRVPNYQEVIVEYTSDVERLKKEAAAAHAMSSDEEARASADFFVIANATLLTMETGDLRYDVFDDAILVIQGGEIEAIVGSQDAVIPRGATVLDAEGGYIVPGFIDVHAHWNGFDTLYPARSWEMETFLAYGVTTLHNPSADNVLGFTERFRLERGQLVGPRIFQVGGVIYGAASPSLHQDIIDMQEARSALIRIKAEGGPASFSYKNYNLPSRASRQRLLTVARELNMLCVPEGGMNYEWDLTYIIDGMTTIEHPLPIPVLYDDVLTLYALSGTGSTPTHIVNYGGVMGEQFIWASEDIPNDPKLRRFTRHDILEGLSESTARPKTSYALFNTSASTAKMVRKGLRAHIGAHGEPPIGLNYHSEMFFTQQGGLTNYEVIRAATSDAAITLGIDSSVGSLTPGKLADFVVYPPGVDLLDGDIRSTRDIRYVARGGRLWDAQTMEEVWPVKGRRGTIAPLNPE*
>EED81709
MSFWGPRSSSCQRKSFFLSKNVCAYGEHRRHIYYARLTTSAELAVLVDDPHAHRTPSAGALKQWNADRAASAKLQIAAAQAKLATEKTSKQAMSEDALRKRKEREERRAAAARAKAIAEGLDPDTADMSASGSAATAADDRSVTPGANPGQTTAYTVTLPASSSELSWYDPTNCTYATIEDARATGVWTYPADLHERAKCGVFRDLWEKGNFMGGGIKFGGDFLVYPGDPLRYHSHFVATVHDSPVWTIKPMEIVAHGRLGTATKKAHLLCGWDDEKQQVAYFSIEWAGFG*
>EED81710
MSYRRNPDFARCISNPGLSSPRMANGTLLRPGARALSELQEAEKLLGIKANSYHRLREDTTSDLISPFQHRIIEILTSKAHLVSELQQARADLGCEWGRGTELDGTIAGLRGRNASIATQLENATETLGKEQARRALLEEQVKSLEQSVADRAIELLSLRKDLGAKQARYSELEGQVRIFENAEQQLTKARSALAAESQRAGTLNSKVKELGASLWPCTASRDALLDRLNEAKQEVDVEKQRAIELAAALTSVRAEHESLLEAGSATAAALAKERGALSDALRRLADAEAKEHDLSRRLSAADASRKDLEHELHAKSASLVDAGMAVSSLQQRITELEDAGKVTAIELARTKGAVIVKVSELDTARASLAELRSQHDSLAEDVDRRNRELVNAHEALAVAEGVITSERTEKDVAVNRATEADSLACERLERIEVLTTELDESRAMYADAQEEISRLRSQLVSMQEDRNKTLDQYEHERKNTLALTAEIDDLRNDLERGQQGRNKRSNVKTELELTTAELMDSLCKRCEEFKKDKQALEDHIAELEHTQYTVQRESDGYRKKVIKVAKEKKDIIAEKDKLVKENTKLVKANEGLVAATAKAASANRQLAAERSQLATENKLLIQEKIQLTADNEKLIAVNVELSEAKAMADKHVETLLPKEAALQDCYEDTVTRLQHAKEQNKVLLDQVQALQEQASYEVLEDARRINCVLTEKLSKCKCQRALGDVSNIERKTGVLGDVSKAAGNEGTSLKRWGSWFATCAGEGKRSSDAQLINLSLERLYYVVNHAPLHCRSAQSLDRESAMLRTTKEWSGGGLMYSTVVITADLPYGFLDWVWPPPYLGYALLKLATGLNNIVAAKQLMLVLLLDSVSGNRPNPVAMDVDAIPSTDSRRASCPMSGSLKPAKRARSPASASSFERPSVRYHHFLRRSEAIHKRTAFGGDTSIAIPIARHPGFADDWVAQTGGLRIASPMLPKGQGPPTVALVEDSCTHVKSVLTQGGIATHDVAMIPSIQVQGATPSPTATPASMYGSSDAGHTSRKQRFTMGPRADCEKCRLGVKGHWMHVD*
>EED81711
MSHGKQFTFYTHKAGPNGWKVVFVLNELGLKYENVYIDLDFQKPGYQDPEFTKYNPNGRIPVLIDHRNNDFVVWESNAIILYLTEKYDTARHISASTPEDKALELQWLFFQASGQGPYFGQAVWFVTYHQEKVPSVIERYQNETRRVLGVLDGVLCKQEWLVGDKYTIADISFIPWSNVAINRILADVEGVNIEKDYPSFYAWHQKLMAKDVVKSAWAERAALIAQ*
>EED81712
MSNPWEDPNAPRQGPSSPDELMRTPNRSPQRPQSRRSPPRLAQPQPSYPQVPVNPRPAPPVPPPNALAIALSQIATLLQNQQQGGGRKPVVNKPKDFDGNKDEYEKWKMEMRLFLADHQINDDNRRTNIIVSYIRGPKVDAFIRILYNTNCIGGYWQISSTDLWGILDDHYVDASLREKAQQKIEYVRQGNRSADDYIVEFEDLASQAGYNLGDEHVNGAPVSAALTATGEFARRKKLCGAATTATQTLLEASRQHNRLVKLLQQHHKELLGSYQWPGSHTSQEGMERVLCTKEVGSRCRSIGLASNAERRKQKKGHAETRGIYQTGRQGLSHNEYVSWLSKRG*
>EED81713
MVLDVSNSYLSKKGKTRNDCAIYFNNECGNALRITGDEAPKNKASKKDAVPEKDAMPENKSPWLGRRSWGEMVSVIEVKAVPSSPAFSFLKPEAKFKPKQEEPFIRAKLEGGSAALHQFAEHISQTFARQHRTHIHALYVFMDQARICLFDRSGALVSKPFYYGTQKAGPTFRRFFYRLAGMTPEELGYDPNATRAESQKIEELRQWAATLSGYQRKVAYEALCFPDLQSNETVSEADETASEADGATPKRMTIPAEWPIHKVVLEAQPLDGERQLDSKPLASVTVYIGKPSFTIRLLFARSTRGYNAIQEVDPHAENLRDKYKLRYMKDYWHIVRDRVRLEHQVYLRFAEKQVLRTNLLTCLAGGDVKGREGRCQETRASSHRPQKKAHDAEQDDHDMEMEDQACEKDATGNAYDNEEDLESEEDLESEVESSNPYPRNPTIRRHYQILLQEVCQPLSDFEDFSELCRILAGALRGHEEAWRAGVLHCDISYNNILIYDGTDDQGNHVRTGKLVDWDLAKYAEDMNQPARNPYITGTWFFRSAISQKYPDRSYGPSDDIESFVHVEHYVVARFHQSDATKTLGSFISPYKQYEKVGDVVVGGARKFSAMCKVDPPIVPEGNWSLAEQLYALQQLCSAHYKMFLKESKPEDPPLVRDPQPEYKLKDHQALLKHFDEWGCKSKWDSTHLKRSEDLFLPQRRTATLTQWLIVRATTDEKGFKPTRECKSQTLPAGLPHGHPESAVFESAAFTSECATLARQLRASCRATPAPTSALPSSATATPWSPPALTPGTVREKQARMQEHRQLLEHGDALAHVGQCDVLRGAHDDGA*
>EED81714
MLVRVVDFTGVHLCASFLHYVAAFNTLLRTRGGDAHMPGRRSASNSVDELAGYENVNNTLCAGEIVVSGKSFEVVLDTGSHDFWLANSSGLANYTNTSVSATLDYFLDTGVVWSVSGFALIADTQFANFTVQNQAFLADVTNASAFLSGINGNGGLLGLAPPNASGAISSALQKANMTFSNDSSVLENVRASAHVEARIEAYTVAYIFAQNPDVEPQFTMLMSRNDGEHTTSGGTFTLGNPLSKYANITDQPILPIMNTTTGRQHWTVMIDSIILNNACFTHKGVAYPVNPLDVVTPIGWHKDGTVVCHGAFVTLGEPDPSGPTVVLGQTFLRNAYALYNLNPTGNSNKNTTLPFVQLLSVTDAKEAAANYTAQNNARLEAFATAHGFKYVAQLSAQESQRAQIRGCILLVGITTFVHLIGLQWAF*
>EED81715
MEPTPERSVALETHVLYPRLRSGARRPCGGPSREESERSPFRHRRVHFKYAYSISTSSPHAPNTFTLFLLSDELDARREAAPHTPHPAGIDKPGARGAAQPR*
>EED81716
MGGMGTLLNRLEPTSLESLSLTGRGFEADAGSAILKKVASFEQLKELELVDLGLSAAQLGELTGHLNNLSILVIDANRRNRVDTPSSELLALGLFPCLEHLELSGPPDKITDFAEHDDSQDDDVSSVGNSWPQLQSLELYWRPAPLLVDRSHTLNLPTLALFARRCPLIRSLKLARVHIASVEDPYVEDTEPHNLQTLSLMDSWLICNYPEQVVNSLDRLFPQCEVCISPSGSRWKGVRAIIRELQAAREEAENVEGDLELPVGIITDTGGTYNYEL*
>EED81717
MCSARNRALQLDEICREIVAHIPSHTEKGETSYHRSLARLARTSNAFFVPAIDALWYTLSSTKPIIHLLDFLPFGTPAKAFAETASSRNDRTCPLWRLHYYAGRIRKLSVTQYVNQQRELAAYARLRSVSGFQPLFTRLNELEIVWSDIQHYQDLLDFTSLVAAPSLHRMSVKLSLEQRHGLDMLLPVLEPFICKSASLSSLKVELPEGQDANVTLLQKVMSFKRLEALELRGIFVSVTHLNDVLGHSDSLCYLNAQIADNNLSGSLSHSPALFRCLNVLETTGTPVHIMELVKILPETLTKLSLPLQTLSSLEINDICIRIGHRFRSFGTLKTLCISTPFQSNIDDDHPSTGLFTIRPLFDIDTLEDVNITFGEHILVWKDDDVLTLATAWPRLKSLMLDWVPADIPQVPTLHTLVLFAQRCSNLRSLILRENKLYVLTDTPPLVSKLPLPDAIDVRELFGLKSGR*
>EED81718
MRATSSILSLACSGFVASAAAIHIPFHRKHLPSPPISHFVDVRKRTSSSVDQLARFDNFNNTRYVGEHWTLPLDGMKVNGKWYNTSLSGQHNLTAVLDTGTPLTSIDSALVEDIYGAYTSLIDDGLASLVSCDTMINVSFVFGCIDGDSLARGVEFPVNPLDTVIPSGRYQNGSVGCVGAFVRSQSAPGNGNLLLGDTFLRNVYALYNLNWWGKDGNTTLPYVQLLSMTNAEEAAAQFSSQNTARLNAFNNAYPTSAAWCTRTPPGWYIAIFMIMGCLSVITF*
>EED81719
MPAFDPVRDAVLNSPLVPTPPARMHIDLPAPPHSHQDYTNTPSSAASSHAPSTQSESTLSGVSTPVGRRATDLSVLLNSDPPGSDTPLFTPTTPRAPAGFSHLLLPTERAGSEDHEQLTNSAPLRRRSSGNTX
>EED81720
MVRDPASPRYSPETHVLLLTPPPVNTHQWRVGGALERNFEATRSYAQAARDVGAAEDVPVVDIWNKFWDACGHVEERLSEYLQDGLHLNQQGYAIVFDEIIKTISATCPELHYDSLTPVFPPWDRIDLDNIPAALDKRSIFSNQ*
>EED81721
MAANVEDVIMLLGDSLTQGGWEPNGFAERLAYVYNRKLDVINRGMSGYNTEWIIPIFEQCFATQHEQQHVPKVRILTIWLGANDAATPDTSQHVPRDKYAANLAKLIRMVRDPASPRYSPATKVLLLTPPPVNTHQWRVLREQDGGSLDRNFEATRSYAQAASDVGAAEGVPVVDLWNKVWDACGHVEERLSEYLWDGLHLNQQGYAIVFDEIIKTISTNFPELHYDNLAPVFPLWDRIDLDNVPAALTKRSIFPN*
>EED81722
MVFVTTTNVLLSMSGAIAAIVLWKVISILRAPYTSALRNLPGPPSPSWPLGHLKMMFSAEDSAALYESWVERHGTTFKYNGWAGTYRLFTADTRAVNYIQSHSNDYWKPEISRFSLIQILGHGLLSVEGDRHRQQLCEFWRNELSKNGEPGRIEVLNGLSKMTLDVIGLAGFNYDFNSLNVDGKPNELNEAFNVLFRSLDGFAILPVLQAVFPPLRLLVGIHPPDRRSRRIASAVKVMRRIGMELISNKKAEIVAAATSDQKEKNKLQSRDLLTLLLKSNLSRDNPESQRLSDKDVLAQVPTFLIAGHETTSNAVAWCLYALTQNSEIQQKLRDELWSVPTEDPTMDELNELPYLEAVVRETMRVHAPVPATERVAIKDDVILLNTPYVDIHGQVQDSVRVRKGDHIFIPIIAMNKSKALWGEDAFEFKPERWESIPDAVQTIPGVWANMMSFLGGAHSCIGFRFSVVEMKALVFALVRSFEFELAVPAGQLTAKTIGVQRPAVRGEQNQGCQLPLLIKVYKRN*
>EED81723
MLLPFLVACCSGAVVLASQHPLSPPPLQFDNSSAPFIFNSLSSLLVQWPNTYHGNGHTVIPGILEPYTLLYHARKDTASPPPSPEWFAFDPEMSYGIMAGRRGQTFLHTYRNIRPARIVYFDGMSAALNQMGWLDSQEILFNNGSDRSDGYPSLNEYDRARKLCAWGQEFGVEGFVRMNAGFHLNITAPGTPPGSSDMPPGPPPGSGRPVPPTGPPGRDAPTRGRRGGMGGWSPFGMTGFSEWLRAASQRGTVPQPHILLDYASLVTFYHPRLRSLAQTREGQPMRQHRIWTNISDADAQSVVDEIEDVLGRPPWRRVGSGMDWGALTRNVVEEWASRVVQLHEFLGNASAELDSGASVNTTATLQFVRRLTYSPLNPFMDTGASSNSTAWDWFGSLIPATSFPATAAASGGRRECERFAPLYGHEKKSSKGSNASIPTRNKSLHSSGAVFFSSPAQIGRLIENLSRGADAGSFNIAPEQGRAQFGHSTSSSFGSETDMRWFDESANLSVMICDPFML*
>EED81724
MSTTLPLFWDLSSTKKTARIEASIKLTGALEHFQAQFTPKPEGAQAETAARSGDGLDVLNAQDVSYSIRRLVRGLSSPRESSRLGFAVALTELLSRINTVTCAQIVALIVDSSKTQGSMTGQEERDAHFACLFGLTSVIQSGLLLRNTPLPTSASSSTLASSLSSFKDVLTHLFALGEKKSWLRESAWWAIGLAVDSLGSSDVAWKEEAVDAVFLALFSERKYWTPEKVALALKLQKRFPTRDWKKLLTPTFKHTDLLSTGNLTTIARILKEAASDEESKPDLSQTGMWKPQVHFVWDVLLDEVLSESNTGPDAKCSFPEFFRITVDESLFASTASPERKYWGFQIFRKALPRVASSDLPLLFTKNFMRSWINHLSNSDRYLHKIARQVATDIQSVVKNNSTLGFTLILQLTGGHGSRQFDRLTKTKTVETILTSMDTGGIESYINYLLEQINDESALADIQALNGRRAWILDQLSALIRNGAIPKSDGWVQLVLDWLVVHGLFVAKKKSDKSPIKALHRIPSPPFSDDLRKACRERLLSCLAELTGQLTVVKEDDNKTLKMPAVASDGEFWIERVLSTIDQLEKDTKHVSPLDDVDEEELELRQKSRQIAERLRSITDSRAESAKGARLLLSATLLHHYCSDEDVDSAVLEVSTRMFPAVEKKNKKSKKSTSNAADNAESQAAEPVDVLVDNVIGFLEQATSYMRAVANQVFSLLSGAVQESTIDLILTQLERRDPTEGIADEEDEEMEDDEKSASESDDDDSGDASEENEDEDDEEVDEEEDAELRRKIEEALRVNGVEATDGNSEDESDEDLMDDDQMLAIDEQLAAVFRARANERKTGKDVDAQREATHFKNRVLDLVDTFLKKQPTSPLVAKTILPLVDLVVSTGSDEKQLADKASGILRSRIGKSKEAPVNLDKERAKEVLEELHSRARKTPSSDVLATFAQCSVYLSRALHHEGEEEAVLAAYRASLADFVTRKASKLNTGFFLEFVRRHADIAWGLRDDLTSIAGKAVNPYRQCQAFQILHTLFMSLSVPASRKAEFVAFIPTLRRTLQDVISGACDASSAYQTPQVKDLFKLALAAIRLSKRVAPEELSKMWESSTWSALAAKLANSDRFRSAQGLQSTCKQITNLTANDIKAVDNTPAKRKANVLGEEEDQVATLKTAKRKKVKKSKIQAS*
>EED81725
MSSGGLHSLATIIKRLEAATSRLEDLANLPSPGYAAGLQTSAVPSASSEPTPVPPPHAAAAPPAPVVEVPRHVQAFDELVIEGKLKPFVDLTKGFASTAVVEQASLLEKEFSDLRSFLLLAANCKKPDQKTFESLLAPLQKDIEAITRAKEANRKDRDWFNHLSTVAEGAPCVGWVTVEPKPGPFVAEIKDATQFYANRVLKEFKEKDPRHGEWVKGYSGLLEELRKYVMEFHTTGLVWNVKGVSVEQYQSSTQGAAAAGGPPPPPPPPPPPVIPPPAAPAAPAGGVAAMFAELNKGEEVTKGLRKVDKSQMTHKNPALRAGGTVPSSTGPAVAAKRPVKPTKPQALAGKKPAKFALEGNKWVIEYQENESALTVENVELSQTVNLYGCKNTTVIVKGKANAVTLVNCTKTSVLVENVISSVSVTSSPSFALQITGYAPTIQLDSTDSGQIYLSKDCLGVEITTAKCSSINISLPVEGEEEGVFNEVPVPEMFRTVIQGGKLVTTTVEHAARGLDSARLGQPQRGPDGRYQPVEQIYPLEPPFVGGFTPPGAPSPTSHNALPPLPPRPPPKDDDIHPGRLDGSVPDPARGTWIPPRKDAGVGYEPARAGVNPNDFCGPGFRSGYDPVWIDLLEKHAEQPFHALVGGGDQLYCDGVVREPELQEWVTMSKSDKKRTYPMTDEILAAIDRFYFNHYCQTFRSGAFARANSSILTALAAVRFLFVRSSRWYIDMLPDPDELMISPVFRTIGSRGYFFFLLFQCFINMGIDGRDDKHHPFKSLVIGADGPFVSFPSHSFLTYLGPQVWMLLLDCRTKTQPSV*
>EED81726
MEFHTTGLVWNVKVLSIRFVDRWYLTVLHRSSTQGAAAAGGPPPPPPPPPPPVIPPPAAPAAPAGGVAAMFAELNKGEEVTKGLRKVDKSQMTHKNPALRAGGTVPSSTGPAVAAKRPVKPTKPQALAGKKPAKFALEGNKWVIEYQENESALTVENVELSQTVNLYGCKNTTVIVKGKANAVTLVNCTKTSVLVENVISSVSVTSSPSFALQITGYAPTIQLDSTDSGQIYLSKDCLGVEITTAKCSSINISLPVEGEEEGVFNEVPVPEMFRTVIQGGKLVTTTVEHAATPPIQKPEKAWVDEDREESNGPLTRTAQALDMSDPLGQKLAAGVGSGADTPTSGRWATEDREVDTRCSFPRPLAVISNGRYIPPQKEFGASYMSDSKHALPKGAPCALGRHYPSGAKLWYKVKTALLKDRCVCTNIVAKFQQELEVDSSPLSPAPPYPPATMPSLELKTNVPLSDPKIFLLEFSTLAAKTLNRPEVYISVSYNYHENLTFNGSFDPAFLLTITILGDMKPELNEGYSKAFFSFFESKLGIPGDRGYITFFNPGFANQGYKGTTFDTILRSQASNL*
>EED81727
MALSPALRASARAAYRDVLRASAVTFTGDDVVRGAFRAMIRNQVMAPSQPSDSEQLQEKIKLTREIAEVLRKNIVQAVKIEDARDPESFDRFKLNITEHTELGSNDTIRDPQPVGSPHRNTHLARPILISSADAAKETPSTQVPRYYSQLKKAHKQRVVPELKEEDLEEAFVRGSGPGGQSINKTENNVQLLHKPTGLRVSCQETRSLSQNRKLARRILLGKLDALYNPGLSKQEVKKALQQERKRRRKKKAKKNKLGSEEEEQDEDD*
>EED81728
MSANTHNNPYVSQPPPEHHVHRDSEVLPGARDAAASANYDASLTNDSQTWKDNNQRQFGAGTDDRNVMAGGQHDTSGAPDSGRNAFNAERPLDASGVAIGGQANLPEGKATMTDKLIGKTQKVTGKITRNPDMHEKGELLYVSTSRYGGASQGDAWISFDEVVKAAIELAFGSHDVTLIFARRMQRWSMSQPGVKLLDFFESYAKGTTPDIMNDGDDLGGLPRFQTAKAEEFSLTLRKMGSIDSDGVDASGVGEARISSEGYLCRHDASRKLVCHIGCIRAHIIGLFNLPRWLFLALPIITSQYSSYYRYVSKGVFCVTALHLIHSYSYAYTSGKPSGQSAGHLLLGDIIYSYWLQYPDDSKIHRGTVGAVWFIDTIHTAFCLVVIYHYIIIDYGNIRLIENMIWHFLRSAGLGFTLVALTFPFSSGFRKLHPVELIQSYMINTGGLTARILGNCVYLYANSFIATDTDVQGHMLAGDVMLASGIQDNDSTALSVSNGNREDTAADRKTPILLTTTHGDGTDTHSEMKTELLP*
>EED81729
MTDGRGRPATATANVPLSSTATMSKPSRLRPPFTQPQPRQAYHHNHAYASSSYSRATYPAFLPLKDTARLQLTCAGRGLLDAFRWDIVVRLAASDPEIRANIFKSLVLNALALSSIYAFDLLLLPLGERHWLRRNIGWAYQAFWLLPVVGVSLYLNNTWCTLIAKRTFALQHGPRAGASPPATYTGLLTALATSAYGVLMVLTSVVLSLALSRVPVAGPPVGFAFLCWVDAHVWIARGLSLARRVRHLEERWAYYFAFGLPSAALCMWGSSLANAALFALVFPGYIIMAMHARPVPLDPYNSLSAASDANDDVLHPHPLVPIRIPVFALVLWLNDWVVRILTLGGGRAARAGQMSAHRRMLSDAAESVEEGERWHWTVIEISYRSRAD*
>EED81730
MPSLVLKTNLAAKTLNKPEVYISVSYDYSENLTFNGSFDPTFLLTVTSLDNITPELNEGYSKVLYNFLESKLGIPGDRGYITFLDPGRAYMGYQGTTFGTIFGPKH*
>EED81731
MRVPMAQVNFIGSNQSAIRAPLLTV*
>EED81732
MGRFRQSKQHDPQWSQYRDTRPVALPPKVSHQRQKPSKEDPNDSDVTAHSPASTTSSSPLPTPPDSPRARLSSPSSVEESASAPQQQREESSLPESTITPALTSHTNDDNRAISSTSTNAQPAVLPEPETDATPLAVDQKGKTIERVPLPSTAEVQNTAKPVQKLPSATASTSTNASNASRVNGDKINTTTNASPAVAATRPADAPYQTPQGWMIPTRPITPAKSVSERNAAVANTVKSYVAPPATRSLNATGSWTIAAFLRYIPGLHLSCGCRAVAAFFPAFFFAIFGCEIWAVAASPCSIPTSPRSV*
>EED81733
MSSGVRIPFRIERIPKGYSSRSIRATMRTVDELAGYDNLNDSRYVGEVLVSGRPFEMILDTGSHEFWLKTDTTLSNYTNTSITVMIDYGGGTGLGSAVSGFVLLAETQFANFTIKEQPFINVLEPASGFIVPGINGLMGIAPLVYIFPCYYWLTVLFGITTSPNNDTAINNAFKQANYTASNGGNPVENIFAQNPNLTPQFTMLMTRNDGEVRSSGGVFTMGDPISEYAGITSQPVLPIVNTTLGRYYWTVYLDGIKVNGNWPNGTVFTAVLDSGTPTTSISPELVEFIYGADAEITSDGLAALVSCETMLNVSFVIGGVEYPVNPLDSVIPTGHYYNNTVACTGAFQRAQGPPWDGNMLLLGDTFLRNVYALYNLNLRQSDGNTTLPFVQLLSVTDANLAACQFPEQNLARLESFAQAYGYTVPLPPDPLAPILRNSAIIIVMSIVALILAFIAAFYAVRNARMQSSRRDYVVLSEEQKGGR*
>EED81734
MFSALVASTSALRVPVILDTGSPDFWISTNVTFENAINTSVISGTNYGTKGGQSRVSGYILTAEAEFGGFTVHNQTFVDVTNMTTEDGTGLLFPGSLSKAKSPYNGSRPVSNIFAQYPYFPPQFTMLFSRYYGNNITSSGGEFTFGDPISGYEYIEDAPAMPVIDNFLFEQPTGAKNLTAILDAGTPTALMDSEFVDMLYALLLGDSFLRNVYTLYNHNPNRNWGNTTRPYVQMIPLTDPNEAWANFENWNQQRLDSWKNISSIVNNYDSSDNSSDSSNDAKVSTGAHLAGDVTDSTPASSSSPDFSTLTRNSYIILGLLAGVLVLVLVVLGVSCAGQRSKTGQGYRVVAPMGEQKTFVSSYSE*
>EED81735
MSVGSDDSSPFPLLFESRTETKRPPLRRTKRCQLPLDFFSSSCSEVSDDDDDEVFCSRFVMPNHHVKVITGSDSDSESDPEDDELAKIIRLTPVSVESLKTAKASHVPAPATSPPPPAVNPVPSAHDDFDFSAPPVYHGFSYAAFGLVKRVWDIRRDAWNNQPAAAVAAAAYGGIALSPEPQPQDRPPLVPQRVASSDPSAPIYPRIGSLAGLHDPQSAAMDRAFCRFPMYTMLKILFLHEMLRSSSRLPPPTTPTAPQSRSPTDSDEEDTLVGDEHADEDLAKGKTRAPLSNPILTATEWQRTWLERWQFLMDVSTTDPLTVSPRLTSPEDTALRVELLEHTTRQWACADVDIHAYSVDAYADTSAYVEETSICTDESEDEDAVRSRLMEEAFRPQSPKFFFAGSDDDDDDDWDALEEDFRGLASCSAAAQFLSRRDMNHPNMMAMA*
>EED81736
MSARSATPASTPSLVNRRLASLLMVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLADRASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRIEEAAKRAKAVEERRLEDERRRKEEEERRLEDERRAQEAADEESARIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMTEVDMAAAAIEKRQSGQKCDRCAGYRSAPVDCVWAENATTCDRCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRTSRWGQRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED81737
MASRHSSAPPAPASESPSSAHGTLRPFSLTPWTSRSSLSTGARNASGGPNSVWRRTRQADEVHLVQQEAGDLQAAGSAAGRDVSVHKDVEAGVTAPDDGRVGAAAGRRARGRRKPMNMHGRPWFTRGTSAPTAEASARAIVQAQGSARTDLVGKTEDAKVEDADAGVGTGGVVVHGEHEGKQCYVVLARLGKLAPPATPSSLDVNDDGASSYSGEQYARPVSRYIVIWLVGKTHVDELQSTPHMQAPVQDTGLTKEVERPRRRAAAGKSMACGFGVWAARRVGTPGKIY*
>EED81738
MSSGRGRYPLRQDNTYFPPPAVSGHQNGWAAPFQPAGTANGHPPLSPAHYLFVNGYMARPEAPQQPVPCPFPYAPMHTTNIPAAPSAMVAATTATPNKSRPAKKRNSSPDNSKGTRSAKIQRVSQDAPDIEMLDASPAPPKRNGPQKHGTAHTRARARARARKGVPKVPPNAYIIFRSDYIRKHHVKSSIPGAGGLQTHISGIWNAMSAPERAVWYQKHAEAKEQHRRDYPHLYVPEEVGSSDDDDDPHDEDYIPEKSETDEPADTVAFFQVHDVAEASTSPILPNDVPLHEEQRTGTAHVSATQLPLAPVPPPIEPIPDSYATRGPFASLPDSLKRIINNWDDASSPPVTPHVSATEMEPPAVPLPDAQQDQAQRSQCDVIPEPGSPATVGASSYDQPADVSTAPNRLSMIGRLNLESTTAQYNSYTEPLELCTFQDICRSVLTKEGEMMVFRSIAFPDIHVVGVPTSIAKNTGKIASSILLEQAPPYHQRCGCRPDSQLKIMCRTSDAGIKKAIGLVVFAVVHRLGRFFVHTQARKMRQEETERLSSGLTLYIKNLRQSYRTLENSSQQRDERRRLDLGPVAVAAVWHLNTLYSGHLPTMLRVIRLFSASSGSRHRNLARLARTSNAFFVPAIDALWYTLSSTKPIIHLLDFLPFGTPAKAFAETASSRNDRTCPLWRLHYYAGRIRKLSVTQYVNQQRELAAYARLRSASEFQPLFTGLNELEIVWYDIQHYQDLLDFTSLVAVPSLHRMSVKLNLEQRHGLDMLLPVLEIFICKAASVQSLKVELPWEARQDANVALLQKVMSFKRLEALELYGFYVSVTQLSDVLGHSDGLSYLKVHVANAGLPGSLSHSPALFPRLNVLETIGRSAHIMKLVKLLPKTLTDLRLTVQTSFPLEINNICIRIKHHFRSFGKLKKLRISYVDYLNRNYQIRPFIRLFAIGPLFDIDTLEDVTINFGEQVLIWRDDDLLALANAWPRLKSLRLNWPPADIPHVPTLHTLVLFAQCCSHLQSLDLCHNRIYGFTEIRNYQNHHRQAPYMHANCLN*
>EED81739
MHMRWNLLSPGLCSGKFCKCKLCVISIHAATAETHGNAHVPLAQLNYKWGNPFGTSKGERDDLCTESGRRASIYDVNVTERRRTNNIRRSIHSWYILTLSPRAIVSPVETSSTGNPLPEYANVTDQPILPIVNTTEGRQNWTVMIDSIIFNNATLEVNALSIPPGQPTIAPYVPCTAMIEVAFVIGGIAYAVNPLDLVTPVGWASNGTNIHNGAFMALGESDSAGPLIVLGQSFLRNAYALYNLNPTGNSNKNTTLPFVQLLSVTDAKEAAANSTAQNNARLEAYTAAYGFKYVAQSSAQGLQKASVPGWILLVGASVFRLELDVLSMDFHAGLVSFMHLIGAC*
>EED81740
MSSVSIVGPKLQRRGYLRARQAHMAELERPKVRTVSGKESDSIKRVGMCVFNPIGIRVPEDRQCAQSRPRGSNRENVGILPCYNFPSQVYVHILERIYVQKRPDCPRKPRGMKVVSAHTWEELEGGRCYARYTIIRRIEMEFCETRRSRCSNDATIRNNTEFGRGSDLGSTTLNDRSEVDCPEGDEVRKEELVTECRRQSRVTRDVYIITTASIDAQCADPIGIPSETHERICFEVAVGLLWDNICFPAGYTFDWMDVSYYMPAYFINLKGPSLRIYCFRHGETVRERTRFSADPPSCIRLTALIQCPYNIPDPFSINVTQRRQENNLGRPQDGKFRQSTSLWACHNSVSYGSEARIKPMSLVFSVTALDNLRARLLSNDFASLWRGQQDPLIFSALAKLLSPAAIPQLFASPSLAYLALWKAGCDSLLSLSLRLMVRSPARRILAGRYSNDLAEMGGFRGRLPSSGAGVPALASIEPGSRSPDISRGHFASLFREQLPVVSIWDSDYKPISRDDVPVDWTRECKSDSYIPTQECVVDADPAKDRHSHSVLNYYMTIWAPMTPATAITAQRLLRLIISISAHTARREIVVSGKSFEVMLDTGSHDFWLASASGITNYTNTSVSATLDYLQDTGIASSISGFTLLADTQFANFTVHDQAFLADVVNASAFLEDINAHDGFLGLAPPTANGTISLALQKANVTVSNGSSILENIFAQNPDVEPQFTMLMSRNDGVQTTSGGIFTLGNPLPEYANITDQPILPIVNTTTGRQHWTVMIDSIIFNNDTIPMNFSAVLDSSIFTSMTELAFVIGGITYPVDPLDLVAPFGWFTNGTVACGGTFVTVDNGDTSPTMVLGQTFLRNAYALYNLNPTGNGNKNTTLPFVQLLSVTDAEEAAANYTAQNNARLEAYAAAHGFKYVAQSSSQESQIKQIRRWMLLVGFMTFVHLISL*
>EED81741
MCASGKHTPTSKAQEAQPHGQGSCKLRVVASAEGVRPGRTPSRVRLMKHNLMGKAHPWNMSSEMSVSAEAACCLCSAINARQRTDTATLTRASPYAPQNTHLNSHRARHLWQAPVTIGPRPAREVHGSRVALGIERIVTVERRKLHRLRDDLADLVTLRLGMSILGAPRSLNTSGPVWSSLAHVHALRETFIKYKQF*
>EED81742
MSFALMGRFPRSLRMPRVSPNCPTGQPRRISAWSIAISWPTFLCTVFAFKPSPPIRRRLWRNLCAPRRKLSPLLTSRWLMPARALPGLDLRLSSRWLTRPSPPA*
>EED81743
MFYSSRHPQSSSSALASPAVP*
>EED81744
MTFLARFLLRIVIKSEERLLMPTGSRRVDHSRPEHPLSNYWNFGSRLSCVQFLVATRQTMIKAGKWGRWQKRDGDRGARKQFQSVVLQAVISQEAINRCFLLAEHRQIALRKADAKVVHVGSKMPSDQLSNRIAAAHTYGPFASSMLGIPDFQKRKRFNIPDILLEVVVPTPGRHLIEAAGRDISDAADSPGTTDIVGEYTENEGIKATQCLSMWMYFTPLAENRHARPRNTSGS*
>EED81745
MPTLTIQLPDGIISQPTLDEFRERYAALQQTILQQRTIRALEQLGEFPRNLWIKILLHLSWHDLMAFRQYKIELGYAGLIDGSPEAPRRRRLEILHKLQRSWRIPSFSIPVYGYLQHAYSVLSCGIMAQLVAADTIELSLLPSPLRGQLYPLLWKTIIVPNVSNIMCFYVDPAQDLLVIQETVGEPTYHGRTHLRSLAIDGNKHPLARGSPFLGAPSRPVIVQGALLGFPYLSGMHVLNWRTGNAVWYANRGSYVCSMHYPVLYDDITFRRTLIDQEARSYRSQEDAPFHADPAVRNLFSLTMKVARDVAWENWGPMGTRMFEQPTGPSKTFVSSSVLASQCLTTCRAREKERPHLISIYETNPMLVTREARKHRVREGKSKMIAQKILYDLGPSSLTAEYLFKDVITTSWPYRLVQVEVPHAVGDIVRTVLLEDSVLVQIIGNREVHHTLGRGGAPVEDTPPCPRALAGVLCKDERTWLIQCHALKKLTGE*
>EED81746
MTEQIAASSPPQKELPSTLEAAPGVVQPVQTRRSSPIKNSLATTRDTHPLTQKTYSPPYGSMSNLHKQQKACSHPSTNNHSNSLKFTPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQHGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQKDRKTVLSLGNYITRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLLKELYFALATRVARDRPNTMKAWYDEVQNADAAKQGALVITDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAVLNEADRKLHRDRNLCFYCHIKGHSAKDCHKKAAARQGGGRPNQGGSGKDDFRARIKTLSADKKRELYNDTTYETLTPNLGAT*
>EED81747
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPLRSANPEPPASPVVGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED81748
MRRWGYLISVPTLSQYIAPLTLGVWHEKYVRHLNLKNGGYGPRWARPVCTGTSPDGLLVGGGVDVRVQANKLSPCLVVFCMTLSRLTSQPIMMPIKDLSNVHKLESGPVWTTRRRGSMCMVHEGNSSSGNPAARDANASSAFHVETFEGHHAEVEVSQISTYVAPAYAAVGSPACAYFEWDASQPVLSQIISASPPSPITSSTSQPSTSRPAKRPLTDDDAPQGSPKVPRLAKKGGLSSPDTALTEVQPKRKHNDYIRRLRVSGYKAMSKDIVKEIGLIWRSLPYDESQYWKDQAKGVKGEHETVYPGYKYKPVHKKKTGRPPKCKPRIDDILAELDKQEGKENNLHPKEDILAKRQGTLTEDSHTVENMRAIADRPHPQSHFPLHYSPYSQGAATAQYAETNKGPSYAAQAVPQFQPQFASVIPAFQQAGASCLSTHNAWQPVHPSPEYTHNIDPAAYNGFARSNIAYPSTYRIGGNHAQHGHPTQMNGFEVVAPVSMYNATQCPAYLPSPSHFYAGSPAALHQCGTTVQYTPLHAMPTPYSIHEPSSPYVGAPREFSQSAPPNQTAQGPSEEDVAWMLAVLEEQESLVPQHRDSPDLGTSLTFPAQVHDHLTAPEELPQSAGRFSDMLRDIDRWSDSQ*
>EED81749
MFFPRPRITAVVAAIRRRSPRLRNSPELLGGPPRPSGISRAVQRTPLAVQRVAAALTPRPRHCSSDPAATSRDGYNKFERSLKVTLSTAEEPRASERDFSSQNQPFPVATGISNKNGLPLARRFRKENKVEILFCGVRFSSYKSFPYFESLDSSMETKQSKPRNPLASIRRISGARRVKVTVYGKIYTALVPLPIPFNERQPIPQIAYIPPLFVHNTLSIQLPFINFDWSGNPYRSGIRPIDVGHIYTEQLTECMEGGRDHVFAHVPFSNIIFLFQFEASDPNRLTRAQLLEKTRDIFLSLLNSRGTPDPVYDRVVQLLLAAKGVKYDGMIMTGISQLHGNIFRVHCDTWLESSAEPSP*
>EED81750
MGRFWLWVPHPRQTSLRITACIFLIVAFLASFSSDPGGSVRFSHPEWHCRPLHEFEPTQGEEYQITFYDVDSGYQIRVYPSSHVGDGRNRTGYRATIHNPEEGNQTLLLCSLASESAVPIITTTIPEIRQQTAVTNYPYQLYYPVKRFAARTSEGNPGYAFLQWNAAKSSADVPGARIAHDEQSSGCAESLRWAQAGSDVNSRKPRRELRKRDLNHDSRLIERNAEVTWKSLPPDEKSVLERRAEEVKMQHIQAHPEYQYRLQRNGKKILK*
>EED81751
MSLGMKRCRRTSPR*
>EED81752
MSTVTSDTARPHKRTRTDDDLSSGPLKVPRIDVSMDETCNAQSPKDTTHERRNAEETRGEYGGNHPAYQYEWEHASKKKVAMNYEELTQEVEGAQDEGQEAEAQTVGISVPSTNAEVGLHSSAIGAKSLVGPLPILPPTVPPISRLLRSKLALQAPLPLRYAELELGDTRNKRPSIKRCLPRVQPYFGRESAPALQPRLAAASYPRNVQHDSFLLPSSGATSSTGYAIPQVTYPQPISGSTGQPHYQPPLHYQPQLQHQPPLRLQPPLQLQPRQYQLPPPMYGYWFRPAVSIPPTYTTVAPASMSYSSMAHLQQSPAAFHQHPATASFPQPTALHAMPIPDGAGSFYATYRGLSGSVASVSSGQPLYAEELSSLSIAQGLYALQQEQSDRPDPDALASAPQYGQLAPGNEVVQDQCGSPDIHTISGQNSNFQQLQ*
>EED81753
MSTPCDERHSMSNGFSVFPVIAFEDQHAAVDASQPTTPGYAAVGSPDYAYIEWDVSQQGPPTTLSTSLPNTPEPCMLVQTDGAISQKTPWLPEASTSSSGNATFRTKRQQKPVCKGKAKRDPSYVPRPSNCFILFKNDYLDWMRESGCKIHMQSVVKVIGAKWRALPPEESQYWKDRAEKVEKKHKVAHPGYEYKPIRKQGRGCSKDKPRTDAKPTETTERQSMDKENRPQGREVSEDKSMVASTCNEDGLNVEHTQLYRSQPRPPVPSLPSAQNYVDAENDWTSNQQFLPYIHPLPVSSALPVYQSSGPQYVATPQCQPAQPSDVASSYVACSLPYHLNPNRDQYQTLQPMNGYQFRAPIPIQAFNGDPRLVSASSSPSAYAVTPNLTLFPQRTMNTDSTQSTLPVPHAIPTHSSSLSPSSSYTSTGAFPQMVPPDLSRTQPLTEELAWTLAALETQGSYGTQQGDWLDMRSSRTLATHRTQPTLSEVPSQSGSWLPGMSPDLDQSLGFQ*
>EED81754
MCRSGDGVREIVVANARTGYTAATGDSAKGSGVGIREIPAAKAMGSTVECTIRSGTHSVTVDGSGSGVGVNDMMIYLLRVEIRDSWWLPGYLLRISAAILQAGCGSVEPKKPKAPDHSYI*
>EED81755
MFMENTNSVKPAHPEIRRGRVPQTAWRIETVSRLPTAPQLPVDTIFFTHKNQTNGCGVPLRDLIACPPRQVDETIGENMAGGSDEVFAAVEYKSITINVQWPSYDFVYNENVPIVNRGKYITRAQLAISLERYEKYTEQARDQPPKMSLYAGGSISGMLPRENEMPASTSRKGNLLTVSILGMSDEIECEDPPSICMYTEGVMKYELPWCTRRNRLRIYHQIKVSRPMQPTAPSAIVTISDSLTDEDGVVVEAASGEELLAIYEDDVELFSAGGQTGDPNTKIHINEVVKALLRSIRCFVVAGEWQRRRIKFAPVAIRPLDASVVLVVRFTSQIEVRSAAYENGVASQGECKKQRGALDKDYRWTIYQSMSGSMTGLRLQRSTMLKYDYSDLDTLCA*
>EED81756
MDPNAHDQWDKMAALVARQHRRDHPAYTFSPQHTKKENRNKAAPMDVVERTQTAQDVRLPEITEERAAREEARWHSRTSIHFFRDIIYRDATHDAFRQYNRDALCFGEQYIQEMQSQQFPASAQASESPNSYVPQCAAPQPILQHLNPLSQSIPMDGFTNNVITQPDAENPPLDEFQTTPYTASSAEGSYRHLFEPVSERLHSLVTMQGPGVSHRRRSDQLNSLEAETQYWNGIPSGSMPLDERWSRQMPSEFEQRGDWSRSVPPHITVQCQ*
>EED81757
MTLAVCGCYRWREHWIYTAIALLRRRPGASDVSSWSRRGGIRTRKPDDGQFGAMTRALREGRTRRSSRAGWLCVFLRFIRVRFLLAVTRHLPVLGLRLFKYTACLFVLVNLRPRPFSWHFRVFSPLIALRLKFHLLRLRLLLNSHHVEQRAKAQWLAALPPVGKSPFDVTVAWEGWESNPQDYINGQTSIPASPLEPGRTTAPACSFWYALCMIAEDDMRNFRLCHCIARGVVIANGTKYERGAAFGLGGPDVYSVSRTAKHILDRCPERREGLNGQTFVSRDISRLGPQIAERRIIDLAAIAEEISPSPTPEPQPELPTSTKRRSDILEQQRVDFAALAAPFPRTPTPDFLPESPPPPTHPVLDIDASAAMGSPRPLPAERPPSASFHETLAGRSLDHQRASALAIPASPRSSTYPESLSTMPPASGLQALAIAATFARISSLPCSEDWYLTNDYQTTPSARRFSPPIALSPGSHTWNERYQAGRSPDPGAGLALRQRALLHSHAVLSGMVDPTNKDVALRWLFQHAPLIKSVALARGPFVRFVANWEQGDVVSVDRRGRCFLTYKRRLHQTTEIIFHFPPAPFAGYPDRCKVVETVKFASESVPGAELALTARRLVDKAVRPCRGVYPVMVTNPATEPLTGSRPLDDPMPFNDFIPFTGLDALVTKDLYPVRLDQKCPIAFAIMASVNNCTQGIVFQQNTNSIMRQPAFQPQAPIVFACGKDKTPVQLFGTRTPEVGDLLRATEPLRRNRDGPSIRISVHLLGYSEYGFVFKDVPVEGLTRLDLASVVQDITHRAIQQRGYCRADAVTIPARREELRWGW*
>EED81758
MVTVHSDSVCRRGAWHGAYGDRQIQAWLFPQQQGAQARDAAYPAAVLATWTADARGKLAGNTVNRRGGHGLLKQLAAMDISAARGCGTVGQRGYTLGCARCGLCQRCHSVGGGKTTGATQPPEVHPMSCPRRATTLTGTARAPAMCQRVGAKRADRAVQTLSAPGIRAHRMEAGGRMAAREERAMGGAT*
>EED81759
MDAVTRRLLVLGSLGVRLLKYTACLVFLVNLRSWPLSWHFRVFSPLIALRLRFHLLRLRLLFKPRHVKQRAKAQWLAALSLVGKSPFDVTVAWKGWASPDDCDYNLHLSNSCYAKSLDSARLAHVLKCFPTFFRAGGWMPLGGTHYTFLREIPLLARYEIRIRIVSWDNKWLFLVAHYVTKSKRSKTQKRTTKQPVAEHRLPPTPPEHDARAQQAPVPLLHTPATPLLLTSGTPEDAATPVRATPDAKPDAHAVAVAAALRMHADPDDDVTLHCVAVSEVCFKVGRITVPPALVLACDGMCDGRAAAAGSGSSDSAGGEGDAAAAGGAGGNGAAGGEGFSHARPPPFWAEVKRLRGSELELGGLRAFYAGGWREVGAREDGGEDGGARWWEAALGGDVEARRRAGMAVVGALKTGMDGVRAV*
>EED81760
MHTILDTSFRPHSDSIVDYLCPRQTVLSRETSSSVTCASVACPGFAHSLLATKNAPGRSEGIWPAKYTGMEQLQTEAKKFDASAPDVDVETTYDCIPPAQNFNGGVWFLEYVVPTWMAKIKFEAAAAAVTIVRLLRDNKHLKEGHADHAMAKISLDLSSTFQDEPVRLLLDELRRSLGKMPMRKRPEIYRNLISTMTVGPARPEEERLGNTRQTVYASMPSLRATEKPHATDAKAIIESSDVGKTQTQLIEEVSSNDLDPDGQPAQDANNLLPSSDLRLTANKALVSQPTHQQIMDKAPAFPHTSRPLGLLFEMNALKMNFPPFDVLQWE*
>EED81761
MDLPQSITLQILEECLVDISSDQHHAAVVLRTNQRVLTSHNSGLPFTDAVSNMAYKVAVKARTQAILDILHYYPLATRIDMGDVDIPIVTSFEFLEEAIMYDGALVRADAAAQREDQVLVVWSNQTDTIVEDYHFVEHQLRHFIGLCTCKPLSVAPHTGIQSHHEWSYGATGHGQSLIPKNLGYEGLSGRDPQARPSDGDRPSRSTTALPITPVKTLINPSLPYWNGSKWVPHVPQSGANPGASIPSLIARSTQIRTSPISNAFATSSGLNSKMSSVFCAGSPSLHTQGKGDLEAGHHDASAENAELYSNVNRSDEDSIDESVHRRIQSATVTGAARARPYTQSDECEPSTLHTAKMSNVLERSPQSSSQQTTQPRLPSPPRLTAAEKRKECAIASTNVDEPGPSVQKESIRAQPRRIAKKQRLGYSKGDDDDGDTDHDVNSDADGDKHKMKREPPKNKKAYACPLRTCEWSFNTRTDFRRHLLQCFKVRKHVCDSCGMRFPRLDALKRHRKGQNACEDYLKRCAKQAEAREKPGNGSVASSSQAGPPGLNEEILGILRQFLYDDEMEALLEDVDVSEGADTTR*
>EED81762
MFVPWHNAHWSQGWLWSGPITAQKRAVAHGVPKVTALQQGLVEKSICNASKSVVRVWGGKSMHVKIHFCGYDSRTAVSADIPVEGLTRKALGVRVYTLVLQSIELCRQELPLSNEPRSLSFRGNQPLAIDDLFVFGLSKQVYLLIKRHMTTPTYPPSCDADASSPTASSLPENFSFTSSRHISKLRTPQGLIRREILSRFDNRSRIPRCLRHYIKHHFQWYKMLDTTSLEGPQLGFRRPDEDQR*
>EED81763
MYTTWICTELARSWRWRAEALEASAGDRACDAKTDPGPVVTTEGGYSPSHLEIFGAVSAGQRRTCSPWNFCGWPTLSPRRRPVKERLLFSKRFDCGPAPLRFGKWFISRGTVYSVTCARKPSQSTPWCFKPRTMWRARFDVGVIWRLPSHKLRRWPPALLYLPQPFPLLCGRHTSNWFGLQLPTLLSTYILRMMVHASKTLPPTIPQNPEVTDTNLRCASFPKAPFEVVELCRPCGDPGVFALSLFYPGLQPMDVYVSQATQAHGRTWTHESIYLNVEDSLPQPTSIATFIASKWASAYESSTRGTVTRYVKNFKAAAIVNASAFNVILLLLLLERYSYIRGFGHKSVLNDRPSFRIS*
>EED81764
MSSTLSFLDQFNAPSTEGGKRLSIYIPKHTHVSDSTLLMLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRDYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPCSIRVQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCRSSRHWVQDCPDIRCTGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAVPIPPPRSTNPEPPTSPVAGPSRPRPDTPVVFRKIDPDWTPDTTQWTWDSSWPNQKHLSGEEWTNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLCFFLH*
>EED81765
MLWLQIASQIGITVFTLIVQMQMSNNLYVPETVALFIDAAVPHLIYIAEEVALSFRSTQSSLSVAPNLHHLPPSLDTMTSKNIIIWTPTTSAVSIELQPPALVTPSVALLSVITPTSISVPVDARDSAIEYSSPDTIILPNDEIDPARHSCPAYLAFVYPDCHLRPVHIVAVSFALAMMWGIYVLVKFFKTRRYERTVTSHSGPQRYTQSNTVAKLAEIFYGGVSLRFGTSSLDRKGTSPIVSCTSADPVSSVLSHTEHISGIIDNSMAAHTEQYTTISASRGSSSSSVSSKSSRALPRIAPIPMTVSIARTMRACESYMRMCAKAVKMLEYQSAPQDLLQETLVKEREGLEAVVDIEVQRVKELQTRCQGRYARLMQAHAEIGLLQDDYCEQLETMKVTRARLDLERRKTKQVSEQLARERARLENEHALIEAARKRAEERVEIERGLLQATLEQKKLEDQRELLEKEHFRREAERVRQKAEHMHDQEVQAVQPRHLQDQEVQIYEEGPERRDVQARPEGLCEEGTQVDHAKDVHEQDVRTGPAAHLRSDEMRTVQEDYKAGLQCERDVQAVQEELPSEGHEHMDHDVEDGKHSATKGTNQESDEGGQPAGADSRSILPEDAQDPREEKPVKQVGQTGWFEQAIQPVQRDHAQQGASSYHGFTFSCAPLPQPTVSASSWLQVYKRDVEVFRSQVAAPDPDPASDDDDDDDDLPPLVDPPSLSCSAAAADLDHPSPPPAADDAAAETHVALAPMDLNPLQAQDAQRVQAEDGMNSGPNDQPQGHYAEPAGVPQCQGMYQPNLDNSVGSSVYQAMALQSWNSPSGATGPYDHQWSGESFLPAAATEQQFAAQIPVPSPQSAPKFEQGSFTVPSQYVSADAVNLGQSYHANTDTYQGFPFAAPMPGPSSWQNGQGTQGTYDLENLRRALGLPAEQTTAHVQSSAFSFESSSRYPLESSIPYSSDTSVRSDLRDTSTLSNPQPLHDQSSAEVSHSQEETVQVLTQPSTTEQLPPDRVPRSDQSASPNLATSADLVARSPPLPGPSVEEGFVTAPPIGGPSSSSEASAPVPHESSSAFTFAMSVQSDEHATPAATTPVPPEGVNLQAEESAQDSDDDDWSTTAADIEAFRLQVNAMRHRKSASARSGRQRGRKSKPSQVDDLIADLAGI*
>EED81766
MASTHYKPPTISSSSAVTAAMPDVSQRGTSEVVSNGVRSLYRRGSKEDFVSFSEMETSTKARVEKPKCAAARADQERKERDNVAQILTRCRGVMRVPTRGVNLYMGYTDTLTEIIA*
>EED81767
MARRRNSRSSIGEVNVSTITDDAVAPKGPASASPDEQRSQSDAPYGAGDAKVSPEEPPAASPECKTSQEDAKNERAASGESGRETAEGSACAQQIKQEAKSTVGGIEGEDEKIDDPWSLCADEVWKFEERQVNKWKENINNFLLFAGLFSTILAAFLAAFYMLLGPQTPDATTQVLVVMAAQLSLLTAAIAHHNLTDSQQATLDAAMATNHPTTTTVSTGVLWFIALIFSLGAASISIAVGQWLHHHTDRASSLSRQSVRIWYLRRRGLQTWHVQAIIDILPILLQISLALFLVGLLNLVWNLDYIVAGISTVTISALLLPTLLTALVPYFHADCPYKSRSAWWCFVALNRFTHSRRTTLWAKYGKAFGISVSNALSTITRRVLSLRGSPAATKSMLSGLRKVFHINRPVRLHPAAIITHITSSSKALVKLLWDHTCKFSFAAIHRSSVLFQVITHRLTKLRHSCANCMRWRPRIPEAWSKWHSNTLGARNWREFENLLVRTDNTPEEEKLMMLAEADEMIMDDAFLVNVVHPCLRNSSLRSAVPALLRILRHRAHEVTLQHDIWRGDMPVLKWLTSEQDSTAIILMADICIDVIQKYKNPNDRNKDHLVDHLLQLIRAMPLIDPARTKYCLLLTTRPESFLEYVVPAWLHVGNKYYSLEPFYNMLRKRAEADKTVSNQMTLALGHRALDVLDKAFSRAQEVSTEDQLIIFRAVEKACEVGPDGTSIYACLPRLLPGPALSLEALEKLVDNIRRFSGRLRLDIEDIRKLFTFLPHARERLDTKQFLRITFSAPDHSLRAARLQPVDFDRVHSDVRGALNVVGKLARVDTARPARNGPLFTCDIVNALECCTSRYPGVEFWRDRIIFTMDEIHKTLGYSAGLVPNEPIAGEAVIPAPAPRDVDEAPTEEP*
>EED81768
MVRRCIRRSSLVRVNVSTIANNTAAPREAFNTSPDEQRSQSDIPYMFGNGKEAPNKPPAALSECKTAREDAKHGRTASGVSSREPQQLEDRQPLSALVTTTSDIKASNWVTKTSPHGILQANEGLAPTQQTKKEVKFVADGAVCEDRKTDDPWSLCADEVWKFEERQVNKWKENINNLLLFAGLFSTILAAFLAAFYMLLGPQTPDTTTQVLVVMAAQLSLLTAAITNHNLTDSQQAILDAAIVTTHPTTTTVSTGVLWFIALIFSLGAASISIAVDRASSLSRQSVRIWYLRRRGIQKWHVQAIIDILPILLQISLALFLVGLLNLVWHLDYIVAGISTIIIASLLLPTLLAVLMPYCYADCPYKSRSAWWCFVTLNRLAHSRITALVVKCGRTLWTGMTNVPTAAKSMTLGLRSLSAATRNWLSGLREIFTLNRLVQIRLATEISRLANFVWQNIREFPINAIRITTIWCQIVGAGVTSIWGRLSKACHEFTNWMRSRSHRPQTWGKWHSDTLGARNWREFENVIVRVDDTPEDMKLIMLAEADEMIMDDAFLVDVVHPFFQNGSLKSTVPALLRILRHRAHKVVVEKDQRDNNVTTLKWLRSEQDSAAIIAMADLCIDVLQKYDKPCHLNSDHLLDHLLQLIRAMPLIDPARVVCNRAGDLIQRAAALQQYLREARIDELDDGADECFLEYVVPAWLRVVDMEESLEPFYNILQKRFNGPASVRTTHILSHRALDVLECISLESHKASAEHQILIFDIVLKALDAGLDATSVYTRLVHLLPTPVLCREALDNIVSILCRFHMDFRLDLDDTRTLLAFLSHARKRLGTEQFIRITYLALRNSAFLSPEDFDRVHSNVRGALEVFVEYFSSSRVEKVVGTDAWLEFSQLLRECLAVAHVDVTRPARGRTLFGRDVINALERSMPLNPSLKRLSAPQKDDVEAPEEDPREINSALMQ*
>EED81769
MSTLAQQGGVPPETYIDNNITMAPSLQRIESSSREASLKEIIVYCNDPSSTAATEDTRARDGMMANTSTAGRVSPYGISATVEQPKGPSAKDSAPSKVGETEASGEAPKEASQDSDDTKISEAWKLCAEQVWAREDLLVQKWKDEISNLLTFGLNLGREAGLFSAALTAFNVQYYVYLQAQTLDPNTQAMLIMIGTLAEMNGGTDAMQPMIAALNASSSAPVPAHVISTNVLWFSALVLSLSAASLAISVSQWLHHHVDRAPSQSRQSVRLWYFRHSMFNEWNVPLIISLLPVLLQTSLALFLIGLVQLLWTLNTIVAGVVTVLVAVLLLLSMLTAVVPAFAPRCPYKSQPALWCFRAISPVRRWITTITDGLLRGQEQGSFRLNCIVLRLINEDQRKRMREWCTTQKRWLHTSNWRDIDDMSVRIQQGNAAETLDMLAEADATVMDDALLKSAVRPCILEATPVTSILPVFHQILRHRAQEVDDLTDPPTFTWSTSEQDAAANTVLREIYIGLLAKHVREIGSGELIEVALLRNMMSMIKAAPRVMRPTTANFDLLQSVIRPCLLQAPSAEPVLPALYQVLEYRAQGVDTSAEPSTLIWSTSEQDATEITYLTEMCIRLLERYSREIGSGEFREVALLHHLASLIKSMAPNAARTISCQIMETFQTCASRRATLEERRGLWGVFPEQSSIGAQVSFINAVVRPSIQPRGLPLEIALQVYHNIVRCRIRGIDYSRTWVQAIITEADANAVTAMGHLSLEMFSRITSEVIDTTELHQQQMQTLAIMQCLVRYTPPNDLAVYHRLFSLFPAPELPQEVLAKLVETIWFLDGRFRLNIEDSRRLLTFLPRAREQLDTERFLRITHFALRNSARLPPDDFRRVHSDVRGALDVVVEYFSSSGVEEVARMDSWWDFGNLLIACVELARVDTARPTTDGTLFTRDVINALERCTLRCPEDEFGRNDIREQMGKIRSTFGYSDGSIPNEPIAVKFVSLAPAMQDADEAPRGHLQVVEGTPMQ*
>EED81770
MARRRSSRSSSRLSVAPTTEDVASVNEPATASVKDQRSKSDTPYSAGDDAETREKAAAPTPELENAQGSASNAQTASDNPGREIHLATRAKDNDTPPTQPQGEFPGTSIMRPDINGDQDTTTGDHEGLRKDLDDGRLPDTRDEQGRVQPNDFKAMLNADGGRAYAKPAEKEELTGWARFAEEVWTFEDKRMERWKDDINYLLLYAGLFSTALTGFIVPFYRFQAQAVDPTVQALALIVMQLNVIALSVGHTNLTQQLPSLILPPSAAPAGRPLVTGILWTIALILSLGSGAIAILVSQWLHHHVNRGASLDRQSARLWYFRHCGFKAWHVEAIINVLPFMLQSAMALFLVGLIEQLWIWDRTVALIASVLVGGLLGALLVTALIPVVAASCPFKSPQAWWWLCLVRLAHKPLLPIMRAMRRLRQSLYAIVTDVSFLEKVVRPCIQQQKPDDIEETLSAYYDIVEHRAHHIDRSQSPPKLTWDRNEQDSGTIKTLGQISVDVLSQIHETWLAADDRRKAHVARIDSILSNLLVATPQAESAVYSRLIHLLPNLELPQDILDRLVYIIRCFDWKFRLDIEDTRRLLTFLPHARERLGTKHFLWITFSALRHAERLPPDDFGRVHSNVRGALDVVGEYFSSSRIEGVAQAFAWEAFLDLLDACVELARVDTARPTCDGTLFTQDVVNALEPFASRSLEEEFWTIIIREKVEELLSALGYSAISVPNEPVAGETVSPAPAPRDVDEAPTEDPQVVDGTPMQ*
>EED81771
MPNDETSTYFGVRRASYCYRGSFHFDVKTLTYMRQSRTWTPIRPAAYMVHYKGVMTAENDSTSLLTFVVRHCLNCFILPRVSVLFNNPSKHSTYTSAAVSDVSDRTTFSESLFSEASDSSSSSESEGPITPEVHPVEVATSVPSIALEPMSIKGLPERDLQLDLTASDAVGSAGSCPRCSIPSNALRTRLDTCYICVRDCSKHVDVLVASPIPCIILFNVRGGQFVRLASDHFANQLTGMATAGDDERFNVGRDPILELPYIQCLHWLCFNVAALAEDPATVEEPATTPPDDQHSRSDAPYSASDGNRPAEEPPASSLGCEGVEEDAHDDQAASGGSGREVEAAGHGQVPEPYTEATAPPEAVGGTAPAQQTEQEVKPTTDAKGREDEKTEDPWSLCAEEVWKFEENQVGKWKENINGLLLFAGLFSTILSAFLAAFYLLLGPQTPDTTAQLLVVMSVQLSVLTAAIAHQNLTKEQQTILDAAIATTAPTTTTISTGVLWFIALIFSLSAASISIAVGQWLHHHTDRASSLSRQSVRIWSLRRRGLQKWHVQAIIDILPILLQISLALFLVGLLNLVWHLNYIVAGTSTIIVTALLLPTLLTVLMPYFYADCPYKSRSAWWCFVALNESTHSHCTAWVVNCWETLGVSITEALFATTKRVRSLRGFPAATRNILSELCRLRPAAIITPIANGIKCLARSLWDYTCKFPFATMSNFLILLKIVTHRLTIIRYRCASWMRRYCRSREAWSKWHSDTLGARNWREFENMLVRADNTPEKDKLMLLAEADEMIMDGAFLVNVVHPCFQNGSFESALPALLRILRHRAHEVTLGKDSWHNGRTVLKWLTSEQDSAAIIALADLCVDVIEKYNHPYRRNDDHLVDHLLQLLRAMPLIDSARTVCDRARDLIQWAAEHQDDLRQARIEELDDGADAWLRAADKDYSLEPFYNMLRKRVEASSTVINLMTPAVGHRALDVLDKALLESREASTEHQLLILDAVEKTCEVGPNATSVYSRLPRLLPDLALSQEALEDLVSIIWGFDREIRLDIEDTRRLLTFLPHARKRLDTERFLRITRSALQHSARLPPDELGRVYNEVRGALDVIVEYFSSSGIEEVARAGSWWQFSILLDVCVELAQVDTARPARNGPLVTRDVVNALERCASRCPEDEWRKTYMRDAIRTINSIMGYSPGSPDMTFGIPNEHIAGESVNPAPAPRDVNEAPTEDSQVVDGTPMH*
>EED81772
MAMATSPRQAESSSREASLKEIIALLNDPSSNAAIGDTRTWDGTMADASAAGRGPPAGISATVEQPRGPTAKNSALSKAGETQTSGEAHKEASQNSDDTKISEAWKLCAEQAWRRDDLLVQKWKNEIGYLLTFAGLFSVAGPPTLASLASEQDAATIMSPRQISVELLVGYLEENAGGNFKAVPLFRYLMSMIEAAPKAAPPDVARTTTDISVLRSVIRPYLLQSPSVITILPVFYEVLECRAQGVDRSADPPTLTWSMNEQDAAATTALTEICIDFLDKYLCEIGSGQFGQVALLDHLASSLHSMPPDAARTISCQIMETLRTCGPHDATWEQRQDLCAIWSASFIDEVVRPSIQSGGLPLEIALQVYHNIVRYRIRGVDYSQTWSRNTITGADADAVTAMGYLSLEMFTRIMSEVTHTTELHRQQMETLAVIKNLLVNVPQCRSAVFHGLVGLLPATELHPQVLDMLVFTIWNLDGEFGLDIEDIRKLLTFLPHARERLNTEQFIKITRLALQHSARLPPDDFGCVYSDVCGALDIVVECFSSSQMGVAQADAWLAFSNLLDVCIELARVDIARPAGDDRHLTRDVVNALERCASQFPERHSLAIWIRHTMQEICSICGYSAGSVAETPQEVSIKHLWSIRGRLRRLLWYSLRPSGLELGCRHATVGSELKPANVDNSIFYLAGRT*
>EED81773
MTRFIALASVLGALAVSGLAHPFSGDAVARKRQLDHHIGNATSYTPSGVGACGVTISPDQFAVALAPDFFTASSSCSENLTVTNANTGVTKYALVLDECDSCTGQNLELTVPLYEALGGSLSHEPLEVIWY*
>EED81774
MLCTDQLRTDQSHAHLPVLPPSIVAACQGQVHAFDSQDLVDVYIPDGPETIIYTCEQQPCPNQTPRSIAQDYPRYKAIRRAQHPLGPRSTLASQSASRHSRPVSPSSCLPQTVAGPSQARGDLPPDPAPEPEPEESASEKGVSESESEGSAQSASPTALASASAVPDVRDPPTELPSAPSLPSPPRGRSSTCSSRSSTSGGPPQPPPPPRRPPSPPTPIMSSPATAPDKETLKLLLPLRYDSKTVIKCDRFLSQLHIYWLVNTSLTTIELKVQVALSLFDGDARAWATPYFAQLMSNEAAFAAAFKARFGNLDDEAAAQVELAKLCADKSVCEKRTAAEFSALFKGLADRSRYGDLELRDKYLSGIPSHVYRKIELETFTTWQEAEKRATEVEQILDISRARRPELNNFFSARGRGRGGACGGAP*
>EED81775
MPKDISSTVKYQQLFRVKSPEQRRHHPYKQCERPCEPVPNTPVVVERLRKEVGERGERGISRKVTEFKEACLPPENSPKRGGRMTYGHPEGTVRFHFYQTFEINPNFLRKKT*
>EED81776
MDTTEDLITTLELLQISLQDASGDDRHAAVCLLTDKLVLASSSRDLPFAAATSGLGYTVAVKARTKAIEDTLNAFNVESAIEVAGIHIPIVASQDALSDALRQDRVLVVWGDRTTAIVRQFCQVEHRLRHLVGECICDAFDEPSDRNLATEDHGHSLYPGYNGFIDETFRRRMLEENVDPSESIPKEEPAVNPALTLRDPSVGFWAGSNRATEVRTTNSGRRARHNDTLADASGSVSGVAATSHTTSYTRARVSRAVTACPRKMGKTETSPKYGNSSNDMDANDRDDFSSDDDDDYADNVVGEEMYQTYTGSGAHATRFSGRTSQSRGGKHAPLSKRTRVGEGVGHGQSSHQTSRDTTGSQEPLPRITAAQKEKWRATDRSIEDEDARSSIAVPGVPRRSQPSRNAKRKSPSTDNNGHVDRSSGSRNKKQKKTHAAPPRQQKRFVCPREKCEHQGFNGQSDFRRHLRSCFEIKQFFCPRCGNTFARTDATNRHVKSGCSGSQGPTGDGGEGSAQLGNGSEENMESGQVSDATMRRRARELDVSIEDLIYVLGFLTKVEAALLFSGGESDGEDGDGGAA*
>EED81777
MGPSSGIRNRACGKGKSVAPLVIQLRRQAAYGELRIERPLVRRKEAEDEPLYPFLALRRAAKAAAAASEVDVVPPRTPAGTRRLEPEVVRTLSNMNGRHKALRRKTRHEFGNQEEHQYDEQAWRGYACGEHESERMGEREGTASAVLVQGCSVKAKVSASGPSRSRRGWRKLLGLVEGDIKRAPKSSVTKLKGARVKPKSTFKAIRSVAGAEVKPQPVVPQAKEESVSLDISPAFPVDELEKRVASVCTSPEHSTTAFVVEAESVQVAMPVEGEPREWGLRMEDFAFDFGSCPGMPADVLAALTEPVNVVAVAEAQEMPATEGQIAEVTVMAVDAEILSPEPACDTYSAFVVDMDTAHAEASSSAEEEMEDVCATDDVLVEMASPVVCFADPIPVDEDSDMLHAEEQAVEMEMDDFDSVVAPQALLAQIEMIVANPPTNAGLAGAPVELISAAIDMAQPEEDIEMGHQEQPLMVEEDIDMGVQLVSVDAVFDAVAAALIAGRNGGDNIEQDVEAVLDHFGSVQEPAIPLLVNEDTSVEDITLVGGALDGDHSATSDQDWDTTFVEDAIASSSPKKQNGLPVMPLPEVVVSGLDIGEQVVVAGSISPASSAPVISLQTLLDVIAYHPTLPDRLLEDPVLTAGPTFDIQSLYSTLPTNSAEDKEKTTSAGSFARATRSGKVYGGGISKAKKTFAQPARKSTSSLSTGLLDNDAFRAVRNKSTAEQKARKDTRRRYKKISRKLARQDTESAEPKGGCGRFLLSAVNPKTGRREKMTPITTVKESTDDDLDLDVLCRMSASLSLATSSHGRTGTQEATNSADDLLGAFASLGLSTDAPAASSTGGSALDDLCDLFNALV*
>EED81778
MPTAPPIAPSYPIAACPIAMTFQDFYNNERISAVNENTVTYDTVPEQFASFDYRPPQHIADDGQSTRPGDTGYGPRYSMQSIWSVAPPMAILEASLQSGNPRSITDHIIHCHPPPMQNVGINQSGMSYNARILTHSTQSGQVGNTWEAPDIPTMAGMSSELDPFPHIDLHILDLVAMGSGHGNLEETYSRYTPTGVSSAPTPGLQAVGQELVNTQHINGASGSNIATEAPQDGALLTAVTSDAPAYKFRRPRSSTKADSKTTSRRSRAPAVIATTSDDTAEGPIRCKICKKKFSHKQNLNRHVRIAHLGGCKWDCIICGTKISRHDALRRHLDNIHKLSKLEAKHIVRFLGEKMYAAV*
>EED81779
MSIQDISGDERYTSVCLHTTNLVLTSDSSDLPFAAATSRLGCEVAVKARTKAVEETLRFFDVQDAVEVAGFHIPIVSSIEALNDVCLYLYDAALVRDEQVLVVWCDETTAIVEQYRRMEHRLRHFVSDCTCCGGQYPLDLDASVKEQGDARYAGYDGNFDETVRKRLLEPSEPVPKGPPAVNSASPLGEMKVPTQVKASSSAIQDTGARVYGAVNACPRTMGKAGTSTKYDNVSKDMVVCDPSTGNGNQYVDSLVGREKERMSAAPAGEAYATRPYGRTSQPQSRGGKHAPPTNSTRVGSQEPLPRITAAQKEKWRATDRSIEDEDAGSSIAAPGVTRRSQPSRNAKRKSPSTDNNDRIDGSSGSRNKKQKKTHAAPPRRQKQFVCPREKCEHEAFGGRGDFHRHLVSCFEIKQFFCLACGKLFARKDATTRHVRNTSCDRSQDPTGNNGGTSTQIGIRDGESVQLGVSMGDLAYVLSFLTELEMALLISDNEDNDEGAD*
>EED81780
MDTNEDQITTLELLEMSIQDISGDERYASVCLHTDKLVLTSDSSDLPFAAATSRLGCKVVVKARTKAVEDTLRCFNVENGVEISGSQIPIVPCIDALDNACLYDGALVVSIDHDVNSQNAHDGGQRDEQVLVVWCDKTTAIVEQYRRTEHRLRHLVGDCTCDGGRDTSDHSASVEDRRHTQYPGYEGIFDEDVQRRLLAQNPDPLGVIPKEPPAVDPALTLADPSVGSWVGSQPCRTKGRKMKAPTKAAMSGGVGKSQAIRNRRACVSRVAIPGPRTLGKKKTNTIYDNSPINIGASDDTGSGSDSDDDEYADGPTRRRTERAHAVPDAEEYATRSRGRTSQSASEKHEQHDPLKSTAGQRGSGRQQLSRQTSQDTVETQEPSSRIAAVEKEKWRATDDGIKEGDAGPSTATRGVKRHSQVCRRSTRKSSSADCNDIDDICNLKNKKQKTASSIPAQKKEFFCPRKECGHQGFGRHHEFHRHLLGCLDIKQFVCDCRGKTWARKDARDRHVKETGCNDSQDSTGDGDEASTQIGEVNDAAIHRRAAELGMSMEDLVYVLGFLTDIETAILLRGSG*
>EED81781
MPSARKLERNDTHVRDVEQQDVLAREEMEGTPNKLAAFPQDLWVKSLLCLHWRDLLQFKQLRQAALVDGTSRAQYRAKLQILRDLQRHWRKLRLSPLLSSVLPLQHLFSVLPCGILAQRATPQSIELRLLPSHLRGRSSPEVWKVITVPDVSNVEGFCVDPVQDLLVVAERLNLPAEYGRIHIRSLELNGQRHPLSVGPPFLVDLAWPILVEGPLLGLCYPNSMYVFNWHTGRLVWLPHFKTPVDCCAFLDEQHVLVSETHKLCIYRLDSQIVCDVVDSTPGTFVCALHYPVLYDDITSRRTVIHRETRSYRPQDNVPFHTDRAVRKLFSLTMHVRRYTQKKRIFQILLPAVTIRDCIRHVTSGVLPRGIDWEDWGPNGTRMLEQPTGPAEAIVSVAVHASLSLSMYRVCGGEQPPIFRLFETNPILIARELQDRCVRKNTSTIKTPECAYILGPSTLEKPEDYLFKDMITTSWPYRLTEIQVPLGLGKIKKAMLMEDGIFVQRRFDVVYIMDVRYGRLSLVP*
>EED81782
MESPEFGILQILVHSLVNASEDEHASACLLTDNLILASHHRNLPFAAAISGLGFKVALKAWTRAVEDILHAYPWDNKVDENDLYVPIVQSFEDLEYAFPYDAALVRKEEVLVTWSDKSSTIMNDYCNMEHQLRHFTRSCLCNCPTETPLLGIQPHDKQSPGLPIPDHDQSSTMQRREISEYSGYQGFFDGAFQARLHHNLAVVGNRTDTIHESLRDNPRPIKTSRMYTADPLTVPPLFGDLLFAPTRLSTTRRTILQLIYQMPSFARSCENGSSDDNDKKYVDTETPRKHEWAHTTSTGTFLAPHSRTRRPQSGSREQKQRHRYTDSAATAEEKRKGRAVSCANTLEPVPSAETGCGRAQSGRAAKRKRLDSSIGGINDGYADTDSNADSDANANKRKKKKREPHEGKRRFACPRPGCEWSFDTRYDFRRHLLQCFKISKHVCSSCEMCFPRVDALKCHRKGENACEDHLKRCAKQAEAGEQLGDGSSASSSRVGDLSLSKEVLDFLREFLHEDEMDGMPYRRMSTCSMAAIAARTSERAEADFGIFSGNEPVRVAMNFFNHHEDLTDTTSVVLRETNKKRFEYFQRVRQGAGNKGRHEKTASGEVYGTSDIAYSRLEGDFEKFPVSQSIRINNRRSQLFTVVKGRREAVHTPSAL*
>EED81783
MTRDSVQFEGGGVLQPWTSVWCKNTLVYEGERPLKMLKLRFGQPLPRKTCSAKHSSKPAWQRKRARVSTLMRREICTYKRDHPNATHEAIGRIFKVARATVCRTLKDKASISRLAGLENNLWRWAERRTLDKKLIKDKDILIEAKEIHETFPGLAKGAFKASKTWLRNFKRRHGISKGVILADAERDKWSAAFGFAGEGPYTASKMAADILSKYPDNLDVLHDPTYTPPDISGLHPGAVVHNVIDLAALSAMIPRTPTPEPQPPTVTKRGPERVVHSIDSAALAASIFRTPTPDLLAESPAAVLDIDAFAVKTS*
>EED81784
MDRQRVGPMRCGTGGETAVSRQSCMVMPRLVGPERISLKQTRFEGALFFSRAQSMRVYQARVERASRMREERECGSHAQRKQSWINYAPVREKRTPVSVRERVCVGEGIGSASSVCCGGCMEDPDTLRADDNGSTRSPRKGRSTWGGANIRVVIVVQIGIAGNIFETESRTTVGRVPLRRLWLPVYDDWIRKGERQGLATTGHRRNVALSPGFYKENKKRSGRNERFDQMVFCECPISG*
>EED81785
MGDAAIPIVTSFEFLEDAIMYDGALVRADAAAQREDQVLVVWSNQTDTIVEDYHFVEHQLRHFIGLCTCKHLSVAPHIGIQSHHERSYGATGHGQSLIPKNLGYEGLSGRDLQARSSDGGQPSRSTTEQALPITPVKTRMDPSLPYWNGSKWLPRVPQSSANPAASSPWLIARSTQIRTSPASNAFATSSGLTSKMSSVFCAGSPSLHTQGKGDLEAEHDDASAENAELYSNVNRSDEDSIDESVHRRIQSATVTGAARARPYTQSNECEPSTLHTAQMSNILERSLQSSSHRTTQPQLPPPPRFTASEKRKECAIASTGVDEPGPSVQNESIRVQPRRIAKKKRLGYSKGDDDDGDTDHEVNSDTDGDRSKTKREPPTNKKAYACPLRTCEWSFDTRRDFRRHLLQCFKVRKHVCDSCGMRFPRLDALKRHRKGQNACEDYLKRCAKQAEARENPGNGSVASGSRMGPLGLNEEILDILRQFLYDDEMEALLEDVDVSEGADTTH*
>EED81786
MEVSVRDALGGICVQQRARTLGQRQAKTSAARVQHPCPARMRTHSGFARLNVVCLDAAQQSLRLCPQHRKAADRTQQAAAKMITGGSRLPGHFCPLPACHENGASTRVAHAGRAGRLCPWVDVPALSVAPTSAEQRVWCPGRDPARGVARETRETRDRDEPSRPHPGDVRANEARGAQAPGAGGRDTVPGAGNTHGGAAGRRKDAPDAADTESPFWFLGLEDTVQKLCREKQASDPCGYAYRCSSVVFQVRVCDGAPVRDARADDHGGECTETADGTAFTAGVAPDKQEGSEDDCWRAERGGAESQPRGRPKAKKDSRETQTNEDAEDKCKRETGIRGALAPRAYGAPRRARHGLRLRRGGGFGRGGRVHAKNARRAHSSSSLPRSRLTTVQNGRARACEKPSPSGPPSPPAPQSHLSPPSSRALPPLEPEPAACAAPRRLRLRARRASRRVWAWRSPRMWQMRAGGARGPAGRARARGASAGSGADISQSKAVGQAYGTSADGERRFRGPSGSRLAAGATSIVSRFPSVHYVVAYRLRLAIFRERERAIVHVQEWRQAHPFLSDIDSLALPQRHAPHLQRGSRRTDNRLQTWYCEHTGTLHDDDTLRRPGANVVVASWNKLGCVEIALHRAMRVWTIWNTDYGRRACRCGSKSEWRTRGGRRAYVARGTDGDRKTVDGAMKNGRSANASGIVARWNGFAGRHNLGVPGLTSAAHRDRPLFVSHGKYGLPMRDRGLGVQDFGLDLPRSRARVLQEGHIMDICTPRGSKGERASTLRVTTWREEEWESDIEGRRMMLVVQRSRLLNLSKQALATTYMCVLSKTGVFGCPVAWKRGSVGTRVRTARGAFVHGRSDSRKGQSRAGPPAPGYSRARAFELVPDHAGLRVSTGSASELSCGCRIGGRPALVLSYPNKRVSASGSSEGARRFTEASGAGKDQIRSGRRIPFSQISTALLSHSDMRHTCSAAHDKQMGVLQTFYCTLVHYMTTIHGTGSGSIVEHVEIALHTAVRAWTTWDTEYGGRACRCGSKSEWRTRGERRAYVARGTECDRKTVDGAMKDRRKGALFFSRAQWMRVYQASVERASRMRERRECGSHAQRKQSWINYAPVREKRTPVSPTATFACLFVEGTAAPQMVHLLLPCMTHDLIFRSRERVCIGEESGPAFSVCCGGCMEGARERQQLDNIHTRSEREIVATCRKT*
>EED81787
MGMPLRSRIKAAEPIEPPAATPSCTSPPWLAGISSDCRWAGGPTCTPTLETHALIYRGEGQRFIAVLPPAIEGLLPATEDTARSYKPAGLRCFMWVALGLFDQMLCMSGIAERHRCLQVEPCSVMAGEFAPGTLPAISVAVKEEDANRAIDRDLSDTGMSNSDGDRPPLMIPPPQVTSRRLDSTEEQALDGRLAAGGWCNALTSVRYNL*
>EED81788
MTSDSVKRAERRTVLAPVSKFECIAQTTVTPALQGLFYRACLRAPMRGHYLPGNPGLSYLRGITNTSSTQGATAAANLEQMNRQICANLHTAVLVASEQDKREARRLIYHARKRRDACKVVETIKFAGEIVSRAELALKVRKSVDKAVRLCRGVYTVLVTYPATEPLTNSRPMDDAEPFNGSIPFTGLDALVAGGPKPLAVGCRKCPIAFAIMASVNNCTQGIVFQQNTDSIMRQPRFNLKPPSSLRAARIRPLSSFSERERMKSAT*
>EED81789
MAPRRRSRTSSLKIDMSFSVGDATPHEKRYAKTAEHQPSHSDSVRSKPPSSPLGVTTEAEHWTEQEGRRGKSTLSSGQDQARYDVIAINQGSQYDIGIAYSSGVMEVHGGPAVAEHPQQGPGLALIRDTALGSIRSYPTLHEADPERSINTIANCLC*
>EED81790
MYSAASGLGAGGLQDISLVDTSNSVLYALFAVTGLVSGGIANVLGPRLTLFIGTLGYALYVGSLWCYQTQGAAWFLILAGALLGISAALLWSAQGAIMMSYPLEKDKGKAFAIFWAIFQSGSLIGSLIALAINIRRGGLNAVSTSTYIAFLVIIFVGVASAFLVLPPNHIVRGDGTLVKLQAVSTPRQELVGMWNTLRDWRLVALLPMFFASNYFYAYQGAVNTACFDDPTRALNSVLGSAGAIIGALLIGPFVLDLKWLPRRTRGYLGLGVVVVIVIALWSCGLAWQVTFDRAKAAALPKINYKDASYRSKAPLYFFYYVGDACYQALAYWIMSALSNDPFTLARFAGMYKAVQSAGAAGAFGMDAVETPFLNELLASWVMMLFSFPFAFLVLRTVKETNYNDEQVVYADDVQQSAIEQGHVPKGETGLSEKDDIAAEVAEVKTQMHVDMDA*
>EED81791
MAAVKTCESIRWWMHPSQICDGHPGDSRLQQTTRVNVDVRKVTIKVGAYEGRHRVNPLVVLSLVSMLLPAARVIRASHPRFCARSSVCGVSFVKMANGLNPTYAPQLQPAIAVSALESTLVSTDAQEQPR*
>EED81792
MSINKQLTYTQAVDSGNDSWVSDCGMEVNASLERWDSASVRYQVLLGVKHDVIDQEDAAEYAKRDIMGTTDKGGKSSHIEQGHKGADDAGVLNETAIMFARAQASSHKRELCTTNEACMLAGNIAPWTALSSAPSKRQQQVVD*
>EED81793
MYVWQSIQKLPARRKIARGGFGSKLHYFWRKSPLGLLERPLKSRCLVQDEPDGGRHSEMRIGVYQEGWGATTLVLQHGENITAHGEHILRQQRIGVVASGVACSLARRLMVNVNAEDDSRGANTEAWEELEGPSVGGAAICPVSILGSGGSGLRMMGSAEPSRLGDADWSDLAILQDASCSIDSGTGHYCRLLMMKGLMAGWILSSTYDARGTVARTQIIFSYSAHSAFRVHHPGRQLRIELRLCHFIEDVQIQKHGGAHEYGLMSACRKMGGGFCGGYTRPMGYTTWDVVLIADEDSEGCGTGTGMAMATGFLEWCC*
>EED81794
MSVPAFDKGEKFHTVHEFLWEMFDALKESRANGICSYRTGSESGVMIQVVIAMRQRPSMDSAANDDTRGRSGSTTCWAEADAADMEVKSTVVWRVSKSGGAEEVEASGERATRHSQNAPGSARPGRYHPEALVFVKNSGVRSSEERRSGTRGMTAYPCGSVHFSPSPTQGTLDVVLEGAGTGSAYANCNPTTKMARAVVGMEARILYGYVCNVVLEVSGPIADVKSSM*
>EED81795
MFDSDWNPQNDMQAIARAHRIGQTKVVKVYRLICQGSVEDQMLDRIRRKLFLS
>EED81796
MPGVRDISAEAFIAAYSSHLKRSGKLEVPTWVDIVKTGSFKELAPYDPDWYYVRAAAIARHIYLRKDVGIGALTKLHGGRNRRGNRPSHHADCSASVQRKICQSLEKIGVLEQTDNGGRRISQDGQRDLDRIATAVVEAEREDEDEEEEAEEAEEEE*
>EED81797
MDTIPPEIHALIFAFACSDDGTTGHSLSRVSRYIRAVSAPFRWQSLVVSGVNQARGLAAVLSAATRDVGTTLRRPVHHLFLSTRRQADAIDDHAVYGWQTSTLEDWPDYQAAILAYAAPTLETLTFLAFDPFFSSAMCIQDLLKVPFPRLTELTIRGRCTPSQLSLTAYMDEPDSFTDVESSAEQQPPADGCAARGAPTRPKLQRLHLACAYHGFAYGTRATSKLIHTLAPQLTHLRLSMLDMWGSKRVAEILHAECADLGIAPRVLPLEPLPAPGPRFGAGAGVGVGGAAAAAACTAQDVRWARVLPARVQRFVIQPPPTAPSDFYCSCCMDVRGDADVMRVFEAMGRGADARFLYQPARQRGGYGYGEAKADWLARIAERGGCWEEAVGADAGAGAWEAHEGGRAHEARALGAEIGASGRGGNTPRVGEGKRRRLWKKMRGWRVWPASSGTAARKAKVAHWRTAAFGRLSQLYDALMTRAPRGDPDAVVTVPA*
>EED81798
MRFSARPPRRRPAKIIEPSPTISQPYQSS*
>EED81799
MSRRSSNLEFSIRGDELEQHRIQLEHNLQHTDLSLHLSNPDEDYSDVEYPRHNSAPSPSFAFASFEQRSGDQFDPHEQSQYHAWSFQSFNRDETGGPYGGETLSTAAHHASALTLSAGLGGRANRRDVSLSGAEYDPDRPLQGIIAGIDSRFSVLDVDSTRSKNLTSNAVGFDPLVVDVDDTAELDRVLETGHARIPPKIRSPASSFSSSSSPSEPASPRPQSPSLRPRLSDALHAVSFSPKRPRSAQAQLPPRQRADSPSALPSSSPSFSRHCEGTTPRPSRQSHNVATSHDNYQQSLSYVDPAVNVLPPTPSVSNGSKFSRMARDLAQKIETEQHFEDGRGRGRDEPERIVAQSTVRDSKVVKQRRERNPFQDVANRAAGAAKMRQAPVQKPPLRTPLKSRIHLPDVTGLTSAIGSPARIGMEFYKYDGKDDNEGEARLFATLNAVQSKLAFLENENSISRRRVHELELELEACKQEVARERTKVLDREEVIAQRAADVIGRERERLADISRQARGKAREPRRRPDGDSSYRAANESRYKEVVEEKKGECTLEALITTLRNHLSRLTTELAEHQHLLEDLRSLRDSDARALAEKSRDVDQLRQEVERLAGEVEVLRGVVEEGLKERRAAREQLSRELSHDVSDDERSGRSSPVQDDNAHRANTTIHAAEVSSESDEEDDVSESSGHRSPTPSRTRENLADRTTRTDYATLGSSQPPDEPSTRAFVEPEELGLIANDVQERRSERSVSQSWSSGSRDRSVSRPMSRASALSRSTSSAPSMMGSRRVSSPSVSRRSDDEGDGDKVSDDETRPATPNAQMAAPSRSRPAAPTPAHALRDQYRNTRPAPDNKASSSKARAAVEEETPFPSIRGKHMERLFFSAPEHNAETCTVCHRRRRTHHTAGEDAEPIAFWLSGTVKARKPKVRVDDEDDEGFAEGPEDDAQPQPSGKGKERESARVPQQTVLARVIRELEDDFAHYKSIYVELADQYKLMDAVSNVAKRNIVAGNLREVIDILEQKVKRSVSYLPQIVVDCVTLG*
>EED81800
MPHAPRPTRPRRSISRRSTRSSISTRTTRTTSPRAWPGRTSPRPARPSPRWTRHTQRRTSRSCRRSATSSRARPPRWASPRSRRPASRSSITASCATRSPAPTSPRTSRSSGSARCSRE*
>EED81801
MPSIPPQSLIVVTGITGYIASHVGLAALQAGHRVRGTVRDLKRAQELRNAYAKQGVDITKLEFIVVDDITSGTQLANAVKGADGVAHVALPGDLLASSEDMPHHAVKSKWFIDVRDDGRLHIAALTNPSLSGKRIWGVAEPTGWNQILAILRKNFPDANVAPDLVGEPGEPTKQKIENTVATEALGGWIGLEQSLVDTGKSLGF*
>EED81802
MRLADSLCVFVLCASLAMNGQSNLKEVKIAFNDAQIPADAHITFDPSVSLQVSFPAHDGSNGTVLETPETELSVAAVAPFPSFALKGNYITTSGQFVIMMFDLDAPIPQLSLVSPYRHFLGSNLSISEVYDQPSSFASREYITSTTPRILWNTPYNKTSLQRTVNKPRPNAFRRHAMLPSSHAPVTNDRDESDGREIDLQSTQPIQLDGGRMQRILHAEDEYSWRPLVGLGGIWLTFVLGNRFIGIPHSRITKLCTGVGVVNFCVQGSLTLEAQHKQDPSAKTILEAGRLIRILGAVREDHPMRRAFLRPGSVHALRPFFDPGMIRKEILDSQKTIPYEHVAIWYYAVR*
>EED81803
MQVDRHVLPVVEGSSAGASGAAVTLLWAHVARPASATFSSALFGSPEVIKVDVKETDAVPYAASRSALFGERVTVSSSLTSTSSVKPRFIEVLTRIHSTLTIAPSLPKSSSVITSAASGNAPLAQDAEASAPEDGDTLTLPGTVELPFVPASERRQKTRTAEEADAIVVVGQRQRKRKRVKGAGAKGKDGEAAAAESRDQEEAEPFDYNAVSNILDEGSEPEVEESSSRKKKHKTKGPAPYQYGNFGAAPKAHSQPKSGNVSRTFR*
>EED81804
MLWLQIATKLGIALLTFVTHPQTYGNPYLPLTIGAFIEAAVPNILFIAENAAHSFITSIPSLPAPPTRQAISGSPATAPSTDLILWTPIGLPVSAGLHLPTLMATPISSPSGATEERNHALAQQLEYPTKDLIVWEARTGGPVDAFGSTNFVASAIVAIVIACYVYIVAKVLCACVLYTFAAVASSADVGTPPPFDVELALNCEGVSADFSNQALVSGIATESDAHVHHNDTLDTAETLPVASDDISPAQTTDATVTHLDDNRAVDTQDIRGADHAQANTDLETTAPSTPTMIDGQDPSAPDVSARPLVALLPQQANRIDVLYPASTYLDRWSNSSTSLLPSAFRHVLPSRHVALSLGTRLPSPAQKKCKEHERQCEAISASIPRWKIGNVKPSLESAAKALVLQRELRKKCETQFDELTKRCAEVDECCAQLDSEVTRLEQDDEQLECERVAVAEARSSLAEEYAQLDYAHVQLAAEQSRLRVGFGLSEEEHEHTEEYQTECLKNEGAQQPEDDPMESIDEFYRLLNNGESQAEQEERLEQSTTSRSTERTG*
>EED81805
MNTCTVHNATCIALGGSAKPTYKWRLPDTFILPLTQTTTRCNFEPTIRYPQGENYSNRTKASDTSTRLYDWLKRIRHTYPEEDLNLTAIPAHHEDAPEDQEDLKGIFSDYSWTVPEDPGSTYLSPESATLGINPMEIPRPQPSTSSGHGHRLVHENGQFVQYSLPQAENPFAILFNYAPYGAQFPAIRPWATPVDLHQAHAAWLSQCFAAHLLHVAPHNVTSAFAPMDNIERIEPRSSGVIEWKGEPRVACNNKQQGSSIRSIMPGFHKSRFGDGPATLLVGQRPNSDRAGQILSTLLEQRCERRGGAFVHERSDARKGQSRAWPPAPGYSRARACELVPDRAASAYDSDLAAARVTGHHQRVIDGVDCCDAKALPNSPRAEVGEAVRMFWSPGGGAGGIAVLIAAVVAYYGGPMCFLGTGGLFGVGSPEL*
>EED81806
MSGQKSTTFRSSDSHPRLAASEEAAVGWNSLRRAESYANIAGSEPGPTARLLDSRDTSLVPTLELTASMGEISRFLTSPSSILCLEAHGAPPAVPGKTGTWSDEGHRKDARAVPFRSTIPGRQAVQVGRWHAFRKSDQGESGAGTQPEDPEDLDWEDVWARKTSPTKLPAELSELPCEESMERVKTVVYLSDGSPEGLSASLGAFPFATKIGLIASSTPFLTGRPHTLFYGKSVHSSGAVGLCVMSPVQPSAHLLFPNLEPLTKPMFITESEGNLIQSLDNLNPSRLLLSAIHQQNTKTKSAGNLPHSDIITKDDHFYMAVLRGTPERHQIFRLPAHTSPDSLSAYLSPSTHQLRMAVTVARSDSLSDYNPDKDAHTVVLPDTFLAASENGFMVDRRVDDASAGPREDLMKRSHARSLSIEDTPEKLAFKLLISVEGLLSLARKYAQVRGESHGAQDAGTIGRLGRVDRFMFTTAELLKVLAISVALMDRPVPSRSSLRPQFEKEYCAQLPNISSELVLVTPEALTATDQVQSRVAKALSSARLHVRMITKGDNGENVISQLRYAFMRILEASRLVLGNGHGNITIRHNSVSEVIYTGYEHREAAFFRITNSDDYCTLIREALDIEHLEVVFETGRFKNFEPGLTFNSKQAECLKILSLQQFLPLAPNGYPNLVNLCCTSYQLVNESSLSRFLKLPNSCPNLKGLVFWSSGPAIPVSKASKFSLYQPVIMRNLYSIELKDCSWLVASCLLRHLSLCADHVHIQAHELKASTAPLEWFEVKHLPVDPLSQPTSKWHIAPKGTLSEFNTEMAIIGLHSIFDGQPAFLQHLGKFTETVGLFVDERAIKIRYRGEKASLDMEMTLDSRIHLLQGYDRWLDFVVTWDLAASSPRTSLRPIIDLPTSCHEAHFSRGELCNQQVPSIDVLWTWVNGSDILLEEAKGLAQNQYASDDPYRPSASIMQARLYREHDELRHSMRSVLDNFRPYTSRFRLLTADFPMPSVVANESNITTPDSWRLGQIPQWLDLQRQSAPGVWQDGQIELSVTHHAQAFRPYNGTNFNSLAIESQFDHLANISEVFAQRVLLPERRSIYDEPSVASYGIVLHLQSNLLVKPERLFGKNQGEWRSLGESNFLLSQRFGARYRPYVAHEAKTASRALLHEMEMMWPGSFARTATHRFRETMAGDGDVNSMFMHSHFVVERAREALLWAWIVGRIGGLDDTWGEREGRQAWTELGGTWGENSLLVQSGHRETLVKKRVEQALKASGLKPQSLTSYAFCERTFYQIKDDIGANDRVASLDGFPYSGLGINGAPSFLRFSPDVDEGQLPRCRISYDECFVVRDYEGNPNSTSDVLKNIAFRHTRCGDCVIMALVKASGPLGLSAFLPPPERSLVRDDHLSMESDVPHLPIVDDWQRGDFSLRAVAVTEQDINLRQWTLQLLQRYRYVIGDTPSMFERISTPRQTDLIIKRVEKNRNVALLCINDDVARQDDEVGRILKRWLDKRWKRIAAWERQ*
>EED81807
MPRLLRYIRRLTIPSTTRNAKAGRQTPKTRENSKTVSLFWDMENCGLRLRSKDGFTIEELLRFAEGFGCLKTLNAYLDKSHHATSSSLSAFRSQGFNIIDCPHNGERNVVDRRMIDDMMAWAARNPAPVTMVLIAGDKDYVKAASTLSTRGYIIIIIAPPKAHACLKAAQPAKLLSWRRSFAE*
>EED81808
MVRRMPQIAFGTGSKWKGQDVTDYVTNAIETGFSHIDTAQFYANEESVAAAIRETGLGRSDVFITTKWSSQAEQMQKLWKIAKVKPAVNQVHLPAHLPRWLLSKLDCQIQFHPYNYAVNKDLLAFCQQHGVVVEAYSSLRSEMVANACYRC*
>EED81809
MQKLWKIAKVKPAVNQIQFHPYNYAVNKDLLAFCQQHGVVVEAYSSLSPITRYPGGPVDRPVNAAAKRLGATATQVILSWVKAKGVVIVT
>EED81810
MERVVSVRVLITTATEYGIKLSEFAGCGALGSTFGQASTFQNLPATAKKAAACARILSDISVPCQQISSTPASLTCRAWEAAFRPMLFRWFCVNNRNLRRAHSLLLANKQIGTYVRYLTFNDFESWSAHWQQQQHKTLVFILAQFPQVTNLCLKVHVLTPSTLDVLALLSPSVQFLAVGTLMGATPDAFTRLIRAFSNLRTLSIEEDLYIHPEGKGLSSASVMERLMRTLGIAFTPPAQRERDWYHRAIDTVSWNKRDRTIRGDPRALAALLQDAAPSLTCLRLCHRYWYDGDTAFGKKDNPSITSMEFWTYDKYRTPRFLSQVRPAKIRVIKFMDFSTKERVYSKRGVRNQDGVAEHFTYLRLRLCGRLRMSFISSSYYLLMPELGMLSLTRRQCDFITPDLGPSRQFGRICHVRVFCQATTTHYPTLDFHKLEEVLIVACWIAEYDDAILIDMASSWPNLRRLILIPEDADDADFIIRATLRPLQAFAFHCPHLECLKVVFHADGNKIPAVSPNTKRDRLPQNLDYINIGPSTTSGSLSEIAAFMAKIFPALCEIDSDVILSEDWKIINGFLIVHRRVREEGRQEVRDEMPAAAAGTD*
>EED81811
MLLPLLSPLIPQLVRWSECEINTGETTERTNFAALGTLNSASRLEALRVGVRGCSGIDPLAMEGEFFNSPTFMLMPTSRSDALRDVCITCAVRTFLAHIDAPRLIELYLEHTNVDFPIQYDPYAGSTEDGDSDDEANDFSQSPWSDHATGMGLRALMQRSKPQLEVLEMTYADMRTKDFLWCFEQLNALREFRIVASDMSDTVIQALAPVVSPDQPHALELLDVFGDRFRTS*
>EED81812
MSSPTAAPDKETLKLLLPLRYDGKTIIECDRFLSQLRIHWMINTSLATIELKATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFKARYGNLDDAAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRAAEKRATEVEQILDISRARRPELNNFFSARGRGRGGARGGAPSHGASASINAAVGKGDFPGTCFGCGKQGY*
>EED81813
MPVVTLSTVTDIIELARVLKDLYTALDEIRGSTAEYQDVAADLRRFSVHMQAVEEVIASFPETASPRVVALKQDIKSWTELARKWQLEFGKYEKTLGTEKGKILSKRTYHKVVWYLRGRPRVAKFRTELEAAQGGALLQFMAIIGFVQRGDYIMSLVLTRDQGDELERIIRPPQWTLTVTDGAEFTMTIAMNVPTGDVCPRRPGNEPLPQPPEVEQESLNDVLKFFRRLHINVIGDGSEHEGTSECEGVIEQEGATEHEDATVHEDAIAHEDATEHEGVIEHEDTTEPEGATEPDINDDRPTVAVYRSPDIWPDLINRANGTRTLIDADNSITTNPRQSEGNRPQLALPSALRGGKALTGLLLGPGQAQAWLGLSPGPDHGGSTQSPSRRLREVEGLSEYQMTYIPAVPRATPRPQSCDIRSSPGPRSFPNSTIGSFPPSPGGGGPIPAVPRATPQPQFCDIRSNLRPPSNSTTLLSSTSLVQNICEQPESEESLLVRGSGLFVGY*
>EED81814
MFSITFYIDFLLLFTNALLVRGLAASLTLADVRQAFYEANIPQDANLTFNPTVALEPVFALVRPALSKDFVVIMVDLDVPSPSDPYLGPYRHFLGGDYVPLDFPRLGAFLLGNLTKPVSSWVSPGPDSVAPHRYVLLVYEQPADFPGRTLITANTSRYNWEMSAFVRAIGMGDPISGTYIFVGA*
>EED81815
MSEIESKLYASVENTAQVGLTQLLLDSLQDTCVLYACISLVPTEVDGLPQLIISALTLSGRCSAYPRREWRKIKPCDTNGTFWAGAYRDEYVCNGGQPGATWHRMLETRKSTGERRVFSAWFTGLSLCAVVLRDVAAQRMDGHDSRPSSLASSGTCAGSTWLSSVGFFDDVVDDEGEPQVDALAGRIENDEGYTWEEHGGELARDAVAHDDVPVAEQQLNV*
>EED81816
MAMAVLPLPILGVFHYFAVPAYLSRSLRDKIGLNVLALDWSDVQSQGAVRREAIGKKRRKREIPTPAQDESSVPHPNDNDPTPAKQQLSALTYMTLRITTDTLLSSVDEWFHRGYQFTTSEERESLYGKPTPALFVALHACGSLTPNILRAFLARLKSSDGNQKWSPQAVIVVGCCYNLLETSDFPLSHELTHPTSGLPPAYLTNNHLQLAAQVPSQWMRSEVASAATRLAIRKVVWRALLAGILARVPNLPANDNKQADDDDYCPVHLRRLGKLNDSVYDNWDAFLARAGEKLGVDLMQGHPRDREMESRLEVMHVLRCILGPVVESFILLDRLQWLKEELQGTGMDIELVNLFDQASGSGRNVAIVISPYLHETNSR*
>EED81817
MTSTTVVLEDPGLTLGVMTFPGQVASQRVHAFLCTPIVNELLTTHPNWLCQNAESHTPSSEGSSWWGWAGEPPHGNPTANGPQGLSSIDERKWLLLLRYYTHPSCDGLSDLYSTIPADLRELLDIARRLRLSREPGPARFLASSCPQNATREAVYQSVDYESSGIEAPDYARMVGMSPKKAHEVVRMTAYTSWLLSSSPSLRTIRHVVDVGAGQFLVRSTILRFLCVDHRVLVLEQAYLSRSLRDKIGLNVLALDWSDVQSQGAVRREAIGKKRRKKEIPTPAQDESSVPHPNDNDPTPAKQQLSALTYMTLRITTDTLLSSVDEWFHRGYQFTTSEERESLYGKPTPALFVALHACGSLTPNILRAFLTRLKSSDGNQKWSPQAAIVVGCCYNLLETSDFPLSHELTHPTSGLPPAYLTNNHLQLAAQVPSQWMRSEVASAATRLAIRKVVWRALLAGILARVPNLPANDNKQADDDDYCPVHLRRLGKLNDSVYDNWDAFLARAGEKLGVDLMQGHPRDREMESRLEVMHVLRCILGPVVESFILLDRLQWLKEELRGTAMDVELVNLFDQASGSGRNVAIVISPRLHETYVQ*
>EED81818
MGSSELGECLDEWYLPNRSHERGVKTQGAHQTLTHWAISCVGDMVTKEMVKIGKVLHSEPEELSEETLLAVKWESLTNTTKQEAPVLWQLLRRCAWTADQDKRNTCKEPDSVMLYTIAMLAFSHSNRNSLCQKLIAIYLKSCGTSAKAFDTLHALGVTISQKWTYDGLEKLSQHPRESLLADIENFPILGAHDNVFLVSSSRSGMTNGIVLPAPVPAMTIQSMQSRIASVTSICQS*
>EED81819
MSSFAAAPNKETLKLLLPLRYDGKTIIECDRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLTLVQMGVQGVTTPFRDEAAFTAAFKACFGNLDDEAVAQVELAKLCADKSVHEKHTAAEFSALFKGPVDCSGYGDLELRDKYLSGIPSHVYRKIELETFTTWRDTEKRATEVEQILDISRARRPELNNFFSARGRGRGGARGGAPQSHGASASISAAVRKGDFPGSCFSCGKQGYR*
>EED81820
MTAILIQVKNRKSPAPLVIDESKLSHKGHRFFPAETEDRRPYIALVLELGVRNVSNLREKPPSVRLSESSNAPMHPRYQLDVYGCSPCVYSVVKDKKAYTLLLDGRDIYLEHPRRSTEPWLKRIQGYSSAKTADWTDDSGKAQGIDEDIEDDQTYLGASQIHGDSTSVMGEESQSRKASGSDVFV*
>EED81821
MTAILIQVKNRKSPAPLVIDESKLSHKGHRFFPAETEDRRPYIALVLELGVRNVSNLREKPPSVRLSESSNAPMHPRYQLDVYGCSPCVYSVVKDKKAYTLLLDGRDIYLEHPRRSTEPWLKRIQGYSSAKTADWTDDSGKAQGIDEDIEDDQTYLGASQIHGDSTSVMGEESQSRKASGSDVFV*
>EED81822
MPKPNTPIDRKGVPADRRQAPLGPDSPLFGSRIPPGTSTQSPNSSISPSTLFDIFDVPLGCTQDDFDEEPIPSTAEERTSSPELLVLTTSDYDTSTPDLFDQSGSSPEPEDPISSTSNLELLTPTSFRAHAQLPIASSSQLPVIPTSDLAPPPPLAPSNAASNSNPAPPAPTIPSTTTMSSSSPAPTNTTNMSQNANTPLMPLRGHSIALSFDPSEVRSLRRYFQDLEVLFTRCQVTDEAAKKQWAVQYPLIDVADLWETIESFVDVNKSYHDWKADVRALYPGADDTRKWLLADMDQLIGEPLLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAVNQTVASTSNTSTIVPPGMIKTEDISMIIESLSRTITTLIQPTTHAMHNHAPTLRQQAAVHVHKNSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKWNTKGKIVLPNGSFCPRTIPGLTIQDRIYEWHRRNPTAPAAPTMLFEIDNRSTVQTFTLNTSSRIEALERELLQLRKRREVLDGVEILQRKKPTTPAVPKSAEASGSGTSKGVAAPQSTSTSMAPPPTIPAASPVPSSSPPMQATSRPTTTSAPSAPPVHPFANARDATYTPPNVRNFATSPKPSNDKGKEPAYKTIIPVIQPKLAKEIFQRSMKSQFVTLTPEELLSIAPDVRTKYCDTITPKRISTEPIVSAHIVEIGADEVLTINQLSCSDAMLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAICSIIALVDNKEQIECIVDPGSQIVAMLEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLI*
>EED81823
MANSAERGRGHWSIRPKVAMATDEFKVKLAELNGKCTKRPLTAEEEASFKKEKGKRTIEDLFAALERRGFEDLLTRFVRPGFGERVPHISRYDSYPYVARRSDMFAELPRSELDARDLDGGEWY*
>EED81824
MSSNDVQEAESRIRWTNSSKGVCFVCDALTNVSRTRLPVPDFSDDDYTCIRSLAFRLDSGELTLDDLSWKAGAEVTRERRLASAAVYAFTEAEWARVADDEDEDEQCDVMNDNALLLLSLNLDDRGNPLRPK*
>EED81825
MATTAFSRFAAPTNSNDPVFVIVIVEDSRLMASKWEDIRNHYLLALLESLREADLTVPMRVWWLTSSPAFSALSTTVHDVSQCASIPDLNLGQQSDIAISTSTIRRATNIFSSSSRQKSGHQHLIVVAALPLLGGGDGPSPMQSGIDPWIGISLALCQEKLRLHLILGPAHEAKAFHELFHRARYCQSLAEVPPWFHVDTSRFTVLLSGTLCDGSQDRRARSRGPWLPTTPGHANGSASSPPDSTTAALLSLQAMTPRLTEFHTMEWSARGSTADRKHGTRAAARGAELMQALAYHHATARTPALSHGQQFYDQSSAMLRGATHLPSVPDANYNLEGMSPPSGMSSLPRGSVSYDNTPLGSQTSTPLPSPSLTAPGSPTHSFEDQPFIVTPEYEAFVAARFEEVLRSGAIQASMTPEMSAAVPGQPSYEAASPTAQEHGFSYSLQQPMHRVLTTRRQAMSPTSMTN*
>EED81826
MLLDSTALGVNKINNNKGSASDKWRDLVVYGLCFANELDGGVFVVYTLGQAKYNVIKPRTAMVALASAYKLPKNEIRHLELNENPSKMTIEDEPRSAKSGFSKYGNFKRASRPITLLTNLLSHERDYSKHLFHLLEHSQVSLASFSAYAAASSPQLSHAIIAVAGSLAGADDALRKYAASLEAWQSHLKALKDLEDEVGNIVRDREILMSNLIQYESIPTYVLYRWHTTVCFELHIVGGYDKRNGAIHFGIFTLPATTPAGCPNVTRLLKASKQQKPSRDSLIASSGSTSSLSFVKPEISIGPKLSAAQTELQACEAHLAMKERQLESLRTTAIKSGLYARCKAMVECGWTWGEMGKEGLRVLEIIGSEAEPQNSNGTHPYTMSPQLKPFPGSGNHSDSGHAHSDLSSIAPSQSASQITLPVSASDGTDHNNTDAWRMNTASAPVQFPNYTLDIPPAHAVSEHIALNGGPRAHWRISEVEEPDEEGGGSSAEEEDETRPVEMHENERFGTKGKWRGKAPASGAAEAPKTFSIRARTGSVDSGPRHVRFPTAPSLADPPSSQSSKKERHRSGSGSFFQRGIAALFHKDKGKHEAATEGRTSPTASRSGSRWHTRTDKHLARTRRGGDSSDDEGGAHSQQISTWSPAFTRSTDTQSSRANSLVPSMSISASVSSASATQRLKKRTKRNSTQARPVTRTETEANKGWVSDSAMTPFGDLKGKKKAAERQRSDQSVETIKAATRNADVSNAAKNSSAASTSTRSSNMTLPSSLSGHTSLSRNSSLSRQSAASTPTQPLMRSPTSASASSSQQQTTPPAHRRTASIEISDPASKPSPPPPAKGHKRGNSVSSPHRSGLTRDTDVPSLMSIVEGVAKENRQAWAKQDPNRLLVLPKAPPPVNVSVDTGVSRSPSRNHVQMSASASAPTLPLSTSRPFAKTPLRSALRNSRTPSPNPPVPSGPPPIDPVVDAGAGPSRHGLHSLAYVTTRGDVADTASISSYETGHENFAEESDSMAAPPPPPHDEKPLTTSGSDMSNSTASTAGPARRKSVRMSLPPTFSATPPAIDDDEDARGRHHPWSPSTKAPTVGPGGWTSRHERRGTGDLWVDSSDDDDEEYRTAKHLLSRVTKGTRS*
>EED81827
MTKLAVCSKCKKFYYCSRDCQKANWQYHKDYALLNEKLAALSMTDSSAAQKQKDFHGWLDVVDHWPYVSALSLHRDQKRGRKHMIFEEVAYTPKAGTEARDKFRVVRCGVFRMTDVYSEIERKMCLDPGEGPKFCKDLLDEMDASSNACGRVPFLVMVYGEGVGPRLGNGNFRVGLLQMGLAKVCCTQDRMIPNGAKQ*
>EED81828
MNHKEALDREEVIDFVMSCWDEDAGAFGAHPDHDAHIHSTLSAIQILIMQDALDRLDVPRVVDFILSLQQESGVFAGDSFGEIDTRFLYCAVNALSLLGQLDKLDIEKTAGYIRKCRNFDGGFGACIGAESHAAMVWVCTAALAILDRLDEIDHETLGWWLAERQLPNGGLNGRPEKLEDVCYSHWVLSSLSILNKLTWIDAEKVTAFILSAQDLEHGGIADRPGDQPDVFHTQFGVAGLSLLGYPGLNDLDPVYCMPANLIERMGLKKGWQALPRRTN*
>EED81829
MSSQRPIVFMDVNIGETPAGRLKMELFSDIVPKTAENFRQLCTGEYRVNSRPQGYKGATFHRVVPNFMCQGGDFMKGDGTGSFSIYGDKFPDENFQEKHTGPGLLSMANSGPNTNGCQFFVTTAKCDFLDGKHVVFGKVIDGMLTLRKIENVPTGPNNRPKLAVKIVECGEM*
>EED81830
MPDLRVARVFDACSGIPAEAHPGRASAKLAADPQHASRLLSHNAKVPINRLPGELLLEIFYVHKLSSALRIGLTHVCHHWRELALTSPLLWTAICLEDRVEFVDACLRRSAVAPLTIVSRCYIEDELALMKFIAPHIGRIRALDLRSLSTSAAEALMRQSRGSKASMESVTLHVHPGCRSLTTPTFVLARNSTRQLRSLSLGGIAIAAPSSPLTALTRLDLTDTFLASTATIDDILDLLENCPRLETLSINERCRRFPVKSKSADRRVSISNLRHMRLAAHTALISGLLSCIILPSDTTLEIKCLISTHGVSPASVRTVLPDGLGGLGNLAAIRSLHVFVSSNIFRIRAYDMVGSAPIKKLDMDFDNDPPADMSSMLPQALVELARSFTSRGVRDLQIVGDYGLLIEGVWREVFAHLPYIQHIEIGSRGTVNKLFAALLAPSSADATDPSFCRDLRRIYIRGAQLDVDSAARMSTLAANRLLQNRRLDTLALSCYQRVRWILHFQMMVKRSRLWVSRFQFREDWIFMRTLR*
>EED81831
MATRIITDLPVELLVMILFFLDAHGLINCKQHMRRTAELVPGTSFPQWTTLVWTTASGGLFAQANMRGGIEFIQFPSAVLGVSERRWSVCSDRLKMEMETMCMDPSQDVVVVTGWARDQVPNGPEGRYPALRILSITNGQSHPLAARSEINLSDTGRYDELGEVELTRREGRQSRLEGDYVAHLGSWNHEYAVLVWDWKTGDLLWESIYVVHHLQFEETSPENKYDSFDFVDSRHLLATDDSIIDIFVLDRPSKYTGVPTFIRSSHCIWEHPRRKGQSFTAPRLMSTHKGGCSQRNPAHPFYSSSQRGLVAVACYGAPPNGDIWTEVILVPASMIREWALRAQTGEATMRVGDAGIVDWADWAPHYPRLLRAGRPFSAEVQGMHATFANIEEEDLVLDHIDYTAVGGKEVEFDFKTSHDIGDEWDERGLCVVPEDSDGVHSAYTEAQPIDQSIPQYLVILPDYAILQEYEDDNGDNQWQIYHIVASDSDDSECVNGEEKAGAVIETTA*
>EED81832
MSSPASPPDKDTLKLLLPLQYDGKTVIECDRFLSQLRIYWLVNTSLTTIELKVQVALRLLDGDARTWATPFFAQLVSVQLGVQGVTTPFANEAAFATAFKARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTSSISAGPVGLS*
>EED81833
MEKLVSLVVSSGRRESLDAWGRWRSGLWRLVAGACGEPSLRPSDPSLSIKGLPAISSLSVYAHGHRASSTIEPGRPNLCADVHTFSEQSPPRPFSSCLSSLNHLFTDGSSYFKYAPYGQLDTEARMLQPNVVHKNTPLLPIMPLHRASAEHTQLSKICMADGTSLDDPVIRPHAGSRWHVLVATLILCLALPELCVELCPGMAVMGHVAAASVKGVPYLLLAPIEPVSSIFGSIRLFVIFMTMNSSMNPTHYTLDYDNQKISTTVFTPADYATATREHYMNCNIPLGNISGAEPFAADPTFALSHSLDQHNAVSSAQSGYPLLSNDGYLTTYCGQPLVAPQPEDTRQATNATWPSYCTTTNPYFPVLPPHFTNYPSIPSSTMFPDAMSLTPSLVFQPTSSPTVGPYQGTDQRALQQPHAERYHTRSIPRHPSRYGQQRAFLAFSTIPSSALPYPSHHTPAHRAVSARVADLDPIPAGYNFDVHASSPFPHNLSFTMPSNAGPPSGPQPAPIQETSSYASRVTQTRPFFCRWEGCTHAGPADYSAITEHLRRHQVPRGRPKRKSANPVDSIKCKWAGCRLQDAVKAKNLLKHLTGTHLRFKEVQCYIGRALETITSRAAEKTRVKGDMKKIICLVIGGGRHKSLVIWGRLWLGGGANCGGEWIGDL*
>EED81834
MPVLRLGNNQIPGTMKQDANPLGPFIEALVGVSFSIYPTLGYLNLNIGPQKSLFHCPGNLADTMLANRQESTTMFKRLRVRVKRPGMDPSSIIVTRVANATARQSPMIDSNMTVEDYFRTNYNVTLQHSNDIPVIEFGKGIPFPEELCDVLPGQLVRRRLPYGLPVFQKWSSKSPLDTASVIHAEGLRLFTMQRSSALPDLLDRFRITIDLRTNTIPARVLPAPGIVYGNGIRVVRDGLWNVKDTRTLSGATVSNWAVLLVQGESPSRFTGIDDPNFRGFVSQFVQKCRAFSMKIPDRPFVMQVSVEREDADEMARVQEIIISRLRFRPSLLIVMLPWRKRQLYAAIKYYTDVVLGIHTAHILLPKAHGDGYLSSLALKLNAKLGGINYYLDDQSIRWLSDRANMIVGLHVRHSLPSMNMAWTTAAMVSNVDGFFHNFPAHLEVTVDFQKPDVGRLFGQCLALYRSKNGRLPERILIYRSGALPPNLLCEEVPQISSAYSKAQGRLGDPAHLKLSIVHCCKSRNHPWIFVDQDKRYVTATGSDKSLLSLHVKAGQKGSLPLHYTVVYDTNGYNPDDLQQISHILTLKNDHAGAAMSMVAPIKYAQLASQRASCLLEFETDWVSDSTRFRQGGIHRDLRNSMFYL*
>EED81835
MDERFEHISRPTADDLYDGSYAYAYSSAFQGDQGPVNPSALHSIQFPVQGREQDRHTDTVNVVPPEFRNEIATLLLRIILKSTQDPALISASLTHFVDPDVRIVITTEYTPRYNPGHPVMNDTAISPPAVIDNSPSFDAVVHDLMGLDLDDMQDVPPHAGFGDADPFPMYVSEDHAFAQQQAHQAEYAPYATPSYSYDVNAYHTDTIPFNPTTPSPTLNSVDVGTPGEGHEKCQWAGCGKDLPSFTRKDIKTHLEAIHLCGAGEGLPNSKVRYGAVLEVFREAVPSRRSHKAHANGTPRCLS*
>EED81836
MSVFDLHLDSTLGCGFIGITAGVIHFITIATTPMTSSIYGCCSRTTQIAFLWLLDTVGTVLDAMSRGSPYLALSFPVYSAFVACFSPRIPTMAASFNHHYVCGIGFAYKPFGSSNPLSSVTVYKLKVNRNIAAGLQDATATGCLQTLTAVLADIYISVLLCMILNGKKTGFRKYDDWPITGITDRLSDITTHLVFYPSRYRNHGCATSAICNICKLHTRNPIQASLDNISLPREQTLNVRHHLRDSGQHAADKLLSGNILDMIPIDHTQRLPRSIEINANCAKPVLGYIVVNREVIESVDV*
>EED81837
MIIYVFGKKDIDIADCMDSLISSLRASPSFREDGGAIMLRHDVSYAHRANELLEKLEAALSSSIKVLYTQIPLASTPARDGIEQAQTASCASTGGASDDTPVDDYTILFVGGESLSLTNLIMTHAQSEVHSYDPKTRTARIESGRTNKLLMRRYAVVQKARDADVFGILVGTLGVASYLPLISHLRTILSRAHKKSYTISVGKLNPAKLANFLEIDCFVLVACPENSLIDAKDFLRPIITPYELEIALRTEQSWTGQYVLDFDKLLTQQSHMSPGYFGLTSADSSPIVRFSGIVGLQDGRDKVEIRNSWFTAVSRLTSMTPKPRKRKYRPQQSAQVHGGPAERPDPALFIQAHEADLVREPQAASAARSLEVEYDSGGGRRPVYIGDGLIQLNSAGQSRGDSDVFAEERVQLGRGSTNKPTEDRDIEGLWVDRYDARLLLDALPDIPHSSHPARSESPGGWSDLPSDAEDTFFFTADEVEDYRREKRRRLIDRGREERLRALGGDTEDDESKPEDVWGGSDEEPDETQRELMRRTALHILSSPNAGQLEMRILANHGADRRFAFLKGRWSRAWKIAKGHVRLDLEKEKAHKADVSSETGGGLGGLTGYGDSDDEGTSTSSGKGVEGTHEDERCSRDESGNACSSEPTKDDDEIVKSARRARAKEWAEKRRALQPGHEDVRTMGNRQQGAATGGLIDISEAVSIAEGRHTSMSSVVELKSLVKGLNGASSGEEILGILKTLKQEAKITEAILR
>EED81838
MPGLALHAGASWQQQHLRLEAALDNAMVPERLAWRDHYRPASVLIRDRVSSGATARALMAVTQTERAELDALQQPHAPAAATLVTHTTHSSFATSAIVARAAGHFAMFSVRPPSVAYGTVTGQRTAGGACEESKAGIVRDAYIIDDSSSALLPFALCPARCLARPPRPYRAAYLDAGPRLVKSSHCDDADDAPRREDGHKVGAGRAEHGRVMRDGWWLDSGGYLHVHIDCPTVHRLSAAHGRLRPGARASAAAASAPSTCALGAADDSHGARLSPSLRAAGSPAPAFEQEQDSSCDRRLRDGPEAGGHRDGHRAGGRRTCAGDGSRESAVGRVTVRDGGGGTTHPVGAVHVSAQAGFQRVPTRWVAASTNTSASILRTTGTAHTSPVSVWTFGHAALGPTVIARAGNTQLRRPVTGRANVMSGIEYFLPGATPAAASGLPGGTVRVARAMRTHFAVREVAALGYAAAAHGKPCMMALPISVSTPAAADSYAPARLHAGVGRALGSACGRLCGCVREQAIGLVLGCLQMQMQAAEDAVATRRKLGELVPTSVRVGESRPGEAGTDDSVSESARDADGTVREVAATQRASERPCMPDASHAEAQGRQAEEEALAGKRSTPALGRDRAVDASTPAIAQPDTARGAYRAVPARARRHARERGPHRAGPELQLEQLLQDIQELLLAGPVWMERQAAAQRLVRDVPDKGRPAALEQRRDAQRGHPPARGHAICGQRGVGASIEAPVRKAFPRTGPAALRGPLRGLGVAEPVHLLVLLREPRVVLRGAGAHGRVRRRVGVAQAAALLEDLGELDNEVVRDACVAQ
>EED81839
MPTRPRGPDWEKNIKKLKMSWATETIDSIQSQWSEQESGWCAGMWTSGRMKESGWAGSVLAGGERVCWQAASGYGGYPGSEADQLGTDHIVWYYKKTRLRTHGRK*
>EED81840
MSSRSATPASMPSLVNRRLASLLVVLEAPPTADATLDMVKEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVEQRVEEQKRLAKEEARRVEEAAKHAKAAKDRRLEDEHRRKDEEDRRRQAEDERRVQEAADEELARIVAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTLERPFVMMEVNMAAVVLSKRQAGQKLLLGPGRFQQGCYFNKVSVLGKTKKMRGGGSTTKKRIRPTSPVPSVADSSGSKKCRVDEPPCPLLQLPLDGAGRLGLEQDNLDALDLDDESRGIIRIICEECAFIARRRALLHDMDLDLQKMEKAMLAKGGIGFVRGAVNDD*
>EED81841
MLTRPRGPITTRPYRGPPAPGYLRARACELVPDRAGQHVSTHSLEQSKQGLARTSCFSALSTPSISASILAPSRQVHSPSQYSGMMIFINFSALTSRLQLLGSRFDWCSRRAVLRFGGALGERFGYGGSMVLSASNSAVRWFGGLTFDGGVQSGPRQGSVIVWGRLRWLWPHPGGWFSSDGGGNCLRCKSNQQSVNSDGMRYAHLPFLPPSIVAEEAFTYVGLEHAFDAEDHVDVTRLDDPGALFFNCQARGDPDLPRRTEAVTQPPATPQAIPQLSLGPQLEGPLKTEPRDTPPHSWAGSSSAVTALTSVPVLRHPTSGLPPAPSPPFLLRGRPSTRSSRNLPGGQSQQLPSSADSPSSPSSPIMSSPAAAPDKETLKLLPLRYDGKSVVECNRFISQLIIYWTVNTALPSLKLKIQVALSLLDGDARAWATPIFSQLASVQIGIQGVTTPFANEAAFLKAFKARFGNLDDAAAAQVELAKLCADKTMRKKRTAAEFSALFKGPADRSGYGNLELRDKYLSGIPSRIYCKIELETFATWQAADKRAMEVEQILDVSWAHRPELNNFFSA*
>EED81842
MHQQLGAKCGDWAEARACKMASAGGGMTAGMEKATGRGAGALVDKTLSQLTWHAFAPGVKARHNKPPEISITRSQRFTQICVHHAQKLEELRLLEDARSKPPRSAVKSLQEELDHGFAFSKATKEDFETSTPYRKPPLPPVHPSSSASKASRAKHTVTFAPEVPERRSPRKPVDVRMSMDAREDIAPEANKVPAPRSPILKKPTPIKKLPSDTVFMTADSGRTNKYDTKDKAVGVQEIVDVLQDLQEAVMQKIARRFEGVRDDVRAGREAILAEAAADLLELRTERRVHLPRPPVEHFNRLIDLEAAYARFGRAVDSGLEDVVCMNREICAGVARTVHELDRGSLSKRMPARLGPFPASVPRVVRA*
>EED81843
MPAITLSTPCRYVLLVLLVLVRVLPLSLGIHSILSLTHHDYARITSVSRLTGWGTPPPPAVLTDYLHPAPPANASVRANATLLMLARNADTESAVRSVRELEQSFNQRFNYPWVFLNDDEFSDDFKTRISNVVSGPVSFGRVPREHWVQPASIDEDRARAGREKMEKDGIIYGVSANDSARAPHARASTHGPVPRAAAARRGLRSGAHTPHVVPSAPSVLRRVCAQTDPHPARSRSARACGLRRKGTTLESCLRGRVHSADRARVVPQVNARQMRDLSVPPYRPLVADSHAADSRLPGSIARAHSSPARATTVSVHARLRPVVCLSTRRTPRSIQPNASRPEFAGAGLPAAITLRWAPRKSSAVPNAQVDGAEAAAVEACAPGRRRPHQPSRITRPCSARPAPTLRPSSLRGASSASSQRDDLTRRCDDLTKRVRRDVQTARRKRLQTAHRGGQPLHPDINAHHSPVDTDAGRDARPAGLCPTAAVVPRAPAPAVTWTVLRDRLMHTAQGSGVRRTCHGVHGTQHDMAGTGERDSGRGTVTASLDGNYASRNHDGHSPRPQARIRATAVDQDSLAVAIKQSGREETGCTRRECSASEAVAYVRTPGNRAPCALLPYAMDGGRTENMAKCPAARATTADVAKEEWVVCVTSVAAAGACGCWRVSSAARLVWVTAITGPRVQDAHYYIRDERVAQGVRPAHAGRRVQARAVAPDETRSGMTTTAGLSRSRRARRSGTTAPSRAASSLKSATQDRRQSAPGRCAPPCLSPTSPGPAARTRARICTPTLSTIPAPVPTSAIFQPNHTLTHPLAYPPATPTPELRASPPERSPRLLARRRDAFHHRMGQLGQHTAHTPAPPNAQDVTPRAPHARASTHGPVPRAAAARCGLRSGAHTPHVVPSAPSVLHQRGGSTGRKWRAFRMCKVDGPPSDLPARPGPLPGEKTFEAHYDIRVCCYRSPCPPARAMSAGDPNGWPVCAIGGVRACKLALALYDTGTQPANPHACEQDGATAISSACRITCGKRYCTRGAPESP*
>EED81844
MPPKLSLAKSPTVLEFDSRGMPVKELIYRTNHSSDDLQSVAQGENDSGDIDASIGTTTDPLGPASGVDVEDSNVSRLSASSAQVSILAQKASGSTGAAATDDAGLVSVITTVAPASKSLVAPQAKTRATSIKRTGSQLVRERVFGASDEELSEVSDAGTVLFPGRSESPLQRKNASLGRRVSAKLNFIPIPSVPHPKSKPGRRILDSDEDEALPARASSKLRNQGPNTKTTATKKKAASAVEDVSENEIDVLVLTQPGFITPPAANPKTPHKSLAKELSRATVDQLGNNPDAPTAVDLLAMNSLDEHSKNLPLPAQRPPASKTTGRHRIDKPTLVTDSDLDPRRSSKKAAPVDAPDPGLHRKKQSDSSRSSKKDLSHLGPDITSSVLAISKSSTLAPAANSSASKNVRVSNHDVKPHGVLNTVPEDLDESDHIVNGSSPVPIFGPDRRSVKAGLRKKGQPLATDIKTSSRVTATKRKRGAPANGSLNPDSDPDSFDMKPPVSKRARRADRSSVLPRSAIPSERTESQVLRPRETAATRATKRYRGKKDRSSSPTVAAQQVDYDELPGTVSVQGTSSPLFGKAICRKEKTGAQAKGTLPASSVRMDRMNAGKKNNCVPSAPEDLSDSPPPPNTMQSEDILHIDDDDREREEETQPQPAATMNKQRSKVTVDNGSHLSDTTVTSVLQRSTQSATSKKSNQVPWADLLAPRGASPAVVETDTRGKEAVRRPPVKGAVGTALFHDVDEYEKTSGATDGMQDHWVMDKNERSNSVSTNIIELDVTEAETQKIDTKHSTPKETIDLTKDDSPVKQKPPRSAVKSLQEELDHGFAFSKATKEDFEMSTPYRKPPLPPVHPSSSASKASRAKHTVTFAPEVPEWRSPRKPVDVRMSMDAREDIAPKANKVPAPRSPILKKPTPIKKLPSDTVFMTADRGSSDVAHFNRLIDLEAAYARFGRAVDSGLEDVVCMNREICAGVARTVHELDRGSLSKRMPARLGPFPASVPRVVRA*
>EED81845
MSTVSEPLVYHTFPFPGLQVASALFHEVYHTKVLPALGKQSHEQKALWETVLESLLAGVLDFLEEAGADKIKIAKAKDAVANTLYSSICDICFSLTAPMMSVDLRCTAYSLLSDSAAGHAANQHKLRSDTVLGGERLGSVMWRTKDYLALESLLTVFAHLLPPAKDATGGRLDGKRAAYIHSVFVSSQPPEAAGAGEKVADLLSRLLTGDWDDAARKIMDVLASANLTFPQPFSVNEIHACGQLQRADGLFVDDKALIANVVISDTQCETLTVPYPTIHRIEVDREYSGDETTPSRIDICVNAPPLLGKEPAAKSTPENAREHELWTVSFAIQSDRVERFVRTLTARGLGKRLKDMMPPKLSLAKSPTVLEFDSRGMPVKELIYRTNHSSDDLQSVAQGENDSGDIDASIGTTTDPLGPASGVDVEDSNVSRLSASSAQVSILAQKASGSTGAAATDDAGLVSVITTVAPASTSLIAPQAKTRATSIKRTGSQLVRERVFGASDEELSEVSDAGTVLFPGRSESPLQRKNASLGRRTTVTKEKATSAVEDVSENEIDVLVLTQPSFITPPSANPKTPHRSLAKELSKATVDQRGNNLDAPTAVNLLAMNSLEHSKNLPSPAQQTTGRHRIDKPTLVTASDLDPRKSSKKAAPVDAPDPGLHRKKQSDSSRSSKKDLSHLGPDITSSVLAISKLSTLAPAANSSASKNVRVSNHDVKPHGVLNTVPEDLDESDHIVNGSSPVPIFGPDRRSVKAGLRKKGQPLATDIKTSSRVTATKRKRGAPANGSLNPDSDPDSFDMKPPASKRARRADRSSVLPRSAIPSERTESQVLRPRETAATRATKRYRGKKDRSSSPTVAAQQIDYDELPGTVSVQGTSSPLFGKAICRKEKTRAQAKDTVPALSVRMDRMNYGKKNKCVPSAPEDLSDSPPPPNTMQSEDILHIDDDDREREEVDNMLSSDPKMTSTAKHSKALRSSKPAAVVLQAGPVTFYLTLLDYMADWKSNQVPWADLLAPRGASPTVVDTDSREKDAVRRPQSKGAVGTALFRDVDEYEKTSGATDGMQDHWVMDKNERSESVSTNIVELDVTEAETQKVDTKHNTPKETIDLTKDDSPVKQKPLRSAVKSLQEELDHGLAFSKATKEDFETSTPYRKPLLPPVHPSSSASKASRAKHTVTFAPEVPERRSPRKPVDVRTSMDAREDIAPKANKVLGTRLLVMKPTPIKKLPNDTVFMITDSGRTNKYDTKDKAVGVQEIVDVLQDLQEAVMQKITRRFEGVRDDVRAGREAILAEAAADLLELRTERRVHPPLQHPAHFNRLIELEAAYARFGRAVDSGLEDVVCMNREICAGVTRTVHELDRGSLSKRMPARLAPFPEDIFCGEPLVVSFSCCVDALDVPLFLLCRCSRHALVQYDTTILRHMYITTPSAKPDPSCNLLHDDTINVIERHNCT*
>EED81846
MSLPTVTKTVAVLGSSYGGTRAAQLLAKGLPKGWRVVVVDRNSHMNHVYVFPRYAVVPGHEHKAFIPYGPMFRRPDARPDVSAVFLHAQVTSLSPRSLTLSRAFPEHGVLEPEKTLNFDYAVYALGSHLPAPIDVWGSTEDVDVKTIAESTPDTNANRSPSALPLALETKGTKAAGIEWLKRYRSRIESASSILIVGGGALGVQYATDIADVFPDKKVTLLHSRQQLLPRFSQAMHNEILSTLHTMNITTILGERLDVPSLTSGETATVADGKKERVVRTLSGREIRAELVLLCTGQKPNTALMAQAVPDAVKSSTGLIRVSRTMQVAVPATSHTEPSSQADGRVNIPYPHLFAIGDSADAFGAINSGRSSAFQANVAVQNILRLVNREEEGASGEHAELAHYEPDPPAIKVSLGLNKRVVDRNGDVASSLGGTPDMEAYGMWQLYGVETDEAGMHA*
>EED81847
MSSNDVQEAESRIRWTHSSKGVCFVCDALTNVSRTRLPVPDFSDDDYTCIRSLAFRLDSGELTLDDLSWKAGVKVTRERRLASAAVYAFTEAEWARVADDEDEDEQCDVMNDNALLLLSLNLDDRGNPLRPK*
>EED81848
MSQSRPLAALPALNQNHFPPPTDINADRSEEICATRVAAPQRATSSSPFKRQRSDTSIHIHLSAPILPMMQSPDSQPIPLLSPIMCATAEEVYMLDPSPSDLAPPSPTGTEIVIEGVKKEFDQALLPLILEAEGIKVRDYGAEVIPSDPRDPEMWDYPIQALVRHDVHIRRNPSNKNPMNLSGKTLWRLLDSGLVTQEEAVHNWTAEDWQAMNAYKTRPSGPSPYQVCNLVRPTASYREHMRLDFVPPLKDDKQEHEIFVPPDVPGMDEGDAVGRPHYAPKDWEEKYDSKKRKPGASQLIKTDMSYAAALVKERYHGIVFGGELLAAQAVHPSFFVGDPAGVGIVRLLVKYSMGLSGRKIKQRIPNDPRNLSWANDASKFGTSYLQKFGWDSSVGLGPSGEGRTTHISVHQKLDMLGIGADHRNSEDGTAWKQGRDFENLLRRLNDSMGNEDSSEAIKVDGFIRPSSTTEPAEDDAVPPSKASDEDDGDKLKRRKRKHGKDRDAVDEEETEKGKKKRKKSKTTDDGEPNGEERRKEKKKTKAMSSGQGEELPARSKRPSQDGLHDVVATPAVAPLVVPRPHRAHRARHIASKGLASKSATAISEILGVASSTTTPPSTSTPILSAVDTPVADASSSSDLKLQDLTTSTKSVMDYFREKLAAKSSGASSSTAAPSPSSPEINDYDDRPKVGLGASKLHQTTTDADDDGDRPRGGLGSSKANTMMGLPIFAAAGTNPSAAADEADNQDIDEGSKERRLKEKRKKKTQERSDETLRPASETAADMDADPGTSKKEKSKKKHRKKALEQPDDNQEVVSAADGTDVLQGQSGRKREKSKKRERGDKKASA*
>EED81849
MDSNLRTLLVCVIGGGHAGCEAAAGAARTGARTVLLTQKLDNIGELSCNPSMGGVGKGTLMREVDALDGLCGRVADQAGIQFQILNRSKGAAVWGPRAQIDRSLYKQHMQAALHNYPSLDIRSASVSDLVFDHDGINAFSDSGEVIKCSQVIICTGTFLSGEIHIGMKRFPAGRINEAPSVGLSGSLRSAGFQLGRLQTGTPARLYKDSIDFRNLEQQRGDSTPHPFSFMNSRVSNANNQIMCYLTYTTPETHQIIRDNLHQSVHIQETKKGPRYCPSLEAKVIRFPQKNQHVVWLEPEGYDSELIYPNGLSCSMPEEVQLMMYRTVPGLENVKLARPAYGVEYDYVDPRELKSTLETKRIKGLFLAGQINGTTGYEEAAAQGVLAGINAGMSALHRAPLVLSRADGFIGEQRNHANRMFTSRSEYRMTIRSDNADLRLTEKARNAGAITDERWKQFEATREELTRVTDLLKSHVLSPQVSNISSSAYDMLRHRSVTIEQLFLAIPELKLVDSRILTRVEIEGIYRTHLRRQEADLRVFLEDEGLTLDPHLDYEIVEGLSSEVKERLYRVRPTSIGVAKRMEGMTPTSIVSLLKFAKRTRGRPTTSDIAQAA*
>EED81850
MSYFLPHLPSGWHVDEAIKSEEDRVVVIRFGHDWDSQCMTMDETLYSVAEKVQNFAVIYLVDITEVPDFNKMYELYDPCTVMFFYRNKHIMIDLGTGNNNKINWAMDNKQELIDIIETVYRGASKGRGLVVSPKDYSTRYRY*
>EED81851
MTPPQLLGLPVEVAVEILSYLGCQDLLSCSAVCKSLRAVVKESLELRYIIELAADGMVDVPSVPMNTADRLALLLDRRRRWRELDWIEKIPVTMPGACQAYELVGGVFAKTMGGLHMGGSYHLNATWLPSRTQPARSLIREDLGVPTRDFAIDPSQDLIVIVSRDDSNPADIAIKLHLRTMSTNAPHPLAKQAGFSVPISWIHSNCFIQIVDDVVGMFCWVHGPALFIWNWHHAELLINLAGLQLPLNVWDFGFLSNRAFMITSMHGSVKDGKGPHSFATHSGPYIHKPMPGKPFEADRTARVHLMALVYDDHGPRYHLFVHHRFLLSFIPTKGQSNATPIERASDLDTMFASQIPWDAWGPANTRFIQHIHQFQWLRYVHGQRVALPPALSTASVIPHCTLQVLDFNVHPQRVDDPVPIRPVGGNICPAGGTTGSYLLVTEPSKISSGLIFQNDVVTYLPYALLVRTGDFEYSGFMIDDERIVGMKAVVDPIRDLHSSVQLNHSLFLTPTNLPPSHLITMSSVNEALPNFLVSIPDVVATHVLGDSNAELGRGDLTLILTESAHVVAPSSPTRSSSYHAPVLTLTIGKAAFPLFKTTMFGTLADDMRAYVFEPEIGGEVGGQVVLVLNLYVKILLPEGVTVVDSPFEKLQTKFEQALIHHGLLKEGIEAAADEIGMSMREESAKLAQRVRGSTESHLSENAPTESPVEVPTAIHTASDSDANGSKSLASAARRMSNAVGTVAGQAGTWVTEHLVTTTTPASQTLNSLSNAHDSMADGYDAGSAEVGGALADAAGKRVENTYGTQAREVLDNTGSSAGNVGAAVGDVALTTSGAGLLTQVFKGAAGAQPKQRDIKDTGKAAHANEDEDAWNDVSI*
>EED81852
MASTMGSKDEPVVSTIVKENVDEVELARMGYKQELRRELGLLQNFGVSFSIISVITGVSSLFLYGLTTGGPAVMVWGWIVVGKSQTSYGRAHPTSGGPYFWAAMMCKPEHAAFASWITGWFNLLGQVAVTTGISFACATFISTLATFNTDFVPTAKTTIGIYAAVLIIQGLINTFGVHLLRYLNNISVWWHALGTTALVIAVLAKAPTHQTGHFVFQTFIDGTGVNGVGWSERASPAYVVIVGILMAQYTLTVAGFDASAHMTEETRNAAMSGPVGIVMAIGVSAVLGWFLLLGLLFSIQDLDNTISSPTGEPVAQIFLDTVGEKGAIVLMVIVIGSMFWCGTFSVTSNSRMMYAFARDGGIPGHKFFQKVDVKRKSPIRTVWLACTLSFILGLPSLGSSVAFSAATSIATIGLYVSYGIPIALRVIYRSRFVRGPFHLGAFSSPIATGAVLWICFIFIAFILPEENPVNSQTLNYAIVAVGIVVTYSLGFWVISARKWFTGPVKQIAAEEMGIDVMNPAEIEKQNSSKGSV*
>EED81853
MSPTTRSGTRRFVVVSSNDSSLVPFADRWRVSRGNLTWKVTIRSRRKDSDLNVDDVDESLLSHPMPTPRRNNTETSESVVSPQLSGPGFRIIEEDTEADALAKEQCLGSARNRPNLIKDASSWTWLSPWLPSYSQTPAADSYTPMPARRNIVEKRSSPFQTPVASRVSTSTAPLPAMARIDSSILPSSPPRIMSPPLESKLFFGSVHPDFGSTPSLDLRLPSREAQTRVQLAAPAIKQTNKLHTKREPPPLPFPSSSEASPYRNRLKKSIRVSPAVTTSPKSHSNMPPSRAASPSPSGISLSGRQTPAERYNARHSALDKVEAIVSQSWSQRDMSIDVFIASPTLFGALPADHTRNDKLGPDSSFGGGIEQRLGISK*
>EED81854
MSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTSTKRAPIYPRGGTWCRATGPNPQVVTHQELVSYYQRHPPAHPEDIFTILRINIEPTQTAESVQSPINEQPLKLPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTIALMRKDLGKTWADAYYKKSAGGVHVYSTWADFVAALEEAFPEHGMRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWDYGEPMDIDAAAVASTFASTSGGRKWELGAALNEANRKLHRDGNLCFYCHIKGHSAKDCRKKAAAQQGGGRPNQGGSGKDDFRARIKTLSADEKQELAAEAGLYTGEDKGRLCALVRAQLVRAQHADAAPGAVDSDASISGM*
>EED81855
MSPLCARGEDTDDTTPCEDDLLYTMSSSSAAGTLRSRVLKRKHLHAETATRPISTVPGVLGAGETETEGEEAPRDLPTARITHSALTTFASSDLPTWFIPLIFFLARFLSIVPAIFGTLWNLYHVICPPGGSGPDALSWRVDYFISVLWLTTGLLKRWRVYYSPLPTLIRLFALQTICWPATHFTLALLNHEKRPALCWAVIGSTTCYSRSIQLWVTSNIGPAPAPAPRRVTRGRSSLLQSVLASAGGMREEWMKLRRRRWDWGEVGRKCVLPAGIVYFVMAWTEMLRKEWERAAR*
>EED81856
MTDVIGAKEDFLASVELQPSLTQTWVKIASVYMEQGDPKKTFECFEEAIKHNPDDPDIYYHRGQGIYRIFFIMNEFKEAADNYTKSTQLDDEFVFSHIQLAVAQYKAGNTANSMATFRRTLKAFPQRSEPHNYYGELLLDQQRFGDAVDKFERAIEIEQERSKPPPINALPHVNKGLALFQWKQDIAAAEECCQEALKLDPECEAAVATLAQLSLQQGKIDIAMXMFKRQADLARSEPELMNALTYXFIIDFTENETMRPRCLFPEFSQMTDFKFPSPTSLNAAEPASLKARRQVAFYPNMKSSNKPQKPFSRSAAKRESVMALGSIEHLQHYFTKSGIAAESNPLNKPNSGMVPAIGGPSMLNIKPYSGRDRDLLLPPSPIVPQIVQPSFPPYVKTYETDPENLRPGVIEDLDTVAIGWELDGGYQTELQDTSLLGTGTRSKDHLDVLDLLKRTTRAVRSVRNYLVSLPDDSTTPMLQTYFRPQSLPSAPLPKRRVSQPDSSSEPLTRVRRGALEVLTVLRELEERARLPLEHDAYDAQSEHDSTPDNSAHSRAASPSSHLEDPDAGADFVVDAENSVSISFIEVSGRQKAIRVWEDEAPDSGNLSEEEREKRERWDERLVVGGGWLYRQDMQLTDLEPEREVVGRYLDAVDDVLFGGVQNGKRGWQRERERVLQKERAERARGRRVSAGDAPREGGSKRSGRRVVSTGMLEAMRDMVVTEEPEEMETVAEEDSVDDEDLPSWAKRSTFEDDPLGRLHAILVAFLPVNLLPQLPPAPPKRAAILQALSSGQLLCTAYNVGVRRSRKQWGFVSKDAIHDIAALEAQSLEDSAHSDKGKRGWTFRRTDNLRLWAAALKLRYLLLITSPVKAGSSKGNLTPSPGTTPIASPSVSMSSLQFPSHVNEELIIFDAPLVARQDGGWENMLEKAVLKWADAVVDEMRGER*
>EED81857
MFSCRLMRRATDVWDHRFNARVRGVEALEPSSVTHGTKASRVKRRLQAAAAVARTGDRRIQDPGSRDHTNHEKHTMSAPPRRHNVSPKAQDHAASRQCGARCKWGKQTPASAVVTGSASPERTESRRVVSAWRQGAGVSLSATNTQQSRTGEHGYCMVKDGRPRQCQCRGIELLHGMRAHVNLCAFAGASVYGSLNEVSSMELKLE*
>EED81858
MSYKCSVLDIGTKLLMIFSLEDQLWIFINCFVDLDITIHAQTARMWISGDESLRQMTLKLFQHPRHLMMLILYHVLIMPMCITVCEVINGDRVPYFGHIFRPRESAFRISQHAGAILELGRSIRACFRASDVACRVHAFVYILHYIAEVMKTGVVWRVVIVVCSSRSVLLHTFASQDVWHVVFGTLGERKSMLEMQRCDGARPACGQCQRADRAADCEYADGQGATASQILEQQVTQLEGRIAELESAAAPLVLHDPYEAYRRSQTAAQAGPTAAQRTQDQHNVMKYFFRHASEVGFFLHIQRFLQNIAIGPYPDHISALLNAIYLLGAQFSNDVQLQAQQDRYLSHAIDLVTPAMSSGNPSAVIYVLQTEVLLAYYFFDNNRALEGTYHMQAAGSTALACRLHQIRSARPSTGSLGGAQYNLPPPVDYIEEGERVNAFWAAFVLDKCWSTVLGSSPALTDDASRCSQIDVPWPLDMRSYENHPFPSDFRSMATVQMFIGNTGPEGNVNSLLALHAKAASLLESAHRLVSRWDPGNPTFQGNFNHLDSRLERFKQSLPSISRIDPARMDIIRDLFVIHTLVHTATITLHKPTEPQAVASNGRTWIAATAAVGVLQRVDLNSIQYINPIMSMLLTTIADVIVRGIMTAKSQNAINASNRPALMAPLNQILAALDRWSTRSPTARNQRMIVQQSMADL*
>EED81859
MATEVDSSTATGIPNPALGKPLPPYALAWGPSVCRPAFLPNTYDNRIAPEYIFLISDTDTETDATPNTGRYVVIERCDGCRDVRQACTRTLPACSRCVASKRECIVSQKIYVALPNPKVPHTRKANLSSVGVVRGEAVERERSCALKADLSKRRKGANKQKGTREQRRLLPKTAVRKIRSKIKKAGIKPRWPSERQTGKKRRVVSGRKVSAKPMISESTAFAPTPQTGVVWLPISPTPNSPTPNEALRSPQQPLPGRPRIWTSSKHDLRKILPNLAREVNGLVFGVRQLVQSAEVDEAPKSAEAVYSIPPVNLDDVEPQRVCQPTHLHPSFQIDIAMPGSISAFHSYSSAYGPSIDAPGGLDGIALYRISPAPDSGEETAAGTVSHAVYKHPRQSQSPSTVSHPVSTTHTWISPINVRKAVAGPHPPDIHDASSLSCSTNLSNTVPRDVTVQPQHMHQSQALSEGQSKLFLRHNYYEGYGPELGSTEHVSSQSRCDIVLPLSANNFPFQDLRAPTTAAFSQIEADAAMHNPSVLWWPGQLYADIRYLPLGTYAEGWHLLAPLSSTSLRRTQSHYEQAALDVHVSLPLEKMNDVDGLPEESQIARQRSSSPSEAGESPSIPLRCITSRTTTTAEDTASLALPLAGSSGLGNDQGQSSVQPDEVLDTTSYVPGWWPEEIKALVNNQTLQIPIRVILCRDSPLFPLSLPPEYGCVFLGFFYIKEVSMVVIGDKEPTPTSAAASLDHCREIGDRMCWDFKLEWTPGGEFVYPSMDIPLVPWWAVAQEATPYTHPQGDIPPFTLLPLHLLAANVASDFQFENGTSENHSANQLALGQAYQGHQL*
>EED81860
MSFFANTARFALGLISSALLGADITTVTYDTIVQSASLVRGSPVKSPRQVSSKANRMIMTPTGMPARLVVPAPRSVFSLTGLNNVLSKSLRVPIHQFYGWGSSISMTKTLVPSTPVPTVLNVTTSEFAGLSKVLDHASVIRAFDSQVIDLLPCTDLVLYTRCWELAKYVPLSAVLRTCLLALGDDNQYSVVDVIVWSPSPYTSRVAVLLQHFLPLTSAGVVCRALVIYTQCWDVVPYVSPYYACLKAILQLLPVRPAAASTSLVLVPASAVVKVDDLKTRALQRVLFTRLFFGNVYPELYLSVWLPRALLASSLAPATSRSRNNDWVVLTIAWKVVCQGHKCKMLPAPLATSFAASTAPSRPALRTPPKRRLLRQLILQQAEANAKVLGHVPLPPKAEPTQPKSSAVAHPHDAETLPPVDACLTIASLTPASTLDSVSPVVSPAKPSPQYRKPLLQPTRSPNKNLHSLQPNPYASRLGHQHQPSQKNQTRLCHEHQKHQDKTAKPQTYQFVPSRVSPTQNAPMYQAPPSSTAPPPFFAPSPPPPRGHYPFAQQHQQHAPPPAQSFRESYIQQRGSLPNAPTQRVPPTAPCPPGWAPPTAPTPHFAPARPHHAPRAHAPPYPFAPVPPAYMGPLPMPGPPQPMPMPMPMPVPMSMSMPASHPAPFAAPYGPFVPAPHYRPAF*
>EED81861
MRLPTSLPKSARALECGHERGG*
>EED81862
MSSADALPNKTYYTPGDEELADLYHQVLLGFQRESPTADPMQNGDGEVEAFYSTYTDDGGDTPTSSRQQTLSVTSGHNFNYGLPSSPRPTARPPSSTGSVQSPTQRGPRPLPRIPGQSPPVLPSPPLPAMPYTQQQTYSDDMPPPPPPKRSSADSTSRRLPQTPVEATNGGHFNGQHIPPPPALPPVATRSPVYTGIDSRPSSRAYAPSIRPSSSDSNAPRPSLQMPVPETVDPHGWRTSNGSIPRQLSTRPAGALPPKIPGHTFGEDWMPNSQSLLDDFYPSVSEYVTPRHSPVPPTAAVNATRNLPNSIQDVGRSISTSSTASSAYGAGPTDETGASGVGRNPSIVTTVSDSSLTPSTNIVRASSSAATSVNRGNSFGSYVSKGSDLYLQAGHSQQQQQFSSQTHLQPQPPGQVSRSSSVQGPASSWRDNEIVRTLQDIKRPLELHGDWQDDDDDDFYDDDASEDDETRFFNPSLLSHIAVRLRDKVPRGTHVKGSIPYPRAFTGKDIVSTIQSQIQRELLVTHGISTNDRRAALQVARSLQSQLFFYEVEWGGRPLQDGVEDVYMFLDDQEGASDSRVEREELPTAVVTMLTRCYSPSCYDETPCYSYACPKRNKMMPVAAAPEKDEEEEQDESWSITVPPEILRTLPESEINRQTIIHKIMSKEKQYLRDLDIVESLFIVPLRTVNPPVIRQSEIDEFIDEVFGNILDLRECNRRLLEVLNVRQREQYPIIQGVGDIFLEAATEFRVAYPIYVGHLPVAENKVKEESERNAEFRRFLEKYPVILEAICNETAEGNTDAEFLKEAVEAIKKLQTVAQLWTWQSAMGRGQIGKYEWHNLVSEELRKSLPKKEPKRQSIIFELIKGEMEYVKDLENIEVMYVSPLREMDPPIIPRDRLEQFIKDVFHNFAELHSHHRKMLNTFHEIQREEHPLVRSITAAVYDAVLNFREAYMEYIPNYPIAAYRIDDEMVTNPAFKTFVDQCTRLPEARKLDMKNFINRPIPRLLRYELLLKGILEETPEGHEDLDTIPQVLDLIKALGKETEPGVMAAKQKVEVWRYNSNLVFKPGEAIDMDLLNENRSLIHTGKLLRQPDTGFEWSGWTELFVLLFDNYLVMTKPKEKEGLTRYHVYRRALTFLLWNKTPDEEAKGTITRMAASGCVA*
>EED81863
MVVLAPRFALLAALSSLAAVSAMPTPGDGSSSSSSGSDGSDDQAQRHPKPTIPLPPIKSTSKIPKGSVKNGNAHSQDAQSGKDKRSSTWDPLDPLLQLGPICIGDKPCPERTQSRRHDHHHDKVIVKGDHDHVNVHRSILASRFARRSPHAGIVVSAPNVHVGVDDADDDADVGLRTLERRSPHDHHDKVIIEGDHDHVRVHRSPSHDRHDHDKIIVKGSHEHVNVHRSPEPHHHHDHDQEKVIVKGDHDHVNVHRSPEPEPHHHHDHHDKVVVEGNHDHVNVHRSPAPEPHHHHDHHDKVVVEGNHDHVNVHRRSGGAGSNYVAGEHGSSFIVAHTRRSDLQVSGVPGTVDIVTGVRGTGTAQQKIASLVLSAPDNSTANSDNNTAASFVLNASGTDQTQIFLVAAPSNDTNSTSWSNSEALPNSTFLDSDFVKVMLQILVFDAERAALEPYCATFDPNPPAPSPLTVEQCLNGSLTDAHKSQVFAYVPDTGVVRPMWSNNQDDEMDDQDSVGSPSATSNSTVAATVSLTANATTTSQDPSTPTSDRPASMASLDEDFGDATHPPLRASFAKTFDAPSVFAQAHNVTLVFTPATPEVVAGKTVDSDPDDSGSAVGSANSTASATGTFSSNISASSAMASSTIVSSSASTSDTASATASSTASTSVTASATGNSISLEASVAIPSLANFVSLSQTAPDSGSASTSATASSSSASPSTTAFASLAVEVYEPTASSSASGSATSSTSASVIGAEDLADSTSTAMISSSAASSMATSTSTALYEWEFREGTVRGAMDGY*
>EED81864
MLNLSDIQASDCNQNRHPDSICGAAAFDNMDASGVSSDTKDGSKLSNAGGATDESERTQQAALEAEIALFEAKIHKLTDALAIRKRKLNSLRPIHGLPPEIVAHIFTLLDARMWEDSTSFYKWIKATQFCFRWRAIALQSATLWSHIVVPPSLQWTAELLKRAGDCPLTIVDTHLPLSGAKSASLRLIMEQLPRVVELRATSNSVIVRRILERPRGQKFSAVPLDISVRVQQSQDVTISREEMSPTRSLQHIELRGWEKIWRSLMPLSGLKSIKIGNWGPLTRCMLIWLSSLPQLEILELDNTGHCFDKPEPGLQPITLSRLLRLRVVAEAAECVHVLKYVSFPLSTHIALVVTDSDFAVNDLCSMLTSKVAYSDKWLLIVRKSFSGAVHVVGGVHPFTFRYARQSRNVFGDMTFPWSAFHITFRARTFTPDNLASFCGGLHLDSVHSLYVRGKCFDSPWQWTKAFAKMDDVKALAIRPLGTYTATVEASLQRPAPGSGSQYLFPHLRRLRIMKLSQDDMYATREGSKLDGSALASILAQRRQNNVGIRKIHEWRPMHDGEMSYKRRKKAAAKRRIDFYSFRLDLTSTNWMLELLRRSGRIALSVTADFPLDPTPTLNRHSAWLASLRLVLRELPRIRELRIHAAGDVLESSLAAVDGGPTKMERLELANVGGLVDQEALKHSLSKLLANERARALRRLELTEFPYCWGDAVSFLSLRHLQLICAPESTSKPSIPELLERLSVLSTLETLRMEHVVHPLPESSEEPPVKRQTVKLPRLRYLRLAGRMIDNANILNHLHLPVDVVVSVSVSTDEDVNQHSDVLALAITAKVSDAKPICGLVMRDEGPLCFHARMLLYNMDILPPHVCDESIHADYSSTLAGAQLEIEFEETWTAWNTMAVICRQLPLTDIRSLEISGRLSTTAATEWNTIAVQMDHLTSMKVCGSVGEMFLRASIPEEVDIPDNSPLPALRDLHLHNVMCRDTHLYDNPHDDIMRPQLDPDSNVLYLWLVDRHRRGAKVDRVAVQACRNIDRKDVRLCKQFVDTLSWDRYVDIVDTATFLKRAGVYDSDSDDY*
>EED81865
MAPLVDLVLVFRSSSGKVLSRPQARENARQAERQYTELLDALTKGGLRGVGKRGENDGQLLVLVSCPQDTLVRLAHRERHSDFVCGLPTANPTATLDLDVSPLSPADRLRLVHTYVTSTISDGGLGIAPGSADWDRVESIMMLHDYAFNDEWIRSWTRRELGFVTFDKIRHQFGEAIALYFAFLSYYTKYLIAISLVGGYFYFFGEPYNAVYSCILLLWSIAFVESWRIRQRILSVRWGTRGSFRVEKRRPQYVPLAWWKKDLRMIANLPVLMLFAAVLAALLTSMFVFEAFVTELYTGPGHRFVSFAPTIIVSVVLPRFLKIYHGSAVSLTNWENHAHQSTYDTSLTLKTFSLAALADYVGLALSAFVYVPFGEEVMAVVQNFIERDASRHISVASAFYSRFSPVKSVATAQAAAGAAKYTVGMWEQDVVSARKKLSPTRLQDQMFASTVTNQVVNAFMEVGLPYVLRGLDSLRRGRGLGLSGHGAPPASGKKKRVSFEDQPEGSEPEKPADGREERVFMEEVWRQVDLPDYELFQDYSEMVTQFGYVALWSTIWPLAPVMALTNNWFELRSDAFKIAHHVRRPIPARTDTIGPWLDSLSFLAWLAALTNSALVYLFRPSDHCKPLATTLERKHLHTAQGGADARQLLFTALLVALAASHGYIIARVIVRHVLERLLWRGSVEEREAEHVETVVHTATARNSVFASGRSQWGFLARPDAARLVLPPRSGRIQNQEQRLQSLPGLDARNAGRTHSGQDLIGARQRLDQLSIGKVMPQRVHPAAGT*
>EED81866
MYHYSPPNKTYNDTGGDGWRKWYGRDHRVWPAREMEKACDYFSYKTLSRPLTSPREVYTSVRWGRSSRQESAVVQTRRIVSNGRHIPPQKDFSVQYMSDSMTNGQIEEATGIAKSLRGEGTSPPRCRAPPMLHAVYLYLLQGRAINIGFPVVVGENEPSRRTLAPFTARPLSSRTRRTKRTEESSKYAVMRSGEDDGSTDARRSDTPDMTMATSGRAGDIIAGLECRWASTRPGYQRGWLEGGYVRGPESSGQSLGLGGKSDMGANDMPTAFLSASRSEARHGIAVGGALRGWLAVANIPHDSRDVHTATARLSWYAMKHPECCQTSARESKLPADGECCASRSEQGANDPRRLLKSENAIRAVQATRGGHEISRAPGVAKKIRARTTGKERKGVGRE*
>EED81867
MTADYNDDKLQKGRQWRDRSRRRIAISTFIWEFHAETRVPTGGAGPGLGWRMSAPGLGKRRPVGPAVGARRRAGRWSCAPSCLCLPARLERARRPPVPRDRAPVRALLAPQAREQVVDHEPHPRHEIRERLRRRVLAQAGLEHLTEAGNARVGAGEKREGGLLNACAHPGRTGRAQRPLQGPDVTRCSPHVDVVPPEDVHGRVELVADEDERVADLVFGGMAHAPELEQLLQLLREAKQAGHCVVDVRGGGGARVWSRGRGGVQGGRGLCCSSDAPRQRDSRPRILTESGVTGLLLRRRQVGAMPGAEWEEHARMLCSGWRGEAVFEGVRGGVGREDMIYTVAGSKRDKAPCAPGRAADSTPYLNRAATTRRAFQHNFCGPIGSLAKSGSGGRSSHFGFLSGEAQAPRARPPDPGGSPAGGGYLPSRGYKDNQGLRALRTVVVLKAQGRPQLVTGTRPPHLYASYARWRTRPDARTLPETPTSAANALRQLTKMFAGDASSSPLRARLDPAEIEGAPPGSSELPGRAHSLPKGSRLFLLSKRAAPRLFPVRVQQSPSTEYCVRVSVVGGARHTAWTWFSTTKSASAAFGVAAGGALPAPDSRAPAWLPDHARKDTGERGVDRRSVRIGESNARDAAVSPTAGGTWLVRRTGFRFQGLLSSSTKILDRAEQQIDAASRIMMRIASCLLRQVDVCFWRGAYYQLLPSQGFDGETADDLLSHEKALDKWLEPQKLVEGQGREEQLARVVRRMRDCPARTHKPAFVRFLWIGVLGSCASFGAQGGGVCDVDASIATKKVLLFGGGRGRDGQATRDTHPTNQDTNVTDDNVNGGSQAAQSFQAVLTLSLMSQPTMNPVAISQYDHDFDDTATLYSIPTADAQSAWLRTMKQQITQRLANLDEIHNHLAPINLRLPDDVFASIFMCLRTVGGYPDLVAASGVCRRWRDAALKAPWLWDLLDIARPLDFLKLILERSKDLQLVVVASKHRRSHLHQGGNVRFVNEFFKKQPRRIRAVDLTACSDHHLDYIAYEMLAALPPIRILALAVDQSPDGLMRSDPTCASLAGVLSRCASHLRTLQLEWICLPLMHASFPSLVTLELRRPSWAPSVLPIVTDRLIDFLEMCPSLETLVCENIRSFSRKGARPARRCVMLPRIKMISLVDMPVSASRILSCLRVLPNTAVKLELEPPPHSSEVDPDPKTISGAVPWRRLANLLAIRRLEIAYDHRRSTLMVSGSHPGHDYKILVWTQYLQKDISRQLSLRPTFIPGLLEGMNANTLSQVKSLSLGGSQPSYSRKQWKAMLGWFPRVCDLTISWNSAQTTADFFEVMQKIDSPVPESTRRDWDYTYLCPSLQRLTISRIVVHGTFNTGPDKWIEEAVAFLRRRHSLKTPIKYLELNCLSKSDHRWITAYGSELKTLVDEFKLS*
>EED81868
MVIGTTSFGKRHTKSHTLCRRCGNRAFHKQHKECAQCGYPSAKLRSYEWGQKAKRRKTTGTGRMRYLKHVSRRFKNGFRENTTAVKRTKSKPSEA*
>EED81869
MNHHAPPGYYVPHTPPSNGVPPVPTVMELERHYFELAEQRRRLEEILERTDRMMAGVKRGMDEMRAGQQQPPQPPTPQLASALAQAPSSPQAKPKSPQQQPRSSPKQVSAAAVPLNRSERNGTKDSIWPVAPPETPGRE*
>EED81870
MLLQSSILCRFPAEVLVDIAVDIVLLDDPLGPPSNLLSLLLTCKHINYILRFDNCRYLYGRIFRGKFDSRAVARRRGVDAALTSHQAAQLKAYCVALKRIRSGDLDSEYLEDDLWTAFVMCLENDGRNEAQLSWARLDSLLEEHLRTRLWVDTHHYRGWPPEHTKHSLAIWLFWLRLDDVKLDALSPDVRKQLLDAIRCYAHTALRYPSFFAPDNHFKFPLSADVLTATLKTRMTPHGHWPQYRDPSQVIEKVTHYSRRLELCAPILGHGAKLLYMTLDELPYQIPPSLARDREHALALGWSDVRATQADFLEANAHRSVKLLAQSDWDWHSKLTPEQARLEDDAAWRKGLHAKSALLDELWNRLAFCGDPWADQVLKGVVYMPGSLSGLWQGRMHSFRPGEYSALARSTDYPGDIPDEHVQKWPVYFNLREHHCIMPKTPMPAGGDAAENDEGIYTAWFPSNVSWTEREERAGRFIELRENTNELRKWEYETYAEGRPNSHDEKEEEATQERAHQIVAGAVDQPPSDNDEIDQVRSTLNAALGPDENVDQVISDALSDANRSPPASDDGAESDYESEYVENSCSGICDVIVTGESLPRHGQAWNHFHFYGRVRPWDGLIAIVRVPVEGPHLGSFVGSWRLRANNRNAVPLEGPFAMSKVVGPRSES*
>EED81871
MTSALLNSELAGLSLVSRGKVRDVYATSSPDHLLFVATDRISAYDVILRNGVPDKGKVLTKISLFWFDKLKHVLPTHFVTANLEDMPSEARQYKEQLEGRSMLVKKAKVVPLEAIVRGYLTGSGWAEYKRSGTVHGIPLPEGLVESQKLPEPLFTPSTKAEQGQHDENISPQQAAKLVGQKLYDKIAAAAIQLYREAAEYALTRDLILADTKFEFGLVPSPTGGEDQLILIDELLTPDSSRYWPAAGYQHGGPQPSFDKQYLRDWLTQTGFRKGLESGPAGREGEGWTMTQDVVEGTRQRYVEALTMLTGQGLGA*
>EED81872
MPVSVRILFFGLSLRSSLDGIPPTQSSSSPLRRRFGGCARWVSISAISIVVCNLYPFTSTIAQPGCTLADAVEEIDIGGVTLLRAAAKNHTRVSVLSDPTDYAEFLEAWRAGQGDVGQAIRSKLALKAFEMTATYDDAISGYFREQYASAELPAERLAGPVQRLALRYGANPHQKPAQAYVTDGELPFKALCGSPGYINLLDALNSYALVKELQAALGLPAAASFKHVSPAGAAVGVELDETEKKVYGVDDLKEPLTPLASAYARARGADRMSSFGDFIALSAPCDLATARIISREVSDGIIAPGYSQEALDVLSKKKGGKYCVLQIDPSYVPAEIETRQVYGIHMQQRRNDAKIDAKLFENIVTKNKALPNQALTDLIVATLALKYTQSNSVAYAYRGAIIGIGAGQQSRIHCTRLAGTKADLWWLRHHPRVLALPFKKGVKRAEKANAIDLFVGGEVLEGGERAQWEGLFEAVPAPLSAEDRATHAKLLDGVACSSDAFFPFPDNVHRARRSGVRYLAAPSGSVMDAECIKAADEHDMVFAHTSLRLFHH*
>EED81873
MATRSGRTPRPTAKATAQQAQLTTPIRQSKDSMKMAYVELDEGPETKKRRLSLSKDSSHEELPVATTSRSSRRRAISKAPAAPPLRTRASKRNVTSSPRCAMKEETDEEMEVEAQVAAESEGEDKPSAPTPDENDACEDILKAQEKKHRDNYKTLKRKFRELEASTAKTLLELDKREIELTKMEKDATRKQKQADKKAHSLSKREHTVKKLEQQSNELLLTVTASKAETVMEQFDAHFSCAL*
>EED81874
MNDDTAALELRSFNHQLRRMAQPTPGVKDRHAVPPITLVPDDVLHTIFHFVAEYSYSRIAYVPIGRERGPRDGICVVSHVCGYWRRLALNDPQLWGYIVLNPDSSLAFLQTYLERSKDAPLRIRLEGTRPTFNRNIEIDLRARLLADHVHRIVEFRAVEFRPTEMNTILKWFKGAAPRLRCLMLEADSQLLQPAVFSGNLPALRDIYVKGVSMPWQPYQDLTDLQLNDQLVPSMEKLVWTLRHCPRLKSLKLGFLDAMIRQDTGEQLCSVEPVALPRLEELFLRSHVQEDVVDLISCLRFPDTTSVSLKLLGWHRHTIVDLPKECPSLSAITSKVKGLFLELTGCDAWSASIVMTSRKPEFKLQWEWYQEGKNNEMVDFIGLSPTTFPALEALVIIATSYQLDVPHWRCILSAFPCLVYLKLDISMSMIANFWTALVPKFPRNENMLDALVVPALRYLKVERMEEQETVFNVLGECFRARANRGCRLRTLDLVMRSGRAFPPTVLDILTENIGKVNITYVRNPYSLDEGEAHRAGSSRNSSHPRREPSMSPSILRP*
>EED81875
MNLGMRTVSSADGVRLWSPVHIVRRHLCTASQGPAASTPSLTVRVPSVSRGRPHARTLVILGDLRPAEAALRCFQPGVTTRFTHGRSGTCETGCHKLNVLIEYRNYAAQCDCAGQRQVQEQPISERFLSEQRNSRKLGMKASIELACRRSGTRRADILVNKLWAPMGETWRWTTTSKGSSSGDVQNGPFSGEQAVQILRKFAENALLVGLGNGSEERWTSGDGHEGECEPGWGRDVGDEAQIEARLSTGNAWLPSDDIRQEEPGTSRGIRHIEETEWRMWEEGARYEMGAAEKRQDRLRNGMVQRHLRIRANRGCIQFDGERDTRGPHDFSGQKHEEAADDDDDAEEDDGKGPSKERRDSSSSSKLQPKVKIESSNGSIPIRPVAQSSNDVSMTIDLNHRNSRSTPTGSISSTIPTSGERHSVIPAPVVRGISIAAKRPRIEETHAPSLPQLPQGVSISSLGSNPPSYPYRLEVDMSQYPMQSHITPLSVPPSHSASHPSLAPFYNANVGGMPGLPGSQSAPMGFLPQLPQAPFDMGHAQPPPTLRTVTFPSQTPSPYTPQQQPAQLHSASSLFPMHSRPASNSISSVRSMASYVLPRSHPSSGAVSPDRGLKRAREDDIAAMSEFSGDGDSHTGPTEAAIRRSLSVDKPPRCTQCDIGTCSNWTSPITMKLSGQRDIGVSLSAILSFVILARAYQNKVSEVVNAPRVESPEASVDHYCSAVLKMGANELKADALLQSKPDFSPSTDVWYSDGSVVLVAEKTAFRVHGTILAAHCEIFKDMFAIPQPFVADPDAETYESCQVLRLQDSPVDLKHFLKSIYDFSYFRPGAKTKFPIVAAVLRLSTKYQAPALRQRAIDLLATAYPSTATAWQRRSSDRLVPPFDDEHLACIELAVETDVRVILPAIYYAATREQLSGVLAKLSRLAVAPSIQWDVCTDFLVGRERLLQAELTHILAFLDASFSRPNCQTGNDNNVLATIARNAFHKVVGSEPYHQWCSAKPGEVGPALPVCATCCKTIQQSIEAGREKVWEQLPGQETDWMILNPRECLHNEKDASAQAKHLFEDICCIVDSDSKNVDTNNTTPQTHLDFTRSRDVWFSDGSVVLVADKTAFRVHATILAMHSEVFGDMFAMPQPSEADLDAETYDTCPVLRLQDSPVDLKHFLKSIYDFSYFPFGVKTQFPLVAAVLRLSTKYHAPVFRKRAIDMLATAYPSSAVAWQRRSSDRLVPPFPDELLTYIELAIEMDVPVILPALYYAAARKALPEAHAELSKHAVAPSVQRDVYTNFYLGRERLLQAELTHVLAFFDTGFSFPNCQNRHDSEVLDRAARSSLRKLAGPDPYHLWCSAHPSKAASAMGVCVNCTNTIRSSIQAGCQTVWEQLPGFFGLPDWETLLGMDDGTDIVVPKLEEE*
>EED81876
MWVHRRLLYRIRKANKMDFNIAVLFDRFKSTTDVACRLVCSWIGPRRVAFRSIFDMFDLIVRGAGEAIDRVQGTRQIHLLLACFGLWTKLSSFDSWTSSVRTLLMAQLRPSDIWPRNPDIYEGGNTYFSKHTVNFDAVALEFEDIGALRDRCHTLVHTVAILMARIMERDSRISRLERDLSAARSKQTILEGEKTLLHRERLAVINYVQRQKDVLATTRQHVRNLLVGTLILWRYSCTLSGLLSKALLDLQSSTRTLETLNDERERAVLRDNTQTAAISALETRIQKLEISCDIRTADAKQWFSICRFVLSTHFVKMDEAILAQTRSKQTMAGLLTLMRLLWRYLLILRQQAAEAEAAQSLRKENAHLEELLIKRNANMLVLMRYKDSCQRRMECLLVCLLVLWRYTRVLGRQLAQAQVRLLACPVLCKPNTLGLEYYYAAFSPGGLLQVTAGTDGAPSSPYPSRGPVHDNDDIDLSNRHLQELSGQQRLAIRFAQMHTAYSSVAAQRDEVWAALQKAEATVEQLREDQRNTTLALAVLHKSTVKLTDVRAVKMALRPAEPQYASSRRLTAEELFAIEAKISEVLSQYAQRDGTAGPVHDVHRLTAADTSMGQHLTLSANLQHDAFSWSPHPEPAATSQSPVQREKEIAKTRAPWPHTWNADTLLYTPTPAADADEDRSCAPDDLETSMQELADQLQLLDFYDEEEELADEGVTSREVTMRSPPAETRVHSLVRPLFSVPAFKPEFLAQPVPFTPHASWYQSQSFFGNIGGQAAPLRSGPSNAHERKTSRLPLEAFAEIKAEIPRTANHGTMSSIGPARTANICMRTEAGRSTGSSCHNQLTYSSTIARLGASTADNDREHPQPQISIGVIRARAPSTLVQADPIRTGVAVHPGISFLWRTGNSGPQGAGADAETCYHKCEPVNENVSQGEAVRAREAITPRGRLTIRDPQGAPRRRQGRPWQWRRYQDELPAGWREGAAVYRALTTTREMGAWTLDAGVDVRS*
>EED81877
MAHFPLSATLVALVCAAGALGHLGPITELPITNGWVSPDGFERMAVLPNNQFPGPIIAGYKGDNFQINVHDQLTNGTMNKTTTIHWHGIFQHTTNWADGPAFVTQCPIAPGNSFLYDFTVPDQAGTFWYHSHESLQYCDGLRGPFIVYDPDDPHKSLYDVDDDSTVITLADWYHVPGIQVTIPAVSDSVLINGLGRAANDNSSPLSVITVKQGLRYRFRLISMSCDPFFNFTIDSHTMTVIEADGVNTQALPDLDSIQIFSSQRYSFVLEADQPIDNYWIRAAPEPLAGFGQSDPPTGLAILRYEGAPAIEPNASIDDTPSSTNPLAEVNLHPLTNPEAPGGVGPADVYLNLNFSFTNPKFYVNNFSFTPPTVPVLLQILSGAYTAQELMPEGSVYTLPPNKVIQISMPGGVVGVRHPLHLHGVSTHILLGGC*
>EED81878
MLLVALGVALLTAAPVVQAIPASRLRARQAITALEQSQITAFTPYTYYASAGYCPASETLTWTCGANCEANPGFEPVASGGFVGYDPTLETVIVSHQGTDPEEILPLVTDGDITLVNLDSTLFPGLSSDIEVHEGFADAQAETATDVLSAVQSTMSTYGASKITIVGHSLDSLSSGAAISLLDSVYLPLHISDATFTFVGYGLPRVGNQAFANYVDAQPTSVTHINNEEDPIPICPGMFLGFVHPSGEVHIQDSGEWAACPGQDNPSTQCIVGDVPTVLDGDESDHDGPYNGIEMGC*
>EED81879
MPVAPVDDKETQLYYEDSGAPPGSEPYITLVLVHGLIFHGGIFKPMFQYAPEHNMRLVAINLRDYPGSTPFSPSELDALRSDKADQATFISDRGMELARFLEWFILEHDLPPKALSHKSEAAGGLSILGWSLGNCPIMSMLASAHALPEATRKLLDMHLRSFIVYDPPLYTFGISDVYDDLYIPTRDKSIPPEGAAEVFSFWVSGYYRHSPTVLSSFNSLTREEILAGINNSPIDDSPKYQPTLKSMSPVEIAAVTDWAGAQRSHVAMLDIDSTVYQENAQRALLDKTVWPGLRVVLVWCTMSVGTTVYASWHLARRIRHAWPPGAREVDVKRLDGVNHFPVDRLWEQHCAVLEHALYDKFKKEYKVVTVMLVQKRAYVAELLINHLRIFNIHTISTLRYLKSPDHISDDRTARILEKPEVPLKEKRRHSDKPSSGNRVLGKTKIGVLLPQYSSNTSGAVGHRSQHEGSAYVT*
>EED81880
MLTMRTLSAIVAVSLPLLLQAEGLLIRRQEDTSEHGSISSPTAGTEIAPGASFAFDYATSDWCHQGYSIFTVWLTPGPDAPTFSDVTSTTGNTRGSVGELSNGTYLYSWGEFTVPNFGLPPLGTPPPPTLTMPNLLELNGTSYTNADFYLTVLPSS*
>EED81881
MSSRPAMRPRNGTITQNETHQRENVKLDSTKGKGKPRPHIASKVFCVCRKPDDGTPMIRCEQCREWFVFSATGLGAIRLNCVRYHFRCVGLSERDAEDIQTYICERCHQKTGLRTIMEWEGVDGLEVVNPPDVRSPTLGSEDQGGEAGEHESQSEDESADDYVAGAGRLPAGKRRSRRVSTSSDSDSDSGRSAKGPNLTKRIRRDVPVGANAPPPANGTKRKQPDAAQPAIKRSRTESGEDPARKYCLTKLLEVFTRIFTQYPFLNDGRQDRDAGVGRDFTPDKAPEELTDEEKEKLEATARQFTADLEQCMFAIYSEPDKTGKPHAAGKYKYVIVSYIVKTPVTNPIAYRERFRMLTFNLSKPDRVLLHKRIASSQIPPRELSTMSSTDLADEETKQSIKHAEQEALAHSILKKQTLPRAKITHKGIENIEDMYGAEQRDMERVREEEEEARIERERLARLKLQAERARSASSLGQGSVPPESPVVSQSSSWGAPHSLQSDSNAAHSMEGLARPAPNTMFVSSVSDYVGLVEPELNLADLINIDEDLPPDVAAVESPPSSTAPHLASSDARSSFSQASPTSESTPPVPSTTGISPFAAKSDITSRSSFDLSSLWTANGAEQTSEQPIIVDAPESERQEDPKASAVDVDILGEEADDQDFDMFLEKDEEDKPTVPVDDNSPEARRAAFEALPKVWTGTLSMPLDATMAQEVSLSACQVGGRDINHEPLLWQTLFPAKALRIDGRVPVEKSAQYLTQMRLNPTKELIAVAFSPDTGTGAEFIGFKALVDHLVAKGRHGLVFPWGNRPKEWAPGRELYIVPLLTTEAIPEFLELLDNLRLPKLRDHDFLIGVWVLGKNRLAPPPPGAMPAPAPINIAPSALYHQALHSPLGTQFLPQGQPTASTSTLGQPAPTDAVLAAEVAQLTPEQIQQMLRTLTSSALLNTQTSAVLPPPPPPQPVIPLPTSQLLMSQQQTIPIQPWLNQPVTFQPHVQPPALAPIPGNHPIMPPGLGPYPDPQYDRRYDQDRQYPPESIAEGVRLGAEVEAENMGIDLGILVGEDEVEGPARRAVGGGPTADDGINSRFVYIRSTLFTILLFSVSIGDATSILSLRVT*
>EED81882
MFRGSQPNTYDEIVAKTTDENLTSENWELILNLCDKVQDEGEQGARNVVAAVLRRLSHRNPNVQLYTLTLSESLTKNCGIEVHREIASRAFTQGLEKLIADRTTHEKVRKRALALVAMWTAEFENDTTLGIMEECFESLKSKGYKFETPDEPPPPAVDDDVRRREEEELQRALEMSIQDKGGRSQWEQYSLASSSGAGASGSGSSSAHAPTTSTSRQQTYAQPPSYGGYVPSANPAVSSEPSSTESSVTVSSVTASSPAPSLSAQESIPVVTRVRALHTFEPTEAGELAFEKGDIIKVVDRGYKDWWRGQLRGRTGIFPVNYVEPLPEPTAAELTKEAEQEAAVFSQAASVDRLLTLLRGMDPAKDNLADNEEIQELYKDCMTLRPKIVKLIDKYSQKRADLVSMNETFVKARTIFDRMMEDSLARHAAST*
>EED81883
MSKENRTTRRNVVIVGGGHAGANLARQLSGSLNPYKYRLILINQRPFAVHLPAAARMTVSAQDRLEDLALIPYDKLFINGNGEVIVGKAFAIEERAPGKGGWVVLESEERVEYALLVLACGFLWSGPLDFPFEREDMQHHITNWRKMYEQAQHIVLIGGGAVGIETAGEIRDIYPNKKITIVQADNMLLNATYPERYRRDIERRSRARGIEMVFSELTDYIPEYGTVGITTRSGMSIPTADLIVPTFGPRPNTSWIASLGPDVLDERGLVCVEPTFEVVGHPGVFSIGDITNCNEQKQAEKCPKHVEIVAPNILSCLEGRRMTRTYKGTTEIILIPLGRNRGCAYLDYLWGIIMGDWFVRLFKSKDLFVNQTRQERGL*
>EED81884
MPVAPVDDKGTQLYYEDSGAPPGSETYTTLVLVHGLIFHGGIFKPMFQYAAEHNMRLVAINLRDYPGSTPFSPSELDALRSDKADQATFISDRGMELARFLEWFILEHDLPPKALSHKSEAAGGLSILGWSLGNCPIMSMLASAHALPEATRKLLDMHLRSFIVYDPPLYTFGISDVYEDVYNTARDKSIPPEDAAEVFSFWVSGYYRHSPTVLSSFNTLTREEILAGISNSPIDDPLKYQPTLKSMSPVEIAAVTDWAGAQRSHLAMLDIDSTVYQENAQRALLDKSVWPGLRIVLVWCAMSVGETVYGSWDLARRAHCDQPSETLRCFASVV*
>EED81885
MGTASRYGRISGSTVSRSCVRFFQREGVCEGCVAKRERGNGGGEEADDAEQSAEWLKGVCVDGWGGKCGAGSSSSAAVRWRSPACVLREMSSMVQRDQTEDATATSRRRIIAASSLLAVAGEEMAVIQWRCRDATITNVQRYPYRPTHWYDSFGVTGAGERSHSSAMNTSSSTSSAQNDTVLCIRGGLAHSHSSPAQLGDVRPDPLGVGGTLTSGVSGGVWNGNGGVSVSEALSSSGYRGRAAVVSSVRSGDLERLFVVCSNEYESEKAGAGKEQGGPW*
>EED81886
MYSPSSLHSNPQPSGSSNWQINAGIPSNASYGHNAFGVKPQRTQRRYGGNSPDNYWQPIIGGNGTLSHAATAPVPLQPVLSAPKYVKTEVFPQPGPSSYSHGIQGEDTLPSTNSSWTSSPPFKKRKLTPPQPANDSVASSYPPVQVQPLAFTSQSAVPPIPTSTIASTLRSSGTPPVKRERSLSPVLPSSPRVTTEGSIRFAPLPEHCRKAHAQYIANRKVWVNQEVKKLKQINPSIRAVRVLTRDDGMVIDWRSSVPVMSDTLLSANKGQDPPPSRPSATPDALSVGLSVLEVPQSQQLSPNRGTPPLSPPIVAMCEPEGVPASCASPAARYDVTPSSAGNSSNLPMAKSAAKLDNSDNCASSRANSSSSTAGRNAAPTRAPNSAKREEIAHPQPGPSCVTRSTPSSSPCADANVIPQGVTSEQHQQPDPSRRSDQPAPPGTTLPPTQGAMARPGESPVVPQETAPASRKSLSQSLELPRRAPPQMSHPETTPDCSSSRPSPPVPTQFEDLSTPSVHQLVAAISTPTRTSDEEGYQMESAALDFLRRFIVTYDSDRSALASAYSRFATFSVQTIARSGRTLEGPDPRKLKQGRLDIMAGLLCLPDERRFCASGPTKVEYDVVHLGATFGVLLICYSGNESGTWACDQRFVLQYKDWDEEDRTRLPTDIVQAHGIGEALQPGVACISEAALWVELQSQTPLVSPKQPTNSALSGWSEDGRSKYSQVDADEICSLPAISGESSLYSQDQRLEIIEAAALYHRQALSQLHKSLNAMLPVHRLLPEVLTAIFTFVVLDCTVTDGNHQRDIQLFYLWMRVMHVCSYWRTVTLGSPLLWSNILITPNAEFMAQALKLAGTAPLVINAIGCRLREALNTEHIASLRTVLQAMPRIKELHIAANHLATAIAEKISGAALLRLLKLQEADDERKRFSVRIMGFNHAGSSSFLPNHDCFRMSVIPPPYQLHITLNGLRSWERPWRTLSTVRACQQAGSALLEALSKRREGAYNNPDSLFMPQLNHLSLHEVYCRQAPPDSEDYDVTDLLLESLKFRQGKGKRLEKLTLEYCININRRDVRQYREYVEKVVWDKGVHYEDPAESDSDLEDWRSIPDGWE*
>EED81887
MPSIHLRPSDETISSASASPAYRGGTPSREPPLLNSPAPQDDGAALHATSTVPRTVSERLDRAARARQALHDALARTEAVRQTLSVRLPELQVAFETMRAEAQPTLPDLKLWKVTLRHNVCFETPPAPARPKTFSHHHSRSESALEERIAQIRMQALPAYPSVPAASAPAGSSPLPVASSFSDGGPANKLRQPSQKGAWYVDAIETKKQIAVPERRLPAARKPIGQNIAGPAPPDRAPKVTMPGKVSRRVSAARTRRSTLLFRQDGVDDEVDKIVETIENGSPWYEGSTAIPGSRARLLGAPSSMVKRTNAQQTEASVDKRDSPSNTDDKKQLYSGAEHNLDDEPYYEGHSVTLRDILIKAGTGDITQLNLMEGDDEPEDETFQWD*
>EED81888
MIPSRRDSLFPPGSLGRNSPVSRTASHESLSTHPLLSPPPPGSPTSATSVESATSSSPRYVPYTPRQRVAPTSATTGTTMHPSISAPQQQSTSDATSKLQVMNLKAAAQRIGLDSGSVGWAILERLSTETDHGPEWNDIWNALSVSKATLLLPLEPYKPSEVITAEFIKDHIALCDGQAKSNAPIVTLSGLRGTLTDATITFRSVLSPASEQFQKFLSPASRSSALSALPPLPQPLVPHTVVPSSPSSSTSSIPSVSQYPTFTVPSHSHALPLPPRPSAQKPPLPPRPGARVASGPPHAAARLSTSFASLFGRGTPPASPSTTPLPLHPDPTPAPGTTPPSGALSERAVPQEHTLDVPAYTITSRIDRAALGRALTDALTSELRAVLGEAGVPPWAIARVERFAAPLYPFRRVGGAGKDEKGHRTVGGGEPARVSSVREKIKGRSDVEWVANAWGPDERGEELSSRFQEFYAELEDAVSEQLARPQARRRGLHFGRRKTPDDAESLAESLDIACRAPSEKAAILVAVHKIIVDGLSKIPPIGLRSEEDMLDEKTPRASSFGRGVSEEDDDVDDDHDENNITLRDESGANAEDPVPALGAPLSPTIILSPEDKPGHEELLPAPGATTVPASIRIDSSHLSPSGPPSPRSAASLQSSSLQPTVSSRNASPTPVSGDIILPLMIFAVVKANPPRLVSNLLYTQRFRRESASGGEEGYCLINLMAVAEFLENVDLAALGLGESEGTVLSASQLSPIPVGRSVRFPGNEPPSPQIIQASLRGRVEQQVDAITGSANKVISGVVDTSFGVLRSLLPGQNQSQPIVASPTSETTDDASSRPGFGILRRDTGFSIASLAASLPGSRARSATATTNEETGQMMIEVPSRPGSSRSVRIIDDELSASEAESTGDEEDEDEEDDEAEFGHDTRSIRSFESMMSGRTGRAKGKRKPNGRMSLTDRLASMPGLSRLSQNQQADPSKVQNSPPGSRRSSLLLPAGSVPNRFDSPVSSRAPSPIAIRISPPNPRFMNATEDDIKVSEVGELLREYKRLVETVRAMGGFHEE*
>EED81889
MATLLPPPKRQKVYHGIPEPVPEPPKPCPNVVVQFVSEDDGQPLAPAVNLPADVSREGLEALVNKLNTKDDDPVPFSFHIALPADATTAGAPTRIAITKSIEQDVLAHPSQHFTAEDVFVVRCSPQAVFRVRPATRCSSTLSGHTSPILCAAFSPTGNLLATGSGDCNARLWDLSTETPSHVLSGHKGWVLCVEWEAMERKLATGGHDGHVRLWDPKTGKPMGDALKGHTKWVTSLSWEPVHLNPSAPRLASSSKDGTVRVWSVATRVCEYALGGHTASVNIVRWGGGGVDGKGVLYTASSDRTIRVWDANGGRLLHTLKDHAHWVTTLTLNTDFVLRTGPYDHTGKKSASDSEAQSLALARYNALASANGETLISGSDDHTLFFWSLFPARAASGAAHAAAARGGKLKPVARLTGHQRQVAHVAFSPDGRWAASAAWDNSVRVWDGRTGKFVATLRGHIAAVYRLTWSADSRLLVSASKDSTVKIWDLKTYKLKTDLPGHTDEVYCVDFVADKIVSGGRDRTVKIWKN*
>EED81890
MWALGLLYSKVIIRCLRLQPDNDFVRGIDHIKRQGWTHLDPFRATKEIIAPITIGLLGMLLLPAGILYGIRQVFSLPVPDDFLFIHLYPSIFTVAGFIHATFALSRLLAAWSQTIRDKEFLVEMRLQNHEADLDQEKASEKDPEPETREEDDEEE*
>EED81891
MKRFVEEYPEFRKLGGNVSKHVAIVGELSRVVERDKLLDIGEVEQGLATGSGADLKSVQALITNPVIQPWNKLRLVILYALRYQKTQSHNVASLISLMLENGVQREDARLGGVSALPGGPDQRPGSTQAILETMAQALIVIPPGPLQDAVSEVRKLTRNFHWLQCDEQVEGFLKLCTDVGCAFTRLDRSPGKRLQIDDIIMFLGTNPQFFAYTRIELPEQLVVDENPLYYGGFADVFLARDGTKKLALKKLRISESERHDKRIIRDFSREILCWKFLHHPNINKLVGASLDSFSMLSPWMENGNVPSYIHDTPDVDWIGLQYADVWLTASSW*
>EED81892
MATCMQSLRNTSYPAVAPAVPLFRRYRGSDAFLRILPFFLGLSVCFIILAISVEGLFFCAFSATLLLWVYVEAAFRGEAGQRPKDLSSYKPKADDLRIAVFFLFFVQVAFFGTGNVASISSFYLEPVFRVIPVFSPFIMASLLIFKIIAPYIILASCFQILNTRLGLPPFSLFLVALTITDGTTPYHLADECLSLTIV*
>EED81893
MRRAEVVTILASIVVAVEVNGGAAGFGGGRERQPEPNRGRTRDHRHVTLLFLTMSSTLLRQASLAARSVSRSCAFSTSAVARKAHPRHADFVQDLYIKELKAYKPPAPVKDAHVGAVKSYSIPAAPQPPTLPADLAAELSAYDAVEPVVTGQTASATHSPETLVTGADTFLAFLEADEPKAESAHH*
>EED81894
MPESCNDDTGSFNPNDPATARAAYLALQATNYRLPSGWTMYIHPRGWVYFRCDEYRLVVDEDIRVPETLERITSICIKENLALLSDGQELCIVGFNSNSALYLAVDHTQCTAEYGLEFSSVTQPIRDIPLSRDNLTQGTKSTVPFTKAECEELLRVLDVPHYSFRRAERYGVLTFQQTEINRQSTSRPHSVWQSSSRMSRNCLWILINGPLFGIPHSYLEHVQRAISTVLLSATVGLLSVGNIYQMARVCAIMSAFASLGSITTGVFFVWRHQHNTNLSSSHTSLLKKVPKQFAYIYNARKQILGLPGHSTLLSLPAALLMWSLIGFMVALSAYSLQSITDGSMADVATTFIIIGVFFLVLCCMFMAVYTFSLMWRWHAGSSPNDSNHRHTESNQKYKSNSALIRGARKVIVGKVVILWDWWQLILERGLYSLASSTQGEVRRKMRVTCSRAKEWDAEQGEAAGRAGHLLRSSLTSRHGTQLDASMGGKFTWVCYVNTNKTTELVWRRATRDKIGIPKQAQSREREGKGKEERQNTNEGGQGAIENLGYVSEEGGVADAARREDNVGGDERARRVKRGKLLARPDAGAGLSWLREGSTGPGH*
>EED81895
MQTPHMDPRDLSRFHVQSSDVISDMRVNVSEEGSDKERFLADEEIIEHLVENASSTILWTIHRPKRGWYIRLSTPSFPPGMFIPLLPLPQTSPYHADSALNFACRTNPPSYSLSTTPRTAFSDSARDSMDSDITVTEGPREAAHSYPPTPPPQTPIVVVRPPSPTAVQAKLEEIAPSPSSSSAPMKRLKRPPPSPLSPITHFLLTPHSAPLIPQAPPSTSLFTRVMSALKNNAPSHSYSFTLSPIPPLQPPVTSPGGQRNAPTPTPIPLLTFHDRTPVWTVRSSSGYIEVDRTQVRMLGVDTSFYVAVALTYFEFLEEKESYLAALND*
>EED81896
MPSLLEARSQGHSSLQYHLLHT*
>EED81897
MAEADTEPALYNVAFTDQSAYVIFLPYRDGPVDSQWNVSYSQSAQDDWSYSNNLGKGISSHNTSLVGASVELSWTGTGVWIYGTGDRAAYTVQIDSDPAVLGQGDNEGVLFTQTNLTYGPHGLTLTVLNTLISITGVNITVGLGDSGTVLQARNISGLLTGTTVVNPFFSVDETWSVVDLYANQSANYPCIATYTSGAMLSFTLNATVGFDIYGSDDWYQGLFTVTVTSSGGTSAVASVPNSTIQYSPRSGWTALNQLKYLATGLDNRETYNVQVQNLGNTFNLASVIAYDAVPRLAQSRVSSSCWPLSSVFGTGEERVMRVTIRLHHRSPEALVQPVPPLSKSELSSTLDSTALYSSSAGGDLHGPVPIVQNPDVASNMFDRVGSAFYERDGGPLIFPPRYDEAWMGSAGRAGQEGQQHASQEVHQPPTRRPLPPIPTGKGTDWSLYTFGANHDPSYEAKTSDTGGSLLELH*
>EED81898
MSNNTVNPVPLGLPALAGSPLLQYDRADRCFITSAKRAPIYPRGGIWCRATGPNPQVVAHQELLSYYQRHPPAHPEDIFTILRIDVEPTQTAESVQSPINEQPLELPEVQYIPIEIPDIELPPAPPASTNAPVEVPMVTFTQEDIDQRIAVALAAYQSQQSMANRPLHLDIPAPEPFSGKAEDLRCFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKHLGKTWADAYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHQILMKLPE*
>EED81899
MAPRRAGGSLEGLLQALRLPQLGNAGARGCGLGWDGSDMARSRSRCASIPECGAAGVLDLSDWHVASFLGSDGPWSAIRAWLSITNEEWSFGSVALWVGAGDVELFLCVERVLESRAVEEFVSHGDGGKEGERKGKPNKDAGGIRLGLTKAMGQEVETEVPRAAEAGLYTGEDKGRLCALVRAQLVRAQHADAAPGAVDKEMSISGI*
>EED81900
MGNCPGSGGFVRQRTLFFRLKDASSFRGSEARIFCAESGVVTGQWVAACGRGECKYFVRLEPFYIKLGHPIRRTTVVLTAKTENPLHPVPTDTDNPDVPPLVSTEPNTPTGPRRTTRTRTLRRADAMNPLINAAVSRAERQARHHGRGKTDVDRHDPFAIAPGNRPLAPEPMRAFGLLLKLDDFEDPGLTTGQFKSLFAKCQCGLVMTKSAYDYHDTYALTEQQRSDIEDEDVGDIAGQDTTLRVVQGLLTLLLRHQASWWYHDQGERELVRDNKLLGNFNLTGIPLAPTGVPQIDITFDIDADGRVKLVHDELRLRGKRAKSTLSRLNATLAERAEQEQKLSSAVRTILECIGEDPGKESLLRTPERYTQALMWMARGYEEHLADHEEMVICVPPVPLHTTGSEGAWTIKGPPHKWRHCTLKDQKNSRAARTDPRWAGHSPDEIVSRLALFGSLRGVTALPLMEAQMRIVLHAFAHPHTLDGRRKAVGIIAQYEDLQAHLGLRTSSKSRCGSMTTQCGLWSIFPGLFLRPCPRNGTNRTRKVVLDKVFVQKAQGPNNIPELLLPSPNKRFAGHASLSTFVDRIAAPGPPPDLGCRAHAQLDLALSSRLSDKDIECMVSDAEQYTETVIGAEPRWAPIHLFSALASRPALRLSLPTVRFRLRRSSTSSTVCDAYSKLGTVVPMPRA*
>EED81901
MSDEVAIIVKHKSTKAIIIFLLNRYSLVMFSAAALADFLPWDTQLSCEATMAVYCFCTLLLYNMSLVSEHNLLVTLTAFLLGILTVAVNLYLYIARHYEGVTRAAGMPFCIFKKYYSSTIYTECTQIEHYDVDAALTSSDVYSGIRSFDMLVLVVFWYKTYGIRQDAKKANGRPLLFYGLKGRFSALLVLNLSEIILIEEAFAYQTDFIAPCGFTQDIVDDHPTVHYQSAEALK*
>EED81902
MNSLTLEIPRNSGPFTSDGTTPTPIGAFEEDRQEFERDRWKFEKDRQEFERHRWESERGQWSNSQGPNHDNMKQSTKWVTRDFAGSQDTDFESERQAFERDRWAFERDRWAFERKRWEHECEQWKQEYEESIFARHTGKRATILSFLCLLSGLLPLYFSSSKSRLAKVVMLKT*
>EED81903
MSDTVHDDHPRTLTILFATETGTAQEIADRVAMQCRRIHVQARILSMDSYSPAELISEKMVIFSVATTGSGKEPRAMTRLWNMLLRSDLPEDLFEDLSFAVFGLGDTAYEKFCWPAKLLSRRLASLGATEISARGEGDEQHPLGFAWLLCTYLDISAVPRRSFFAMLRHFVSDKLEEEKLDEFLSPEGADELYDYCQKPRRTIREVLEEFRSARIPREYIFDVFPTLRPRQFSIASSMKAGFYSAFCLRHPRQIHLCIAIVRYKTMLKVPRRGVCTDYLVHLKPGDRLPIGLQKGLFALPPDTATPIICVGPGTGVAPMRSIIEERTFLGSIANTLYLGCRSAHKDQHYATEWEAYVVAGSLTYRPAFSRDEPEGAPRRYVQDLIREDSKRTWELLGVQRGWIYISGYQVLSCPFENVLTKTIHCSSANKMPAGVKAAIMHAAQTEGAKTKEQAQDFVWMLEREGRLVEECWS*
>EED81904
MRVSILGILGSFLYLAFTLRVAAQSHEGQQKLFAFRHSNNAHATKHIITPEFSRFVEDLLEIANIPGLTLGIVHTDREAERLVELDAWGRKTEEGFGHDMSPDAFMATSLGLLMDDYANGRNVTPLPRSVAQFDWDTKVRDLLPDDWKLEDEWASEMTNIRDMLGHVTGMPRHDYSYQPGDTPQGVVRNLRNLRPGYELRKKWSYNNQMYIVGAHLIATYANMSYPEFALSRIFEPLGMASTTFSPDVAQRSGKRTQTWTKFGRRISFWFTEEIAHLKAGPGGIISSAQDLTIKILTENGQTKWVTVLLNAGVEPVSNTTIIPRSVFDEMTTAHSVVYGSSPAPDSSLIGYGMGWDRWSYRGHEIVAHTGGLPGISTLVALLPDDGLGLVALANADEKAAAERILMERILDDMIDFPEGSRATFVDAERYTKLELGGSTSKEVRGAALCSSAGSAPSPAELEPYAGTYGNAGYGAITLCAPSSDSFYCNDVFGDFAPFRPDPAVSSPMLLAAYPRVWTTHVRMIPCDGETFDLGFTALFPHGYGRNTSAFETFETGDAEGQAVFVVEEKNGKKTVKGFGLLIDQDAVAERKRMIGTSVEQYADAWFEKV*
>EED81905
MAEFITKAIDNSSHGVTVKSAMEKLGLQSKRDLLPGLEIRLLPHQLIGVSWMIDQERNGPHKGGILADEMGLGKTVQMIGIMAMNLPDEREQHRTTLIVVPAALLLQWKEEIENKTNGLFTVHIHHGRDKLRSIHAIQEKDVIITTYHTLNSDFAMPDDVESGGELQWLLDNGGLLARMRWYRVILDESQFIRNRATRSSKAVAMLRAKYRWCLTGTPITNTLADIYGYLRFGRFRPWNDWDAFNEHIARIQMQDAPLAGLRAQEVLKPLLLRRTKDADLEGEPLLQLPEKHIDIVTLEFSEDERELYDNFEKRARIQINRFLKDNNVVKKCVLILRMRQLCCHPNLILRQAEGFEDPTLLVGSDADKEVARANTMLGPQWVMEVWEQLIRVLRSFMARAKASQLEFDDEMDEPEPTCPVCGDLFMNDSGRLLACGHEICAECLQVLATSPIVHNGEFGNADERTNLRIEKEFETAAAKGLRPCPTCKKMMNLRPDHVFRSLAFEPSQDEVRNALRRADNARRQIEELIELSSSSDEDLPDVAELLSAVASSSPKGKGKVVKDEGEDDNNTDADLTMDEIRGKGKARRQGRDSSEQPHGMSDHLIATWKRGDNNLEPSTKMLALVEHLQEWESTGDKTIVFSQWTSMLDLLETLFSRYGIRSLRYDGKMSREARELVLSQFRKSGGPKVILISTKCGGVGLNLVSANRLINMDLGWNYASESQAYDRVHRLGQEKEVFVKRLVVRNTIEERMLKLQETKVGLAEAALGEGTGVKLHKLSCNAVMKTSTYADRDTALRDE*
>EED81906
METTVKRFGSAVAGSVITAALGSKRKSGEMLGFSAMLQAAGEGPYRTAEDGDRMQKIPKLSGAPEGVIDWKDKGKQVDADEMPVQNHHTKTSPEPTTTLFAARPDDVTVPLKSSDGDDAMLDRFKRTVEGLGARSAKSMGKSLGGNAAAALAEARAAAEARVRERNKAEDGQDVSSSPDTKEALEGAAGQISLPLAAAVVHTQAVPAGARTSKESDRRLSMSDLVSSSEKDRFPGGQPTKFVSVLAESSENGGATVDTSTSTTPPTTPPPMLKVAAPPTRPAPVFSKPAPVFVAPPAPHPASQAPQPVASAGTSTEFSFKLPTANPFSLPAAMTLGVPASLPSPKGQKERVPLSAQSSKASVLSDVIFDKTEDIPAWMPTTQDTEYSIRPSQSQPRGRTHDDNLDDDDDSWHVDEKFSANQMWTPFGFANADTDDTWSTLPSRSTSQKGGDTRIAADTQYFTTTTAAQPTRDDERHARSVPDLHLENTAKRTL*
>EED81907
MYLAEPSSTPAAVEMRATSAIAFKWKVPQSHRAMTVFPESTEGSGLTHGLNHAVTLRERRTVYGRSDQPSWQKRANMEYILRTTSICGAGQRAYALRRDSDSRIANPQGKYIGITVWNMVLGTDTIVGECESPSAHLAEDDKAYEGRSREGV*
>EED81908
MGQNQSLGLRQFENVNMRQIVVGPAVDMPPPMSHAREDTPAKNQDESKPTMPDSDSGDEPGMAWETCAEQVWKREEELVKNWKEEIDRLLTFAGLFSAALTAFNVQYYVTLQPPAASVDTQAILAIITTLAVARDGDTVHPLLCALSTTPSIPTPSMEVVIINTLWFSALVFSLSAASIAISVSQWLYHHIDRAASKSRESVRLWYFRHSMFEAWQIPIIISLLPLLLQISLALFLIGLVQLLWTMNQVVATVVTALAVTLLSLSMITAVLPAFVPSCPYKSQPALWCFSIMRRARRIPLAAANILLQLRTYPDRPLLRNNDNNHPCSFCLRLFGRISHSLHIRNRLTHLIHLILKDRRTEGIQEWRRTQRGWLRTSNWRDIDNMSVRLQLGNAEGNLEMLAAADATVMDDALLKSVVGPCLLEAQSIQSVLPVFYQILERRAQDVDTLTDPPTFIWPKSEQDAAANRELTGLCVNLLGTYLQQSGSEGFEGIHLRRHLVSFIQAMPPDAPHLQHLASLVNNIPLEASKTISCCIMQAIHEQHHRPEITDAHRGIWGILPVSFIHNIVRASIQPGGLRLDTVLNVYHSILRHRIDGIDYLLGSSRNTRALTPSETDAVIAMLQVSLDTYAVTGMQDDRTELNQQRAQILRTVEYLLADALAGVLEANAANPLLDLLKRLASIIEAMRPEESRDTFCCIMRTFHEQGYHSEASEMICGTRKLLAYLPYICKRIEGKGFWRIMSAAVWHAGGLSPNEYALVSNDMPGWVDAAVKYLDSHKTQELPWWHFLDFLKSFVRLSQMDHALLTQGAAKVFVRAASQAPHDYGNKKYVMNKIHELSGHSADSSPGVFVKTPATR*
>EED81909
MGSVCTSYPVSCHYVSFVTKHGHTDTMVQGSNPQIIAHQQLVSYYQRHPPAHPEDVFTILRIDVEPTQTAESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRHFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADVYYEKSAGGVQVYSTWADFVATLEEAFPEHGTRIKAHQILMKLPERQKDRKTVLSLGNYVALFKQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRSTDAAKQGALIVTDTRNYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEAD*
>EED81910
MARRRTGKPSPVKASISTATDGMAAMEKPATTSSKNKRSTSDTPYGASGENEAPGRPLIASPQCEAAQGDAKDGQTTSGESSRMAEDGKPSPVRVDATGVRQGPAGDTSTSAFPEAAGGSAPAQPTKEVKSAINGKVESRGREDEKPEDPWSLCADEAGLFSTILAAFLAAFYLLLGPQTPDATAQVLVVITVDFRLGTRRGEWERGRERAKWADCYKRVGARHRGVGSARSTPQAPKSNKNLTKEQQTILDTAIATTQPTTTTISTGVLWFIALIFSLSAASISIAVGQWLHHHTDRASSLSRQSVRIWYLRRRGAQRWHVQAIIDILPILLQISLALNIRGTLDVVGEYFSSSGAEEVARTESWSVFTYLLDAFVELARVDIARPARDDTLFTRDVVNALEHCASRCPIGHYLTRQIRCLMKEIYNTLGYFYGSSNATQPVAEVTISSMPTPREDVDEAPRQHPRAIDGASMV*
>EED81911
MPAHRYDLGIVLYARWLLFDLSSLGACCIFDLLGRLPSVYPYKPHNLRRHPCQSKVFDGDTLHTTTILFKRDNDGVVRESRYVILLRSSVAMRECYGICYVISLSMYVDMFIVENERSERGTEGEVKGQYGLVIEHQCADGQGICRDKRLNSSVKCLSLVLIYCARKWTLDSSVQLLEESANDPAYSAGPIAHSGGSTLAVSEASSIFPSPETLCRARIDQLAQVGRHYIEAPTRTAYIKPYTSSARVRRQDIDQSFAEDNGETREEAAAPSSELEYSQGSSSNAQAASDSSGREVENGQLPPVQMNDPDDGQAFTKSTGTSGNQIHLATRAKDNDTPPTQPQREDPGASALRPDTIYHDTTAGDDEGQRRDLNDGRLPNTIDGKDRIERDGLKSTPNADSDRARERPAEKEELTGWARCAEEVWTFEDKRMERWKDDINYLLLYAGLFSTALTGFIVPFYGFQAQAVDPTVQALALIAMQLNIIALSVGHSNLTQQLPSPILPPSAAPAGRPLVTGILWTIALILSLGSGAIAIIVSQWLHHHVNRGASLDRQSAQLWYFRYYGFKAWHVESIINALPFMLQSAMTLFLVGLIEQLWIWDRTIALIVTVFAGGLVGGLLVTALIPVIAASCPFKSPQAWWWFCLIRLSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPTHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPPPPAPTNTPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRCFIQCILSYFVATHNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDTEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAKQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRNRYIPTRTNMSSTLSFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDHAALEAYLSARREYNEAVKAADEAINHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIWARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWAPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMRRHVSTPPEEPARRVGVVVDNVFLEGIINEAKEKKEKERQTKAVPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQSLDEWQQLSNWTDFDNRSVRSSKGRKHSAQLALLADADAIVTDVSFLEKVVRPCIQQQKSEDIEDVLSAYFDIMEHRAHHIDRSQLPPQLRWDGSIPSHPEVVHPALTAYSADIRRLLTFLPHARKRVSTQRFLQITCVALARSARLLPDDIGRVHSDVRGALDVVIEYFSSSGIEVSQTDSWGAFLNLLVACAELARADTARPAHNGPLFTPHVVNALERCASQCPEDHDLRPWIRHFMEEIYTSWDYPANSPAPTPQEDVDEVLREHPQAVASDVEWGAKLIHLEMLA*
>EED81912
MSGHLSVVAADAGHATIANWLISISADSQSFSGPSSTTIVVATLWFTALILSLGAASIAITVSQWLHHHINGASKASRQSVRVWYFRRRGIARWKVELAIAVLPVLLQIALILFLVGLVILLWTLNSVVAKTVTALVVTLLLPTIFTVVIPALSPDCPYKSAPAWWFFKAWRYVLWCLEKLLISLYDGYHEPVIEILVRLVWNVCCRAETWRIYRDLPPLSDWRELDNFCMQTLKDDSKTKLQMLVEADSRVMDETFLSTVVRPCLQEADIKEALPASYKILHHRAHDHDQDQEHSPRWWHSEQDHQAVSMLGHMSLDMLDKVASSNIGSEDRKEIGHILKLVDIHPKPSTQATHSTMAAVHKMIPLLPIAGRELSMTQFLRYASIAFAHAADLPPSDFAQIREVIQGALSAVAGYFSSSDMELLAQEISKARAWTYVDDVFRARFSEAGVQGPRRGRSHETGVDFWYVGQASLPARVTWSCAGVGIGLSGPSW*
>EED81913
MPWLKHHCNDFVHTVRRLWSLSWLWTSPMCIAWLLRKLPDRGRAAYQKWKAWDPEALRYWRKWQSDTRTARNWREFENQLARAEVLQEKDKLMVLAEADELIMDDAFLSEVVQPCLQQSSLESALPVLLRILRHRAHKVSEVQQRISGEFWPIYQWFTSEQDSIAIIAMGDLCLDVLTKDLDSLFYNEDHIADHLLQLIRAMPPTESCRAFCRRAAHHIQMAAQQEYVLHESRIDVLDDGADACFLEFVVTAWYKALAPRPYINGLDRFYKVLAGRWDSISTMDSKTAAATADLTLDLLLKLPLHPQARNLLDRLLTRDFFSGVTSFSGSASSHYTRLIDNLCTTQSTDKSHDTIALWIFDWCRSFSLDIEYTRKFLAYLLTACDHLSTETFLQIASSALRHSAKHSSADFCQIYDDVKSALDIVARYFSSSDIDKVVRKITTEMACYTLDSLLDACGDLAQKDADLFDRGIVMALARCVRRVPKRYSWRFYISVRMSNMYTLTGITAKSILTKSGKPEMPRWRPML*
>EED81914
MFTGLFTEELFSGNSPLENPGPHNFPSPRLSGSPDLKSIELAMADADPEKLAKEDPLATQVWKMYARTKATMPHAQRMENITWRMMALALKKKKEDEDRAKGGDLQSEGQVDGQPSDPTQLSSDDILKEEQTERGRTFDKGKGKVKVVGFDGTNQDGTDENDVVPMDWRAMSRSRSRVPMDWRPASRSRSRPPLPGVLSDLGSLKFPSSSPPKGLSSSPGLPIPGSSSLAGRRSPRQGLPAVLESDTRGPLGHIDPLSALSSPTNHPSSLPSFGLHGLQRLAASTVPSPEQRTFPRHVRKTSFDHTVAREGIFTGRRADAPHVDCMLRGDPSAMSHSEHID
>EED81915
MGERRDALSQAILGVFREASEVEGLDGCLCRHPEGRAKHWILVLGGTLIMAQLQENAQPVGQVLLAHSLAHALPPPGYNRQRPHCMVLTLSSGGVYFFQAGTEELVNEWVSTCNYWAARQSKEPLAGGVSNMEYGWNRVLDPLTRVRSISEDNYSVRDGDNEDGQSVRSGKSGRSRIKEMAATVRADKSPWADRTFINEWKPPMPPTVPSNHDEETQMEALQKHVSSLKTELKLHNELRTPMMNMYQPRSSNAAKALSNWEKKSQYLLTEIVKYESYIDSLQAAMSLRLKKRGEKALERALVVASPTEEELADTAVKPRWKGQPDEETIEEGEEPPVSAGQDPSPVHKHRREVAETGEAEGR*
>EED81916
MCLKLPFTSAASTNGLHRYSGLGIEGVVGVPGSDSVDGNVEDVGVVERFGGRGARLIERLHNGDLDQCNALAAEDEEQYEERQRSSKTQGRLLNYEGEGREALLSVAEVLQMGDRTKWYTKLLSRPGQPAMMKTRRKSKAAAAAAVEAATAASTRENSPAPAPAPLAPGASISVAIPEDVDADILSNLLPDVDLSSPSSDVILSLYRLVVAQATESDATQRELEEARADIERKDVELDQALQDRDYAASELESTLDSVRKELEQVKQEKEELGTSCAIAGAPVSRAALQAQLTSLSTTQSVSSSEVESLKHRVEDTEREKRDLVTVVSRMKEDSVQREG*
>EED81917
MSHAVRDPLARNPSHHGSRTSHHSRSPRHSQGGSPLLPIPTNDDIQMPDQQPVQPQVPLRQPQPRHPPRSPPQPTPVPNAGPRQPDPATAIFTNAFAQIAQTLQLMQTQQQQQHGSAGRKPVVNKPKDFDGDKELYEKWKMEMRLFIADHQISDDNRRTNVIVSYIRGPKVDAFVRILYNNNCVGGYWQIPSTELWNILDEHYIDASLKEKAQQKIEYIRQGSRSADDYIVEFEDLASQAGYRLAEEHNGAPVSAALTETGEFARRKKLCGAVITAPQTLLEASRHNNRLVKLLQQHHKELLGSYQWPESHTSQGGMEPVLCTKEEGNRCR*
>EED81918
MNLRYCTNRVFMPLLIGSGAASGWDVELETAGQVVSEHDVKVDGTGRVSCRIVCHPDVIFWVTIYGPQNDGGIYAVVLMDGIMARWQDPKTGQYLKFKWLPKTKICPQVDRYVPKPSPTRSKNQPTQTHYAHVDAKSVAQSDTLESRRVHDINILPPPLAQVTFNYLESIRVEPSAPARDLVASTEALSLYKLPEGTAKTSSSIKDKIIEEAQVAKGIEEARHSGYVAGYVTGLKTAKEIYGVAPGGREEVDVDRAVSVQSASGSTRPPASVSQHEAVAVSATLATGDNRTKETGDGQTKETGTAGLTQSAARGTNEASTAKGGAGSAITAPARRNAVCLPNTKSRMLSGRGREWINFLALGDGDSDEPPTKILPRERGKKENPGSSIIGTPSLSSIEGPNRNSAPGACVVVDEGRLLRRRAACATHVSSSSSSPPATSSFSSSPPAAAWLGLGVLVFKAWS*
>EED81919
MPSKFPGKILRCVAQVFGRKRKQKQPRHDVTASTVSPATPPGLPIELFELIIDFCRYDRPTLRACALTCRAWLPRSRYNMFHDVVLHSMKQLGYFSGLVAAEPRLGALVKSLHVAPYRGHGEAYATFPFVLGDKLSRLEHLSIDLRRDFYPCVHDNFLASLSCFSTVTSLALRRVQFPSFHDFALLLAAFPKLAHLHCWQVGWVRKSYDPRAFAHMDCRLRLSELTMRGVDWSEGMVDWLLAYTSPAALVRVRLPLLLPLDVEHVERLLLAAGHTLRHLEIGIVPLNSLAARHAQSKEGTDGHMGPFPRLLQNTCLESLHLNLYGGSWAPGLLAQVASTQLRVLTIGVPTHARRRNVQHFDCCGVDRALALPQFAGLEHVVFEYDKGAEEEWNSKLCEEILPKFPIARARGLVQCRGVKRDPERENDVIQHLARIRTYDRSDVLSSPPHQLSSNFLFGEVDHDLRRAAGSANKPYNTNSKNKRKPKKASTDPEEFYPARATKPANVKASPDSGPMSNLGMPSRVQYNCIEEDYLKSLHVRKREKALLNQALFDKIWDVLHDPQSILVGTPQFRWWVRKMFVLSYTRSTLSSVETHTVEDYSADSVPVVLHENRPVALKDQIYDVLCYCHDLAKHGGRDKTTAVVREHYSWIPKELIAQFVKACPTCVYKKTGNVDLALAMNTTEGLPGADACNEPSQILSMPSEEQSQRRVTTHCVSLRVSDLISRDSASPSRDLTQYWPTTSGPLHSPAPVHPMPPLQSWMDTALYNTQDTSSHASEVYLRATSNAQAWQR*
>EED81920
MDLALNDVHVLVTGASGGIGLATCRLYLTAETYSGVGLEEQGAKVTAHYNTTSSTLDPLLAEYGPTRVRTIQANLAQEEDVIRMMNVKTDGFGAVQVLVVNHGYYPPNDAPVSRMTLEQWNSTMNSNLTSSFLVVREYLRHLEDATAAEKDKAAIVMIGSTAGKYGEFGHADYSAAKSGMMYGLLMTLKNEIVKIAPKGRVNCIAPGWVKTPMAAHALENPEIVYRALATTPLMKVATPEDVATQVVFVSSTAVSGHVSGQVIMVEGGMEGRLLNKPEDIAL*
>EED81921
MLLYALHVAARKIWKTDKKEDPRVAMLMFIVLFLAWAIPFVFHALWNNDHTAAALSEIAAQSSDFVSMSDNSTQLSDSGVMMLHTTYTWVNGLYYAPLIACIALASLGVEGRLVHG*
>EED81922
MSIQLASVIDWSQPSLWLSVLSIAFNPTAWNIVARNEYRNKTITRLFGGNAKYGCYFLALMIFSFGMLRDSLFKNAILEQPRKAMLPEPLDTLVPAALFAIGQVFVVTSTWALGVTGTFLGDYFGILMDHRVEGFPFNVLRDPMYVGSTMSFVAVSLWFERPAGLLITFYVYAVYLIALRFEGPFTDMIYSNRANGHTQSKKQQ*
>EED81923
MEPSQTLIIS*
>EED81924
MLACGIVLSHTLDFAQLQFTNEITTCSTYTSYYGIVSVKQMSNLSDPIISPFATCSRLTIPPHLLDDVMDVEFNIYVTRKTFVEELLRYREGAIIEYPRTSSTGSIGHLFKVSAEQWTNPSASFAYAQGSPRGRSPRTKPVFCNVLRDSIGNMVPCQESHSTCQGCKICPRASDSLFESSHTFATRQDIKNRLRLDREQHALSATPLRTVFERTLSRIAAYRKYGCGAAVYGADGYLNESDEVWVDHLELRAAHEKARRGYQPSEQVKCGGRLLFMYDFDGEPFLHIRDGSYDLEYLEAVLTNNYDTVSRLEALAEMAGYGPLSPCNTLVNFTSNRVHCPCEHRDDNDRLIPQEMLPIPCESRLQVFEPLPEYRDTCPYILVVCTREHSHPVPLPTKTPAHIRKIIFDLLVSVGQELPDLTPRRFLRHSVIQAQLRDLLPHSYIKQAKNLHFPDGTGWNGLRHWKHIQDTTFKPEDIYVRYMAEFPSSHALLQLHAEVDEASECEEQPNLRVVICMMPAQSWRLLHAQYLQSDIAFKRVSGYKEFELGGWDHENRTAIIFCRVLLTWETAEVHRFIFDKIDEIVKADTGSMLQWRHLHSASLDQYVGVLQLTADQHLGQAKGFGLYLWHRAQALPPTPDLHEPDHPISSLSPYEHLHRIFRLCTVHVFRNIKTSPVADDVKALMRSLVCLEHEDWEGTIEAIREQGGRVAANWIEDKLRSKFAFEGMCWEKSFIPQDIWQAGERHSNLIESQHADANREGTKCSLLGGIVRGRHLDALKIKTLVASEDVGVRPSFQIHDGTRKAFQALKRQQKGRRKAHISQDTKILSVQKQLTRKTKALDAARRRLHTRVTSGSRFDAAKAERAVVNAKKAYTQAVENAAALMGSGSGRITTSIVPLVERGLHE*
>EED81925
MSGLRGPPRKGENFELSADLNSEYREKRKDAIKKVIANMTVQKDVSGLFPDVLKNMQTDDLEQKKLVYLYLMNYAKTQPELVILAVNTFVKDTDDPNPLVRALAIRTMGCLRAEKIIDYLCDPLQKCLRDDNPYVRKTAALCVAKLYDLKPELVIENGFLETLQEMIADSNPMVVSNTVAALSDIHTAAVAAGTTSDHFHITSVILNKLLVALNECSEWGRVAILNALARYETQDAQESEHICERVVPQFQHANASVVLAAVKVIMIHMRSVRQDNLTKTFIRKMAPPLVTLLSNPPEVQWVALRNINLLLQKRPDLLSNEMRVFFCKYNDPLYVKVEKLDIMVRLAGESNVDALLSELKEYASEVDVDFVRRSIKAIGQTAIKIDEAAERCVNVLLELIGTRVSYVVQEAVVDIFRKYPSTYEGVIPTLCANLDELDEPEAKASLIWIIGEYANKIDNADELLAIFVDTFTEESYPVQLQTLTAVVKLFLQKPDSSQGLVQRVLNTATKDCDSPDVRDRAYIYWRLLSTDPGAAKAVVLSQRPPISLPRTTVSPQLLEELLGEVSSLASVYHKPAETFVGPGRIGIDSLQRKASEIADERVAAQKALQTVAQGQQAENLLDFADDGAEEGQQPSGLAATTVLAQTPAAANILAGTSSNPLDDLVSIFGSANMSAPTVQPGQSANPLAGFSFNTAASPMPPATPSVLQAASPPTQQSQQEDLLGLF*
>EED81926
MAIDRRGIANQCKGINILTPMLDADTRDYLRLQYPDTPADFAWLRNQISDHIQIMITWPGYANYPSQRIRVYNEDGTSITMQKLAEEVCAFVCKFFIEHHELPPHPSEKRWAIGNSPGKYQMRHVCIPFINRLYDDWFQVVMNVPEPDELDEGREMDSRSYILHDVKKYIENGFPGNGI*
>EED81927
MPRECRHGGREKSRCASGSSSRSSDGRAQEAAPAIHTGLSDGMNESIPLREIKVLDRDGQIVRDDDTIAQNRRERSKAMPPSQAIQHSDVTPGLADDRDGEVNKANEVNGTEIEERVPEADEAIPGQAPSDCNDPRQVSTNGKLDEELVRKVLQDALEEKCKPEDPWAKCAKEVWEFEESLVEKWKEDINNLLLFSGLFSTVLTGFIVPFYVTLAATQALISMSAHLSVVAADAGHTAVANWLISLSSDSQSSPGPSSTTIAVAVLWFAALILSLGAASVAISISQWLHHHINVTSKTSQRSVRVWFFRRRGLRRWGVEQAVAVLPLLLQIALVLFLVGLDVLLWTINTAVAKVATALISLLLLPTIVTPIIPSLSPDCPFKSAQAWAFFRFWRWAVQKLERPRSHSRYWRNHGHEYIIRKIWNRCCRTEKWRLLRDLPALSGWRELDNFCMQTLKDDSKTRLRMLVEADSRVMDESFLSTVVRPCLQQADVRDALPAFYKILDHRAHDHDQDKEHSPQWWIHEQDHEAVTMLGHMSLDMFNKVALSSMDSGESKKEIAHVLKLADNLLNAMPCTMPAVYSRLMGMWAATNLPDESIPKASHSALIPAWQFYKR*
>EED81928
MRIHSESCSCEAPSSAIAHAQASMEVVLEGEVTRGNLSRPTRSVGVESEADANQLAEQRDASGEQAGPAENDPKVNVEVNTDARGLEEKPRPTEDRSGKDKDKEDPWSLCTEEVWKYEENQINKWKENINNLLLFAGLFSTILAGFIVAFYLLLGPTTPDTTTQVLIIVSIQLSILTAAIAHSNLTQSQQSVLQPEAASATIRLMTSTVSTGVLWFVALIFSLSAASISIAVGQWLHHHTDRASSMSRQSVRIWAYHCRSLEKWHVRVIIDLLPILLQISLALFLIGLLELLWALNHVIAAIVTALIIILLLPTLITVFLPYLFADCPYKSRAAWLCFVILRRVARFGQSLQAKRPCATTLIVRIAGLPWELSDRGRTAFQNWKTSPSAVRLHIARLPRTFLDHSRAAYQGWKTWDPEALRYRKKWQSDTHTARNWREFENLPARAEVLEEKDKLILLAEADELIMDDAFLSNHIASVFNGSGRGLLVRKCVPTIKTSNTKLTIVICDFKDINSVLLCLCSIFRTIFKRLDLVGRALSRHRLPCGPGPSRPTGLGSARSEGSEPGFAHHYEEDVFDDDWHRIPWGSGIGSCVSLSVPHTVMRNTRPSAIEGMPHKAP*
>EED81929
MLTQPWGPRSRVRARGKEKIKKRLDAIPTCDTDPARGCLGRVAHIITKHYLAKFGEPFAEETEDDFAARKRRKRGADRNALVCHPAETIGDAALRLKGIGTVGSWPLLLIFAANLQLFDAFQASHPDHPTLSFMVAKSGMKPDLGKFASECKAAFDKLPVEEKTRLEGIAIKMEQEWTTYQGEAADEIPVHWQNETGWAGMIIMGGIGAMGQLDAYGSVTPQVLTRMVRPSKTTYVVRLAGLRRVGKLFLKAGCMTSLTLSLHRRQPYLALYSASCKGAIDSGLEDTAQGDTTASRSGNTAQPEVANSRSGEPLDFDLEHTASEHHLSC*
>EED81930
MTLALGHRALDVLDKALLESREVSKEHQLLILRAVGRACKVIPDATSTSVYARLPRLLPGLALSQDALDKLVDIIYWLVGTSQLDIEDIRKLLKFLPHAREQLGTERFLRITSSALKHSAQLPLDDFDRVYSDVRGALNVVVEYFSSSGIEEVAQAHAWWKFGSLLCVCVELARADNARPTRKGTLLSRDVINALESCVSQCPQDKMDYIESTMEALYSALGYYAGSVPNEPVAGETVSPALAPRDVDKAPTEDPQVVDGTPMR*
>EED81931
MSSTLSFLDQFNAPSTEGGKRISIYIPKHTHVGDSTLLTLLLSNPTDVFNKLKTHNPEATNATDRAALEAYLSARHEYDEAVKAADEAIDHHKRLLHQQDDCVLTELIRLDNLKVAHRFQPLLPHSIRARHNKFILRTIPNTYLPLPTPLPTSAFRQPPIPSPFLQATPRSTTIPADWQPNPGWTLKGSCRRCGSSRHWVQDCPDVRCAGCGKEAPGHLERECGTRPMKRHISAPPEEPVRCVGVVIDNVFLEGIINEAKERKEKERQMKAVPIPPPRSANPEPPASPITGPSRPRPDTPIVFRKVDPDWTPDTTQWTWDSSWLNQKHLSGEEWKNMGRNARKEWFDEEEDDGVDWELYGDGEHEAGGGASASPYSEGTQVMTLSVMGGRRTAREQRRWLWLFAGWTSGGYWRQFEGGGSWERQRGSAGMQIEMPKDELVGTISDVDVDKVLGVEGMHKALTRSHDGGEEGEELVRAQHADAAPRAGK*
>EED81932
MAPYIPFVLTTAFAIATEEEWHDAIFQNVNVSDEQANLLQTVTANAAESTTGQVRDWVGRLTLEISRHYDGYLQSLLREVESLHIIVQNQQALVDSYNGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYRQCNDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLARKDESTLPKDWTLFLDILLNINKIVNPEKAQGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEATSTEAKKYCVICKSKTHNTDDCYKLAKNADKRPNTQGDGARKAQGGSGNPAAKKAKKTRVIQVKLTDSEDDTPPSAKAMSANTARIEEIANIEESTLAGKDEPQLSAKTEPIAATSDFWKKYM*
>EED81933
MYAVWTSLQCRVCMLAVRLNTWIGDPWQHTGTDESRFQETERRSRRSTLTSTRERKAASAHIPAFRDPRDIRLGRMVGVLYARRHSTSPAATAVTLNSSPLPFGLTGICGEAVPLLPIAPSPESLSRMKDQGCCASRCGGSASSTEDDVVAREAKRMVPQTSRLGILAGAECALELNNVPRCIERAKMENFDDSCCWIRDAPIAGDRSGTVK*
>EED81934
MDESGDNAVHDTSHDGSPEWDEVPGMSYEEAAEAEEQALQEQADDDVFGTPGRLSDDVRQILRTGFAKMNTIVEQVSKDSGLPAARVQAMFGQQHARVNTARNHWNIYGRYFKMNQAQECKRLGLDAPLTDTVEIRSKTYALFKEAHADIWRDILETFEEVEVWAVNHTVGQRKRDFAKAVAKMRQLCDNLALRYDFSTAFLMAGNLVNTDTGLAQLYETATAKNFLSTYLRGNEDTSLAHFKSYLYHQISMGILNEASENGDEDVGGPSKPSGPAIAASCQQGGAPSKQHAGPSEQAAGPSKASTQVPGPSKQAGASSNEGTIKSQKDLDRRKFGLEFLHPDWRKKLSSTDKAGVIRFNVREMEVAAGGPWERKYNNLFPWNHHAELLVTQGLVWKNYPDDVRWPGEEKTGGHNKAKGIVELSMPELNRMIEGLVDRDYPFEFERVDKEALKKNKLPVIICAPPAHDATFKRARRYFANGTSDRKGPPRREAPASSGEHGESSSPDTNELSKDTASAALSPMQAPPPKASLTRSRVVEVVIRKSRTSQPTTASKSIDVDDSEDDDAPVNPRADKRKAINVEDSEDSEDEDAYQPSEGTPTPVKPRGAKRKVLRNGSNTEDEPEDGTKKEPSKGKGPSKGKAPARSTKRSKASAGGSDVEQQLSPRMPEKPRAQTAKRPAPRPVHAGARTRGGAMDTTTNEQCDASTEAPAQRQRPARNLHEDESLPEHAPPKRRHHPATTEGAPTEGTHDQEHHQEAPAQRDKDTPAELHHPQEGRSKRAHAPAPSSGTAALPPSLLHQRLAVYDDEDGNSTSTAGASGMLGHWMFPPPAPFAHAHNAPPGYAPPGYAPPGYAPPGYAPRPRPTLPHAPRYAPRGWPVDSQTLDSYEVMDPRDAGHYYRPLPPQFRAPEFRAPGLMTPDGTYIPSGRVHPHFYEDFGQAAVFADVPQGMPYAGFEAATPPARRMPGTAGAQTAGAQTAGAQTAGAQTAGAQTAGVQSHDEGEQQGDAGPSMQA*
>EED81935
MIQAQARYECEARNAKRKTQNANLNPIIDGDVTASTRFEAHVCTLTPAVCFLAARYCHDASGFRGHSRSVNAHSTRSPKRRDKCKGAQAAIDALSVVSHLHPAVGHHAYLRETVQAFTNALLMTEPAIPGLDRSVIIPARRLHLTLGVMSLDAEPTSRTLDGALALLHQMLKGQKLRVAFRNIDIMKPERGDLERAHVMWVGPSPEDNSAGLLKSVAGYVLDNRPLKPRGKIRQPFSYASVLNSSALRSIAIERSETANVVEPQAPTRGPMRVNLGEWDVEDIQICEMGSWGPEGEYVGLKLKLERPLKSPPAIIARVGYLELRQTLQRAGSVFVLVALFPKDQDEWTFCVCFYVDS*
>EED81936
MLWYRGRLWAFPALLAVCLVLLTWPLSRTADAAKLSTEHTPAASVAAASDPPRQSDNYTTVVQWDNYTIFLNDQRMFLHSGEFHPFRLPVPDLWLDIFQKMVAAGLNAASHASAERRNIHGWAGTLTNPAPGVLDFNDWRALQPVFDAAKLAGIFIVLRPGPYINAETTAGGIALWATSLVAGELRTNATDYRDAWTPYIDEIAASVVPNQVSDGGPILVVQIDNEYYQDAYTGEYFVELEEAYRQAGVVIPLTYNDPGEGKNFVNGTGAVNIYGLDSYPQGFDCSTPEVWSPVVTNYHQYHEETDPAEPWYMPEFQGGSFDPWGGSGYDACEILTGADFQDVFNKQNWASNVKLISYYMVYGGTNWGGLAEPGVYTSYDYGSSIRESRLLSDKFDELKRQGIFLRSSPEFYKTDWVGDTDTTMPGVTVNGSEAYVTWLRNPDTGAGFYIVRQANSSSLADITFRISVPTSAGTLSLPRTTDSIALDGRQSKVLVTDYTFGTSSSVLYSTASVFYAGTIGGRDILFLYGDFNQSHEIALEFTGTGTQFAKSTVSFSTGADIDGYTTVTLLPGIEGFVPLYESDTQLILYSDSVTAATFWAPVIPASEGTAFQSYYQFGSNTTILVGGPYLVRNASISDGTLALRGDLNQSALLTIIAPDDVTSVTWNGAPVSAYSLTDGILAGYLSTNLTTSSITVPALTGWKYADSLPEVQSNFSDADWVIANHTTTNITPGMLYGDGQVLFGCDYGFCENTVLWRGHFTGTGSETSVNLTINGGTAFAGSVWINDYFISSTWSVSEEQTTVVYAFPEGSVLVGQDNVVTVVQDGMGNDESPNEKSPRGIPGFLLNGGSFTEWKVQGKLGGYTAYPDKVRGILNEGGLYGERDGWHLPGYDTSSWTARELSEGLPSSGAGIGFFVTTFDLSIPQETDALMSFQFDTLNQAYRALLFVNGWSYGKRVANIGPQTIFPVPQGILDYDGTNTVAVALWALENSSVSPTLELVVDNVYEGGVGSIATNNPSWSPRSLL*
>EED81937
MCLLFERPDIENDRDTRDPSRAKYASRLDYAPGHPWTTRETHLDSTSSVENYISDLQEKIISAFEKLEPNSPSFKCDAWTRQQGDRGLSCVFAVHPSTSEAAAAAAALNIVLEKAGVNISIVHGTLPPAAVKQMRADHGSMASLEGSLPFFAAGISLIIHPRNPHAPTVHFNYRYFEVTEPAAQGEEATARDACTPHGSAICPTSKKWCDEYLFIPHRDEARGIGGIFFDDLCDEQHKRFGDLDAPRPRTSDAIFTLLRSLGDAFLLRPGRYVEFNLVYDRGTRFGMLTPGARVESVLISLPETARWEYMSDLGGDGEGNKEGKIIEMLRKPRD*
>EED81938
MDDSSYPLVTRLILNYSQCGVSQSILNNDTYQALQCAAGQSISRIGTSCIVCPNSDIAGVGVRAAFYIQSLFNTLLVILSPEDAAASAWAGAVLTAGLVIAASPALWFFSIVSDAVCISYATLSSISSLAIAPMLPVWELPGARRAHTPHSQEHLVEGKDAVLTHGLQQNFLRKERRKTHNRQRAAITFALLSQVVFQWAWGLFLFVDPHYSQPTCNQDTIIWILYFPLNVRNVNEAGYAVWPAWLLFCLGVTLFYTIALAVSSGVQTHKGPPRISTISIASSIQSAHTFVPVQLCRVMMAAVPEWGNVRGKTFVWGNVVAVILWTSYIIISEFQIQSNCIYSGENSLSGFGQITSVFLALTPVWSLIVALYRLPGKLRKAERGRARGRARGAEEQLLLAHLDHGRESKPEDCVALSWLEAHRVVWNRAVELRLRPGPSSNVSASSSGEFHPFRLPVLDLWLDIFQKIVAAGSVNTARYGFMEASFSMIGQFEVCFTPEIASPNVYRSFPIMTAMSSVFLGPWYYAYLSFRLLPNRA*
>EED81939
MLVLATSANSVLAARALTAVSLTIYVWDHLLNIADEVELVWRQPWSVVPVFLTFNRHAEAVGWWSTELTEGVCQALAAIISVYCVINSASTQFTLLLYLYNIWDSSRTTRRLLTYGFVVCFSISLVFAAVSLHDVWGIASHISFFAVQSSRRAGSITPFPKPRFCAVSHMPKYSIGMWGGLVRILARSDVPVMLTHTCSVQILYDFYVLVLIVVNALQRPRRHDSEIIGNLFRDGGYMFMCSGYCSLSPACLQTVLKNAPLDVVPVVAWVLDSVVSYRLFLRTKAIELNATKAPVVGAKEASVYVYEEVDVEMM*
>EED81940
MSTNIELAISSLLQRGLPISVSNVLLAYLRILGYAPPDATDVSVPHEAVVAFEHALDKEPRATATSRALFCPLRRLLTAVGTVGKAQAKRAAARRGVAFAKGPLDPRTNPSGVILEFADCEPFDVCQHVSEIFHHTHGDFMSDYVNDGSEFLFDVSVSIFQSTSHRVKKITGWPYDCVKMNHFFFEFPV*
>EED81941
MSGTSSRSVRRVQIHDARRSPSRGPPISRRVTIEDKPPPRRAVPRISQRVLAFQKQWKSIKDDLPPQLSRRHMTNFTMQVGMISIGGVVGTGLFLGSAQSLVYGGPLGALLGYSIIGSLVYCLCVSIGEMIAYLPNVGGVVGIADLYVDKALGFALGWASWSFMAASSYSFSDNIQQLGIATVVNMLPSRMYGEFEFWFSCLKVGTITTLFLSCLLIDVGAGHAQAIPPTSSHLTQHILFKNWDPPFANSYLGICGVKGQFLGFLAVLNQAAFSFFGSEVPGIAAGEVIDATRNVPRALKRVWVRIILIYILSIFAIGLTVPQTYVDLRANASNGFSSPFVIALSRAKWTVPANFINAIIMLSAFSVAASDIYIGSRFMFFLARRGHAPGFLASLFKYPQDKTPAQELEEEDTDSDEEEEEDWHSAVESFTNAAITEHSEEEAAQHPSFYKPSMIWTIPLASVLVTASVGLLAYMATSKSSSAVSETFASSAATATTTVICGVTMALSTDTSDTMGVSEAFQVLVSMASVASLISWSGMLFTYIRWYHGTVHAERKWSTGDPNKVLAQIDKIKEHRHKCQPYPLTAINIQECIYTQRLENCHHWPEGILGE*
>EED81942
MSSFHSSNLFDVSGKVVLVTGGSRGIGKMIVQGFVANGAKVYISSRSAKDCEATAAELNASGPGTCIALPGDMQKLEQVEELLRTLTAREHALHVLVNNAGAAWGDPIDDYPDSAFTKLLTLNAQRVFTLTQKCLPLLRAAAEQGGKDGDVFRDPARIINIGSVEGLIVPNHETYAYSASKAALHHLSRHLAGRLGWEGITSNTIACGPFESKMMAHTLDTQGEIIKKLIPLQRIGTPVDVAGTALFLASRAGAFVNGATITVDGGQTVGMRIMSPLARL*
>EED81943
MPSYLITGASRGIGLAFVADLLQAASNFVVATARNPDASPGLRQLQEQYPKDRLAIVPMDVADTSSVRQAADTVAALLPNGLDFLISNAGILLQPGAVSFEDCNLDALEQELQVNTIGPIKVVRAFLPLIRQSDLKKIALITSVLASLEMAPSFCEVGNTYSLTKAALNMLGRRWGTMLRSEGITMMLIDPGYVATDMGHAADDWMRQKAPDVQSYTPKQCAVRCLQIISDTKLENAVEFCAIEGVKMPW*
>EED81944
MLTLYILTLARRILLDNLQHMLRATLLLNSLLSGSKPGGQELLLPTPTTNDGIDLDPTPTRVVVVTADTTLIAVICWVVCVVATFGLSVGWLLWHPYAPGLGNGKHVVASLGAVCSSATGRMSDPPRWEADIKSRKKVKMRPRPVSGRRQRRVRLMERSKQCSPRKSQANAESAWSLGSLPVIKLLTWYSPAAVDESSLPAAPSVEEVKADDVGFYFSSADIGLLDAFVRERYVLAWKLVYVSLGDASATLILRMLFTHEGAHPDDAPTDCAVFLPLVAAHDQEALFKVWLGDHFVGVGQPGQMVWSEYQVYARSVGLQLWNHLWDWEVSATLDAIDAALAGQDGPCAEAEVGVDDSGFEDEHYGYHGEDEADNAWDDGEDTDGYYPDGEVAYEQQPSGQGSAYDALLAALDDAIAMHDGAGTDMQHGVDDGGYEDEAQGCYEEDEAYRSWNGSYYDADATYGPCYGEDA*
>EED81945
MAHDERPFTISVADAELEFLQKKLALSRVPDELEGADWDYGVPLADVKRLLLRWQNGYDWRAAEAEINKIPQFTRQIEVEGFGKLDVHYVHQRSTVENAIPLLFIHGWPGHFLEVRKLLPLFTTASPDHPSFHVVALSLPGFGFSDAPTKRGFNGAKYAETCNKLMLALGYDEYVVQGGDWGSFIARILASKYGHQSVKAFHTNFPNVREPPSLWTHPRQFFAHLITPYSAAERVGLERTQWFVAQGRGYFHEQSTQPQTLGYALADSPVALLAWIYEKLVRWTDAYPWEDDEVLTWISVYWFSRSGPAASLRIYYETIAANEYSAILPTSTIPCGLSFFPKELTIPPKTFPDVQRPSRHQSGGHFAAHEKPEELAADIRAMFGKGGPAFGVVSGRAGYD*
>EED81946
MPSTLTRILAVLALSLSVAAQDIQYTLTHNATVIYGTWSSGSNGVQTGSLYKGFANPADRAFIYPNTTGISYSFTDDGYYEIARYRYTSNGTSPRCITGVMNWVHGTYQLQPNGSITTVPFGDGFQQIQDACAAVSNFIEDYNDTELYSSWTIFQDPTLGYQLQLYQYDGTPLAQQNLISTTPNMLPTRNLRNTTTTSTTARSLLAKKSAGRGDYASLATIPWRITGTTRIPAEIYKLDVYGKDSFFKLLDSNQLCSARSKMAGQSHADGLCLRYPEALGPQPNLTGEMAVNLLIRAVQLAHHTPFVWGYIDRPQEGQMFIIFLTPQLPFPPDGIRYQEQEQKALIPAGPGRELEVMEVKYGFLPNSSDGTAWRVRRRFRLTRGGHQQLVLIHYGRGNPIRNLFATTAIIPSLNQPVRAYPLRAINEPAVFVLGDKTGQKVYPNTGAPIGMGERQPIVLVNLAFHRIKSVAGCATV*
>EED81947
MLTLYILTLARRILLDNLQHMLRATLLLNSLLSGSKPGGQELLLPTPTTNDGIDLDPTPTRAVVVTADTTLIAVTCWVVCVVATLGLSVGWLLWHPYAPGSECGKYVVASLGAVCSSATGTTSDPPGWEADMKPRKKVKMRPRPVSGRRQRRVRLLERSKQCGPRKSQVNAGSAWSLGSLPVIKLLTWYSPAAVDESSLPAAPSVEEVKADDMGFYFSSADIGLLDAFVRERYVLAWKLVYVSLGDASATLILRMLFTHEGAHPDDAPIDCAVFLPLVAAHNQEALFKVWLGDHFVGIGQPGQMVWSEYQVYARSVGLQLWNHLWDWEVSATLDAIDAALAGQDGPCAEAEVGVDDSGFEDEQYGWDGEDEPDNAWDGGEDTDGYYPDGDAAYEQQPSGQGSAYDALLAALDDAIAMNGGAGTDMQHGVDDGGYEDEAQGCYDEDEAYRSWNGSYHDDDAASGPCDCERYTRSFYGEEERAQTSKQESTIVQKQIMPTNLCLAGAVVVFSLLLLFRGKSNYALNNIPGPPSQSLWTGNLNQWLARDGAEFQRNVAFNYGPVAKIHGFIGRPILYVADPKALQTILVKEEHVYQEMPAFFAHMNLLFGPGLLGTAGDKHRKQRKLLNPVFSIRHMCHMLPIFYGVVHKLRDAIAMRISDGPAEIDFLHWMGRTALELIGQGGLGYSFDKLVEDGDNEYGHAIKSLQPTLQRINILRRLLPYVHKLGPVWFRRLVMQYFPIKNVREAKMCVDTMHRCSTEIYKSKKIALARGEEAVLKQVGEGKDIMSILMKANMEASVADRIPEEELLAQMSTFISAATDTTSNTLARILEQLAIHPQTQQKLREEIITANAGETMAYDDLDSLPLLDAVCRETLRVFPSVTNLARTPIQDTILSLSEPIVGVNGTVMHEIMVPKGTEIFIGVQGSNGSQARWGGDSYEWKPERWLSPLPKTVTGAPVPGVYSNLQVALCMLDDVHGGKAGLHVRADMSLSSGFKFSEMEMKIVLAVLLSNFTFELTAKPIEWNVSGVRYPTVGKESNVAQLPLKVGLYSNGKT*
>EED81948
MTLPRLLRRLSRKSIDKLSAFDAPVPPVPELSPTPDTLSPTSDVNSERTAVNYFSSPLQSPTSVVSVPEDIVSQSITAAAKVITRHEEESKNDRLLGKLDDKITVVTTATSYVTAVAAPVKAALDASGATPAIQRGIHELADAAPTFTLSSRAIYKLEEAKKSNDDTVKALYIKMKDMMDVLLQRQDFEFALSIHTGRGVDEVNRKMDELNEQTKQFAEMFEHFMKTCIPPGQAQLVDFVQAKGGPQAVLQDEEALRQLSARQSTSTSRLATASVSTGRTRHKEHLARITGEAADPKDDFKRLHEELALDPEAVIENNMKLFQPRFEMQQKHLLEEMESIVHRESDRVIDAVNSGPHVKVVDKAWRGSVKARHLALALRDHYQERAEKRENGGHRHRTRSDADAWALEWISLKRLQPIIDAIDDDASGFITISEVNNFTTSRPVNWSLPQRLAYWSIGWQAFSTVYAHKIEALLGKMFALRNATRGADNEAIRGKIAPAFREANCRSVDHYLEVVWSGTIMLTRALQKVHVEDSLLARFQSYIDSEEDRMKSNLEHIKYHIDGMHTLLQVMGSGRIERYLFPMLYLFLRRDLEIIRLCHKKVIQPAELNQSAANIIWLLEAVAERHTSLEETFREQNIDVAQQFSATSCGLFAFWHDDTALFGREHMRNFPFHDTLLEDWADKPHMPPEAVLNYPLSSEYPYDCATEAIPPFEETKEDMTAEPLIGSIIGPWTGFMVMNDEFPAQPTFHLNIHASSERGRFEASGIASDGAFFRGSGTVTFPSDSDGPLYIFKMMFDRSDVVWELGIQFLG*
>EED81949
MSKKSDDKKSVVVLGGGFVGSLLARALSAKLDPAKHDLVLVDQRPFTVNLISSARMTVTEEGNIEELGRIPFDKLFVNGNGSYHRGKAVSIEESKPGAGGSVVLETGEHVPYDVLVLATGSTWPGPLNFPESERFGEHVKEWRKKVADAKDIYIVGGGAVGIDEYAGEIRETYPHTKVTIVHSGSMLLSDVYPEKFRKDMERRCLARGINVVFSEYVDTFPEAGTVGFTTRKGTQFATADLVIPAFGARPNTSVAATLGDDVLASDGCVKVRPTLELPGHPGVFAVGDIIHWRECKQAAKGNAHLAVVAANVLSLLAGQPLKKEYKGSIEMIVIPIGKSGGGSYFDVLWGIMLGDWFTRLVKAKDLFVSKARADRGLPS*
>EED81950
MSDKPQMIHLPETMANWPWPRYINPHYEEVKAQSDAWFKEFKPFTETSQHAFDKCDFEHLRTGCDLMNVFFVVDEYTDVESAPIVREMVDIVIDAMNYPHKPRPDGEILLGEVTRQFWERAIKTATPSSQKHFIEAFTDYLNSVVEQASDRDSDHVRTVESYLSNRKENIGARPSYVPAELGLNLPDEAFYHPVVTELSYYIAELIILDNDLASYNKEQATGDDRHNILTVVMQQFNTDLEGAIAWVVNYHEDVEIKFLDGMKRLPSFGPTVDKELEEYVLALAIWPRTNDCWNFESGRYFGSKGLEFQKTRYVPLLPKVKNDSTLKREQVVVPLVDL*
>EED81951
MQKLLDAMTGLRKIRENIPRRETVSSVFNERREFMSCVCITLYACQHAFRAREPLPQFLPSPRHALENLEAHVQDSIHTAREEDTHAMGLSLVYAFAEQEVMKNMVDTLEELLELSGRLFGTTAWLTHEQRMSMTSLHEDTGDRGWYSTFKWEEA*
>EED81952
MAETQFDPSTHPHRRLNPLTNEHVLVSPHRTKRPWLGQTEPPQSVNLPEYDPKCYLCPGNARAGGQLNAAYEHTMVFENDYAAVLPPPGPAAPPAPHPLLTTQPVQGGCDVLIFHPRHDLTLARLSLPDIERIIGEWCEVYRKRGAQEGINYVQIFENKGAMMGCSNPHPHGQVWSLSTVPSLPATELANLKRYAGSDVAPSGAPRGPHDCPCMLCEYAHFEASVGPEEGRVVVQNDHWLALVPWWAIWPFEILLLPYRRHISSITDLTPEETSAFADLLSRVTKRYDNLFSCSFAYSMGLHQRPVPRANDSSDENDVAHLHLHFAPPLLRSASVRKFLVGFELMAEPQRDLTPEQAAARLRGCSEVHYLDVAESTPLSADAPTGKDVDPTNS*
>EED81953
MSSNDQGTPEKGDHQYYQDEEQRELDHAQNYSAAEDKDPAPPPPYEQHHAGQRGPSYENTSYAPPQGRPYTLNDPGRATYGQYATRDYDPCRDESSSDAGPDGRGYNRQSYDQERPSTHMVEPTRPSGDARIAANYSELGSQATSSSSGSGTRNLINTPPPSFQRAPPPGLPYPPFPPVALRTAGSDLSKGFPKVPPPPPGGEPHPFATHDVHKEDWTRFLNDVKEAAALSTTDRSVSNVAPLALGVSFGVAGKSGVAGELIDRWNNQFFHPRHMNVVLAQGPMSYSGPDVPPPDIGQHEVSRAYRSRDDLGLNSGSESSSSSSSCSSDNSRRKERRKREHDRRKEHRKHTKDERKKQREQRREGRKDVKRQPWRLVVAYYQPAYGQPAGAYGQQRGVYM*
>EED81954
MSDGPNGVRGSSHFVSTPAQCLPCATSMASTFDPDLIHQVGVFLAEEAKIKSSVILLAPTCNIQRNPLGGRAFESFSEDPHLSGTLAAAYVNGLQSEGVASTIKHFVANDQEHERTAADSVVSDRALREVYLYPFMLAQRDAHPWSFMTSYGRIGGVHCSENPILIQDILRKEWGFDGIVMSDWYGTYSVDLAINAGMDLEMPGPPRWRTPLLVNHMLSSQKVLTSTLDKRVGAMLEFIQRQARRHPEIVYGDGEERTRDTPQGRQFCRRLAAEGMVVLRNEQNVLPIQAVQGKTTKVALIGPNMKERVISGGGSAALKASYVVSPYEGLLANAPAGLEFDYEVGCYAHKYTPTLERYLKTTSGEPGWTCTFYNSDSSGKALGAPVAEYRLTDTRIRLNDFLPAGLSPEWTIKLRGLLTMDKTTTYEFGLTVAGRARMFANDELVIDNWTKQRPGEFFYGQGTVEEMGTLDLVAGQSIEIMVEYTNTKAPEGPEADRSQPALMRGVRFGGREKIDPDQAIEAAVKLAASSDVVVVVAGLSPDWESEGFDRQTLDMPLRTNELIARVAKANSNTVVCVQAGSAVSMPWVKDVNGIIQAWYSGNEVGNALADVVYANINPSGRLSLTLPVREEDIPAWLGTRSEHGKIHYREDLFVGYKFYQAKGIAPLFPFGFGLSYTSFAYSDLSITGPSSHDADVSLEISVKVSNVGERVGSEVVQVYVSLPDCGITSPHFQLRGFTKARDVAPEGSQTVQVRLNKYALSFWDPREHAWRAEAGKYGVYIGKNSMEMVLEGSFELKRSFTWQGL*
>EED81955
MTVRRTERLPELPQYLHEAGWSADGNVIACTQPRRVAATSVAQRVATEVGSVLGDEVGYTIRFEDVSDKESTRILYMTDGMLFRETLVDPLLSRYSVIMIDEAHERSIYTDLMLGILKKIRRKRPSLRLIVSSATLDATSFLDYFTAGNSPDEATILAHLQEPTPDYVRKAAEVVWNIHLQQGSGDILVFLTGREEIDRCLEELAEMLPTYAPILPWPEVDTNCIHRLPRTATRLKLLALHAGLSTDEQLAVFEPAERGSRKVIISTNIAEASVTIDGIKFVVDSGFVKIRTYNPTTSLSSLVTVPVSQASATQRAGRAGRTSSGICYRLYPEHAFDKLPRTTAPEITRTDLTTPILQLKSLGIDDLMKFEWVSAPPAESALRALEGLHAAGMIGEDGRLTVTGEKVAECPVEVNIARMLFQSKEYKCGEEILTIAAMTTVQDVFIIPDGAAGALAELERRKFTAEEGDHLTLLNVSPAYNAFTRYGRSSSWCKSHALSFRAMSRAVSIRAQLKKYMQRFGLPLESCEGDAKRLRKCLVSGYWRNGARWVADGTYRSVRGNATLHVHPNSVLFTRKPRSGWVIFHEMEETKKTQIRILTEIEPDWLLEHGHKYYDKRTGASVST*
>EED81956
METHTLILTDGWHWKERDPTVQSVLDEPQNGRLPWTIARSFPSEIHVELMQNGRIPDPFLGFNEHKREWLYFCKFPFSRGNAAHAELLLEGLDTVCDVYLNDTKVLTADNMFRSYSVRLSVAIQLSDLRVENVLLLHFKSAELAAKDLEKRYGRVRAGSANLGDPSRVYLRKAQYGWRWDWGPELMTCGPYRPISLITYTARLSDVHPRVSVSSGPALLPSLKLNLTIAGRVSAAQAAHVVLKDTDGGFVVREAHIPLSITEPRDGDVVEVTDIVSWDLDTVVELWWPVGYGKQKLYILEVVLLGQNNMQLDQQSKRIGFRRVELIQEPLGEADQHGKGTTFLFEVNGVRMFMGGSNWIPAHNFLTQITPERYRAWLTLLRDGNQNMVRLWGGGVYEPDVFYDTCDELGILVWQDFQFACGVYPAHDEFIASVKAEAEDNVRRLRHHPAMALWCGNNEDYQQVLQWGGITDLPARLIYESVLPSVVAALTDPPLPYHRGSPYGGQDWNTADPTVGDIHQWDVWAGRERPWQEYGRMGGRFVSEFGIPSMPDIRTVDYWLAGNTKERWAQSKMIAQHNRAGNHERRFAILMNENFRLTSDLETHVYNTQLMQSEAVSLAYRIWRREWRGRGKEFTAGALVWQLNDCWPVTSWAIADFFLRAKPVYYSIARELAPVSVGIFRRVVKNRENDRPVQFYEFGAFRSVDATIEVWGTNRSLGPKNATLVLKCVDLLSDWTREDVRQVVLLPNQSTELLSIPCPCPPPSQSSDAPSVTSSFSVVVAAQLIDPQLSADKVIARYADWPQPYRHVDVPDPGLRIKIDGELIAIDVEKPVKGLFFSTDDETGENNVRWSDNALDVMPGDKQCIQAKGLGGKRVKVAYLGNERGYHL*
>EED81957
MAGQLCEACGSSFQAWTATLQFALTQAALHGTFSDVKLTLFSERLPGGRIGKPGVVYANSAILSTASPYFHGLLRGGFAESNSTVDGLGAVADESTSTATYGYDSDSDLEDTDDEFQDEVPSLADPQDFPEASVSSESTASATTSNRECNPDEGDVTPSKRFQDRPWREIVVKDSALITSQGIQHRKAEIAQYLLSMPQNPTPCSPKSMYRLADILGLDRLKQIALDRLIASLTVGNVCDELFSEFSSRHADIFNAQLRFFRRKCMGVEVLPSLQLKFETLAAGGLPHGAFPLLSLFQTCLLSLESVRSVQGSEPGSESAFEDAILGLHVKKGKKKKK*
>EED81958
MSVPIVTRGGKNGGGGWGGGGGGGWGGGGGGGWGGGGGGGWGGKNGGFKFLVSPLRTDLQLALTDSLLSGAFIDVKLYACSRRLPSGRVDRPGVLYANSRVLKAASPYFQGLLAGGFAESLGALDGEIHETNLSAYDYESDSDLEDDGGEDEGEASDTQAQPGPKKIVESTGSTTGATTTPTIQAVGTAGGDIRTVMIKDSAYTTWQTLLFYLYTGQVHYAPLKSQGVEFRQSETRKYRATHPRRPPPCSPKSMYRLADILGLDELKRSAAYAIKEKLSAKNILDELCSTFSSRYSDIKDEQVKYFESHCRNTEMVPQLRSKLELLSSGNIDHSVPALVDLFCLCLIEVKAPSSPTPPSFAEHATSAKRTGFRRPWWNIVRDYDTAICLSYSFPVGRVIFSVNSFPDMPSYLITGASRGIGLAFVAELLQNASNIVVATARNPDASPGLRQLQEQYPKDRLAIVPMDVADTSSVLQAAETAAALLPNGLDWLISNAGVALQPGVTYEDCNLDALEQELQVNTIGPIKVVRAFLPLIRQGDLKKIALISSGLASLEMAPAYCEISNTYALTKAALNMLGRRWGTMLQSEGITMILIDPGWVATDMGHTIDDWMRQKAPDIPSYTPRQSAARCLRIISDSKLENAVEFYSVEGVKDPW*
>EED81959
MNNTSYLLATRLVLHYSRCGVSQSILNNDTYQALQCVAGQSKSGIGTSCIICPNSDIAGVGVRAAFYIQSLFNTLLVILSPEDAAASAWAGALLTAALVIAAFVQKAASPPSLTLHHATLILNSLAIAPMLPVWKHPLPLSEARRARTLQSQESLAESEDAVLARGLQENLTRKERRKTHNRQRAAITFALLSQVVFQWAWGLFLFVAPDYSQPACNQDTIVWILYFPLNAQNINEADYAIWPAWLLFCLSVTLYYAIALAVFSGERTHKGLSRVSTITSIASSMQSAHTPMPVQLYRVTMAAVPTWRDVRGQVFMWGNVVAVILWTSYIVTGGLAVAVAVAAAAREHRWRAVSRAAGADACLAACRVGWLVALCRSVGIDVRHHQLFVRPPRANDLGLLCRCTPWYLSPRFLHIRDAANYLNRRPAFTMECKPESPVHRQVMHTKLSETPLVRKATSTDACLQGNTNARRKAVIEDIGETISEVSVEYFLSALVPKVRRGLSVSKTIEKLKAKGDITPDGYWAGTRLHVLIDALEVFNIIHGAGWIHRDISSGNVLAEQLPDGTIVGKVADFEYATRFGDTESEPHEMRTTSPRKVYIPAKWGRSSRQESAVVQRRRRAADPETGY*
>EED81960
MSFNTYGRLGGHPTSGQRGGPRGGSRGGGQRRGWRGGSRGAYGDLGGFTGGSYSGASVQPDREIRDGLTARHIKTFSKPEPVDEANIAIKDLTYIGSYNWINAKKPTIIIPGSPRIWRDRPLPYRVPPDAGFVFVDPNSHRMPSSPLYPLFHSVDIVAEESGESIVWPGVDFVTDRNGLRKLLRWINDADGSAKPFRIDMQLAGKRTVLFSRWEKRTQGWDLDGLTLIVRFEVDACLPSGSSVSQPAPSTDADSLADMLSGMNVGAASQPAFIDAGASTNELDVISAGQHVPQSSIIEMTTRSKNYVDQYEWKDSYPQLYLSQTTLYYLAAHTRGDFEMVTKRRLDSVEMKKIDEEAQEGFRPGSLGKVEPGLSGR*
>EED81961
MYTTASVFLATLSRVGVTHIFANWGNDHPAFLEELERQRAEEGKPLLDVVTCPNEMVALSAAQGYASVTGKPAAVIVHVDVGTQALAGAVHNVDKGQAPVIIFAGASPFSVSGELKGSKNEWPMWGQDVPDQPAIVRQYMRHTAQIMSGKTTAKQLMRAWQFATSGPQGPVYLWARRETLQEEVSDAIFKSQLDLTKWPSIQPGGLSPIAVERIVGALSSANFPLIIAANSGRNPRTVPLLTALCQLRSIAVYTSVCAALCIPHNEPYLIGSSVDGKNAFLELADVVILLEVGVPWIEATGTKLRDGARVFIIDSDPLKHNYGWSHVDAEMICTADAETALGQLVDAIRTADLSAANTQVVAQVAERRTRIQALHDKWMSDLAAAEAVQSVAGGIPSVHFILGALRDAVVSQTPSRGEKVLWLNEAISKHGEVWNHIQPVHPGSMITSGASSLGWALGAAVGARLGAQVAGIDYDLVAAVVGDGTFLFGVPSTAYWMARRYNTPFLTVIFNNGGWASPRASLLGMHPDGLGSKVSGRQLSVGFGPEPDMPDYAQIAVAAGGAWGRR
>EED81962
MKLTLLSIFAILARCAAASQTLTGQWTCVDSGAYELCQNLWGEDNGTGSQNATLYSASGDSISWSTTYTWSGGQYDVKSYSSVNQLSANGMLLSDITSAPTSWDWEYQEISSDLVADVSYDIWVGTTPDDPGSNTTTFEIMIWVSTEGGAGPLGSQVASDISVGGYTWNLYEGPNTYWETISFVTTEGNLNNFSSDLKDFFDYLVANYGLSSEQYLQAVESGTEPFTGSATLYTSNFHVVVNI*
>EED81963
MLKWMGRTALELIGQGGLGYSFDKLVEDGDNEYGLRMITIRTIMDLLPILIMARRRPTLQRINVLRRLVPYVYKLGPAWFRRMVMHYFPLGQVRDAKEIVDTMQRCSSEIFTSKKIALARGDEAVMKQVGEGKDIMSILMKANSMASEADRIPEEELVAQMSTFLFAATDTTSNTLARILQQLAIHPDTQQKLREEILAANAEEYMAYDDLDALPLLDGVCRETLRVSDGHCLIVSPVSPIWRALFPIRPTQDTILPLSEPIVGTDGTVMREILVPRGTEILIGIQGSNGRKERWGEDSYEWKPERWLSPLPKTVTENPVPGVYSNLMTFMAGRRACIGFKFSEMEMKVVLAVLLSNFTFELTDKPIEWNISGVRYPTVGKDSNVAQLPLKVGLYKKPTLQ*
>EED81964
MPSDQSLQFHDRLEDNALIDANENTFFSVIPGNPDELRDPANDHNISRERESMQSTDTTLLSSQKSPEYPVEKQFGIELMRTLSIPQATAINRHIPHGITTAPTPGLHYGDNVAYAPALRAPRTKKLRSQTLAKRLHVIRRPRLREPVAYNYKCGRCEAWFTRSSERHRHMRSGCANGQQKEWRCPLCLKMYSRSDSRGRHCNTQHHMSYKDAIELVRAQSTISEKEGVPDND*
>EED81965
MALRYWVIGLVGASLVQASNTTTCASGALDWYIDAVGETPCMTYQRLRQICNSYSTCCCNSIAWSLSMLCMNCQQNIKGNDSGDVDAPAGAYFQYRNISKFGYCGAGTNQSSVSLYPFTFAGLPAQVQSAVCNTGIRLDNFLYDFFWDNGACTYTREQSELDQAQSDNNTFTHCPNATTTSASSQTAATGTGTGSSQTRTTAAPSGSVAGTTSSSKSVDTGAIVGGAVGGAAGLIVLALVAFFAWYRRLRTPGQAGMPSPVTQGMVYSGGSGPETVSAALLIANAAPPDNAASPSLTPKRRPVATMPNPSSYSPSSDYVAVPNTSNVAGSSNSSDVPNSHIASPSDLVSASQRDYDAGPIAVAKPSSPRPPPAYRSSWGSD*
>EED81966
MGFLRLPEEILEPTLLTLCLRDIYTCQRVCTLLNEVISTNVNIQYKLELEIAGMKDEPQNSLSTSEKLGKLKELQKIWLVPRFSNEFIVSCGHNPFQRIGDTVFQLIYSEPAPGMTSCIQAPSRLKSIKRRDWTETHGTFPFPPLHVEVDHEQNLLVAVEGRKIEGFFSVSGSAFLASVDVDSFGLRLERIQSIPANSESSAENDSVSCILQFPPLADGWEQRQSTVYTSCANVRSSKMVSPVPFSLADDSKTVHIYLEVGELNPLLPPSYYNIVALASGLATCLQRAHAMGRNTLRWEDWGPSATRMLPAEYMSPGVGWRFLMLEDPSDDFPVHFSVLDFNPMLVRRELHKVIQGLKAGSPGTSYINTKPTDIAVPSFAIPIRTCLPYLVSGLRVPKPFGAVEQTREELLEDGVSVLDELQDGTWRFRFYTF*
>EED81967
MSALPFLALMRHPLLDNPLVRHTSWDNLQNVFRATLLLDFLFSGSKLGNKELLVPTPTTNNGIDMDPTPTRTVVVTADTKLLAFFCWALCIGFALGFTVCWVLRVTSIHTLDENKYDDVMPANSESLRTVTEETLETKPVTQLITWYLPSPADERSVPTVLSAAAVEGASEDPIEDIIKDNGLGFYISSVDMLRLDAFFHQEYSDYIIAWKFVTVMADSESAILVLRMLFSVEGADAENPPEDIAVLLPLEASNHPDACFKLWLGDHFVGITRPDVAHWSDCFPQWRDMEPSQYQWDWELDSDSHEANATAQSTLCAEVEHDFEDLDYTFGLGMPAMDFKDLDDAFGLGTPMATTMSAAAVVHGTTAAEYDAGLDDNDWNEDAMARFIATLNVPKWATTPIPTAIPVALATMAARPTPCTALVRRGRGDCQSQTTTPTLIIPEWATTSFPTAIPPVFLAKMMRRSTTCTAMVRTGRSTDQSPTPAPTLMVPNRSTTLIPTVLPTAVATHPTTRMALAYAVSSQVGYKLNSDGDTTCGCEYNDDTSHDSHGNGA*
>EED81968
MVKGRSSRDTSLVPSLAWVVSPPFAPLRQVKAAQLPSKSYAGPISRSTLTPR*
>EED81969
MGEVDGVGKVDEFTGQLWRRWRRLRDEGVVQPLQLGLFRSDYLLHAPGNGEPISLRQVEFNTISSSFGALSERAADLHEYLYKSTQYYGASSHLRAENFPPNRTTAGLAEGLAEGHKAYGILNACILFVVQDNERNVFDQRWLEYELLDKHGIHVVRQTFSQLTSSAEVDPTTRALQVTLAPGLLPYGSQRVEISVVYFRAGYTPVDYPTPAHYDTRYLLESSRAIQCPSIQLQLAGGKKVQQVLTNPGVLEGFLCDEARWGTAVFSREDIDALRSSWMGMWGLDEDVDTPRDAPQLPESSGVRKARALASSLVLKPQREGGGNNIYKDAIPAFLDTLPPVEREAWIAMELIAAPEGLGNFLVRAGGGTDSAVKTDVVSELGIFGWALFGGKDGAVREKEVGWLVRTKGKDSNEGGVATGFSVLDSLLLV
>EED81970
MSLQRPVRDIHGRGEIRHYMAYWLSVIYSWLSNPSVRLASERNSTCARQSGRKKGTGERNKAHSTMSRKYEAIHEERGKDAHSNHEGADRGELYVMLLIMNAIEELFEKRSTLYADRPHLPLAGEMVGFSSIPVLERYGRRHREGRKLILNTLSCHNQIDIHRIQLNKVSLLLSRLIGSPNDFRLHSRFIAAILFQITHGQQIENFDHILVKLAEQVNEDFSHVVRPGSFLVDTIFVSMSTSHASSSSFNLMTAFVVQYLPSWIPGMGFKTLAKVYREQFLRLCHEPYASVKEQVYHHWVQAKGTAPPLLAARLIEKNSDPTPAEEWFYDTAVMQFYAAGADTVR*
>EED81971
MSLDCLVSAQISLRRSLLHSTGKMYFCSCLLVAGRVCATSCRQSAVLGQPRVSRSLSAHCGRS*
>EED81972
MSNIAFLQPYPQPQEGQPRISKNGESKDPDLYVQGRLDMGEISVFDTQALLSGPDSNQIPLHTFKSSGDIPLKQVVPNPGDIPELVAVYRSVDEGSPHLSVELYDIQNMKSVGGWKRGSTPDTNPFSVTWSPKGKQLAMGLENGDIITFAPSTPSELKNVVPKPPALGDQRIASSHWLTNTEFYAEFVVRGYIPPEDIPTYSHYIVTSDPKARTAGDIKLNPPNYPLPCLRPHAQFVVTLRSWVNVRMLIFVGDSASSDIGLLGCLNDSTAIEQWCHLPLDEMSTPTVPMSMEMDDTILVGLELDLTNTEPYLHITPAGDSVEVPPPPILYVYASDGTVLAWQIVNTAGVPYPGMLNASSAAQESSQIGQQHLQSAPEAPKPSPFGSTPAAFSTTVPSSSGGAFSAFANAPSKFGQTAFSSSASISPTPAPQASASSITDDAMDSEFIADAGLGGMSLGGGDDNGKKPSGQGSSGMFGSFAAVSPPAQGSTTSGTGSAFAPSSQSAFNGLKPAVGFGAFVNQTSNNPSIFTSGSSAFSSTPAPATTSDLKPASGFGQPAFGQQSALGKPGFSQPAFGQSGFAKSTTAQPAFGQTGFGQPALGQSAFSQSPPAQSAFSQPAPAQSAFGQPAFGQPAFGRSGFATAANPPTQSAGAAGPSSVGFSAFAQSPASGFASFAAKADAKPVWATSSGGDSAMDDKDSGQKTQFSTSAPALSAAEQIARVPSETMDSTSEPAAALAPATPSTGAFGQLKTTPSAFGQSVFGQSAFGKPAFGQPAFGQPAFAQPVTGPQTSQPTPGTGVGSTNVATGSAFAAYAQSGASFSSASSQPAAKPVWSASTSTGDQPSAKSSETTREDAKSTAGINNATQEGKRAESQARDTPDTTKPSQSGSSAPTGTGAFSQLKTSPYGFSHIGAGFGAFGAIDTSSSFFKAAKAPSSSPAGSTAPALALASTTPPSTPPKAPADAAKPTFGSPSPLGAARSVFGPSAAPPRPEVIPVVKSAFAAFSGTNVGFGSAPSSGKSFGDMLREKTEVLQDEKSNSASASTAKSNAPVSFFAQREAQLRDKGKEREAEPWRREADYEHALEQLEGDGDDDDDDDDVISFLSHSSVDGEGSEEDEEEEDDEDHDGTEETVEVSSVPQAVVTSTEEEKISPTPATSTPSMTSSSSLTKPAGTTPPGNPTVAKGTLPIIAPSSPAPVAPSLGLGRPSTRPARSSPLASQPINGDEDTDDTGATSDASGSQRFVDPAPIHVPVTAASDKAPTQSVFTPQPKPGPAGRAPLQSPSPSLSLFPGPQMPPLLPGGDRVPQAQPNITPTAPVVEEGMQMECMRLFSTLAREMEKVNSEAQQAAKLRVLQYQPGSGSRTMADLNEPKKWTLADTSRLKLIGDSLEKQLSALRNEYTTLMEGIHELESQFLRASMRKEEIVRFSKASMDAEFARMLKARTLGPEHLETQSHLRRDIRNLREKIQQLEEQVEAAKKRLSRLKTGKAAVMICRNIDVAIEQQREKVTGLSTRSAKVNPQPRQITPSPGEKSLEKSPASHSGTTPNVATSTAAALNAEMSASKLKRALLKVRKQPLLNTQAATTKPRHVDYTPQTPVRTPAGQPSLFAGLTPFTPGQSSTPIAIPISTTPAATPTPTAPDSFPAPTETDFPFSPSSPEEDYGAGTRRRTTNSRYHAKPVQFKKSSTSPSPAAKFEWGPLPSFASTTPQKTLPLLGGG*
>EED81973
MSFNRQFLEASPLSTSPPASPWRARAEVLRRRPLRFRQIAFVIASVTFLCSFVFLSRYRADDRRVWISLEDEYPSDLQVVDTGGLAAHGGQRLPPQHQHAHVPALAPQVPVPDTLHQDDTHVKEPPKPPEAVVFSLIMFSEDSAVEGAMLMKSILMYTSMPVEFHIVCDEAAQAYLENRVRLITRPAHDILVRFYLLSWQSMADRIDREGTIMTDHSAGVPGLMKLFIHEILPPSVPRAIFIDTDALFISDPALLWDRFAQLAPGAAIAIPTHPEMSAAEWHHANRICSCIMLLDLARLRALRLMDSRVYRAHGGVRALGPQAFRAMYGPPGPSGRFEDVKLGDQGYWYALVSHRPDLTEHLGFEWEVSSCLLDMYLTGLGDDARGVEDEQRGQVHTVSTPQEGEAVLPKMLHFNCLDGTPHYFEWEGWADPENSLTKRWWPAVQYHIGYKWIWLNAHRAKGTLRIEVERDVKFADELLEQELMESRADEQS*
>EED81974
MAVEREGSAYGPTQRDLRTIATLPEIISCISSLQSREGELSAELADTLSDRAAITDSLSSLQSLLPHLDNLCLEAECFHATASATAKTADHVGGHVRLLDEEMRRVREAAERVGQVMELKSALAALQSSMIEKDWEAATRHCARAMSLPAEVISGQFAETAVPTPESHLPPAQTLQAIRDELLAIFRREFEKASQSRDAAATSRFFKLFPSIGWEVEGLEAYAAFVVDLVRVRAPASARTSSPLYYITALTALFESIAVIVDQHQPIVEKYYGPGKMTRVLERLLREADQVVQDLIEGWEEERAVKRKVFDEEALAPREVDGLLTEMVGMSGRWSLFRKFMYDRLKDDEGDAEGAEDFQTHDATDYPPKSEPPADGSIPSQDELQALDSSDSHELFKDILMTYYIPLEVWYTRVIIDKAHRSSNTDAFQSPAITTTPDDVFYMLKLVISRVLSCGFATAVKKATEQLRDIVDRDYIVVIKKKLDDVYRTGSAGGVRAEKAERENRKSFIILLNDLDVSATYMERLMQELAASSHIHQFFLQNETESVRKSVLSFNSTVSKLKSALRAGIEQLFNQLMRPKLRTFIQDVYKDVSYILEEDNYTTSDQRETVRKRFVKAWETLDVFTDSNYRLFFGLALDVLVRPWERLVVTFRYNELGAIRFDQDLRSIMSFLSSQTVFGDVREKFVRLQQISTLLNLDSDEDVEEFYNGSGIAWQLNDQEARAIAALRI*
>EED81975
MCTVTRAETNGYERRRAMLIEGTHGHVSTRWMYERRKSTGAAAVVLLKHPVLQPGHLCFASRIPHARRLFPPPVPSFDARASGQPVHPPCMPSPRQSARIWICPRHFLGVVALALYSACSAALGTRSGRRERRLGRETGRISSTRRLWPRRLAREGMRAGDGAWELEAGRVIAVDRGKGGSLRLSREGPWCDGSTKHRAEEERANEPALGYEARHGVGSFACARVYPFAGLSLRVACVMQRAPVWRSGHSWERAASADGMQGTRVAQCPAAVPASMSCRRRCQEPEATRADAVPEGVQPVIRVWGNDQRPCWGDGRGCWGAVRGTLQAWERQARAGDEGREIHLVNRRPCAAGVQTAGQELQMAGNTLSSLTSSSRRSLAPMVEDAVATHEKPRRYRKLASVTLHPAPRGRAWTFGHAEPGPVDIARAGNAPLRCPAARRAGTEHARETVRVARAKHTRVAVGALSALEYASDVPSLAQDMAVCGCSDVRLPRQEAGLFADTYDDDRPLTTRGHDVPFGHTSLGLHSCRADNACVTHAKHRTGRGRMHVWFGGGQRRGDTREAKAGAPANHARSSGVAGGYASGCVVYAAGPGAVMPTLEPWRVTELAVGRCGCGCGREYGGQGAAEKTSDTAACVV*
>EED81976
MSSRSATPAWTPSLVNRCLTSLLVVLEDPPTADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERALESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARHVEEAVKRAKAAEDRRLEDERHRKDEEDRWRQAAEDEHHAQEATDEELARITAAEGLLDKGKGCTKVNEEVAELSDNPSIFQQGCYFDKVSVLGKTKKMRSGGSTTKKRIRPTSPGPSVADLSGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESQGIIRVIREERAFIARRRALLHDMDPDLQKMEKAALAKGGIGFVRGAVEED*
>EED81977
MMLHGKRIAWYGIGTGYLNAIVPVWSAEVASHTSRGTFIASEFTLNIFGVVVAYWIEFSLGFIDEGKGQLRWRLSTAIEVIPVLMLMITYTLCTLFGIFCIDSVGRRIGLWWGAVGHGISLILAGALSSKDHLDKRRSTVAPQRFSLVWAFYPETANRTLEEIWDEETYCKAWQERRSEVATCEE*
>EED81978
MASRGKRRAEPAETEKKSLVIFEKFQAVSSDELGALDTSNIITGGRRTRGIRVDYTKVEGFNQLDDDDDEEEEKPKKKSRKGKEIAPASSSSSKKKVEPPKPTKGKAPTSKTSRRVVSDEEEEEEEADNDDEDPDEGGGDEDEE*
>EED81979
MSASDIKGGWADDVPVGLHTCVVIVKYADTHSEFDWRGRHTGPLYHNAAAVTSNSTRIGLLIPGTERVEIFDIFDIQRWYLFSLISRVSCKVDVMTAREGGVSLAGHKTFATRIPSEILANVLEEGFRDSNCFARMRFVLRAASVSHAWRATALNISALWGTIVITRPRAPPIAVLGLLLDRSRHSQLDVFVDWFHAGSRRPNAQWEDDPTYINLMMEMLRQRVKRWRSIDLTWNLPRSNERDHTFEPLLTGSADALRSLRLSCVCDAVYQDNVSWCDFTRGLSAPNLRSIDLDIPSGDDMTLSAVTARFSSIRELRWRESSRQRWFYDSMDFMRMLEPLKNLQHLTLDNFYIESISLDPPGGDEALICLPALKALTFCDTDLETIGEVLFALTAPNLRDLIIHNLVHDDETASFHASWKQPKSLPLLHTLYLEFNVDGLNIDELIELWRHFQFFRSARIIHTFARNSNDFSMDDVLEALSHAQDDGGWLFSHLTSLAIYSKSDMATDGLRRLVENRRETGIEVPNVGVMGLQTLKIYAPEIIDSTDSDYFGQNLRHFDWIKGKPPPGCDKQHLSMADWALQLPLGRTIEKCELHVEGDLEFGSLEAA*
>EED81980
MARRNHREYELTVRQEPKQARMCGVGGKADRRPIDPPPIVQLRVIDPSHRRPLTADSRASSPDGTPTSSASQSFLQNPYYFMFASLAKPDEDVELHWLKDGKTRCTTGSVVSSLYHLKDAEAGGADAGFFVFPDLSVRTEGSYRLKLSLYEVVGTIEASAALYGNTGRRSRRVSMRGNYGPLVWWSAHVRSRPRGWDVARGSRWFPVDGRRGPGSLARAISPRAFGHAMRPGSGLAGVMLGATGRCLSVDVFLGRLWMKVDKDLCSATTWHSALVQTDGHWWPGGVHGGGVGAMDSRRIDSSVYHCKSIFSAPFYVYTAKKFPGMEESTPLSCSLADQGIKIRIRKDIRVRKRPMQGLSETPIPIPLAGQPPPSGAFGPVPVRLDDPDPEDDRDRDRDDRRGPDSDAGKDNKDSDQEYDRGRGADSDRESPREEPRRLAVRRETAGEHTTGGSAAPGRRHSASDRGLKRARGDDGGILVNTTAAAPERLSNGPAASPVSACPSSASVSGATGWGAIDPALNPPPPPQSTVQAPPPATSTAEHHYAPAPASGPPSSYEHRYTAAPPHSVAPAPAPVPVPASAPAAVPPYHYEPHGHHAPPPGHHYPAAPPPHHYSHSAPPPLHQHPPPSAYAYPPTPAPAQSGWYESYPHHYPHHPPPHHAPPHPPPRYGEYPAYAPPAPQGYYYEHPPQHHQPQHQQHHAPPPPPPGHHVHHSYHPGYPPQPGPPSPPSAPAPMQYDYAPPSTAGSTGDNRTRTRRGQPQSQAPAPSLSTPPHPTQGYSSYPPAPGTSGGSASMSSPVSAGGAGPPSAGPSSAGGAGSAEPAWGYSQGANANTWGVGGSGVMMQNDPYAPYTGGGNAGSSGRDMHRVQLAPLRVGQTTTPPGTGERSGHGKKNPLSIGNIISDDTGECWYVPRQTRKRFRFVSVVLLWDSEMQRCPKMVGEHLMIL*
>EED81981
MIATVEGAGCSLDPITLTDHIVPPEILAHILEEGYQHSDYLERMRFVLRAASVSRTWRTTALNTSALWGTVVITRLRAPPLTVLTTLLERSRHSQLDISLDWHYPGAGAGPAYIKDAMQILRQHMQQWRSVDLTWDVYGTDELDDTFAPLLTGSADALTSLCLYCSCHFIWFDVLEEFDFTKGFSAPNLRSIVLDGLPSAMDLRRVTARFPTISELTWTEGGDEKKWIYDSIIFMRMLGPLHDLRHLTLDNVEVEDVKNEPLDDDEALICLPALETLTFCDTNFATIRDMLSIVTAPQLRDLTILNLIHEDETASFHAFWQQPRHFPLLRTLKLEYNVDGLTIDELIDLWHHFQFFRSARIIHTFARDSNDFSMEDVLEVLSNAQEDGRWLFSSLTSVAIYSKSDITTDGLRHLVENRRETETEVPDAGVTRLQVLKIYAPAMIDSTDSDYFGQNLRHFDWIQGKPPPGCDKQHLSMASWAMQLNIGNPS*
>EED81982
MSTEPELDIADFILEFSSIGASRVSSVFKFQDRLAMRLLVYALLIFEWAQTGLVTEIGMEAFVYHYGNLEALVNGYNAWFSTAVMSGIVAGAVQHFFAWPGAFAAGNKLKKATSNTVTDSPSLIVNLLIAKWACVLTNTQIWLAGSAAVDVIIACAMIIILLRMKAGTANSDALVNRIIRLIVETAAVAVVDLALCIGYPRKAFFSTPYANTLLTNLLDRFYTERPEIQVHTFGTISLALNTRPSAAATTSGLTSPRTDLEDQVSVEQPKGFILEELDGENVNHV*
>EED81983
MSSSALSLRDRSPHRRASSPPRRTRVSSASFRASLVRPALGAYRFSMTRNWTSGISFARSGSGTRSSTLGGGCSSFRHIPPRARGAIHCTSPCRASSTPRATRRTCTRTWNTSAPTLRASSTTTGR*
>EED81984
MNLELNTNIGCFFIGVIIATPLYGLTCAQIMYYVRGFPEDWIGLKSLPLGYRDVGHVASGPACDLVQGPYKIPLMAILAALSLVSGGRWIRAAVHCAGGGYPHHGHALLGPEKRDYRVDRCYQQATQGAVAQD*
>EED81985
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATNRAALEVPVAGPSRPRPDTLVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVNWELYGDGEHLHNGVHAHFVPGIIPLRFFLH*
>EED81986
MATYNYHDRAAGNVRTYMQQNWLFYDTLQRPACFGGVTMSLGEQYFVESGSTELVCSRKTRIIHTSTDCYLGWATSLYETLRARLGPKALLWAGLFAAGPGLRVKPGPPLGPGSARAEPRAWRSTRPALNSSKKPDASEAYYGCYDIVNAPGPGPGSGLLKPKAGPSRRAFAGLGRAFGGSAGLGPAGLGLKARPWTTLNDMS*
>EED81987
MPFSPCDEIALRTKFSPVAALPYLMLQAVLLTAVFLYSIWRAFLKHIPSANAPPLVDLTLEYIVDESLTASVLTTDGDFSFEGGTTTILNLHYFLAIFPSWVSDLEDTVQNGINARLENVVEQIFPIFVKRTEDIMHRHQGQPIDMFEHAHASIAEAMTVLILGERYVNETNIALVTAVAAEIATLTGIYQNTSIFARFRVMMYSVSYRFLGHLGPVVWRELRGKTWRPDPTIQKEDEWDNGNVTLLAYLACKHADPDTGEVTVLSAVKVIVILLGIVFASVHQSASVVVWVVFKLATSSEYLDSIRQEMKEYVDPETGNISPSCTYRALKGANHLDAFIREVMRTKGDTLMPCRSTTHDMLLADYAIPRGSLLLPLATLSNESVDYHGMDAHIFRPERWSGQNAKPAVMTSPSYLPFGLGRWACPGRFLAVMEIKMMVWSIIARATPILRDGMYEVIDPLNTIAVPPKGKYLDVYSYHIVSELR*
>EED81988
M*
>EED81989
MADKAFNCMGLEHVFDAIDKVDVAQYDETSSLFYDCDRQLTSQAQKDPDLPQRIEAVAQPTVMPQAIPQSSLRPWLETVPKPEPRNSLASTQRTATTSASAPGQSSVPPPPVMSTPAPSTPDKEMLKLLPLRYDGKTIIECNWFLSQLRIYWLVNTALTTIELKVQVALSFLDSDAHTWATPLLAQLTSVQIDIQGVMMPFTNEAAFATAFSAHFGNLDNTAVAQVELAKLCTDKSMCEKCTAAEFSALLKGPANRSKYGDSELRDKYLSSILSREADVVEHVVVHPGHKELRPASMRPLEKEISPAITLAAGRKGTNTLSAPTATNDGEATRWLRHVVEATSEAVDGRYDYRQWHTGTRGFALFLKKLPHRGAHIKSIGRFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSNGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLDALDLDALDLDALDLDDESRGIIRVIREERAFIARRRALLHDMDLDLQKMEKAALAKGGNWTNLAGTKKRKCK*
>EED81990
MTLPLHTQLTAYVFQAATDFKILHLVAHQHLRYAVGKQASYQHPEVTTGITNACLPVSREYSMVLINVSEDEKDLSAHVHVAPLGEVREVQQVQFKVQSSGKDPDVELSRASEETSEDSRTKGAPILALIRRDYTVELKKEPYGVELLHAVGFVYVSRAKQFLASNQTLFGVGGWLHNVQGKYHVFSETVSTVRAAMDLKNVFEQIQAAEKAGNLSPEDKKRLEDQAAEKVLQTLFKGTKLEIESVLRETCDRILEESSLARNKAQLAAIALQILGEAYMAVKKDDEPRDESEFFEEPWDAASDVSIGYLINLTKKSFVEVLLFLTSLVELVFDRLESCYHTCGQGSDAGH*
>EED81991
MFRATLLVLFSLSALALASPSRLPRDGQCNGSTLCCDGASYRADSPEGLAVIASHGFDHTDYASDSSVHTSCGDFGLLGGSSCAQSPVCCEGNNIGNVNSERSLTLLVMFQASLASVSVAAPSSSRPRDALQDHRVRILGAAGPILWSGDHFARVVEYLDEVLELFAHAVEQDSSFPSSGRSRSYSALNTTAVVQMFTMIQFRVRDT*
>EED81992
MQLNLHALVLANLALLAAAAPVAVPAPDTPVLARDLADTSDNTVALPVDVFSGGNTQIGHALSYIGQIDRRKDAVSARDLVSVDDNSVTIPDDVNNNGNTQLGDGISTIGRRKDVVFARDLIDLSDNTVALPVDVYGNGNTQLGHANSIIDKIARRKVIFARDLASVGDNLVTLPVDVHGNGNTQLGDGVSTIGRRKDVVSARDFISIDDDTITLPVDVFDDGDTQVGYGASIIDEIERREDVVSARDLLSIDDNTTNAPVNVHGNGNTQLGDGISTIGRRKDIVSARDLLSIDDNTTNAPVDAHGNGNTQLADWDSSIGRRSERRPELYFTDVVIVKANVAFAVASGALFQYSMLQNNAFDR*
>EED81993
MGLTDAVWDLVTKCWAHEREDRPHMGFVVSQLCDYYNFSVNSDGSISTRVQHGTSFGLIGRQGRYLKKAVPRRALHDYQVERKNTAIRWNMPGPSLADRMRALTLAEEANFPAPVATFYEPSGSRGIGLHTCRRRHHSEYKGIDTAFYLKAGISTLYIDWPTYPIWKKEYDATFFDNGVLTLRELAYDISAQMDMFIHLKLTPNELHEGDATAQDSFRWKLGDDDYDIKLSDIYLIAVDYVGSIIRPIFEFRRKARW*
>EED81994
MVAEPQKLPDADASSFLRGRARGNQRSQAVVTGPSWILPTRNDAGYPRTSLFASQPLSVSINGGVQTCTCLYCLQKFSHQSPQRGGDLRSNDGRSAINKLSEKQSKSRTLRAVTLHCHFLDTSLFSTLSSLEHLTDLSIEFGYTRGEVVGTSPWAFPSLTLISLTSAGEIRHIAQLVNSVSSPDLESLERQDNAVTSGASQDGWRECASARRHGVPPAVPWLEALWLPKVAQRSGPLAALPPVTSPRLKTLDLEQWDSDDNDSDGDNGGSSHVDLGARFDPHMMRVDDAGDISNAELMTSLSSVESDSEDEDVSDTLSEDGTDLGTENDVGQEAAAECTWEGFCVD*
>EED81995
MFRKPERKVTVNMHGPFIFVNPGDLQQFYAQMGRMVDDEKDSDDEEAGVQNVKNEARLPQQSDKKDKAPGPSRIQQVTTDTNGIWPAKTLLKPKCMSIASQAAKAAPQRATAPKEPHTFPMPPHVQQAAPVQTSFHPIWKPPVSPPPKADSGVKSMHGDFQGWQYWPRPLLADGNFKEMKYEERHYWDGGERVQSARLEVRRPATMAAGTEDGRRPAWQKPSGPRAVFIM*
>EED81996
MTSSAPISTMWADVTGSVETRFGVTVSRYLVRTSGAIDSNSSGVSVMNCDFIERWKISSASFSWIIGTMVLYAGGEEDGAGAAAGIVGGGAVLVEVLEGAATPFDVPDPEASALFGTAVVNLDAVEDLPSLSKLKEFSFERFDPAAGVERERLHSRAIVDLEEHRQSGGCCGISSIPFVNSISYCEIRDSAGAETSVWKDDLAFGVPFALAVPNVDLRELAGAYPAATIAAVVALVLKFLVYLVFFVAVEVTGNCRRESSRGAVEVFAGAATSSLTRLRAGGLEAGYCLSERGVSSKVSRGSSEHEKRPQKRLRMLGNVRGGFDTSSKLLVGCG*
>EED81997
MRYLLEILHNYHLQELDIHYTHTK*
>EED81998
MECIQR*
>EED81999
MAYKETPLPRALEHDFWPYVLEVVNHPKVAHLVGMGKVYVALEDTSPKAMTKLFNAAKDATILGHSPDQLLAAGGLCLEAGDFLFSRDMHGPHDPMDDVLQAYSVPIDDLLGPKEDRTASPAVQQGDNTWTGGVQWERSDLTTPVTGSKRSYTLGTTHQIQCKASIKMGIKFLKWGTPDMALNLKHNAEVHNVQPIGCDDASGIVNYAFPTVQINISSTKKLDDDAWLTCMINHPDLEDGDQAGVFVLMELGFFVKQDSLALAVFSGLRWHVGSPPTSATPSPSSLEGTDRLGMAAGPDHELIRLTPEHINPEMAGIAATNHGNWAADGLSLTDPKAYFSWFVRAMVQQNFHMLNQLDPVLRPQLDLQKFLSAFSITIGDEAKFIASIARNANPEPEPTADAPVRTLGCPYAKSKSAAAAKRAAAQLDGSFSRPVKVRKINAAEISTQQSMKAIHMTRSVAIAEHQSASG*
>EED82000
MVHAQSSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTSTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPTHPEDVFATLRINVEPAQTTENLQSPVNEQSFELPDVQYVPIEAPETKPPPVSFTPTNAPVEPPMTTFTQADIDQRIAAALDTYRLQQSTANRPLRLDIPAPEPFSGKAEDLRHFLQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWVDAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPE*
>EED82001
MLTQPRGPVVQRLRVRAREKEKIKKRLDAVPSRDNAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRNGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGRSGKDDFHARIKALFADEKRELYEELTMEDF*
>EED82002
MLTRPRGPRHPPAHPEDVFATLRINVEPAQTTENLQSPVNKQPFELLDVQYVPIEAPELPPAPPAPTNTPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLCLDIPAPEPFSRKAEDLRCFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYKKLAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPKRQKNKKTALSLGNYVARFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDQPSTMKAWYDKVRNADACRDLRSSDRGKT*
>EED82003
MFGYNPYGDYSNPYAYSRAAPVYSPDQDYLQALADERAAREQYFAARRAQEEARERAARARAARQAYAPYNSYYFDDDDGTHEDNDSYDGAYSASPYGSYGYAAEAHTALDAQPQTSAETSMEVERTSPAQPQSDCSPESPDTAIVEEVEDKDAEPPMSAEPHTQLFDDSERMDEAPDETTIEVIEAEPEPPEDEDEIKADLLEDGEKMQVEPATVEADFTFERLPAPDKDIPFTPALPNSAPSLEEAPPTPEIDVVDESAPAVLATLPQTRTDDETCPPPPDADIPDAPSEDREPDVLRGEHHPARSPSDVSGTSQSCPTADVDASPDAAVHFHPMISGFVEPAHHSSGSGKPPAPADDPDVAAVFAHIERRTPEQTRLWMERTQTQTHQECPLTIPRIDPPGRWYPICISKNNDDNGHIT*
>EED82004
MLRLGLIAVFASAGAALAQTWCGKNYMEGSPIVPPGGQFPIPATSFSPLLAFRCAPVIKPYLPEDAFTSAAILIDTPIVYSEIAGTAPVGIPEEGPLGVLTVIIEAEGKLLSTGIVPLNVTKYELSFSLLELAPKKGIYDVTCSATYAAHNGLETFTASTELAYVPSPTDGSSVVKMDARTGALLAKPATGNGGDYETVLPVGFFTGFTNYIAANLSLINEAQEKGYPTALAERGTDMTDQIHPIPTFGNLTQLQEVIARIEEVGMYLMYDMRWAYMNDTELTAQVNLVKNSPALLLWYTGDEPDGSSDPLDAAAHAYDLIYELDGYHPVSLCLNCYDYYWSNYTAGADIVMQDTYMISNNATFSSEWHTPCTPDFGDCGCDDCKGVFEDISERMDHFAYRLWVNGWDRSKTVWAVPQAFGDEDSAWDARYWTGWPTGPEFVLQSALAINHGGTGVIAWAAPTTDDIWEYASVLAQSSATLKEYILNDAAVFQHVFSNQIDIGLWTVGEKTLVLATNLNYANEMFDLATVPGLKTAPVVEVLHSGATLEGSVITFTSVGTGSFIFG*
>EED82005
MTRLGFAVVVASMGGALAQTWCGKNYMEGSPIVPPGGQFPIPATSSSPLLAFRCAPAIKPYLPEDALTPTAILIDTPIVYSEIAGTAPVGIPEEGPLGVLSVSIESEGKLLSTGIVPLNATKFELPFSLLALEPKKGTYDVTCSATYATLSGVETFTASAELAYAPSPTDGSSVVKLDARTGALLAKPATGKGGAYETVMPVGFYTAFADYLAANLSTINEAKEKGYTILQEVITRMEEVGMYLMYDMRWTYMNDTSVTEQVNLVKNSPALLLWYTGDEPDGTSDPLNATAHTYDLIYELDGYHPVSLCLNCYDYYWSNYTAGADIVLQDTYMISNNVTFSVEWHTPCTVDYGCCGCDDCKGVFEDISDRMDLFAYRLWVNGWDRTKAVWTVPQGFGGAEYWPRTPTGQEFVVQSVLGINHGGMGVVSWDAPTTDDIWEYGSMLAQSSSTLKEYIMSSAATFQHVFSNQIDIGLWTVGEKTLVLATNLNYANETFDLATVPGLKTAPVVEVLNSGATLEGNVITFTSVGTGGFILG*
>EED82006
MPSILNLKFKGNKSFVPFSNLGDVCTKVASFLEQGQRLENLSWRLWHLQNLMVDTDNAKSKRDFKKLSKCMGDKLDKEKGRSIEELQAPGFKRNHSTELIQQRAAEKERERLANQNGRPGTIHRMQFTFSVDQPAPPTASAAPVKKPDLKPSAEFKDNALKRGRPAARAAAHDDTRTTTTTSGYTDDIYQNAALRFPSLFSNDFGPAALLYPTPTLTTPMTYGEGVGLGTHSSSDGFSIPRPTIELPLDELLNADSPGGWAIGFMNAGHDGRSLEQQAQEDVEMKPEREMSLDTSISSRGQSSEDDEALLTSTAALRNVPPLSTPIEDMVPRTITPTRLGGSNMQNNGDALGHGRGSSKRPSLSVRTQSVSTRSSGPSATPVNGASSTAQNNNNSAPGGVKAECSNCGATHTPLWRRGLNDELNCNACGLYCKLHKRPRPKSMRNNHGEGRTQSAPRQESQEVVAQCYNCHTTATPLWRKDDEGKTVCNAYKLHGSARPISMKSDVIRKRARHDARRAGNGPSETPSASPGASRRASPTMDATPTLAPDSTTQMYNNVNGDEMEYRTSMQSELMGALGGSQNGPYSGQNYNFNSYSAFPGPYHPDYLSQMYNPPADALPFASGDLSDVDNSGGETRTNKRRRLSNDSASEPPSSAVSFSSYSDSFTSASSSTSQSQRSSMDFAYNPYGSYGILRGNSINNAMWHPPMLPPDSTNSPHSSRRSSHQTCFCIRKDSTTTTRSLCSRPTCTRLCFLLTIRLR*
>EED82007
MSRMDSGRYGKSGSVEVGPEKVEGETRIRRNGLTADKLVTQPWEGIDTVYDVLLYAARTHGTKDSYGTRDIIDVHEEVKEVKKTVGGKEVTEKKTWKYFQLSDYKYLSFIQVKDAALEVAAGFLQLGVAKSDVVNVYAGTSANWQLVSYGCAAIGTPIATAYETLGESGLQHALNEPECIAMFTNADLLKVVANVAANVPSLQFVIHDGTADPSVHTLEHKSVGPASNTTMADLCKDDRVRELILKECNAIGKKNGFKQMELLQAVILTADEWTPESGLVTAAQKIQRRKIAERYAQEIKDTYKF*
>EED82008
MSIFETLNDDVLGLILLLIYPRDALHLSMTCRAAYTYALPRALSEVVLFGPTHLAAFCCFLSAKLTGRAQHLRALTIHARRPKSSDDCVVLNDTSDSDSMCRVLSHAVNLRLVAIHDAEDLALSTPALFDGLSSLSGLIDVSFYKAGIRTLEFMTKWSSRPRRVKIHTSSGSANVELLTLDRIPWDYLPRSVEALSLVDGHSLSLKLGMSPRDWPLTRSLELGGIMHIYALSLAFPNVAHLRILLGFQFVPSGIPGLLAGEMEDLRFLQLMLVHEGQIRLEATQCHVMGFLSDTQLSYVSVFSGAPLIGLAISVNGYAINVSPPEVQSLASAIAAIFPNIQSSRSQKEGGFIVNCSTPLDPDDAVGSLSVEQRTRLTSRTRDPYPAGPITKNRWGSW*
>EED82009
MMATVEGVECSPDPIALEEHVVPTEILAHILEEGFKDSNCLERVRFVLRAASVSRTWRATALNTSALWGTVVVTRPRAPPLTVLTTLLKRSRRSQLDISMDWYHTGACRRNVQRVEDPAYIKAAMQILRQHMQRWRSVDLTWGVFGSDELDDTFAPLLTGSADALRSLHLRCVCRLIWYEDLKNFDFTAGFSAPNLRSMVLDGLPSAKDLGRVAARFPTVPELTWRESGEKKWIYDSMDFMRMLGPLHDLRHLTLDNVDVEYIMDEPSDDDEALICLPALETLTFCDTNFATMRDMLSIVTAPQLRDLTIFNLIHEDETAFFHVLWKQPKRFPLLHTLYLEFNVDGLDIDELIGLWRYFQFFRSARIVHTFARDSNDFSMGDVLEALSHAQEDGGWLFPRLISLAIYSTSNMSTDGLRRLVENRRETETEVPDAGVMGLQTLKIYAPAMIDSTDSDYFGQNLRHFDWIQGKPPPGCDKQHLSTASWALQLNVDNPS*
>EED82010
MTSPTHKPLVLIIGATGRTGGAIIDALVKSAKFRTVALIRPSSASKPEVEQLRARGVEIRLGDIADTEDKHKAVLSGVDVLISAVASEHLTAQKPLISAARDVGVKRVIPCDFAMPGAKGVQDMLDEKLAIRDFVRALGIGYTFVDVGWWMQLALPYPTSRDKKNLCTNFEHIGTYVARIIDDDRTLNQYVIIWEDELTLEEVKTIAEKASGEEDVLRAKRVVVEADELQSRAKAAKEEALRNPSPATQLLRHGNQFMISMHILGENSLENAKALGALDVRELYPDIVPQKLGDFAQKFYQASSLPNVQYD*
>EED82011
MHWAGDMGVECCKVHELAMTKGTLEALSVERYVEVLWMDYRSMHIHSLDGTEVTVAWGTICHSELIWLAGFSTRSAVDVMSADNAEMVVMYVLRSAPFGRDSGWVKMIGHALGTEVVVRVPGCFSGTCLKESSW*
>EED82012
MWYFAFSPQPFRALYMAGSIILFLFIQLLFWTVAFLVPRLHPRCSWSVGQLLAMLVWQTFTRTMYATQIPAEQPIEHCPAGENNTGFIWVAPALQLVVGELKELTDANGIEAVRTGGYWVGPQLGTVPAGKQACLGEKVVYHIHAAIIDAIAGYHYLVQEVGFEPQNIILSGDSAAVDWGNTHVIPNSSMHRNARSDFIQPVFLSGYTTRALVGKLPLEMAARSVWISPGSLDLDVAPGFFAGLPPTCIFVGNAEVALDQVRTLRDRIRADNGEDAMKYMEWTNVTHVAICMFWHEPERTMALCEIAKWLDDM*
>EED82013
MPGISLKRHTLVVRSYVKEGYLPSGAAEPLFTTMCMHKPAEGDLKEEVSGPRPGCLTLTEEDGQHMLRGAAVAPDIGEMARTPEVHDTFRPERFAALSPGVAALVSKTRGSPRLDSVADEPRAMQSRNCTI*
>EED82014
MSTCDMNGCKDRLCGLLAVFVTGRVYYCPVSAGTAVAKVVEIRLLKGYNINHGRGTFFPAVVTSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTSTKRAPIYPRGGTWCRATGPNPQVIAHQELVSYYQRHPPAHPEDVFTILRIDVEPTQTAESVQSPINEQPLELPEVQYIPIEIPDIELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLEIPAPEPFSGKAEDLRHFIQCILSYFVATNNTRLSDEAKITFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWANFITALEEVFPEHGTRIKAHQILMKLPEQQRDRKTALSLGNYITRFEQLASKAQLKDAEVNGTNRVENNYHTLHANFVKGLLKELYFALATRVTRDRPNTMKAWYDEVRNADAAKQGALVVTDTRDYGEPMDIDAAAVASNFASTSGGRKWELGAVLNKADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYKELTMEDF*
>EED82015
MSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQNRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQTIASTSNTSTTVPPGMIKTEDISVIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTDGKIVLPNGSFCPRTIPGLTIRDRIDEWHRRNPAAPAAPTMLFEIDDRSTVQMFTLNTSSRIEALERELLLLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKGVAAPSSTSTSTALPPTIPAASPAPSSSQPTQSTSQPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPIIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYRDVQRWNPVLQSSPTPMKHI*
>EED82016
MVSSTLAARPSSLTX
>EED82017
MLRHCHLIDLPSTSSASTDGFEEIRTAARVKSDGSVSVREEYHFRRVHSNGRVDEETRTYRVEMRRVDASLEKRAQFTNLTGANTDEDGKVRKVGAAKVDEAKRDDHWVKKPIEVKVNHDSMKTPKKVAKLVKRGAVKKDVRFKNDEGVSNDDRNKKRDDGKKGDKDVEKRGKNVKKETRR*
>EED82018
MPEVLATSIFALIRSRRTARASRLLVGVDSITSDLPASSSTRAASTLCAARPVSGEGGSAIDRAKSARPQCHTTVYDLPRHRRHCKTYLIEAPQTTRIMSSCFMLLIHILTLPVTQELLYFSMVQVGKSIQERDLTSVLLPNAIPTFVKIWPPRTRQTVPCAESNQPFNRKIGRETHNKGHMSHESRCNMFYQTSAQRAMSVYDIVNEVVSHFSPSREHSADLARLALVNLTFSDIAVKYLWEDVDIVHALRLFSGFEVVSADDPTYRISGDISANEWARFKKCATYVRRLEVDFGPSMSGAQVLKDLLDAHPEGPLFPQLRILRWRRGSPADTSAQHLISPTLRAFEFTGDHIGPESEDEGIKAILRTVFSTAGDSLQEMAIFRDIHPASLMPELWPASLRQVDLNCPFIDAGMITRLSRLRSLTRLSLVFGRINGPLRNISGFNALEKLYLCGNPMQVNQIMGCITSPVLHELEVSGCSQESSSEWRDCLATIASQFRSLRDFRCDLYLRWNDPSCASFGAFSKPLLALPLQNVDIVLVGSGVRLWSIGDLAVMARTWRDLRRFIFSWGHEAEPHARPILNPAAILEFIKRCPRLEALWLPKVDLRQKYLKDIPSVVSSSLVELDVEYWTHSEVEDPAYAAKWLHSIAPNLDLEAMGAMRCADWDEDSDVASEDADPVHDAGDDSEGEGEAGNDDDRENEKDAQGGPNGDQNETDHDASETEVADDDASTTEVDDDDVASSTDVADEDESTWAAVIRHIAHLQKR*
>EED82019
MDNQLPYLPYIKINLVQNVDFIQCVESLHMRLLMQLGRHGYLQLHHSLQRPASSMQLVREQRHGEIVFRLDPRSAPAAGDLSSRKRKSSPDVIGPRHSMPYERHRARRRKLLSDDCPPPDPRRRASRRAKPVPVAVAERKAFLRRWTRGIAPVLYVQPSMETWSTMPEAPVAFQSNVALGPNINEEMSNDLERRSHIAASTTLTNHPQPTTDHIQLVAAHQVVISHSSPRHTVTLSEPNAVPTAPEAGVPAVSKTANAADSWNANSGGNVKAVENPRAAERVDGRGTSAGLANQRVGRGERPQSTTSGKGAVRRSIMPELIAPRPSYLSHDIASLQEERKERALARRARMESLSTHEPYQRADAMRRRAKMQSTRTVNAETALGPRTDFFRPPLRNKGVEGGVTKKKAPRPILKKEAGKASKDHAKIPRPRVRWSDEFETSSDPGPSNSSSRANASDGREQPGRLPEPCTPSPVVTLLRIHGRILPVGIHLMRAAP*
>EED82020
MSSTLPFLDQFNAPSTEGRKRISIYTPKHTHVGNSTLLTLLLSNPTDVFNKLKAHNPEATNATDRVALEAYLSARHEYDEAVKAADKAINHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPHSIRQHHEALLSRLTGNPTLGEPRREVAGDVDRLNTGYGTVRTYNAQDAGKKPLDTWSGKPARRVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPRSANPEPPASPVAGPSCPRPDTPIVFHKEHLSGEEWKNLGRNARNEWFDEEEDDGVDWELYGDGEHLHNGVHAHFVPGIVPLRFFLY*
>EED82021
MAMFTQEDIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYKKLAGGVQVYSTWANFVAALEEVFPEHGTKTALSLSNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWGLSSSQTPGTMANQWISTPLPSHQPSPPHREEGNGN*
>EED82022
MPAKTGANSSNQRESNRQSGPSPPTGGRVTQPVTTWGECATTAISTQGARACSDRPHLPACFALLPLPLNAAASLRMGPWETVHPQKQAAHLPVVLLKVSVTGWVLMGTQWGSICKPRHYVQNGLPGRLIVLSA*
>EED82023
MTPSLYKFPSTKP*
>EED82024
MRTRTRSLSVRAPT*
>EED82025
MASHEAAPVTCSCAWDSIGTTLSHAPAHAIYGFYPAGQRRRRVPIQQLSLANAAYRALSSRKTPHIRATETVRAVSHPPVEIAGYPVTRAAASNAPEHLTAANRILLIGDTHRAYDFTGGRKSEMASQNQGRRGSIRRIAIAFGWNPKSMAPSERMAFSPSTSTSIALGAKIPPGPVASAARTIRHYAFGGGGCLLVSAAADLYSGAFLARNPDCPVLREPRIFTGSRSPSRTAQQITRTRME*
>EED82026
MAPFDLDACIEQLLRKQLLHEALLREICEKTKEVLMRESNVVHVSAPVTVVGDIHGQFYDLVEIFRIGGYAPHTNYLFLGDYVDRGLFSVETISLLTCLKLRYPDRVQLIRGNHESRAVTQTYGFYTECVRKYGSSHVWTYFTDMFDFLTLSVVIDDKIFCVHGGLSPSIHSIDQIKVVDRFREIPHEGPMADLVWSDPDPEKEDFAISPRGAGYTFGSGVVYKFLETNQMTHILRAHQLCMEGYASLFDKHLSTVWSAPNYCYRCGNSASILEVGPGEQMYFNVFQAAPENERDGPSQQAAQSAGGKLPEYFL*
>EED82027
MSTIAITVCLLIIFLFHRVLLFRRKLKVVVILLVRGVSFIHYQAVNNLPGIRCTFPLLSLLNAIIGTNKWNPGLLWAWTWRASCKPCLLLHAIKDISTASQYTMVARETVQLYHEMVRCEGWTSQTSIHLDNVSQWMSKVRVVPYIKRDIPFRDLQFTLGVLTRCAFGMSFSWNDDDHAEGMSIGTTLALVVQGTIIRQVVPQWAYRLPIRRLREVDEAYTRMTAFMQSLVADKKAEAVTVEGNDTSPNDLFSRLIQASESEGKNGLTDKELISNVFIFLFAGHGTSLFNPEKRQRSLMQSADTTAHVLTTTLALLALHEDEQEKAVEAIHEALPDFRNPWGQNDDCHQTFDDFDALKKVLACFLEAARLFPPVSGILRVSGSTIALRQKGDYQPLFIPPGIKVNVDTIGILYNPKYFPDPERYDPSRWYGENSELDFTFFGIGPRACIGRKFALTEAVCLLVCLLRDWKLDVPLEHGETGEMWRQRVMQIKFLGLTLGVPDVPLLMTKRTTA*
>EED82028
MAVRSVGALLLLPFFASVASAIVRNGKVGANIPRMQLVKKVDLPHVGPVVDRNGTEIPPYNTTYYFEQLIDHNNPSLGTFSQRYWHTWEFYEPGGPIIITTPGEQDADGFEGYLTNLTIMGQIAQEQNGATIVLEHRYYGYSNPYNNLSVASLKYHTIQQAIDDFDYFAYNVKLAMPRGDHVTPAKAPWILVGGSYAGALTSFTKVNKPDLFWAAWSSSGVVESIINYWGYFDIIRQYMPANCSADVQAIVGYFDGIVAKNDTSAIDALKATFNMTALTHLDDVGGALADPLYSWQDLQPSSELSDNAFFEFCDALEVKDGENAPPQGWGLEHALQAYGSWWTSTYYETICPGQGVVECLGSYDPTQDIYTDISINNAERSWLWIVCNEMGFYQDGAPDGIPTIASRLIQPIYEERQCTYYFPEAFSTPPTPQVNATNAAYHGWDVQSERLFFGNGLRDPWRDATVSADGRGKRSTPRQPIAVGDGFHCSEMYTANAEVDPTIALVQAEGRKAMHKWLKSWKAPTPSS*
>EED82029
MSCCTVFTTGTPAASRLLMRRSLRLSLRS*
>EED82030
MSGHTPSIFAFFGLFPAFSPNIRNAYRLELSDTADRVKSYLDETGFASRRIDGWLDNVILPKRVVLTLDPPPLPVEDFSPNFSSISLVSIVNQPEVVLNTDVAPLSEPEECPLAQWEFEVLGYAIKLPDIDTLMCRKCIAAEVALAATWAVLVVLFLFSVILSCIRSFTRCKACNARAQPKCNIASNRSHEGSPECDKTLVENSSDLARRLSRRGGKVAASMALAGKTVHPRSACDEIIDSKPTPTILQEAFWIKSSGASRAVVSDAVVHSAVCNLTSYEIEGRPIGEGFDASNDSPTPCTWTGEDGYFTDDDDEVFGGRRYYPCAIRPQISNETVSLLRSHLEPTVDQGPTFPKEEVSQ*
>EED82031
MASTELAATYAALILADEGIEITSDKIVALTSAASIELEPIWASLLAKALEGKNVKELLTNVGSGGGAPAVGAPSAAAGGAAAAEAPKEEEKKEEEKEESDDDMGFGLFD*
>EED82032
MSQPVPEAAYINGHHESVLRSHNWRTVENSAAYLLKSIRPDMHVLDVGCGPGSITIDFARLVPQGHAVGIENTSDVLAEARTSASAQGITNVEFRIGDALALDFPDGTFDVVHAHQVLQHVPDPVLVLSEMRRVTKPGGFVAVRQGNFGNMSFFPEDPVLDEWKETHMAVTRALGGEPSAGCRLVSWAMQAGFPRETITTTASAWCYSTPEERAWWGNLWADRVQLSAFATKAVKGGFATQEKLDMFSQAFRQWMQQEDGWYALLHGEILCRV*
>EED82033
MDLAFIVADFQPESYTLADFQPESYTLADFQPESYTLAGRALEEIDTVEKDYILAILNGDQPYPTSKSTLADTRGVKTRAFFEKLQNLDFEITQNQRRLRVIIHTQLQSQARARELPSEGGNQLCAPHSTAVRQQCERILKKCIPQRASLIVKYVNEMDALTWVETEQDEWQWQWNGPWLQEWSVARPNDDANTAKYAYRDYVLKLVLEVVNNMRNFLDDPSNFDPKWQSPTDLESRLGYRRKGYRKLNTHVLLCRVYHEVGAGKPLTMRERSVRIPQVGSIFMTWRGL*
>EED82034
MHPIPSPTLKTRDATQHDASGVTVQIRAHTDAPSLLNTTREHPLSFSAPPTILRALAFVLTADDAVPDALRVAVAAPRAAAQVPPQKPHRVGRGPPRRTFFFAVSLPQFCILPAAYSSVSASSSPFTPSVVLASSPTERAHIRYQSSPIHAPSSDYVICARDVRDEESAYTHPSVYPSAKYAHAGYAPARLPPPARSAYAYGYTVMSDALEPPSTSDIDLDVSDDGDETFRGVDNDDIMDMDEDFYTDPDFLSQRRRLSFLTSAERETPFRSKIEGPFSRAFEIAFPTPFIVAAVLVPVLASSIVIPRAAAFGYSMPPITPANSEPPEPTRRMRSSLFALLNPAPEPELPMKVELQEEAEVDVVGDDAPASAESELQQCRNNATLASLTSEHFASQSPETRVRCRARTQSLASSPLSSPPSSPRIAARPIACHEFQEQRQLHPIAGPSTVRHGSALRGDKVKSSSSAAVRASKSSTGSTDPVSKPRPRGPSATRAALTSGPARGRDVGRLPKPAKPAVHPVAERVPNTEDRDANADRSKSNDKRRQRDAAQAPARKRPRLILSDTESEAEDADVKPKTKATASMEEGWKASHTKKETKSVKRPSRPAKAKADGSSDDEAENDAPASHLPTKTVPSKPVSSPPKKQKVAANGKACVRSPVRIPTNLPLPYEEMQGMLIETLATSRASSLPASSLYNGLMASRPALKESASLLGEGPMTKKEWTTAIEDILEAGCQSSGVFGKVESNLKVAADHEVETQWFYVPEKDEDQERATLIKSMMPRPGKRSETKKSKQYYWRPLGKISRWDPEDDL*
>EED82035
MGADAIEHTASPVHLKANEPDEIIFPAIFGAPRMLESVFAHGSSVKWVVATSSATSALGFGTTLDVFTEENGNEQAMEDPNANDGNVRQYCASKTLAEHAPWESMEKNKDKLGWDLLQMHNMSYDPAKATHLIRDDTSNANKVLCFTYRARRWPEEGRVRGLLLSSASVGDAGYRERSMGTYAEVNCGDTHAHTSYSEGELEQTLNIGVPALCSIAHTMFASRTSIARTWVLRLARASKEASSVSETETVYVLGEAVAALQEHFTVRTSTATQRRLAQSLQGTTNNAKYGGMRSPQSQVPTYDTLERCRVTCREFEPMARECLKHSIRFKNVEDVERIKVDVSGGRLRRWSGPLTVSIDGDDRNGVSIIGGNMDDERWPIPHLATFASRLTGRWPFVKWLTVTNAMWRARDLDLDAVVRDLAAFSITILILRNVIFVGPPRSISRFHLLPHPRLESLDLHHGHDDTKLRPSFVEMVDLIAAIGNRRCLVPLRDPAQASPWSTVRRLTLGPVTFPSVTTFARLLCALPSLEGIELQALYSFVKHGFDLTSVPVHPGLSSRLADVDLAYHLPLRVDPCSAADLVDFFIATGLSGNLRRITARLSSSYRVTTACDAAHHRLVKHCQSLRHLLFLSSPMSSVSDAVDLHADQNAAPYLDVSSNTCLECLLLTVNVDHENISHLCAPVAAILSQVTSVHISRIEVKFWPRSNPRLDAKLDVDLGKLMDELPQLDVIFSRPIFNNLTDVIIYIRTLDGPNVRDEELVQDLRMCVPTLDARGILGIRLNDVNLSRSGLYLDEETDEWRCHRIERVSAQDAVVIDARPDDNDDRRTNNTVNVTIPHDDSDVVSGTSQPVSVPPAAYADAQTPSSSIPTDARVPTESACDDELVLQNATAGPGTSVDEFAPDDHATPRPYAVEELGPAKKPTAPDAYDA*
>EED82036
MIISNAVWRAVDLDADPVFRDLARFSSITVLSLRDVGFPTILTLGRIHSATFRCFHHLPIPSFAMQAARDAVPEHVVQRHRADSSYVERPGCVATCRCLHLDKSDVGFEGRADELRACLPKLDERGILRLQLNDTRVGVHWDNKTGSWKHYGVGRRAAQGTVMTEEVSMADGGTCVSPCDDSEAGHLSISSRYGGCFGNMLRDPAADELADPYFSVCYLRASCPYEPSMPIGFTFIISRTSNSRSDMPIPNEICSAVLPSARKTDTNWEKARIATPFRDFARLMRTSLAVGRHAKRDSQRTTSHSKLVFWLFTRCRQKDNV*
>EED82037
MVLPFPNEIWLDIFHGLAKEGEYDTLERCRVVCRGFHWMAEECLLRSMVFKSTDEVERIKVEASGGEMRRWRGPTYVHIEGGNGINKHQPIPHLATFASRLGGRWLCVKELRISFAMWRARNLDADAVFRDLARFPSITDLGLSNVILPAILTLGRLVCALPCLQKLGLFNVEFIQQPFEASTVSQFRLLPRTQLETLSLGMAYDGSVPTPDFVELVNFIAAVSNRIRLAPICDLAQAYLWSAVRTLHLSSIVFPSVATFARLLCALPSLEGLYFEWSCTFVKHGFDFRSTPVHPGLPPRLVTLFLDFSTGVDLHSIADLVDVFITTGVSHKLQDIKICPSPSLQLMSESDVCLNRLVRHSGQSLHRLNLGAPATWRIPDNKYVWLAADQSADWATLMAGLLQIDTVLSWPVFKNLVHVSIHVIMFDTSDVGDEERADELRACLPKLDGRGILGMELNDTRVGVHWDDKTGCWKRYGIERGAAQGTVMTEEVSMADGGTCVSPCDDSEAVPAALGIVSVPAAARANAQPLSSSYPIDSRVVAEGCNDGIAPQDARMLVSKG*
>EED82038
MSHGKQFTLYTHQAGPNGWKVAFVSTELGLTYESIYLDFGKNEQKAAEYTKYNPNGCIPTLIDHKNNDFVVWESNAIIVYLAEKYDTAHRISASTPNDKVFELQWLFFQASGQGPYFGQAAWFKVYHPEKVPSAIERYQKETARVIGVLDGVLSKQEWLIGGKYSVADIAFVSWTNVALALVLPDAQGINVEKDYPAFWVWHQKLMAHDSIKAVWAERAAVQS*
>EED82039
MPWPLPVKVWLLIIDELGAQGEYDALEACADAGGGLLKERAKRYIPKEMTFRTPEEVASINVGQRWKGPEEVHIVGGRRGGERLPIPHLATFASRLAGKWTNVNELTIERAEWRVQDLDRASLLLDLGYFNNVRELCHLGDVEVVQNVIDTQTLSAFGLQRRATRLVEIHVQRRTGSLTAHSRHIHVDMPFSLRLNTLEFGDDFSLLSDLQSLHDLVDFFIQTGTTARLISLIAPLGPDTPQPQHRLKLHRF*
>EED82040
MSAVKYARVETPSDELIDASVDLFTTLMKDGPMARAMLKAGALAGEYYTATDENNDLLGFTLWMPPGDDLFSTWYSGFQLIFNLRKPTDEMTSPQYMVEFPAFVNHCIGPTGKTDSWWMHQAMVRPDRQRQGIARNLINLVREKERLYANPFRQAKLKGETLACSTTTPTNALVYQAIGFELKEKRDMPSPWGDWPLYVFALDTTMIDQEPEESIALLDEDEAHEESEKSASAKISAPQSKWSSWIFRVVCLLILVDLAVYLYIFRSFLVLSDDVLNDLEFRNPYIGLDELYASGRVNASRYEPIVNEPRIATQVSSVEPDKVFPEDEHRWLSTAGTMSPLDRHLHVSSNVHTILQFRAMDYGMERCALALRLPSDSDEQDWAPGNGPVSLDVCALGVDRPLDPRALSWASRPSCREPFGTLTAGPGEETRLSEFPCSWGTLYTYEVACASEYPDCQLDVWANRNGTWVPDYLTDLLHSYRIIDVRVRGYQRLPLRQCIRGLR*
>EED82041
MSARSATPASMPSLVNRRLASLLVVLEAPPTTDAALDVVEEWAQDLLPLMLTYRKALGAIRDEETELRVAAAIKQLAERASESWVEWARGDWPELATAIDTEVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEDRLRQAAEDERRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVAKLSDDPSIKTPRTIERLFAMTEVDMAAAAIEKRQAGQKCDRCAGYRSAPVECVWVENATTCKRCAQFQQGCYFDKVSVLGKTKKMRGGGSTTKKRIRPASPGPSIADLGGSKKRRVDEPPRPLCHELIGWQCTAQLGLR*
>EED82042
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDKQAVLLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVNALPASMGSGHSCQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMWEGKDLGTWKAFVAELAQIYGQRDDKEGAKKEIMALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPNTQGDGARKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEELTLAGKNEPQLSAKTEPTAATSDFWKKVVIYYDRGLGPLATRAPELASSFLIARVSAFQHTPSSEASEGLHTLPASRHSLKLGIHPHTLSAGPRSIPMSDIRDDLHQLLGINFSAPTPWLQVRSVLSTSGSLVRRCSRRAVQVLSASSLGTAVQQCSRQAIQWFDGSAVRHSTAGFNRVRVRVQRSYGFDQDGFGPIQASGSAATAAGIFCGAAAASRASTATACARDPATQ*
>EED82043
MSSPAAAPDKEMLKLLLPLCYDGKSVVECNRFILQLLIYWTINTALSTIELKVRVALSLLDGDAQAWATPIFAQLAAVQIQIQGATSPFAYEAAFLMAFKARFGNLDDKAAAQVELAKLCVDKSVHEKRTAAEFSTLFKGLADHSGYGDLELRDKYLSGIPSRVYRKIELETFTTWLEAEKRATEVEQILDISRARRPELNNFFSARGRGRGGARGGMPQSHGASASINAAVGKGDFPGSCFGCGKQGYQRFECPNWPLDATRPSARLAPSHTEVAGLSRLGIEPRGMPSRRLLVRSGHMFLFISAFGMTSLHRWTWFPKTSPVVTHSQAREAASRSAAENLDSSSRTQSTPFPTIPGNFDCDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDISTPDLFERSSSSPEPEDPIPATSNIVLPTPSSFHAHAQPPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNAAPPAPTIPSTTTASSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEVLFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVQALYPGADDTRKWSLADMDQLIGERACIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETQLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQAIASTSNTSTTVPSGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEINIRDGKCKRNTDGKIVLPNGSFCPRTIPGLTIRDRIYKWHRRNPAAPTALTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGIKILQRKKPTTPVVTRSTEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPAPSSSSPTQSTSRPTTMSAPPAPPVHPFANARDATYTPPNVQNFATPPKPSNDKGKEPAYKTIVPCSMKSQFVMLTPEELLSIAPNVRNKYRDAVTPKRVSTEPIASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHSEHPAEFTVARDSNAIRLIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFHIGEIVLYLQAHVIRNAAYDILLGRPFDMLTQSVVKNFADKNQTITILCPNTGKTVTIPTYA*
>EED82044
MASPLDLYESLDVIGTGSFGIIRKVRRKSDGQILARKELNFERMSERDRKQIVSEVNILKDLHHEHIVRYHDRHVDRDAGILYILMEFCGGGDLSTVIKLSQRQNRPIPEDTVWNYFMQILLALNHCHHPKGSGESDGRERRPQILHRDLKPDNVFLDEKNTVKLGDFGLSKALAQASFANTYVGTPYYMSPELMQEKAYDSKSDIWSLGCLIYELCALKPPFHEAKTHAELSILIRNGRIPPLPKGYSPALTGVIKSMLNLNPAMRPSAAQLLCHERIELAFKVSETQKLLDAVKIHKTALLNKERDLVAREQAAAASETSLASIIAAKDEEIASLRSLAASAENLLQTRVREAIARRDEELRAMVLKQEQEVAARIARREEEIMEAVRQREEEISRMWADWEAKTREAMTAAVEERMEWVRQQAEEMDRERSRLDDVRDDLERKMSTLEAAERKGTAKAKTPLEEVRNILAPLARLAGSPDATPVRPTQPAKLPVFETPRPAAMKATPSFPSNLAPPSAMKGVILTATGEPVATPSPAELAKLFVATPKVGLNFARIFEF
>EED82045
MAVTLTFDRDTAMVRQAMLPRLPVEIWLLIIDELGAEGEYDALMACAGASDGLMNERARSYVPFQMSFRTPEEVASINLRQRWKGPYAVRILGGMRRGERLPIPHLATFASRLAQRWTVPELTIENAEWRARDLDPRSVLLDLACFNEIRHLHLAGVTFPTVLTFWRLICALPHLDNLYLYDVEIVKTAANVHTALCLLPASQIRCIHLPTPPPTKPVVGRPQSFARHSVPLLEQSMSPLKTPPWRNVHKLILWDVTLPTAEAFARVLVALPALMTLVINGPCTFSEHGFNPSDVPLRPDMLKKLTTVELGKSFSLFSDPQSVHDLVDIFVQSGASGHLTEIMAWLYQSLHVATSIDVALNRLVKHAGQLLRKLNLRVLSQDNSWLCNEVSTYATPSTARCFDISANTYLGYFLYSVEIIHDDDSTISAVVELLHQVTSAHISHVNLIFCVMDEADLAKWWTSLPQLDAALSREVFHMLDTVRIRFFRGNNCREIPVAAVRTWLPGLDARDILRVDNA*
>EED82046
MDTTLQMFTRIFTRRLAKHQCLQEWKWNLGGRAKTTLRYKAKTDAHGGHVLDQGLDTYQTFSGREKWWSTVRDVKVISVTLDVHCIAPGARASWFSPMRRRLVPLSYELQRGRGSTRLHAPATHFSTAFQVLSDLPSPSTSTMEHLLSRITPLRPKSEGNPSFQESVVRLKDSGDSDKGNGELHITTGTVQSAMRRPLPLEVWLLVVDELGAECEYDALEACAKASEGLLKERAERYIPKEMTFRTQEEIASISVRQRWAGPTKVRIEGGRQSGERLPIPHLMTFASRLAGKWTDVKHLTIERAEWRAQDLDLRSLSLDLGRCNNIGNLHLYDVAFPSVLTFWRLVCAIPDLPMLFLRDVKFVKTAIDLNARTLSAFSVLCATDMDDMPRLNSPGVAAHSAELLQMIVPQTLSSIQAPPWSKVDSLDLWDVTLPTAAAFARLLCDLPALNWLTINGPCTFSEHGFNPSDVPLRSDMLSGLRDVSLGKDFSLFSDPQSVHDLVDILIQSGACRGLYKITVWLSLSLRVATSIDVSLNRLVKQAGQSLRNICLEVLPQGNFPLFNKASTHAALTTACCFDVSANTRLDHIECFDKTHEGSLSIAPLVELLLQVTSPNVAFIKLTFIVVDDADLANSPPLFIYQA*
>EED82047
MDAGRAWRRGNDPWVTRRQQDSGDDVSSTTFGTPPEELCGAVAPPRTLGADRRFIVPLTATRTQVTRAPPVWPRRFMAAGYDRTHHSVLDDKDGPEVGSYLSGSLWVFAMQKSSSDDIGQFCRSVIDDAGWTAVLPLFCTHVAIIQGLPDLYSRVNIGAAINNKASTITGIVQIAMLRTLPLEIWLQIIEEAGAAGEYDALEACAKANRGGLLEERAQRCIPDEMTFRTQEDVASINMRQRWKGPKEVRIEGGRQSGERRPIPHLATFASRLAGKWTNVKELTIERAEWRVHDLVLPSLILDLACLGIEHLRLYDITFPTILTFWRLVCGLPNLDTLGLCDVKFMKVEAPALSALRRSSSVNPSSLHLGELDTERPRSPTTCSAVLLAQTMTCFKKPSWERLSRLRFQDVKLPAAAVFGRLLGALPALQHLTIDGPCTFSQQGFDLAESAVHPETTSQICTLELGKDFSLCSDAQSVHHLVDILIQSGAIRRLGFIKACLSPSLHVPTSIDVALNRLVTHAGESLRELTLQVLPLDSIPLFKEAYVYGAPSTVHRLFLYWGFFITSHQYTCSNCSFMSW*
>EED82048
MSVALIFDSTTADIVSTTILLPVEIWLQIIDELCAEHEYDALHACAYASEGLLRRRAERAIPEEMTFRTQEEVARIKVRQHWEGPWHVRTEGGRRRGERLPIPHLATLASRLAQKWTNVQQLTIERAEWRAQGLDLPTLLLDLGCLSQICHLNLDDVTFPSALTFWRLVCTLPHLRWLYLRDVTFVKTAIGGRAFSAFRLLSATNLEHVWLWSDRRPGSRATDSAGLLQVISAQPVLSLRAPPWSKVWSLELWDVTLPTAAAFARLLYALPDLQLLVIHGPCTFSDHGFTPTDVPPHPGMPSGFRTIELGKNFSLCSDPQSVHDLVDILIRSGASRRLEKITAWLSPSLRVPTSIDVALNRLVKHAGQSLKWLELMALPQENLPLFYEASAYAAPSIANCFDVLANTHLSYLLCSVEITREGSSSISPLLDLLHQITLTISHHMELRLRLTDDADLATLWADLPYLDAALTQTSFDKLAGKTHNF*
>EED82049
MYAEIEMGSGVRCDAQSIASTNTEKLQERKAAQGVQRTWRCFAARVVDTATQLHDREEKGISLQRANGIMSIYPWHDIDPLSFGEDGKIVGGAARTRTKSVEERGIYVYSGHDEARDGRVPTMVLSMQHRCPIRKSNPDGWSRARMGGASRSVDLVFWDGAPYRKSPCWVRVKKGSIERNRSRRPWCSRAGQVSDTVRAPPRHATATPDTMLTSDGRPYEDSVPAGRHCMLLDAPTPSPPPLCIAAYHNASRPARTIPRLRTHIMAVRTSYAQRGRNVSLIYAIAGPAGGAGRGRRAVLPLFWCPRCESAIVMGEGRLSGRAECCTRSVHAKLRSQTTMADIRRRRGFCKAHNDGGSTVHKCSSKENLSQQEDDPSRWYKSAEMNKNSAGDRLVGQTGIKTRIGRKGAE*
>EED82050
MDNGIGILSFTETEFAGSGNNWPRGPSDDHERQFHKLWKWSLSLYSTCLRHIRRYIKAHCHFCPEYTKDEDDAWGNVECHSACHDTCPTCTIDEGLHLATFGGRVNGDVGFRALRHKIRDGRTIGGIGVDGHNRRSRRESEAVYGRIWGGTGSHDTWAIDVGDRAPGDSSNIEEEEKTTVGRRTVGNARLRRWDVDVAQGRLLSSSWYLKPGSYGAASDETSKSTFDHYPSGEIDVLYQPGERMAMFDRTGSASGLPQHADIARYRPGKARRRSRATLNFAPEAWGFQSTHRALARRAEIRTKLGQGATSAVREHATQMTTQYNRLLHAGETRGIRTIASCEDEQQVLPGPESEVKAAILVSSRWGERANGYSLRNDRSSHLTGKEWKWALQCGVGDGMNGLPVYEGQYISYA*
>EED82051
MALERKAKELEVRVSELEKECEALRRENGWLKGLVV
>EED82052
MNPYYSGQSGNPNQQSLSSTTDPSRYQHSLASANDPTLQYQASGQVAGYQSQTYASQMQPHPYHPSSGYANVGVPHPSYQSPQYSQMPAEQQNVYSPQAYGQHSPAFPAAGSRSGQYSGGPPASPTYGSSSYAPAHPAQYGLVSPAPHPSAPQATRYIPTPAQSMQSYAYGTGRGGASGSNIVLPPPASPSPGIERYQCDRCDRTFSRPHDRKRHYESQHMLTSHTCQYCRKDFSRADSLKRHLDNGCDKAPGP*
>EED82053
MGFGRSLLAFALGLSFSGSRLLAKAYDNSRSDNLAVYWGQDSYGASGGAESGWQQNISYYCQDDIIDAIPIAFLNVFFGEGGEPSIDLANTCSTSSDPVFEGTQLPDCSFLGPDIETCQSAGKIVTISLGGASGSIGFSNESQAEGFANTIWDLFLGGSSSIRPFGDAVLDGIDLDIEGGSSDYYSNFVASLRSLMDGGSKSVIDAEPFDAVYVQFSPPSQWILDNNYCELTNFDDSNDWDFATWDNWAKETSPNPSVKVYIGAPAASAAAGSGYVDAATLGQIAIETRNNYSSFGGVMLWDASQAYANDRYDEQVKNSITEDGSASTTTPTTTSTTSTPTPTTTSSTLTTTTTTAPATTTTSAGSGDCAGVATWVSNVAYVGGDQVVYNGDLWTANWWSYASAPGGAAGAWTDDGACASTDGAVAVKMVFQSKEAPLNVPPKVSAVPNLSLSAALARKTAAPPRKNSRFFGAYQGLFSLHASSAYRAGSSLSPGIWRAAFDGNNAELLMPKTCFHYLDGPYCVQHASIELAVLASVMSYTPDTIIKLPSVLQPFQIGQGEVLQYAIPASPAFMLSPSQRRLIKGDKVEYRPIGGASDKVTHSTGVIESVSEGDDGAPRYTITNDNTGKSTTYQEANLVKKL*
>EED82054
MVAPVLVGTFFLLATGAAAVGRTSKGNISRPPVVPKILFSSTEPVTDHNGAEIPPYNTQRYWHTWEFYEPGGPIILTTPGEENADGYEFLLTDQSINGLIAQQQHGATIVLEHRYYGYSNPYIDLSTESLRYHTIQQAIDDLEYFAYNVELAMPGGNNVTPADASWILTGCSYAGALTSFPKVNDYWGYFDIVRQFMPQNCSADVQAVISYIDEVVTSNDAAQISTIKEVFNMDLTHLDDFAYALARSLYYWQDLHGNLSNGYFYSFCDALEVVDGVAAGAEGQGLDHAXQVFGSWLVPPVCGSGTPADECLGTYDPTSYVYTDINNAERSWMWIDGAPEGVPTIVSRLITPAYIERQCTYYFPEAFSAPTAPRVDATNAAYGGWFVQADRLFFANGKRDPWRDATVSADGTDFSSTSMQPIAVGDGFHCSDYYAQNAQDPTVAAVQNRGLAAIKEWLSSWTPPTDTCDHKDQGDINGSIHK*
>EED82055
MTTNNLPIGYKANMPQDCIIAPGVYDGVSAHVANAAGFDALYLASSGASGSVIGEPDLSVITGAELANTARMFVGISTVPIIADADTGFGGTAQRRQDHRISHFTSRRTAKRCGQLSGKDVVDMETYLERIVAAVKARQNPDFVIIARTDARNAAQFGGPNAGEEAFQEGVKRLKAALAAGADVAFMESPRTMEEGKRLVQALAPHPVMINVLPNGLTGNYKIEDCKRLGFKIAIYPCTGFIPATIAMERPYAALRDTGTDLKNCEDWQIKDFFERVGLKASFNFDRAVAETTRQDVNPKKYEEIDPHEFIKFKREQDLEPLMVVPHTAAHARPGSSSMLVNLRPTTLPVETCERIIDCFHDAWVVADREALLACALTCKAWLARCRFHLLRNVTFTDRPQLVSFLRLLKANPHVSPLVKGILVDNQWEMRRRHAPSLATFPLMLARRLTAVARLHIQYCSFRATIIDGCFWMCLAEFAALTTLSLYDVEFSSVHQFGHLILAMPALRNLQCWDVEWQSHGLDHRLLPPRFSPLKLTSVKLELRTLRDAEDPEDTNKEVANPQVIAVMRDIVHLFVMASVSAHIEELHIGNDVSKSWLYLADVGTSAVGELLEHCGESLRTLFFSVGAADLNALSATSIVGSHLNLARNAHLEVLSLCIPSLVDEVSCAWVAALLSTIISTHMKDIVITLETFDAEDDVLVKASELFNEETCSGIDASLSHLKPTNFHRLELKLDGYSGMGEDDRKRWQDGFMSRFPKLRARGALLLSLKLYDVESDGGSQSESDVEGTETSQIEAFSPCYRARIHDAKRHGTIEVVWLAEHASLIGMVYVCRPKL*
>EED82056
MSAADAVSEYIQSYRYSVIDSYIQIAATCVFIYDCFITLDQERQVVWERKITGAGVIYLALRYTSLLNAIANIADDIIISCEPGVQLQLTMPPSVIFSAYIVGILSYVALCCMYLVQAAFAAIRVYAIQGNQWPMAVIIMMLGLVPVATNTISDAGGYCYIGTTTIGFAYNKWVACCQPNPSTGIVLTTVNKDVRQPPPRPTSDSDGERHSDSIALHTSVCDRLKPARPGCNMACHARYEGSLGEEQHQGVARCLTVQRRINTLVLCRFFLDLRRLSSTDDSTGTSAPSFSSFASRVVGDLGGMLDIAFRAPSEDSNTDIELDDPNQLEYDASHTTTSPTLEGGSGGKTEMDNHPSFLEEGDFSMTRDVEAVLGASVDVAYTSEACPGALSLKAF*
>EED82057
MAGQPTRPVIPRKRNRRRNRRAASSSSSSDSSSDSDSDAQPPKKPAPTPARLPIQEPSSSSDESSSDSDSSSDNESVARPPSAPHADAGQRRGAGPNAPAKRAPSPARAVSAIPAFPSDGASEQEKQDEQVLRDRFRKFWMTSVADAFKDDLEEIRKEPNLTTSRLTLLIDSLASGADVFTSSRGASGNDVNEMEVVLDHTSQ*
>EED82058
MYPGERSLESLPVVYASEPELTDDSSFTPGYGHMWYSFFHGAFDVTLTSSYAGLFALFVYLGGHLETQMTVSEVQILLKGSILSRESMSEAEVCLVPTDEEDGSINSLPFSFQRIHRKGKAAGGKLECECVSQGKRRKVFPKIAAISRKMRQRIVRGRGMRAGQIEDTAIWSALLDDANDAWNGDRLSLLVPLVPPQSMHATSYFPHADHALRRAPVLLNIFLNPTASGLYHSCWSQQLYESTTMLNKNATQPPPLSRSFTSLLVSTSQMHSREIDLTDSLLNLDAILCAPPFDNLQNAVTPTWTAASPRDEVGEIVAAELRSRLPMLDERGILEARSTRSEEMVEVTTVLPGAACTRSSALTERPGGGLGSHSIYEEHGYLHATNGQSSSFIWQDSADQIGNEPKGYQLEHAQIGWCHVNQVKSNGWQRTKSELKEKPIDQCAASLQIKKEDRSFGCTHLVSTADRHTEDLPLILRYFALHTMVRPFPTEIWLEIFHGLAKEGEYDTLERCRVTCKEFAPMARECLKRGMTFKNVEDVERIKVDVSGGILRRWGGPETVWIEGGDWNDGRRPIPHLATFASRFAGRWPRVKQLCIINAVWRARDLDLDAVVRNLAAFAITHLNLRDVALPSILTLGRLLCALPRLEKLTLCEVQFTQHPLDAGAISRFHLLPHTQLESLYLDHGHDDFELRPSFVGFVDLMAAVSNRRYLVPPPNFVQASPWSTVRRLALKRATFASVTSFAHLLCALPALEALELHTQYAFAKHGFDLSNIPAHPGLPSHLAYVDLAYHSPLRLDPCSVADLVDFFIATGLSENLRRMTACLSTSSRVTPACDAALIRLVQHSQSLRHLSFELSWPVFSDTGERVDVEHSAGQYLDVSSNTLLERLLLVIDDNNGDASHLIRPDGQYEADLKTLLNKLPQLDAVLSRTIFKNLTNVIIQISTVHGEKERDEELAHDLRLFLPTLNALGILSTSLGDNIADWIDVRKYDEPGELPYRTLW*
>EED82059
MVRPFPNEIWLDIFHGFAKEGEYDTLERCRVVCREFKPMAEECLEWSMIFKNVENVERIKVDVSGGILRRWSGPERVFIEGGNSEDERRRIPHLATFASRLAGRWLRIRQLWIINAVWRARDLDLDAVVRDLAASAIRELHLYDVIFPSILTLGRLICALPRLEKLALGDVQFTLPSLDAGTISRFHLLPHSQLEALDLGPGHGGLELRPSFVELVDLMAVVSKGRRLVPPLDLAQVSPWSAVRWLTLGAVTFPSVTTFARLLCALPALEGLVLHGSYVFVKHGLDLRRLPVHPGLPLHLADVELPQSSRYRLDPCSVADLVDLFIATGISERLRRIASSLPSSPRVTTACDAALNRLVKHSQSLRHLSFEPYLMMYEIQDADEWVHVDHSAAPYFDVSGNPCLERLDLAVDVDRENLSHPCAPVVEILSQVTSAHLSRIQVDFQPVDPLGVKLDVYLRKLMDGLPQLDAMFSQPFFKNLTDVIIYISTLDGPNVQDEESAHGLRLCLPMLDARGILGIRVNNVETGLHQDMETREWRCHKIEKASAQDAVVTDAGAGADDDKRTNNATTVTIPHEDSDMVPAVSQPAWVPPAMYADAETPSSSTPTDARIPVELACDDKFVLHNATAGPGTSLDKFARDDGGDKLSAEPGTSAQSACHDERLLPDLTAGSAPRNLLQTIMLMPELVGLATSG*
>EED82060
MFAGHGYKLDLEDVPDLTVTPSEGRRSVVLDLAQKPEPGQAKPGEARPNQAKALCKPSGRAWLCWEEARARPASPGLVRIQILGYYPSSYLLQYRPLLTTPALDAQPIISRRASGIFGAPHMLESAFAHGSSVKCVVVTSSAASALGFGTTLHVFTEEHWNEQAIKDANANDGNVRQDSEYCASKTLAEHAPWESMEKNKDKLGWDPL*
>EED82061
MLSPLVAHTIHEIVTTVENDVLHGSSLFLNSDGKFRRAQRLTSEALNILRLLRDQMDDVQRAEYGTLYGRTQDVMGVTPSQRLDAAKDLWDFAHVSTLDPTLALIGCITHGALIQSVFQTVNDRAINPPGAPRQIPISLPVEPRPAQYTLPPLPTMQNIQFLPIIQYPASWTPVTSLTLTASPAVVFLTTPGYAVHAPQ*
>EED82062
MVRLFAIVLTTLCAAAGALALPLGDSEPKGPTDAEFLAPARRAQAVRRTNPVDAEFLSPRTQGKGPVDAEFLAPAVIGRDTFLEKGPSDSHFLAPAKRNQPDGPVDAEFLRPARFA*
>EED82063
MSQNDIPQSSSPLTSRLMLLFSPKPSKFSLVTLSSVLFALSLAIKLVVTVYLKSLALDDAHKYTYLGADHPPRWPITVPRVLMASDASTHFQLTTAAGIAEWVALVPGNGLVHLGPHRAPYTVAMLHELRCLDIVRDAMVHGLRSGNMTDAQVDLGRHCLNYLRQMVLCRGDLQLEPFLAPSHYKPIDLYGTYVCRDWGAVYREVEQNQREYAR*
>EED82064
MAAKHTFARHPRYTAILVVILLAFVFVLTQGPPAPSPEGYFRRQGPKSLRWHVVDEEERYQQVLRDRQAMVRKWGPEPSMVEAFPPRDDFYTLWDFFIPAYQCPHRVERIGALGDGGKWVCGFERVARQPHCVIYSFGVNNESSFEAALLRAAPGCEVWGYDFSVPAFGPEITADPALNARAHFFPYALGDRDSYGAGANPPTYTLATLMALNGHRFIDLLKIDIEGAEFASLAAFLGEFAPPTHGAQTHPHAPPPPPLPIGQMQIEIHARGGTGYDTFAPFQQWWESLEHAGLRPFYAEPNLVYVNLIRGARPDLAEYSFMNIYGDHALVSDRYLAP*
>EED82065
MFGPLLLDISWMIIDELCSEHNYDTLNMAAEAGLYTWGDKGRLCALVRVQLVRAQHANAAPGAGDRRQAPLGPDSPLFGSRIPPSTSTQSPNASISPSTLFDTFDGARRLLEARHGLPDASSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFEEEPIPSTAEERTSSPELLGLTTSDYDISTPELFERSGSSPEPEDPIPSTSNLVLPTPSSVRAHAQPPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNAAPPAPTIPSTTTASSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEVLFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKANVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKNLIAQHRLSTIEQSRAFLQGFQPALLTRLETRLHLKHPDHYADDLYTMAEIHAAATFILHGTSSTPTTAANQAIASMSNTSTMVPPGMIKTKDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTKGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPMMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTMPAVPKSAEASGSGTSKGVAAPPSTSTSTAPHPTIPAAAPAPSSSSPTQSTSRPTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVMLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVTSAHIVEIGADEVMAVNQLLCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTIARDSNAIHSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLI*
>EED82066
MGVMSRCTLNLFSTSGTHPVSDQSTILKVVVEIEGHRAIPTDMLPRLPVEIWLLIIDELGAEREYDALEACAKATEGLIQERAIKYVPDEMTFRTQEEVASIELAQRWEGPRKVRIEGGRRRGERLPIPHLATFASRLARKWPRVWELTIERAEWRVQDLDLPSVLLDLASFNIVQLQLYDITFPTVLTLWRLVSFRTRLIANPHLGTLRLRDVEIIKAAFNARVLSALRLLAAPGLGRMTLPPMGLDEITTERPGSPATHSAGLLQLWDVTLPTASAFARVLFALPALNVLHILGPCAFSKHGFPTGDPLVHLGNTLQLTSITLGEDFTLHSDPQSVHDLVDIFIQYGDTDRIRLQWVSVWLSSSLRVSASTDIALNRLVAYAGQSLKGITLQVVPQDSSPAHDAASLYTAPSKAYCFDISANTHLYRLACSIDVTHEDDSPLLADFPRLERVLSQKVFGWLSSVVISFQREDILTEAGCTRHSTKPGSTSGWREVQKFLARTGSGTELIAARLLQGSLNHKTTASGVRLTEPQDYRVRCGRVECSGIRRRSKGVHDDQG*
>EED82067
MSSRSATPASTPSLVNRRLAALLMVLEAPPTADAALDMVEEWAQDLSPLILAYRKALGAICDEEMELRVAAAVKQLAEWASESWVEWARGDWPELATAIDAEVKRHVEEQKHLAKEEARRIEEAAKRAKAAEDRRLEDEQHRKDEEERHLEDEHRAQEAADEELARIAAAEGLLNKGKGRARVDEEVAELSDDPLIKTLRMVERPFAMTEVDMAAAAIVKRQAGQKCDCCAGYRSAPVECMWVENATTCERCAQFQQGCYFDKVSVLGKRKKTRGGGSTTKKRIRPTSPGPLVVDSSGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESWGIIRVIREERAHIARRRALLHDMDLDLQKMEKAVLAKGGIGFVHGAVDDD*
>EED82068
MHGLSVIKQSFRKSWRRSRDISITAPPVRSAILPRLPVEIWLMIIDELGAEGEYDALIACARASQGLLWEVEKTAKRTPAEVANINLAPRWRGPFTVHIVGGIQRGRRLPIPHLTTFASRLAAKWTSLSKLEIESAEWGLDLHSSLHNFSNFTMTCLHLCDVAFPTVVTFWHLACALPHLTWLQLCGVEIIETSIDAQTLSALCLLPASKLWRIDLLPPPTKPVAGRPQSLARHSAQLLAQSMPLLKTPPWRNVRNLILWDVTLSTPAAFARLLGALPALETLAINGSCSFPEHGFNPSDVPLRPDMLSKLTTVELGKSFSLFSDPQSVCDLVDLLIHSGASHHLEAIMVWLSQSLRVTTSVDAALNRLVMHTGQSLKKLILRVLPRESLWMYIGASTHAGLNTANTHLERLVYSVGITRGDGSTIAPVAELLHQVASAHISHISLIFCVTDEADLAKLWTRLPQLDAALSQTIFYNLRLFWIGFRRVNEFIESPIPMTRLCLPNLDTRGILQVEQVSGTIHTEISWRNGQ*
>EED82069
MHDTIDTEMPARPIFDYTTTGMVPTAKPRPLPLEVLLLIIDELGADREYDALEAWAEASEGLLQERAYRYIPREIVFGTQEEAASINLAFRWKGPQAVRIEGGARTSERRLPIPHLATFASRLAGKWTNVRELTIEGAEWRMQDLDLHTILLDVGCFDRVLYLQLHRVTLPTVLTLWRLVHGLPSLHELSLRDVEFAKTAINDRMLSALCLLPSTLNTIYMLRPEERAVERPGFLGIGSAGLLQAIVVRSMTSLNVSPWCKVSRLELWDVTFPTAAAFGRLLCAVPTLKELAILGPCSFSEHVLDPRDVPVIPCRLRELELGEDFSAHSDPRSVHDLVNLFIQSGAGSCLKSLTVWPSPSFRVATSTDVSLARLIACAGQSLQSLELYAVLQDSLRLYNETRIYAEQSSVRCFDISANTHLERLTCVTNISHEDNSPIAAVTELLQQVASVRISEIDMTFRVRDEAELATLWNGLPQLDAALSKKVFDRLERAWIRLYGVEASHELPQASVRSYLPKLETRGILRIAVKDRIIRYDTH*
>EED82070
MSPSEIVDASRRNIRDFLARTGFSEAQTDFVRDLELETRLEDITKHWEDRDKLQEKIRTGIIMGSTAYRHTTIDTRVAIALLTTFCTAIDDPVTLALWGEDGALQFHRMLCQGTVQQSSSMFTELSNLLSRMWDYFPRFAASAILSSTLAFLNMTLLQMEAKDVVLHRDALPFVEYRRVQDGLPEVFAVFIWEKEKFPDVQTYIQAIPIIGDYLNHTKEELVGETGNYIHDRAAVTGKSLADTLHEVVEETVNAAERVRRMLGEEARNAWDQFTKGYIVFHTSNPRYRLLELL*
>EED82071
MRFLSLRALAAACLPALTLANVFSLSDLQWTLRNGNGSIVVPASVPSQAHLDLLAAGVIAEPLLGINDVTPFLEAVPQSPLSRTLLVFYGLDTIGNITVAGHPVAWVDNQFQQYVYDVTDLIASPSDNDRNITVAFESAYFYGLNVTSLPDTEVPLTPDYSGFRQYLRKTQSDFGWDWGPAFVPAGIFRPAYLVSLSEQYSLEISKVGQTALISPDQTADWLVNVTLGVRSVLPSFSPAISIAIPELGITSGPLSVSSIPASTSTPTFVSASITVPDGVPQRWYPRDLGTPQLYNFTITLALGDFFGVEVDEASFTVRSGFRTMELAQTAYLPEEIAARGITPGDQWHFLINGEAFYTKGTNIIPFDPFYARISSEKVRWVLESAVLSGQNMLRVWGGGIYQPSDALTGVYDFYSVCDELGILAWSEMIFSDATYPLNSFLLDSIDPEVRQNVRRINHHPSNVQWAGGNEIENIVIGINETYANGTHYLDEYVYMFQDFLYNITYEEQSSVPYTDCSTTNGVLSLDPYVLRFENKTPGYIYGNTERYNYDASQAFNYSTYPVARLLLSFRFHSMPSFYTWEEVLESPEDFAFNSTVVMSRDHHPPAGSLAWPNPNAPQGQGQMTMGVELWLPTPGTSDANQTFAQWCWSTQIFQSMNMISEIAWYRRGAGLGENNLGALVWQLNDIWQGVSWSSVEYSGRWKVLNYGMASIYTPVVVYPFWTPDNQTLDIMVTNDRWYTVSGTAQLTWYDWAGNELMPMTHEFTVPTLNNTVLMSLQGFDTILPEGATPTNSWMLLNVTAQLEDRTVTMETYYTPVSLAEAELVDPEINLTPGSNLTFTLSAKGGVAAYAWLDHPYGTVGYFVDAATQVPLNGFYLVPGIDRTGEC*
>EED82072
MAPIVTEIIHIKINDAFHEKPELHKELREGAAYGGLLHQSYGLGLEDPTQLHWILHFDQGFLPKDFTWPEKYGNFRQKISGITASDTVSYFVPLDAFPSKITSAPVTEIAIMTLKDDVSIEQYKSTADKMIASAKQAPGVHDACYGITEADPHRAYVFVGWDSIDAQHKWANGEPDIIAALKQCLAGLEMIHVKFNDHN*
>EED82073
MAARYMVPIVLLLVEVIRTTMIRGRLSSIPEPEARM*
>EED82074
MSSKLPSVLSATDEEIQLLLAAQAHIGSKNCDKQMLPYVWKRRADGIHILNIGKTWEKLVFAARIIAAIENPNDVCVISARPYGHRAVLKYAANTGAQAIAGRFTPGSFTNYITRSFKEPRLIVVTDPRVDHQAIREASYVNIPVIAFCDTDAPLKFVDVAIPTNNKSRHSIGLMWWLLAREVLRLRGTIPRTTDGWNVMVDMFFYRDPEEVEKQQQEEAQAKAAAAAGEAAEAPLNEWDVTAAPAAGGINPGLVAAEGGALDWSAEPAAAGPTDWAAEPTGASGWGAEPTGPSGWD*
>EED82075
MRIPDVRTLGVYPRGRGAHLTQSGAPCDGGQETIQGRGSTGRHINRPFWHGTCQRHQDRPVAGALRCDTLTSTGVRRVLPTAVRTQRPLARGRQLQCVPRLRRRQSTGRESSRGVDSFSPGATACLGRRCGDVGGDRLRCVRDGATGEGDQGH*
>EED82076
MAPSNLTISHIEKPDGKLVEEAVQLVVKLMRNGGNLDLFPDWARGFVAASALISGEMYAAIDESNTLVGFAMWMPPGRVPLTTEEERQLGWNQFWKKLSPEGREYCENVLAKDFSKFLEESFGFSDVQKSTYWCSFAMIREDYQNKGVATAIFDLVYAKAKETGAIMALGTSLEMTYAFSDKDGCLNLVRAIRTFCIHSKSLRRHNSSKDTFRTGHEITMKEYLCAELDPGTTVSARHSRTTRTSACDALLRDMMFSYNTRIPCALNYCTGRDRSVDMGLSYIGQRMSQRIPVGKLFIPSRTYALTPTAVPFLARLLHA*
>EED82077
MTGFEGREIWLVEYTGPEARWRVLGRTELLPLPTPFRKRLIQKSSPMRTTNEGRTARRVRHREECIDERGSRRREERISEIGSRQRGNRREGRAEEKTEVMGEEQMHVVNTLALLVIPVPRARACEGRPDEGTSLIKLQPREEQETTDAWVWRRGCKMSLGDDEVEHPEGEDTSAPTGCREHDMGFWRFWRRKDDAGVDAMANDTGRDTLKKRLLCRKSASHSSTKSAYEMILMAIALRAKRAKKPASESSNVLDRGYLSDRGQCSLALHPRKTLRILMYARGIAGRICAGTIHERSGLVARYFTQRWGQPSDNQFQAFADASLNEEVDEIIHGTGSGQQAQGRDRPGINGGLDNVDVTEEKLLEVGQGAHEAPKRQDRGERNIMQVEGTDREAFQVEQEGVRIKILCPPFRSFDRQYLHAGPFPCEPRRECRQRSARLMLVTSSCVLNAISSGTYRHALSCIDPSVALAHASNASYSRSAPSSSMIISQTSIGTSQDMAVATGSVVILLNDGIATHLVVLFMTALESRGDLKGLSGTQSCPSCK*
>EED82078
MPTRPRGPAPYQLVTLKAHNPEATNATDRAALEAYLSARREYNKAVKAADEAIDHHKRLLRQQDDRVLTELIRLNNLKVAHRFQPLLPRSIRARHNKFIPHAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKKKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHSGNMYGIICKNEVYIDIPKLVKYTSGESNVEMLKGLCVTREAALWEYCEVKITQRLASMLDESIESDIYASCYTQGRYQPSRDTLQTATGAGLNEEVVHGLMIRIGQKAQCCKYPGIDGSPNNSDVTEMNPLEPWERADEAPKGQDRRERDIIEKEAFDVVEAG*
>EED82079
MVIALVCFPSLKAWRPIVTELSVELTSIRNVSHNVGPATVIAEREQSCNCLTGAREESDGDIDVEHINTRKRRNDNSYWKAWDRPTLLQLASAPVACTSRHTPYSANMNEETTALSAFSVDSNIRIYPRCLHQHGVALYQAKGRLRTTQGLPALSLEKPTHSVNVIKGGPPSTLCSENLIKPCAVHIPQGLISSVYRYHGEGQAHALGLRLDCDLQCMLQKSAPIKSFSRDDLYIAVEPYQEAVDGRVLSDLSSPSAGTMETGGNHITTGTVPTAVLRPLPLELWLLIIDELGAERDYDALEACAEASEGLLKERAERYIPNEMTFRKQEEVASIKMGLHWKGPERVRILGGMRRGGQLPIPHLATFASRFAQKWTRAGTLTIEKAEWRVQDLDHHHLLLDLAYFNNVSTLFLYGVTFPTVLAFWRLVCALPQLRWLHLYDVTFVDTAIDARTFSAFRLLSAPRLEEMDMLPPMSSDGGRLVSHQETDSAGLLLALLAQTVSSLKEPPWRNVRLLYLWDVMLPTAADLECLRFALPSLELFMIFGPLSVPIDTHVQQELRQHPLTVDSRRPVLEGMKQHLVQKNIKKEISEKVCESIFLSPPNPVSSRTMRGACMSVETESQAWLPSERSRSSSARNICMTIRERGGVVIWWKKPFSVHGASEGPLDKVIFTYRHQFRSEDAMKEGSNPQIIAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMRLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNLSRLEVKRQGNI*
>EED82080
MYHHSRMSGATDADGGAAYYPVMQTDLCATDCDIRSTTTQNMNYSLAVHQSAPFFEMPGNPTPLYPTGEFVYRRPSSGQGEFAVIPYFENSCYLSTDETECDAGPSGRNNSPYPHLTECAPHHSVHTPADEAKYSTLASSVPYPIDTSRKGVFASRHGSDSSWSYESSPESPPLATPPHQHPLSAHGDTYVSDDLNWQHDADWDTHVMGSCQRVAHHLQPNDHSNRSGSYPMSNLNVHGVQGTPNMEYNHSLAGYGEYPTSSSPQHPPPSVYDYSSFEMASMHYTSIESPASAIRAPQPHMPVGRRTYQDDLDLTQPPHPSYILSIPVGSPPQAPLPVPPPVEDTPKKPLTLACFFCRKRKIACGSPPPGKIDRTCNQCARRNLKCVYPEASRRGMRPKVLYEKDMLQAAGLPVPVS*
>EED82081
MMIDPAILCSLSGTATMFPYKRILHFWAKASLFANPTVSYILTSAGNIPVDRKAKDRKQLFRGTLQSLSHGHAVAVFPEGTSYTEPRIVQVKDGAAWAALEYAKLVREHPEQIKAGAERVVVVTAAIVYTNKTKYRSTQFMSTEEGAPRAAVKRLTATIEQQLIEATINAPDW*
>EED82082
MALERARELNPEDWICSYFIGEVQRQTGQYQEAIVAFESILTKHPHELGVLVSLGQAHLDLGRMHVTAAYTARAESSFTSAVQVALQMIIEASSGFRRVAWKIVADAVFHLSQFTQFSDEDLVLDVLYQLVPFVSDHPGEGLTGIVTLPLSLQKSANLPLSALEIAVAAYNYRISLDALDETAVASAHYDLSAALFSYSRKASAKQQLAKQEATKHIKDALRAEPGNDRYWNALGNMEFESRPKIAQHAYVKALDINSKSTITWTNLGLLYLHHGDTELANEAFYKAQTLDPDYALAWVGQGLVASLNGHAPEARALFEHAVGLSAPVPDADVEFAKRVFSQLNTTAQIRPSQLDAISPAFFVLDRFCRGRPHDASALHLFGLVCERIGHIELGIDIIGRAIVLLEAAYEDTEDPVVERRFSIAHTNMARLRLSVQDYEGALESYQVVTGLLPEDSEDRSARILLTQARFGAGLAQFKLGQLAEALALFEAALDTAADEPDMRGHVIVMLAQTLWAMGTEEGRESAKAQLLQSIESDPDNLLAINTLAGMGILTDDDSLVDAALSEILALPPDQRLARDPDREVTYLLVQHHLGQRHQTQGDVQQALSVSQKAVIVEPSRPEIRQTLAELVLQQGDGEGSLAVLAATHQESLAQQRDSLYLRAIARCVNAKTQEEAHQLAHKAVMLTPWDKRNWQALAFVKST*
>EED82083
MYTATSPATIRGLGITHVASVVKRGCPRFPTGMDHICIPIDDTHDAHLIEYLDFTIRWIRRAFDRRGQVMIHCIWGMSRSASIAIAYLMASKGWSLEDALRHTVSKRQVVRPNSGFMRQLKTYEHVLRE
>EED82084
MTIRHPWVSQYLDALGREYQKIVKPMFYWAQQSRAIQKLGTIEGVNKLLNINEELDETRSSCVLALAALKVFGSINHAAHFALWGRPTDMRSAKVVYEVLYHQPQLIESPFYWMGNQEMLRLLHRHHSFAFPVENAANPKNEDEEDRDEARREVEAYWAREAEGGESIVPAGVRRVVKAGTPPKHGIPVAASPSSSSMSDENEEVDVVLLEATGSTTARRSLRQAIKCNRAQRSRGSSTAVSNTPVEAQSSGEPQSKTRRMQKRGREEAEEEHGCEDEVTERT*
>EED82085
MGVLSDGTFTVKHPWVSQYLDALARAYYEAIMKNEKDRPTYYWSPQPKTIQRLGSVEGVSGLLEMELDKTQSARILALAALRVFENPRHPAHFALWGRPTDKRSAQVVYEMIHHQIPLITSPMYWMGNEQMLYHLHRQQAFEFPLQNRAHPKNDDEEDRLQARKAVAEAAAQRATIPRGVRRIIKAGSSPELGIPVTAHGKLHASTPALSTMAGNDEAHVVTVDGRPVPVRRSCRQAAKQGTGTTNPSGLGSSTAVNDMVAPSGASRMGLRGKKRKATDVEEAKGPLCEAQSGKKNEVRSSKRITLKAPAYESTTATPPSDAPSSSTSGFRWTYPLLSGPMLGGTDSDLREHSFILMSASTILIVK*
>EED82086
MFAVRLATGATFALLCTCIAEVLSLTASTDDHPMDFNNNRDGVHHRAYHHGPYETTTITETGWNYPLTTTTEVMTYTYSGPIWSGSPTYSTVTVDYTSTYTEVEIYSKWYGTWPSACKYP*
>EED82087
MVIRMYSAALVAGFATGCVADAPEDAPEIFFYQLDGLRRAESVPVRMEERDIDPDLGRDKSIREEFRARTCARGTNELICSLFCSNSMGRMFLNMVSPEIRVQETP*
>EED82088
MATKSSIVLVTGCSEGGIGFSLCEEFAAKGCKVDAIARRLEAMEGFKHPNIERLRLDVTDDTNLQEVVKTIIEREGRIDVLLDNAGAGSTSPLAEVPMRHIIAMFDTNVFSILRMARAVFPHMASRKSGTIVGGIRYLEAACMLLRRPACAPSPNPSTWSVRRSGSPSCTPRRAPSSPTSPQTAPLHPTSPSYLGAMIARGNMTQGGAMALEEFARRTVSAVLRPKPPRYTSVGMGAGMFAVLPWLPRAGWFFWLLWRRLGGEPRLDAACIAHGPDGAVSSGAELVSLGWETYVLLHRSLSSNAFGRRGADEPVFGSCGEFASKRCRVYATAQRAVDTSTARHGHGSPPVATYLFPMSKNQCCPQRTPFKVGRAAVGIPTQSTHALAAARSRADTLHHTCTRRCRPQGSPDALQVDSRHNYWAIYQVVPTVQVERRRTLICRAIVLGRTFFGIVWDSPMRGPRAQPGALTVIPGGRGIEEEEESGGESTRPAQTRLCRAPAPADTKFASMPWHVLVPRECAETDAEGHLSKRGVFCHGETPRYRSWGSVDAMPESGRKECAAAVYAFETRALLRKRQRSSHTAHERTGGAIHQTIGVVPSLLSKRLQCGTEQPWESPREENVPADEAKWGSLARFRIGCAARAGELMTVERVGSQRQEEGGDAVLRAFCERGQEMCTSTAESECLLSGAWSRHGRRDQGWADKGRWWQAGDAQGREQPKGGWVLSHGGSEGESADLGLEAGLGMPAIGRLRVQDGRHGRRAYSAYIRACACGDDPGVTLAGMHARLTCSLEEPPRLHVRAAGQDARGQGRSWSSPSSPTSGGPVLTAAGPSRGYVRLLMGVCACDVIQSSAGTS*
>EED82089
MPPVLPPDDTLWWCDPSTEYAFVGFSYEVTACQSLETLHREFADIRYHFDSRYVRLYGACDRDGFYDDIVDAAWANTLGVHALIWFGFTGGDIWETRRDTLLATLHANPRAKFVTRGVQFGSEPLYDNVLPHQVLAEQVLLAKANLSSLGIPVTVSELAYGYQERGGAQDVLDAVDFINIHMLPFFDQDASTANASWPIVVDKNLDWFIEHGDGKKMYFDENGWPSVTSPNVQPNSPYAVANIPNEHAYFELLDSKCEFLKAVEGGGVGWFAHIYSDNMEPGYGIYNTSGHPKFPFHPRTEC*
>EED82090
MGSVRTSCLVSYHYVSFFTNTTTQIQWSIFMKNIGNDNRVSPVEVLYAALPTFLYFNSSFVWPLLRPLLDSQVALEHTLSYAVRDVETRNILIMLSVYLSWLQAHGEEEGSLVPSWIYHNSDLIMYWAYYLNDESVTFTNQMSADLQTEANMTNLALKGIVAFGAASNILSQVTDYVIPLNAVTEMASQWDSLVTSDNQSWIPFCYGCLPSWALMYNLYADVLLGTELINSMTAFYANLLLNNTETWLAFTAATATNSTVRDALISRIWEHASYNQTQCIFPTLYEVNRIGITCNGSANTLGISMPDYGAVSQHSEDPTRSSSHVSAIVGGVLGGIIGTVSLILAMRWSRRTFHWRNGRLHRNVARGTIEAVPYTYARGNDLPALSGVITEKKQREILQERADHEARTAASGIPNDAVPAGVSNQSDRDLVEDVEGLRGELAELRRLVHATTPGALEAPPNLPSSVRYIAHRFLVGGLTQGTWVHPAQGRHGRSDPTKTVRPHRNVPEIFRLSAAPPEDISNAFVNANAHIGGIDVVFDNTEIVALGELQGTPGDLAQTLFVLELLGRPQGVGQVLLGRAGLEEMSCSSTRHY*
>EED82091
MTLKAPRVWFITGSSTGFGRLMTQCVLRNGDKVAATLRKPAMLADLAEQFSPDQLLVLKLDVTNHQEILDTFTEAGRHFGRIDVVFNNAGYALLADVEGATDEAARAVFEVNFWGAVNVSKEAVRWFREENKPSGGRLLQNSSVLGFTPDAGIPFYVASKFALEGLSESFASSLDPAWNIKITLIEPGWFRTDVLSSNMDRSQVHPAYAHLPAATYHKHLDGNPLVRGDTEKAVEVIYAVANLPDPPVRFPLGRDCNESFRKQIAKLTTIMDQYGSWSDELDVE*
>EED82092
MTECALRHGDCVAATLRKPEVLSELSTQYPPDRLLVIKLDVTKPQEILDVFAAVGKHFGRIDVVFNNAGFGVLGGVEETSDELARSNFEVNFWGAVNVTREAVRFFREENKPAGGRLLQNSSALGHHGNPAVPFYCATKFALEGLTESLVMSLDPAWNIKITLVIPGWFRTDIMAGSMIRVPIHPAYAHLPVAAVHDQLDANPLMSGDTAKGVEVIYRVASMPDPPLRFPLGKDSVQNIRDHMEELRATLDQYESW
>EED82093
MTQNTGARLTTSRITRLFRPLRAKCTSLADLAASSSRKTHSVSITYSKHYRGLPRTASLETEAPPLAVLQPPENLGLRIHLDKASVDNLQLSKKIYEVRDAFRSFVQAVLGSATNEPAKPTRIMGLAAMCAVMVGENIQGEIEAREHEADATDGRDEDIATEVMDELYNAVPPHYRSFSVVSHALTFVLDTCPHHPTLLTALLDVCLSLHLVPESQRTLRLLFLSAITPSVIQSITCPLSHPAHSNYLTSLRATCCTPNARRGQHCLDNFTFTQLLVKALSMSGLGRVDAWTSKAVARLARELRAHNLSAFLHLCAGVAHSIADGEKIKARSKRKGSSPIEPIFEHRLRTRLARWIKALLDRIYARSADPSVPDNGELGTGDHHSVVNFLLYAASFDLHNLPSTPAESSPAVSDTLVCLATYAIANPPPTAPSADLHALADFLRTAKPKPETYDILVSALLSPPAETSALSSVVPTPSSTPPPLFPTPPSSQQLAPQHTVMQTLRTYADTLRMRRLSLHEASLWSTALRHVEDTLLRARALPLLTEREDTASTSPESEMDALRAQLVARVESAEARYFGAQNQMQNVNPQAVRQSGNGAPGSEFVWEEMVGCWVQRSPQILKRGAGRAAKRRKLEHHSNVGEDEVQERVLRSQSRARPSQRNLTGRSSLPGFSTRPPTVARGRPSMPQVNFEADPGSDDLDTIPRSRPFFQRRPRVASLALVAKGDTGDIHVTAPRSQLAGRTRLASPPLSEEEDERSDMTSTPRPRSKGKQRAISPSLVPAEEDGGDAPSGALQDADRATLPTRRVTNFGTIVADALMNCIVLHPERKRKPQAPQRKSEVLMYRHESPISPPRPRPSLPSSLGARVVKPKSRVSQLKAPGKQPARRTMSDVRGYARLTPPPDDPLDDAHGGICDPDQLSSDDALNLFAYQE*
>EED82094
MLPVTTSIPAEQESGSPYVKNAWHGSPARYQARLETVSPTAREWNTTEHNTVVDKWGHMICQTTWREATVITRYHLTLPPPCPGRLRRFRKWTTNYFTSTITLWDNAYLYLSGASQCTFLCMELHLDTMQFVSRLSLYGHPPHVLTRFIKAAITNGTENHHNLVFDVLLRRRCVIYNDMNSIDGSYSSIKMVYELRRQPFRGIYITIQLLQTYLIRMPIWALLSLPKFLRPRPSWSVFKTFITNYARVWSDIGPIAARVGPSEKWPDYRAIPDTPGIKSIWLEPTPQLLIGDVARWAEEADVRPTRIPGYWYDKEGTDTPVGAPPEAGEKVILYLHGGCFIAQSAHPDNMMGHCLREVLRHSPAKRGFAVEHRLVGLAPYTNPFPAALLDNLAGYHYLVDIVGYAPDNIIFLGDSAGGAHVLALARYIVDNITELSTLMRLPQTPPSNSMILLSPWCDLGTSHETPGSSALTFTFDFLPDLRSGLMRAARLGYAHAFPPGAAETNPYISPASRHPDCHASFKGFPRTFIDVGGAERFRDMGYTLADKMRKDLGAENVVFREGTDAVHCYIHSPLETEEAAKMLKAVEAFLE*
>EED82095
MSAQNTSETSTSKHNWFLRYTFNPDLYRFHGLAIDDLPYVCKRYIDQHPAILAQPETCTRQCAELILTLWDDLEDRDTAILAIRREFRAVILQVREVDKLHGKHALRFFKARQREIDDVRDHVMQLLISRRKILKHFVQLFDALLWAELNDRDNLTGDDCQRLRCTMLQPIIKRADSELQNLENAFNVIWDEVEQLVPSVQDAIDIVLPNRHAQTRKDETQPHEETTTDTESGREDREVSLLVDAHHGMHVRFASQSDVITHPHFVAAAMDATHDEYKTRIDGLPREAIDGLIAAGVEPFQRAKSPRYPYPFNGKQINELPQRAIDHLVLVGKSYGLFVPPASHAAAQQYSLITRLFDTLAVIDNIIVASRHDATNVPPKRGSQTRTHTWWPRALQRDDPHIRQIVERLLPERMRVVKEFVELFDALVWIEPQDGTLPASAVATLRDVLLYPVLDRVDGALTDVQRFVERGWRPRPKIYTDLWAYRAVEAFQEARDKYFAPLHRGST*
>EED82096
MTKPSQEAELPRYPWPFSRTTVDKQLHQAIDGLVAGTEPFREAKSPRSPYTFGRIPYAELPQQASRYLFTLGNTWSIAAEQYGLVTEFFRALAIVDKDIVDRHRASRGILRPHTGWQKALRLNAAGIRHNAAGLLLERMRIVQDFVVYFDALVWWEVHYNNTYVDPDIVRNTVRNIMLNPVLDYADAALADVRGLVEGECTERLETYILNISIPAPRKPAYPDSLHAAWARGRPRSSPPEARNRPHEATQPPRWPWKKPDIATSKIILQMEEQGGADDAPAVTHQMFGASAERCEEGEKEEEEKSTKYKRGKDQAVETIYEHKTIQE*
>EED82097
MQEEGVSLRGLPRSLTGSRYWWRFLNHDRDWGLEDDVDQFVKSWKSLKGKPDAQVELINTRRSQAEEIQKHAILCRQWEKDRVAFRAEELNQLKRDRFQSALDRLRQLGWGEELDRLAPLYKSLSQYDPLRAAQKLTDRIWHNIGGGVVTILEDIRDERRRVRHTEILRKRLDALCTVLSEVYPNPPMTVETEYKPRICDMIEMPEVREIIHSSNDPTLGSENEEKLRALFPNLMERWQAETREELRRRALRWVECVDGADILTLAATHFACSKCLKLLPYPEILGHKCLRVSAPTYRGHPYNQYCVYAIAAWEVGVNSRPWNGQDGENCVITLRTAVEEEWTRWMSGSYDKTLEYKGRVATEPELAAAKKNGQERLWKRHQFIWCCSLCTPRGDYLDQARNTCLSMIRDHMKETHHDEDVGTLLYIVYWIIFVPLLSSASEARLVVAVTTREKCYKRETAPLSMHRDIRLSEHLKYIVYEAQREPMRSIYIALQAAHTCLILTPLWVISSIPRMLRPRPWWSAYKTFALGYVRYWSVLGSVVERAGPITKEPDRRAIPDASGVKSVWLEPTPQLLVSDIARWAEQADVRPFSIPGYWYDKDGCDTPIGAPPEEGEKVVLYLHGSAFIALSAYPHSMTGACIPAVLRYYRS*
>EED82098
MSSQPEFPPHAGFIRAINEDGVKRLLTSPAFTTAFTRLRTAHGYKLPLVFPSTLAELNLLATLSILNFGSGYRVPLHAATGRGAFDSIRALVFALYISATGAGEGDYLSAQGMRSVGAHIIADLMGLADKIHVERAHESIPGLAVGELGGPLWELVQLVTRTLNDTGAALVQGGYPDLGSFLLEALREGERAQAHGGTAEGGADIMCDVALERLVRFLPAFRDMAVVGGEPVYCFKKALLTLHAVALRFSTTDARVPVPRTAALPVFVDNVLPSLLVHLDVIDLSAARFGLAGVFGDAGSAARVEALLAAAATRDSEEKEERRAVPKEGPVLGEEQAYVLRAAAVDACEAIVQAAHELGKGMGTDGAWLCDMTLPELDAWLWAVAKDRPDYRKLERFVLRDTTYF*
>EED82099
MNIVNTVAARLKTPLGVSGAGVLAIHPSSAARGVRASLTGAIVSRDRINGKIQERPLKAVKRMEDEVDWKRTAEKLQQEIEIWRSKEESSRKELAEAKRREADLAKERALMKTLLDTRRQELHDAQRFLGTAEPISESNIVQDIRRINAEIFNLARSAADQIQRDPKRQTRRDAKAQVTSVLGENFTRHLQTMSSQDDTILLEIAMQAAVTRYISQMISNWYSNGDGNAAFSIVHELIRQSGQWRALTRRYAQPTPLDAQQFENDHIRRLSVLLSQILQVAGMRESIGQDAQEILQIIVRAALDIRRVTGEVMVSSDYEIPPIAVEDIFNSQEMHDAYREKGIKRATGLRVWCCTTLGLRRVEKLPHGLHQVVLIKPEVALETLMLDLGIMHDVNDTVMQFATYIRIQKSRIVIAIASPEEPPEKEGMRLLRAKRAYRHGAPPPWWDHETQRWNTAVYRCKAVVSTMYGSKCNDRQARWLYKLGPSFTRMLFDASGDDRHAAVCLLTDKLVLASSSRDLPFAAATSGLGYTVAVKARTKAIEDTLNAFNLESAIEIAGIHIPIVASQDALSDALRQDRVLVVWGDRTTAIVRQFCQVEHRLRHLVGECICDAFDEPSDRNLATKDHGRSLYPGYNGFIDETFKRRMLEENVDPSESIPKEEPAVNPTLTLRDPSVGFWAGSNRATEVRTTNSGRRARHNDTLADASGSVSGVAATSHTTSYTRARISRAVTACPRKMGKTETSPKYGNTSSNMGASDNDDGDSSRDDNDDSAVNLVGEEIHQTYATSGAYTTRFSGRTSQSRGGEHVPLLNSTATGEGVSHEQSSHLQSKDTAEKQKPSPRITAAQKEK*
>EED82100
MDNMNDTPQTPVSTGKPLANSLRDDGASVAESSKKRKTEAERIKFLQDDSLSGEVEPYRMFSNGCQTWVDLNPKLKYVMQPFRWGSPWLCIHTPEVDAPVRRTIPRLPQISVHNVYVPARISVPFWKRDVAVQNTWGRLATKACGDTREGCMHGVRPRRTRGRRTCDGVGLRHTDKHAALVSAACVRDARSLAEPIQVLYILHASRLSLSLFTLPLPLPLMPTPKVPSAFLDLVGANRDLWTWEPWIDFTGLSDAPWSGKPGSKPKGWTDDDVVSVRAMVNAYWTVAPKDRMVFFKDRQSGAKGKSKASSPLSAADLGVLHTDARNKWSAWFNELGREHLAKLVDDMLMEEGHHPTQLMKANATQKIAYDTSPTLEKRTLQYLRFSYAHLPFLPPSNVAACQGQVHTFDSQDLIDVYIPDGPETIIYRCEQQPCPNRTPRSIPEDYPRYKAIRRAQHPLGPRSTLASRSASRHSRPISPSSRLPQTVVTDPDQARGDLPPDPAPEPEPEESEGEEGVSESESEDSVGSASPTAFAPASAVPDPPPTRRP*
>EED82101
MVALTATPVMTKATDLWFIGCMLGIPAFDEPQHDKELYQWRSQLATAMRNDRASAKHSGSAMKVVTRVVHGLSVEDDALENGFSKVVDAMMVDVRAKYDGYVVRRTLGSLDWEGKAISGLPPYAEHILMLKLTGEEYKNLDTIANEAAELNPGGSIAYNSGKSFYLSVRRALLHPSCNAEYKWTPPTSREEWEAIATAKISALITILKYHLEQDARPPLVSVPVEDPPRPQSPSSDTSSDEPAAYEERPANNLAPDPDAQPDPRDAHSKPDKIVVYVAFPSCFDPLLKILQMYGIEYETLTDTMSGRRRAEALHKFIQSDAKGVRVLILSNVGAVGFNIACANILIIIDTLWSAQDDSQLIGRLWRQRQLLXEPREESTSTSAVSGTLNDALEKFTLDAAVQDDVEPIPSASVDTQSQQRSESPLSDVPMGEAHDTEPPAAGSSKRPAQGPTGESPPSKSTRAGGRRGVNKPARVPVVVSEQPKPQPKPRRGRKPKRG*
>EED82102
MGAPTAWAARSSGALFVHRQLSTTPPGPTKSTNGAPQPPLPTPRKHKVELRPAPLKPVKSSTDALSAASKPPSPTPAASSSTLGSSGTSKQESLAEVTKHDLEDASQHGILAPPPADASWVGRLWHQGKELFKFYWRGMKLIVSNRRRVREIQERVKAGGAPLSRWETRFIRTNRQDTLKLVPFVLMIVVIEEIIPLVVLYAPFILPSTCLLPSQKERIDSKRREKQKYYALEYKPLFEQLSQRFLVQSDTPLKSLDGSALSAVNGLLALPTYGPSALRLSRLQQHLAFIADDDTLLARESFGQALTQIELRDALEERGIITDGLSPNAWRTRLEWWLTHVDAKASGDLDPISRRVLLVASSGAGKF*
>EED82103
MAMGWDEDELAVSRRLVRFTWEYVGSKIKAACVRVPPGAIYDPRDTVISCIYRADEDACCVTSVDVLLLLERLSGRLFDVDEKNRIRRNLEGLRPRTVSKSRADSRDFFELIMRFPPPRPRNIEKDVKVFNWAVLTKALEKVISRYTVVEPSLKTTQQLAPVKSEAHVTQGPCPVSAALEELLGRPTEISADPQMPTLLHSNAAGYFDMHSVSSAASTPTVATPTASQDLTYRSLAGTSHNTREQVGVTMIKHEYYEESVPWDMPGLASDSYPGMNALDPIEFLALREYRTPTGTYS*
>EED82104
MRTAHGNPGTPKKVSSSQRFFSIMSSAFYHDTQPILLGPPTLTYDTPAYPGLHGFTGTAALQYPTSSMPAEHLSTHSKEPIFATPTSAMAPLVPAEQCIACYPRRDFPDYHYSIGPGMVTVRSPDIERYLVALARKYSMESAESACLSSVKEVRRLLGIQHERDLPDMWCKYIIALAGLATYPDPSHPAHGALWGPAVRDRTAAIIHEIYGYNPPLFDGSVAYLRRAISTPDVLPAHRTQIITMPRHRDAAAATVATDIAPSDSDSDSDEPPRKRARFVHIARQGADPNKVFDALVMSRSKPKLEPTRRLTRQAARLAAIVPELPTYRGQSPEDSGTRSSTAVSCESEETALDTIATTRCHKRKERDGDDVRDEGSGEREDETGALPADRLRKTSWESKRATSTSAAKAPTRLKGSIIAARASITGRRKSRRA*
>EED82105
MASFDPPSTLKPAQVAVAMVEHGIVKHRTRADKVFFKAVGAGIMLSFGGLLSEIVGGGSAGINANNPGLVKFLAGAVFPVGLIIPVTVTMAVTAPPAKAMRTSSALHFGFGQELLTSNLMIFPMAVIKGVVPWWGLPWNWLIDCALMAPGTVTFGNLCGSLFFAAVLTKYSGVISTEPYISYAQDFALHKAKEPEWYQIFLRGIGCNWLVCVAVWIVAIWIPIMIFVSAGFDHVLNDGLLAVVANMFSIPLGIMFGAPMTAGYYIGKSLIPSYLGNIVGGLLVGLPAVYLYLGDYRAGGLRGVEEGEGFSGGAPAVGVNGDGDASSSRGSGSGAKPKEEQYEVSPPNKAE*
>EED82106
MSAPTLAVVAAGAMGAAVARRFTVAGCTVLTNLDGRSAATRARAVAAGMHDVPLPELAARADWVLSILPPSEALAFATRYRDAHVRAESKIRSAKVAFADCNAVSPETAKKIAVLFAGSGIGFVDAGIIGGPPRDGYDPVFYASAELEDAELLESFAGLSKWGLKVSPLTGEGVGVGDASALKMSYAGITKGLTGLCSTMILAAHASSPATAQALLNELNASQPAILQRITRTIPDMLPKAYRWVGEMKEISAFVGAGEGEIHQGLAHLYERVERSLKEEQEGGGGQDVKALRGFVDDAKKVIERSK*
>EED82107
MTPKRSIPPYVTGFINPRSSGDEMYIFKDDKYVLIHFHTSTLRDTLLSGPHCITDDWVSLKHVGFSCIDAAIPNPSTPNQVFFFFQDRYVLVEVCSKPGAHDDKLIDGPKNTLIEFANLQGPQFGTPDVTLQIAWPADDGYYWVYFFKDTEWLQFKLARTVMARSTWRFPSREATDTRSTSVGHNMQGSGISLAQADAKRFSLRRDMQLASAAWQFIGVPLPVFEVTEALDLLVVFMIQCEGLLYVRFTGFEYDGDEELVVAGSAKDDGVDVDDGVVDEVASRWRRASLEGLSGPLCTMKLDVWRRRDQIVAASTG*
>EED82108
MRDLSRDDDFLSHLLVEKLGTGAAPLVVHKMDAGRTIPKANPDSLMQIVRTLVTAKGPPQAAIRVAVDELLTHASRYFELYLPTGSIEIAHTSRYSHKTGKSELCILATRPLNTGTVITELKGSMADLTDEEDMELKRIDATCVDGVQIRRDFSVIHSKQLKKNHLFLGPARFVNHDCDHNVELFREGRYITFRVIKPIGVGEEVTAHYGDGYFGRDNRHCLCETCEKLGRGGYATDAEDDEASDSGPGSPLQPRGRRAAPSVDSDSDSEEEEAVVVNVNARSTRRGVYAVVKDKERIKGKGKEKAAASELVEGAEIELEAEVEQDISSDLTSLPPSRSSAPVPPSKGNGLMTPEPDPQLQEWLRGVGAEGASVPSTPTKGGMRGASTSTSVLSTPTSFRSVIATRAQKAKKAATAEDDVAEDEFPDASASVSVSVSARGSGRGRGRRGRGRGFRGRGRGGRSRADMDQPRQLETPPLTAESASVSVATSIRSSSRIRSRGGDVTNLSRLTTPVPVSSSLSRAVEPTGRKDKGKERASEESESESVSEDGEDEPEPEPEMRTLRPRASLHGHLLAAHGLEHPVMERAEAPRGEDGKLLPTCITCRNVLPVIHVEGKPVWGLVLGRTGKRGRPKKNIEAECPRCLRHSEIYGLKWPERRPGDRSSAFLPTPRETRNSTPITHSALAALDRKLAFAKHGYAMPKRPYKRRREVEETEDGPPAKRAKPIDKPAATSTGRPRGRPPKHRVGMSTKAKQLLKVDTLKVIPKASPVKAKAAPVAKASASTNSGRRSGRTRVPSIKLRESVPPRLRSQSRAGHSASRPPTSSSTSASGSSSSLSGPETESVVGSLMEPPSTPVKRKREGEGEEDSPKVMTPKSLAVAAQPRESNGRFGKKSHTNGRFKRNNSALSGAGRRSRQQRALMRLRRLTKKNISTPRCDNEIHPGSEGSSSDLVTSGTSDEASSLSRKRPIEDEREDEDMAELSAKRIRIGEDLEDLDLDAVSDGDQDEEEDDAMGDSEPEYMFRPALFSSRGNSGAGLLCAPNPMSFARRKWSVTPIISQNSVDGGQSSTDDNDTDLPPTPEDDQDAPVVNAEELAEQLECAEDEDGDSEHTMSVSYSRPILSAPALGGKLLKPSPHNLARRRWAPPPPPKVQEERANGLLNRFGDLEYPYTSDEEDNDIRGPAEGLLELARSPKSPILAARSSRASTISDLSAPAALLELSRTPKPTGPSSNSRGISLGSTGSTGSSELVVEQLVSMSPSPRPASLGSPWSGSPRSIGGTPTIQQSPSLPWKQISIREADIPYLKDRSRSFSANLHSSPVTFERARWCDPSSESDSS*
>EED82109
MGISRSSRHKRSATGAQRPHYRKKRKFELGRQPASTKLGPKRIHTVRVRGGNLKYRALRLDSGNFAWGSEHVTRKTRIIGVVYNASNNELVRTNTLVKSAIIQIDATPFRQWYESHYAQPVTKRGAKKDVAPETAEEKKLSNHVQRKLEERKKDAKVDTLLDTQFAAGRLYAVISSRPGQSGRADGYVLEGKELEFYLRKLRSGKQKHAHGA*
>EED82110
MLLLLLWPGCVADAPEDAPEIFFYQLDGLRIDSSRIYNAGTLYSICSDISSVYYCANHAATSQTCSASEDGLRWATNGFAEAQTGWQAAMVLIVDGQNALFVDISLCLDPSRGMPWLCEINSMVIIIGYLEELQYALPLPALPQHAHEIEVDLRLVVSALLISETSTLDMDLWNRAIADREELANRQTG*
>EED82111
MFAVRLATGATFALLCTCIAEVLSLTASTDDHPMDFNNNRDGVHHRAYHHGPYETTTITETGWNYPLTTTTEVMTYTYSGPIWSGSPTYSTVTVDYTSTYTEVEIYSKWYGTWPSACKYP*
>EED82112
MTYDLPNIPRPASAEDANPPQLPSLSAMSETILTTSRPLGQAELWFLSRRQSRGLLDVHNVLTLQTSGGYSITEGDVGFAFAVTCLRHPILTTRVSFTSSPPVFVCATPLTAKHALKASRSLIDFNTFQDVREAASAIHDAWSSSDGEHAIDVRDATCAVWWSKGIGRAEGQYLFGLQMAHFAADGRGRMNVMRHFLELLASPDMARAELSRFYADIAQPLRIPESLEELLPDVSSLSSDDITKGKEAYEEYARLHSKRLLPSISSSQPMVGLRLDRTDDTENIDPRMCVHVWSRSKSSAILHACKARGVTVTHLAIAAMALAALYDNQYREQAAGDEGAYYFTAWSVLDLSPRLQPPTDGYEPGTTTRAVAFPVFLHLSQPEAAEPAPLWSLAVQCRDQFASFTKSPHFWQLIHRVRPLLVQNHIDRMSGRPTLPFMSSVGDCTKVLPVSLPGTKESTDQPPRITVVDLSYGIRSDATSFGLHLITYDNKLSLRFVYNGLRADPAAMDAYFGRIIEIVSRAGPGLPSL*
>EED82113
MQYPTSYVRYPNALVRDLPAPSQMPATTHHHISSRPSHLPVHERVQGGLMTANHPLVAHYIDGLERAYTTEMAKCKGPFNHRCPQPRRVSQLGRLEEVNRLVAADRNLEPLECECILALAALKLFYKQLHPAHFALWGRSVEARTVAQIQKMLAMKPQIIDPPMYWMGKTEMLWHLHRHQGWTFPRQKVSNEVASSKSTHGKRKGTAKTVCAAPVENDDVLQRNRARVVRVAEAGSSPRVAPPIVYDAPVAPHASTSAPGTTRYDGHVITPSMENPRALPTRRSTRQANKRNALATVPGSATAAPQTADVVLTRPTDTVLPRPTRESNSAVVAAPTDKNERHMVTRSGKRKASGIEKENGPIEGATHPSKRRRRT*
>EED82114
MQESETPEDGPMRRQSGQPSTIILALEFPTNRIVLTQGEVTKLCTSQWTRLTMKREVRPQAYSTWPPPVFSQHILRRTRSYEHRHRMKLDTREGITSPRRIDIPVYIAHETYTAYGDIPKLMVIALGVLSDGTSPKRAQEIEKTVTIRSRGYGNGNTATFLRNIMSDIFACTLHSHALSWDKIKCECFLTLAALKLYTQQKHTAHLALWGAQWPGVQGALWRTERQSLTDGGREEHPVQVVEVLVAVDGGSDIDLITVEAWYTGSARRSIRYSIMRSKTATPSSSSDTPLATGRLPDCPQPFPRDAIIRTAGDRILLWKMRQRESMTPKKKCKAAEVDEDNAGCRCYRRRSLKRTRQRE*
>EED82115
MTAPPVALPITDLKPILLMMSTCHRDLAKQMQAMDPEKSAEHTRRAVRCLQSVYGLDVPREQACATPLPASQSEKEGRHSLANTTNLPPHAPIPVSIPIPHTGADKAGGVQEVVGLVELRSAKRRLEEELRTERVVRQRLEGELSDCEAARVRAEAEKTKAEKGKELAEAARAEADGRAREAQEGERRARECFARLGALFTRAAGGEVAVNGDGDAGRSLWRDLNVQSGVFAVFG*
>EED82116
MARRSIVSTGRPLSLSFVTTALDNGWPGSLMNPRQREERTWLSRLQKSEYKQRVLTGAPGCTRKKRGQPAGSIVMIGASIVMRAHEPLYERLPAISRHDHVLSGTGLEGHRTALIERTQPDPVLDIAGSQDELPVLASVMFESVPQSTPAPHTSTQPETCPDTVARRTRNATRRSGSTTAMLPPPVPATPALAPPPAAALAPKPRGRQRLTEEEKAKRAAEKKRVMEEKKAEKAALKAAKDAEKAAAKAAKDAEKARKQGEKKKAGGPTKAEAATAAPHNEVISTSVPLKLEACLTQQRDYQPRSQMQRQPTSNALHRHAAPS*
>EED82117
MVNQASSSTYPGAIVTFHAPSVGRTFERVFRDASLSDTHSAIKKKLGLPGNTAIRLARVENGRMIDLEDDDDFDVFHALAHQQSRLEVSIAIGDFGFEPVQRVDEPTMGNAVAKKKKRKRMSLSDSLSRPNSPIITNGTSLRTDQGSASPGQGAMNAPDASVTSSEPAKKKRKRSKVEAPQADGEQSNVQPAPSLEKKHLMPAGRTNLIGRPAKPRKGTQPERSIIETAISSTEADSPTLPKKEKKAKERKDKPSGAPQVNEAPVASTSRLLAPVIPTVSKTTEEVVSDTQESTKAVKRKRKHKPEGESNALPATGTEPTAVDTDLPSVTSPAKPKRKKAKRVFDVDDVPVPINDVSEDRLAASSSREQRVNSPQSVLNGQLQETIGAPPAKPKRKKVKVPTDAEVLRPPSNVDPNVQTLSTTDMLESSKAEKQKRKKGKQPSVDAEAAPTPVPEVDAAVVLADSDAVENRKEKRKKRPVEEAVATEDARAPLAVVFAEGVDDSAAPAEKIDKGAKKEKKRKKGAESQAEASHQDTTHNPDDQPVSKPTEELVQSGLRSNVKGKATQKKAESSSTKPIGDKQPRTSIALSDPDLWASLKAAADVIMAKRDTDAAANRVSKSSKDSSRSRVETQATADHAEVTNSREKSKKSKRGKSGLSAVGAGDTQAPNLVEPEPSTSPSPADPGEHITILSSSSEQEQPEDESVLVKSPVIPTGSEIAEVPIEGRDEGSSNESESDDEGSEDESGDERDDERAPPTLNLSLDISATDIDALLRGPVTRKSLLAELPSDSSEDEDDDKVADDVPDDEEEKLDKQFRRLSQRLQRDELSSDEETDHSGSDNEADMTVSMPKPNLRRRWAAATPFRRMSDIASQTLFASQSINDSMSFSSTPAPKQSSLATPAGEGESDSDDDEDSDDSNAANRSHIPRDRMAGAGVQKKKSGLLAFAK*
>EED82118
MCINAWMSVMTIGHTPCSVCVSITPHKESTRWSNWHWLYNNRATITQRDTEVADHRMAEMDASLNFALHMVSQLPDRGDRRLPNRLKYIGGRRYWQIVVVQTLSSNDLLKCTFIWAARNIWFLPETYVRPEIVVKIARHRSDCRYARRLYRKQLGNDEGTDTVSAVRGLVWLLIPDPQETLSKAAELSLPYHLVFEKQWSVCRDHRHKAYPVRRGPREIYLVRPR*
>EED82119
MSSAKVWFVTGASSGFGLHVTECALRHGDKVVATLRRPPMLAELAAQYPSSQLLVLKMDVTEAEDVSKAFADAKAHFGRIDVVFNNAGLGHMGELEGTPEEFARAVLEVNFWGAVKVTKEAVRFFREENNPQGGLLLQNSSMMGLIASQCTPFYVAAKWALEGATQSLSMELDPAWNIKLILVEPGWFRTGIASAALRAPVHPAYKESPGVVKFREWAATNPLEPGDPAKAAEAILKIADLPDPPLRFPLGRDCIASVKNRDDKLRDVIEEYGHWSDGLDVE*
>EED82120
MVYEAQREPLRSIYIALQAAHTCLILTPLWTISSIPRMLRPRPSWSAYKTFALSYVRYWSVVGPVVERAGQITKVPDHRAIPDAPGVKGVWLEPTPQLLVGDVARWAEQAGVRPISIPGYWYDKDGCDTPIGAPPEEGEKVFLYFHASAFIALSAHPHNLTGACIPTVLRHAPAKRGLAVEYRLTDPASNANPFPAALIDCIAGYHYLIDVVGFAPENIILLGDSAGCNLALALARYIVDNIAELSTRMKLPPTPPSYSMVLLSPWCDLGTSHETPGSSALTFWYDFLPDLHRGIMDAARRAYAHRLPPDSREINPYISPASLHPNCHASFKGFPRTFVDVGTAERFMDMSHTLAQKMKKDLGDANVVYREAQDAVHCYMYLPFEADAAAKSMKALEAFLE*
>EED82121
MSPKVWFITGSSTGLGRSMTELVLKNGDIAVATLRKPDALSDLVAKYPAERLLVLKLDVAKPDEITAAFSDAVKQFGRIDIVFNNAAYATLGEVEGTPDDVAQHLFNVNFWGATQVSKEAIKVFREVNKPVGGRLLQVSSMVGIMAPAGLGFYSASKHALEGLSDALATEIDPEWNIKITLVEPGAFRTNGVTNMGKFPPPPAYTNPKLPTNVTRDVMQVPYGAEPDKAAEKMYRLAELPEPPLHFPLGKDAISAVRQKLAAISADFDKYESWSDDM*
>EED82122
MVYELQRSPLRKLYILLHKLETYVFRIPLWYLKSIPRPLRPRASWSATKAYLMPYLNYWHDFGPIGERVGPMHSWPDYRAIPDTPGVKAVWLDSTPQLVVGDVKQWAERGNVQPIRIPGYWYDKDGHDTPIGAPPEPGEKVVLYLHGGGLITESAHPSNFMSVVPLSIMRHCSAKRALSVEYRLVELSPEANPFPAALFDCLAGYHYLVDVLGFSPDSIIVAGDSAGGLLTLTLACYLIDNLSELSTRLRVPPSPPASALVLLSPWCDLGTSHETPGSSLVTFSYDFMPDQRKGLVFAARQAYLRSLPPDSGETNPYVSPASRHPECHASFKGFPRTFVTTGGAERLLDQDRTLVEYLRRDLGPDSVVYYEATDALHDYIHFPQFPQEAAETQKMLKALEAFLE*
>EED82123
MSSPAATPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQVGVQGAATPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALRQQQAMWFSNLEEILSRFLRQVRKCRMAELFRMVGAGTVLVL*
>EED82124
MSYLGVEPFQEAKSPRYPYPFSGKKTDELPQQAIDHLVLVTRSYGMLVPLASYAAAAEQHALTYKLFEELEVIDTIIVASRHDATNVPPKTDSRTRTNTWWPRALQRDDPYIRQIVERLLPERVRIVNKFVVSFDALVWIELKDNTLPTSATATLRDTLLNPVLDYADAALADVQRFVEREWKPRRRIYSDIWGYRAVTAIEKARQRYFATWAQRSSWPTTSGPSDWGTPAALP*
>EED82125
MDSLNNLANSLPPSNLANAEKELTNNFKSAALALTTLYRSSRRTSKRAYNAGYATACQDLLLMIQQGVSTGESTDSDGPGMTIGRIMDYIEARLDAIKSREEEEDEDEEKDRERDRAKPAAGPSAPARVASLPAAAPPRHKDLTRAGLARSANLRVQGAFLIGSIARPCRCDAHPGAPIKDLGSDESFPFGHLSDPLRKG*
>EED82126
MFNDVVFVEDKGRPLGQGPVRRLYTLEVAVPSAYGWEHIEVPRAIPSVWRRAIQQTSQPEGASFVWFDWIGCPPRSGVRLLDVLWGGPDRARLEDRLRGGRVMDFDCYPVRTIELELVPELSYYGLVKREVTLCLEEDLDIQDPHGPSRAQLAVAVAKTVDSFLHVRLKSTVLSGDDVPPCERRRTVLEVFMANGINYDDIIIVGISGYNQTCDKPTFRILLDAWMHRPSQELTATNASSVVSPLYRFMRVSVDDRPRSALIPRRIPHRRTLDQWDFESSSQTDYGIIPDIRFDWIGRDGEGMHMGDVIHYYADILGTLMRDGACAPLQEWEDLDEIELDGFEYDTTIDTRMPVREGSNAYSLARIVRRTAAELIRFFRSMMQQSAEGIDKDELANYCLVHEAIVNNQIIMTHLSHIGGSIFRVNLETVGA*
>EED82127
MSSPTAIPDKETLKLLLPLRYDGKSVVECNRFISQLLIYWTINTALSSLELKIQVALSLLDGDARAWATPIFSQLASVQMGIQGATTPFVDEKAFLQAFKARFGNLDDAAAAQVELAKLCSDKTMREKRTAAEFSALFKGPVDRSGYGNLELRDKYLSGIPSRVYRKLKLETFATFFSARGRGRGGARGGAPSSHAASASINMAVGKGNFPGTCFGCGKQGYRRFECPNCGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNEQPFEFPDVQYIPIEAPELPPAPPTPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKELPKELYVSLATRVARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAA*
>EED82128
MKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLPNGVRAHFVPGIVPLRFFLH*
>EED82129
MPSSMQQTEAYWVAVVWMELSTRPLAQSSWKNVAL*
>EED82130
MFGAGSFIVTYSVLTAIVACFPPGVSENHPAQKAGIAMIFMTSIFFSLSFGPVIHADGSQVFPTKTRSIGTSVATCANWALNVLFSQVSNLAIANISWKYYLVFICLNTVDFVVITLFFPETKG
>EED82131
MEHEIDVWIAQLSQCKQLSEADVKRLCDKTREILMEESNVQPVRCPVTVCGDIHGQFHDLSELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVALKLRYRDRVTILRGNHESRQITQVYGFYDECLRKYGNANVWRYFTDLFDYLPLTALIENQIFCLHGGLSPSIDTLDHVRGIDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLIMEGYNWSQDRNVVTIFSAPNYCYRCGNQAAIMEMDEKLSYSFLQFDPAPRVGEPLVTRRVPDYFL*
>EED82132
MNFSATHETPAERAAMNDFKANIWEVFQPLYEDKWDQARWNAAVARFKTAHEPAVVETFMKRARLPTWDALEEQMKKGPPAFLRPGWTSPLLGKRVDMGWLDTDSFECIRPSKDGWRNKKVLVVEFWASSVSLLTSVSPPCHVVCDILSDIAKKPDVKVITFNHEGIFTKADINRTVVKGFVDSRVFSASTVTLSLTVSVPALFEPGQNLSIPLAVKFGIACAVIQDWQSAVNKFVRDIRECRVSIAVYRHVHVINEVSAHEMYYYLRLTLSKAVKPGSWKRKIETEKPPGFERRMSDIVDLTNEDSGDHERDDTFGPDLRSESPLSPVARLQLHTAILTCPEDKLRGILSELVERIPAVEKAIDIRTASHREALCRQAWRSRGALHSEMGGELEVDEEMFADCDERCHGPMKTESNIREFPENFTWSCCEGDASSEGCTDGEHVPEGPRKKRARHCIYSSEPELMEPENFDPLDSSQDDPDLSMLSPTEGYDYSMEEPFLICEPEVQAEGPLGALRTRSGPQLKEAMQLVIECYTQTRLQEILKALVDSNDAVADTLFPELLLLTPSSEDPHSPSRTSLDVFSPRWECCENCRTEYDAGLPRVAGECSGPRSPGLEECGRDAYFLDAMDLARNASLTYHGLDRVKFEHKPSRRRALHDEIYGMEL*
>EED82133
MRITPREEAKLLLHQAGFLAQKRLARGLRLNQPEAIALIASQLQERIRDGKHSVAELMQHGKTLLGRRHVLPGVSSLLHEIQVEGTFPDGVFLVTVHDPICTDSVDLCNALYGSFLPTPPDHAFPQIDHAEYARDKAPGALIVRKERIVLNRGRERVKLKVTNNGDRPIQVGSHYHFIETNKALSFDRGKAYGKRLDIPAGTAVRFEPGDTKHVTLCSIAGAQIISGGNGLASGVFSLLRTDEIVRNLVDRGFGHTPQPGALEVTVDTEMSRQAYVAMFGPTVGDRVRLGDTALWIEVERDETVYGDEAKFGGGKTIREGMGQATNRSEQETLDLVITNALIVDWSGIYKADIGVKYGKIVGIGKAGNPDVMANVHPDLIIGSATEVIAGEKLIVTAGAVDAHIHYICPQQVTEALASGVTTMIGGGTGPSAGTNATTCTSSPFYMRHMLAATDTLPMNFAFTGKGNDSGTRALEDIIIAGAAGLKLHEDWGSTPSAITNCLDVGDKYDVQINIHTDTLNESGFVESTIEAFGDRPIHTYHTEGAGGGHAPDIIVVCGLEKVLPSSTNPTRPYTNNTLEEHLDMLMVCHHLDKSIPEDLAFAESRIRAETVAAEDVLHDIGAISMISSDSQAMGRVGEVISRTWRTASKMRESRGPLILLGDAPGRDNSRVKRYISKYTINPAITHGMSHLIGQIAVDRLADLVLWKPENFGSKPEMILKSGVIAWAQMGDANASIPTVQPFIGRPMWGSHAMSAALNSVAFVSGISIESGTVQKYGLNKRCEAVKNCRNVTKKDMRWNFATPKMTVDPESYEVRADGVLMDIEPAERLPLARAYNIF*
>EED82134
MYGYSYFTQRRDATSKRGYQQRSLVILTHLAYPALFYTLLSKLGPAFLSHGGPMLEAACHNIATWSNPTAGATLELGFLGSVLQAEIPSNIDTQQAPAKSQLVVKPGMHGIQYQILASLAPPDPPVIDIFGACLSQLWSIWECLVLCEPILVFGPSPAMTSQAIWWLRDVLRPIPVAGDFRPFFTIHDADHAALVNTRPPSSGLILGVTNPYFERACKHWPHVLGLGRAPRSSLISHKSDASATLGPIPGWKSRTHKRYTSKDQALLRQLQEACNGSEQTRREASALLRQHFSSRTAALLVPLQRYLQSLIPPPTAVSATFPSTTKGQGRAAHPKTSSGARFPKALSASAMFPKSAAASTFPKTSITGTYPKTAATSTHSKTSVATAFASSGSSAFPSPASSTEDDPPTWNPAFPPTPTAGAHLSPATASAPQSAVPSRLHSASEASLTDSALSSTDSLPSPAPSPSPAPTPTSAVPRLAPFSERAFLASLKPVVLPFRSAGRAKEFYARWIRTPAFGVWIARQEEVVEKVLRGV*
>EED82135
MAGANYMGGKRNAAKARAKDSIGNAQRSFFGKQKLGILTKGLSKSYNRNSGENAVPSSRGLPEISLAHARRDLSSRMEPGVIGLSTPRKHGQTRPVKPQEDTSSSGPRSKSSGSRSKILRALDMSEPIFMKAEMERICNIPNLAGLAPDAERCFETKSIAQVHLRTPSSPTEPDWNDSMSPSYEGPGSPSQELYKYDGRELPGPLGSPDFGKLAYTELDFEPMPLDSSPVDRFDDSGFVELNVTPNAHITSSRSWIPLAEPQSTRWSFYDHTDRSSLPETRTPERKDDLVDIERHADGTQDSSMLGYHGSISSSISRSAVSVTIPWAASSPSRLELPPPLLLGKFSSPQQKGSDAAESECLFMSAPCASMSRPGHARSSTAASELSMSLEPGSLTTSEAPAYASDSSDCSASRSPSPGLGMQRYLPIAVPTSLFAVDTMPQDMEEESLHDALGGQLFDGADPWRALDTVLDLKTPRSAAGASPLSPRTPQRSDASTNVYGLSSKVDFDCTGGSSDVKLFEGPGLLPVSQHTIGALEHVCAEDVHWTQTTPSEDACLVQTTQADADVEQEETDDSFCLLLTNSSVVSMSTEHLPSCPVSEQTKPAVECATSHMEGRETTAIRTNSVVAAKPSRLGFESSGRVEANSNVPRVLCSPEPPKCDEDEHVSQGGPPTSRPSVPVDIEGPCLFADDIPSEDD*
>EED82136
MAPQSGKTVSSSGNDAGLRQVIVVHTVFTYKECCAAGGAMEEGEVYETDHYSATVAYANEAAADASGNVYSPALEWPHETESELTPPASLTAHSDDAAPTLGSHSHSSPSLRLLVQRSSVLPKKHSIAVIDGYEEVQLGRDAAHSSSDVPRIRLKEMEVSKIHATIYWDQDRREWAVVDMGSKHGTFFQAGLSSAAFGDSLVALPSPQAAAPLADDPRGWRLSSPRMASIPRRLNHLDRLSLGSTTFIVHIHDDQIPCVECSPTGDDEIPLCDARSSQREASRKRKRDLTLSSETAPTKQDPKRALATLKRSLLTRHAPYQVVQTSTHNAADVFEPVFDARDRIPRGNANGISLSDTFLHIYSAA*
>EED82137
MASTTPVIPIAHIHRSPSVRSNSGASTVSVSSTSLRRRSRTRTRTSTVTGRGKSSGPSGSEHGRGVDDSAIVVSPTGGDKSRPPLPTFLKQKPESDASGNHSLPERATGRPRTAGTVRRPGVIQKVGSEEGLRQTRSEGGTFKGEMRQRALSLPRRAFKVNASSDSSSQPSPSTPADVVHENTLARIVDGDCPNFRDSIISTTSSSLYPASTVTETRTESSFPYTLDSASERHAGQRSPEIVSSENLDFDADDVSYRLRLLVNNSYFLPPAHAKPSPLSLAPPDASMSKKPANKGSNSTFLDFFRIGWSKSKPTTPVTSPPAIDYAHGPILRTTSDSTTASGFIQRPHARSLPQTPLHTVPPPNVTSRVVVVRERMDDLAAAAQEAEKDLRRATARNTRSRSSSRGRDSEVDIVDPTDAVDLPPPPPGSYPFTTQASAAYGLGILESVGAAVLAEQLPPGSPGIWSSTTENSSWRQALLREAVSHSLSGSADISFATTSTDRSALPSPTSPMPLSVSTSGPSGPSDDPESPQMYTLGQPILKDLTIVTDMAEEPPTPTQAQVPLTGNSVSSASSKSTVEARRSGWPMPNSPPRAESPTHSHALAPAPRKRLVNPLYSLSQPDLSDPSEREQPDAPRTTRPSLQALRKVMSSPVLSDVPDAGAASERHVLSLSPPPTAISPRLSAESPGLMQDLRATTSFSSYRSLMSQSQSHHLRDIVVQDDDDDDDDDDDDMSYVTPADTDVDSPDQPRPSVTLSLLPDARPSLSISDYSNPSPTASAFHDAIFGSSYRPPSVMSRRSSMADPSRSTLDLSFPPSATPVPPVPSRVLTLSPPPRMSSSASPTALPPPPRVPGTRPVYRPSLSSRASTDPGRPSFAATVYSMQGDPIDDAEVPPLPSRLADRRGKSSSRLSLCIPTDFMGPSIHSAPAPASPTEFFDRIESTMDELDDLEDSDSDEDETSPTTVIYAHG*
>EED82138
MCSSF*
>EED82139
MSFLASPRGYDSLAHSDLALPAIHPSNSRLPMQPLSAFDSVPVHLPPLAPAAPATAPDAPQPADRSTTALPKLGQTRCYWSLLTPDLHFLYLDPVLAHHLQDQADLLVNKSLLDFVHPDEQASAKLDLGSVLESRTLHGSVTRVRYSRLSRVRKVLGYQGPAQEWPDADKVAVDDNYMAIDIVINWASDGLVLCFMHAVVDLSPHDNDEHHKTPWTNWCGTPFMSMDQVQLLHSRLLSAVPQPLSMSRVFQILLNQPERSLCMSWPPDQPEPGGPISKDFAKLAQDVQISSGSASGTDAKTSCTRRYKAHQTMNFGVENAKEVESIFIPHATALGSIIFACHKVHPSTRELNPNEAATHSSHYYPPPGNHPYPDQAHSYSLPPVPAPSQYHNSFPPPPHHSAHYSSGSNWPPHPESPGSPPHYQQWNTAPSVSSVRSSTYSAPPQQHQWPSQPPSYLDASSAPPPPLNSGPQGSPYPPPGNGIDDAPPSPGSDTVPPSRVIHRRGSNTRDQYGNGGRSTGNPPVGVARCASCKATHSPEWRKGPSGKKDLCNACGLRYARSRAKKEGGPPQQSRRRKDRVFDSLSKEPSPSGSPVPAPYSNVRRGSYYEDGSFPSTSNGSPGELYPPPPRSGHPGFTGTPSPSPPNGGMHYPYPHPPPHHQNADGRSHYGAHGGQFYSVPPPAPPQQGLPHLAGHEHPPRLEPVTPYSGPNQSSPGVSPTSPVGGSPMSGNLSIASFERDRTHREHEREGLQPTSVSAETRRSGRSGYMRD*
>EED82140
MCPTQIRIRPCMRQLHTDTCMSWST*
>EED82141
MFSLRRPFRVVQQCLLALLLHSTTCLGQAGQSGCLSFTLGDLSNVTLSRATYYAANTTVNSTNLWQSIDVDNLPAFCRLELSITTNSTADSSCVTEIWLPDSWNGRILTVGNGGYAGGGASLTCSSSFAVAGISTDTGHSSTATDGTWGGPHNDNTIVDWGWRAMHLSVVVGKEVVKQYYGEAQNTSYYMGCSTGNLDALLKLKEVQMFPEDFDGVIVGSPANWQTRIQDWSVHMNLNVQPNTSARFIPESVWVDVIHPEVLRQCDAIDGLADGIINDPRFCDFRPETLTCRPGQNESTCLTLAQLGAVHRIYTDYYEFNQEWINGRYYPGGETEYPLGLVGKPFIGGQEWFQYFVLNDTQWKLEQYNASLIRLADGIDPGQANAIDRNLTAFAGPGHNGKLLQYVGWADQLISPGNSIHYYESVYAFTRAYTDLDINDFYRLFTVPGMNHWYVDISGYSANAFGGVQQASGGMPPLSLSPENNVLAAMVQWVEEGVAPTSFVAVHYNDNNVEDGVAFTRPLCQYPTSLRYTGGNETDAGSFECGDSI*
>EED82142
MGSISSTPSQKSPVFPTPSLLPSDIVAVRPEGDEAKVLKFPDLVKSIPFPLRLNPYIRFVSAESDAFIIEYANFSEKQRNRFIGLNAGLLCGMCYAECGPEQLRVCCDFMSFLFNLDDWSDEFDTAGTKGLEEAVMNTLYHPDTYVSDTVAARTARSWWTRMLKTVGPRCRQRFVETLGFYFKAILQQAADRSSKTIPDLETYISLRRDTSGCKTGFALIEYAAGIDLPNEVVDHPIIQSLLDATNDCVSWANDILSYNREQSRGDTHNLVPVIMQTVGIDRQAAIDYAGDLCNKSVAHFLEGKAALPSWGKEVDVQVEQYVQGLEDWIIANAEWSFMTERYFGKDGPKIRKGLQVSLLPVVGFD*
>EED82143
MHAGVAYLHGDVHGWNRSTFDGPLKAARRGVLPRVTRRLNELERRSMIRSGAVFVFSVEESGIKRWTEGLAWSQSRISGNFLIYREVTDRSSLRGANQLSESADMRQGGQLADGHVTLKPGGLIKKIRQTITVKINRPTSRPDLMTLKIPSELLQSANFRYPLKLEGQGQYSAIPSPTDDNNDTDMSMSGFSRTHPGSNVASYASQSREGQLDGLYSSRPSGDMRPPLSPTGSPTSPYGGSMDGTNLSPLESPSWSSSYNTHPFAPSGSPSTMYTSSMQLGQMHPTHLQRDEAWSQHAPGASRMGREFEQNVSRLDVGAQSDLSSWTQGSAVMDAQQLASAPPISTSITDLVRT*
>EED82144
MFQMWCDFCNRDSLVNTKKPQACSERYLASAGHEEVVKELLGAGANVNARNDKGLTPLWAYLKLLHVAIRLTQALPINAKDKANQTPLHRAATTGSTGFITILLNPPEGSPKTRLNAADRVGNTPLHLAMESAHAEAACLLIEAGADRTRENLDSEMPEDLDGVGGQEQRRAKAYVIGRCGQRS*
>EED82145
MAACGLTMKSVFQILVIPDDSPLLRPPASGACPISRLAPETLIEILALCLFDVRPLEFCGKMSDEWEQRFDANAFVASMMLVCRHWRRIILGAATLWSRMMVSERTPPDRLPPHLERSCRAPVTIFLYECRRDILPVLNAHLWRTKELHLWFYNDINSDVLTALSAPAPVLRNLSVYAWNESVDAVALPPMFSGHAPSLRQLHLSPYCLRPLDRFAGLTHLRLANQADNPAWTISVLLDTLEAMPNLELLDMFAVLMGPLPVDDPKRLVVLPKLRLCNITYHDWDVVSLLLSHIEIPERARLIMEEFMGGHGDSIGMAFPADVSHLKNMSRITKIQLRHLHHTINLAGFSEDGESSFLYDACLSGWKFDAPTLRSLSRFLNVRNLSEMWVDVSPGRGIKGPKKLNTVELWTSVFAEMRNLRLISVSKRFSGAIIKALTPKVSVTSATSMYAPNLETLIIYNDVDISAGLLQTLARRRHALGHPLQHLHVAMRPYIGALTALLRLRGVYIAQDRMVNALIVPTKATDDAGIDLAVKVMVDQTYGSSSTKLPPNADILSDIYYRLSVTN*
>EED82146
MVTSKDHIQVIQQHLRNHALPMIGNPRKRGSSVLGLDDLLRAPRKRVAMVPPVSDVDAQLPAEERKRKSTLPSPKLPSSTPPSKLASLPSPSLPYPSQSIMSPPSSPREDHGKLTFASQRYSEFTGPDATATYTYKCFLSFCSARYVNPWTRDLHMAKHFKVDEETKGSCSCQTGSCCYTAESEEYYKTVDQLGPLAKLSQLVDENFLGYNPSNCKVRAAEPWLHDFLYAILFLEPQDRSTTAEVVRTLEQRLNDDLSRRK*
>EED82147
MARSSFAAYLKPSTWALEPEPSTFAPNSRWSNKDMDPVPIRSRTWSTVNYVAYWISDATNAAVWELASSMLATGLSWRQALPAIAVGHCIISVVMVLNGTIGARLHVAFPVLNRSSFGFWFSYFSVISRVLLSLFWFGIQTYTGSECVYQVLYSPPLKKDPMTYLVADAESYLALACTPPEPFAREVKHHNVRDYVLFHRIRWLFMAKAIIVPVAWLAMLIWAFVKVPVNSSGGLFNQHSTLSGSDMSWAWLSALNSALGIYSTLAVNIPDFTRYAKNERAQYVQLAIIPVAFTLCGFIGMAVTSAGIVLYGQTLWDPLKLINQWDNRAAAFFASFAFVLATLGTNIAANSLSAANDMTVLFPRYINIRRGQMLCALLGGWALCPWEILASAEGFLSFINGYTVFLGPFAGIMVTDYWLLHKGRVDVPSMYRPHGRYRYMYGFNWRAVLAILCSVPPLFPGWINSINTDINPGRVARLFNFAWIYGFTVASTVYFVTSSLFPARETYIPEAILPDDAEDASQTSDAEDDKKSIQAEVKEILA*
>EED82148
MSFALPWYPDRDFIEPDGVEPPPSDELDEDSTESQSPAAEPTSPVEEEAKSEPIIRSAEYFFEDQFVFFRVVASLTGQSDDGWIKRPATVYKVHQYFFVRDSEVFRDMFTCPTGEQTEEGRTEATAILLPGVSHHEIECLLSFLYKGMYDHTKYVGDWIALLSIASRYMFDGILSRAIREIELQHSSIVPVKRIVLAVKHDIPQWLKPAYSELCLRDRALSSHEAEALGLPTAIRLAEAREKMLLKRIARLNQPVSKIVCPKGKEGCRCCEHARQREVTKSATAEEEKQLAEHVVEEIFGL*
>EED82149
MSSSLVHHRDVLFGPISVDDSASDEYSTTTDSEPQTPDLEPFSPTPTPPRPVQSADWFLSDGNVYLRVNGACDGPDIIYKVHGYFLQRDSIIFRDILCGRRGEDGKSEDAAIFVPDVVEHEMDCLLSFLYHGMYKCTTLVDDWTALLAISSRYMFHEIRLRAISELEAQHARISPVRRIVLAAKHDVHEWPKPAYVELCMRNDPLSPWEAKQLGLYNAVMLAKAREVVLLRRVTILEAALGSGAGCPCGTEVCERARLREAQKRAPEKDDFHQFVTGVVSELFSL*
>EED82150
MSGPVLAAFRSHSIPAGLQGTLASSSCIGRSAALRRTQLHARCAGRAVRDLHTRNYQTEVFSERVGVEPALIVPKSKVGRPPEPSQVVTRPFGLQERYFVSVRGYRGCADPFTIAAISTEDLPPIRTEQIVLTWAALRVRHPLLAARVQTTPAGPSFVYLPPLTKAHALIESRMHLEFRDADDRQAAIDALEARWRGVALVDAIDPRHKLYSAVWIRSPSGSGTGSQYAMGFQSTHFTVDGLGMFDVVGEFMELLANPGRAEAELDEYFADPNPTLPEPCERLLPEPEASSKEEKCKGRQAYKELMAGGEGELINGIFPDGTLKGNEIEAYSARHSWSTEETRAIVDACKKHHVTVTQFVASVMVIATIQELQRVGRPVPQGGVMKIHVPIDLWSRARSDKRGVAVRMSHYPIFVHAPSTNLLDTKHGDLEDAILEVARQYKDGHANVVDSQHFWHLIRHYLVDCQKAIDALARSPSDLDAIPFLPLFSSTGNLNKLIPSSLPAVAPVSKGADVVAHAQSRGSGKIHVQDLTINQKCNPAIVIAHLWTFNGRLNYNFTYNRRWASAGVMDPYIKRMIDMISSFAQRKL*
>EED82151
MDQAGSPNLSSTLESVQIPDPAHDAKAEGTIVAVEVIDNGNGSGNAVPLDDVPPIAVNAEASVSDIKRRPGRPKKQPAPAEQPRVKRPVGRPRKDGLPAGSVGPRRPTRPRKRPPGTFAAQQSISAPFPYAVRRFFQPQFNFPPPESMQTQWRASVPPMASLQRPPPAPRPVSHMSIPIDPSLDRDNWPELSRTRPDIFLHTLVMTLQAPNLVSSGGPTVEEAFKSHLVSLTPNKNAPSIPTLYSILKTFWLPSSPVYFSLTSSASTTRTPSDHRFFYWDPHTLVFNGIACPACSAPLMNRGRIGTGPIKVYDLHKPFFIIGCEYQCKSPVCVAAAGTEGRRFASTDLSILRALPPKLRDEFPALLIHGVPDLGSGPEIWNWQGMGVSIALWNMVHASLRTGSRKETILEIIQAVQQGVPEDYAPFPPMPLPQQLEQPIRGEEEEEEEEDTQEAAQVEGDLEYPKDKTTDEFNEAWHANSGAVEVAAGGVPGEAGPSTVAAAALGEPNGNAGDQPAPPPPPFAQFGQPAPPPMYQPYGYIPYPYLQAPGEANAPNPNVLKRTFSIVDGTPDPEVGMPLHKRVRHCCKCGSNECKGKGGRSFCQNPCQDCGKVDCKGRNNLPSQSIHIGKVGQKPAAGVGSGADTSTSGRWATEDREDGRYIPPQKELGV*
>EED82152
MFGGIIRPVPQPQARAAPTEEQTSELAILLAHELSHLLLAHHLETLSNGSIVGPGVMSIMTDVVRTLLFPITMLFGPFINDALGEAGKAFSQEFARRSDYCTSQEQEIEADVVSVRLLAHAGFDPRHTVRFWEGRQESSQAAECSHAHAIDASRRAESLSRQWMGEAHPMNVVRVQKLKDELQRWEIARQAARKVRIGEWEEDEDMRGVLV*
>EED82153
MASQTCLVSSSPRTPNGLAREGLQWRPKFACGILPSNLLARSTIPQPTSVPGNRSMSATSSLRLPDWTSVLARRISSVSPTARIRDPTHPSRTVSASTSIMTYRSR*
>EED82154
MDEEQSESLLAPTPEFLASVKVFPLIPNIKRDVELNLVFIADTALSWEQLTASDINFAIVRPLVFKYARLDNMSTVYACFVVRSHFLSEAEQGLAYSGVMLSRASLCEILAIKLLGTFASSRIRLVAVLTASWSSLAGAPPDITQEVRGLIGDDEQYDPQCALEMAIATEAKAFLASPVVQTIVNDIYAGRVVFSMSSNHSVLADNYKPRAIEIYDGRKAPFLDHYRLRVPRYGAILEFLNFALLLTTFLLCLSNQQVERLTAFEFVFIIFATAFTLEEYTASKEHGWGTVLTDDVIEKVYIANVSIFQ*
>EED82155
MPKNKGKGGKNRRRGKNENDDDKRELVFREDGQEYAQVIKMLGNGRLEAQCFDGEKRLAHIRGKMRKKVWINQGDIILLSLREFQDDKADVIVKYTADEARSLKAYGELPENAKINETDTFGDEEGECTFEFGDEGEVDIDDI*
>EED82156
MRERLHSQATISMGKRRRHIQAKVQVRMLVRKRVI*
>EED82157
MSFSAPQPRKAARKLQRTASYISLEDMNSAVETTLYGRAGAPSSPHSRPDRSTGPHTRSLRYYKEERERRKASLRSSTSPTPVAQPVIVIQPSLPPSHIPMLSSRTSSPLAPRPSVLPARAAFPRSKPEPDLYRVAITTRMRMSPEGRKILYMGPRLALSMHSANRELDRSLFAATNDLEQLVAAQRDSDVSMTDGEGTTSKSWVVVPSEDWEMIECRSMTTKMIPTPTYETDARRASTPGNNAMSPF*
>EED82158
MQCRKPPEDSRQSPVGSLLPFMGTLGQETLRAPVTPVQSPKRLLSSPPEAERVQRPRLRFEDGSPPQEAVEDDRPPHLRLPPFSSLLKIADAGEAKNSRRAKTQPSSPSEDSCSNLFDPRTNPEHMQALSASSKPGLGSAFQFEPADKSAQNASDDGDTPPRRHNTSQDDSVVVKSPRTASPQRLGGSTIISEVIATQPNVPLVRRTNGARVPSAPLSGLPGRSSYQQRPLSRDQSGSQRPRLPSIQQLKESNGLLDPRELGPPPQVPQVPPLIVPKPRRPQLSRPTSTPSVPPRSSTAPLLREERGPRTTGRRPFMIYDGIFTKGILFAAAYCAHADDALVLYEHVATFSQEVQLIWLAKTSLATVLFLVNRYVLLSSATLNMLEKFNVSRNARKVLLVSQGICVIVFLATWTAFVMLRVYVMTARKWCPVNLAALAESCFVAGLAPDIGPNIGFVLKAPLVTSHMFVHIFMECILRRLIATIRCEET*
>EED82159
MPSSAYQQGDKVEYRPVGGATENVSHSTGTIASVSEAEDGSVRYAIKNDNTGKTTNYQLLKVVVELPYRRPKRFEVSRCGSASLGYGVTMSLRRVDSLQGYDTREAGFVCISNDSIMSLLTTACIAMLVWVADGQSDHISGLGPSAYTIPGLFPTSVYQSYCNDPTATSVEPQPVISDPVTHEIYPYWLSNPETIPQYDTYETHPLPPVASPEQLLDAAFTQVLSIAANPVFGNDTCARCQASLEVAKFLALTVPELVPQLAVRLCEQFNFTTDSMPTCALAYGRATLGAFYTQVAAYADVGGYDGQAFCATFFSLCPIPPTSPLDLTNWFAKPKPDPLPPPKQPTGERLKVLHVSDLHIDPRYTIGAEANCSQFFCCRPQGFDISPDQVVFPAPRYGSYLCDVPLPTMVGVMKAIPILTGTEGTGFDFTIFTGDLVSHDPENELSKVYTVYTEVIVLAQNSPYDIPGNLSSQFNWNYDHLAALWELEEWISPETAQQARTHYAAYAVQRQDGLRIITLNTDMWFTQNFYNYINLASSDNSGMLRFLTDELQEAEDAGDRELSTADLISHSVDRFSPHVIAGIFFGHTHEDQMTLDDMQPGATCVHQIFYANNGTVMTKESSDGFMGTFEILDSYTWFADVNSFPELDGQTEFGPTYKFEYSARETYGSSISGWGPNDPLNATWWHLVTEAMENDTSLVTVSYSADIVPWTRLMKTP*
>EED82160
MDVCVCTPQEWDTIRSQRADALDASLESLGAQPVPPVFHTTAAPDPSPFGIQNDSDSERDPDDIPIFGEQLHAPSQSPTDTLRNITRSVRLNVNGVPRDRSTWKTLRDFVDERTIEDVLDTIESDRNALDDILARTSDYPESLSNTIAAIEGSVPAENTLPSMQDIFQAQGIASTDMASHLESLAAHFEQMSTALEHHEAGETFVHEDLHDWGTTWAEMNRDTEELPAILSEMEERLVSIDAAQWVPVLSQSRELSNVNRHSTKLVATKRTAQQNLDAHRRILDDLDELGEIMSEMLERQQAVEDEAREHVALLHHHIVTIEDLQHRFTSYQYAYSKLLLELARRRQYRDASDRIVNGMIAQLNAMTEGTFSSPQSQASTHEPEHGQYLPEDVCLFVQNAPTRWNVVPHNQELEVLPEIDSDLLDDARLRVGTGVAALGASQSL*
>EED82161
MDDHYGYTMPSQRHTQNTISSYPSSPPNEPPPYSMTSSHSSVDSVHGGSGRLVTIHLEKTESIIWPSLIVGPVPVALSRPGDGFEEPIYPWASSAIIEADYNMDPTSLVLIGLDLCDIREAYTDAFEYFVRAWHQASVPSATMRLVTHYLPLNTSLPSDYLTSAVPSEAEGAKDEPSTPTPTASTWLGDPSHGTTQYYLSRIGHDVDLAQLYRCAGMLHHEGRATALLSSAYTGLSSLRSPVVVSGNTGHGYGSNLGGHAHSSEEWKRNQDCAWQYLERARMLNPAIENPLSLRDSESEPSSGAESGSGDAKGSRSGAEPRRKEQQTQFKMPTIEVAKDPPANQPRRRRKKTSGDLSSSFMENCRETSGVDDDRTWYLYIPGLVGAGTALLVVGFLSFSSWRKSQGGS*
>EED82162
MAPKNKAKAAGVSASSFFDLKAELSKKEEDFAKEKAAGRATALVGGVKRPDKKPTIWARQNTGVKNRAARDIELEEISKPTLDAARAVLERKAKMYEKLQKGKSGGLSEKQLEALLVDFDSKAIDHYESDSDDVDESLTVPKLPGGEEDDPIVEYEDEFGRMRTGRRSEIPRHLMPQPEGEKEEDIEYVTLCQFAEENNPLNVHYDASQEVRAKGAGFYQFSGDDETRRKQMEELRLAREETEKTRQETGAEDVRPGEVEGMHGPGEESAGPVATKSRALEKRKRELEERRKMLDAKRRKVADPVSSSASSVKAEESEARPWNGTPAGALVDPFAVLEVQLTKPKGKKKELPPPPSPIDPADAFLAQLERDMLKGGQTKTSGSSNDAL*
>EED82163
MFDPWNSSIMMMSSDLINGDKGSPSIWPFPNGTCPIYRLAPETLTEILMFCFQYVDTESLLFSSDEEEEWNAMYDANVFIASLMLVCRHWRDVILHTSAFWSCVMIGERARLTRLPPHLERSHITPIRVYLRGCHPNFLPIINFHLWRTKELYLWFEERHPFERVALEALSMPAQRLQVLFVKMVETQGTLLPHMFSGFAPRLRQIYLSPLCLRPVDYFANLTHLRLANQADNWEWKLSTLLDTLEASPNLEVLDLFAVLDDDTPLDGPKRVVALPKLSVFELTYYEEDVVSLILTHISIPVSTRLIIGDIVEGSSVSEVFPIDVTHLENLSQITRIYVNHQHDFVTFAGFGEDGHSSLLYDSSYHDNMFETSTWRTLGDFLNVKKLTELWIDISCPRRECDCDGLSKLDSAELWATILEPMANLRLISVGHNSARAIIKALTPGVSTNSAPSIRAPSLKEFLVYDPHSISAHLLDRLHLHVALAGPPIDELLVLSGLQGMFATQIHVQDGEQEGTKAITNPLLCQQWRQGSADPNKKASLEESEADADLKNASMKRCRKSEDGVNVVVKCFCRMRAGSCRNKCLDEDTLRRVDTSLKGYALLDCVR*
>EED82164
MDQEFIAEQPQLRTKMEGEDIIATLRSYEPDISGILQAHLTILMTLRNAAWGSVLPDASLGFVMQPEPTTSPKYYILKPLQKKECTVDKYRCHVEGCNFRTDARSSLPTHMMSHVPRNLRCNTCGKGYSSWQRLREHIKKSDNECCHELEVLEQRCERRGARLSTGVAMPVRDNRVRYVHRAGSLYTTIGGLRPPATRAPELASSFLNACFNKKPMLIVQQRYGIVFLRPARWWISHIC*
>EED82165
MSDSKPPSKHEPDFDSEKESGSKTPPQVEEAPPPPTQQLDAPPDGGAEAWMTVAGTWFLAFITFGVATVWGVFQDAYVSNSTSRFQNVSVFRLGFVGGCATGFAFLAGPFSNILVSNFGVHKTVLVGVIMVAVSFELASIAKEYWELFLSQGLGNIFACGLGASLAFIPSAGLPSQWFAKRRNLATAIASSGSGISAVILSSVCQAIIDASSIAWALRFLGFLTLVFGLAGVSLIRVRTVAKKQVQYKVLDLSILKVRGYPLYLAYAFLQFFGYCTPVFFIPSYCTAIGLSPTKASGVLSIATGLNAVGRVMAGVFGDIIGPINVLIMFNSLTGLMCILVWYFAKTFGDMVGFAILWGFFCGAYWALSVPVSAKIVGMPRLGSAVALQFLMNVIPPVFAVPIGSRIINATAASHHVAEESRTAYKYLIVFTFLVPVIASFMLVPVRLGFSKKLMAKV*
>EED82166
MQPERDFVEFEADDKENPRRWHPLLKGFIIVWICFNALTITCYASTYLDAVPYIQELFHIGSTVAKLGFTFYAGACGLGPLFLAPLCELYGRKWVYVGSALGWTAFQVGAACAENTATILICRFFAALLGTASFSNAAGSIHDLSVPGLFQSSATMLFCMALFMGPVIGPIVSGYIVENTTWRWIFYAAIIAGGVETVVFAVLPETHHGIILMRKAARLRKQHPARDVRSAFELERPSVAQALRITATRAGSMLVSDPIILAISLWQTTVFGIIYLFYSGERIEESESRKSGTRTPERRLKLGLLGAIIVPISLLWFGFTTYTSVPYMVPIVASGFYGFGFLAVSLSTFYYTIDAYTTYAASAFAAQAMIRSVVTSVFVLFGTQMFSGLGPRWATFILAMIGVVEIALPIVFMRKGEALRKASGFASVEVEHEHVVEDKASSDEEKQTETHSLDDDKRAWVQEQAP*
>EED82167
MSALARPHLPPVPRIHGKPGGTFKMPPLDGSLALPELYEWHAEHSPDHPLFVFAREDGSVRKLCWPEVLRAVYTGVKIIRDRAHWQPGMTKAPVVAILSNSDSVPYATTTMAIMRANCTAFLISSRNSPAAVAHLLDKVGAKHLLVGDEPSMHGLCKESLDLLKTQYSEATVPETSSMLGFEELYLPVSQSPSREDVPYEYKGAEEPVVILHSSGSTAFPKPIVMTNRRLNEHILSPCFSEVDLAGRIISMHTLPMFHVMGMLMTTWAVSTGLIPGLPAPKHPPAILTPDLVLDQAKATGSDILVTVPAIVEAWSKTPANVKYLATRQGIVYGGGPLNKEIGDELVSKGVTLVNLYGSTETGAQCIFMPAHVGDEWEYLRLPGNVTTHMAPMGEGTYELIVITNPGPLEAIMNLDPHVAASVMFGRGRFQAGILIEPKLQYRFDPSDEAKLIDFRNKIWPTVEKMNEYAPQHSRLFKEMILVASPSKPFLYTAKSTVRRGAVIKEYETEINALYDTVDASAQSSIPPPTEWTLPTTTEYVRTVVHKVMTHAVTDNDDIFQHGCDSLQATYIRNTILRAFRDTTKVDTHKIVGSFVYDHPTVSSLAAFVSSVAQGTHDSATAGLTASARILAMQAMLAKYSADFPARPRTLLPSQPEQDAVFVTGTTGSIGCHILAFLVADPKVGRVYAFNRPAKTQTHLRERQKAALVERGLDASIADSEKVVLLEGSLTAENWGVGKNAYEELHKSVTHIIHNAWRVDFVIKLESFEDSIAGVRRLVDFALTSPLPQPPRVLFESSIGVFQNSPCDVIFAEGPLEPDLAIGTGYAESKWVSEQTLYAAASKTSLDTLVVRVGQVCGGLDGLWNTHEWFPTLVQSATKLGCFPDDDKDVNWIPLEITAGAIADFRNASNPTHTVHLVHPRPVSWHSLATVVSSELGVPLVPYAEWLAKLVHTAQTYEQNGHADPEIVRGLRALQLLGFFQALAEKSSTARMSMGLPDLEVKQAIAGSPTLGAPDLRQLGGADVKRWLLFGSEFVDRHNYLLGVGRSQFHDTVVVASKIKRAPFKRPVVMQQMTVLGTILCVDMEDTSRTDDYRRLYFPLGCAKWWYPPAACSFGYSTPLAAPNGTFHKHDRNNTFQAHDEIHGQSSTTFRPPPLDGLLLIPELWEWHARYSPDHPLFVFADEGGIIRRLCWPEVVRAIHTGAKIIRNRTNWKAGTAESPTVAILANSDSISYATTLMAIIRAGYTGFLISTRNSPAAIAHLLNIVGVQHLLIGREPLVCELTTKSLNILRARYPCAHVPETSLALIFEELYLPNSETVSADDVPYERQKLEDPIIILHTSGSTAFPKPITLTHRRAIEIIITPCFVSMHVLPMFHIMGMLFTMSAVSCGFIVSMFAPRSPAPVPTSDNIVDSFKATQCDLIFTVPSMIEVWSHNPDYIKLFATRMGIAFGGGPLNKEVGDSLTARGVTLFTLYGATETGAACMVFAANVGGSWDYFRFPPHMTAKMVPSGEGTFELVIVAGYWKVIGRTDDQIMHSTGEKTNPGPLEGIMNTDPHVRACVMFGRGQFQVGILIEPQPGFVLDPSDEDSLTSYRNKIWPTVEKMNTYAQQHSRLFKEMILVAKPSKPFLYTSKNTVRRGAVIKEYEDDIDALYDAVDLSAQTSVTSPHEWSLTTEIDYVRLVVHKVIAHSVMDDDDIFQHGCDSLQATYIRNITLGALRDTTKVNTRKISDSFVYDHPTISRLAAFVSSVALGTHDAAAMGVNPSARILAMRAMLAKCSADFPARPHTLLPSQPKRDAVIVTGTTGSIGCHLLALLVADPKVGRVYAFNRPAKTQTHLRERQKSALVERGLDASIADSEKVVLLEGSLTAENWGVGKNAYEELHRSVTHIIHNAWRVDFVIKLESFEDSIADSPCDVKFAEGPSEPDLAVGTGYAESKWVSEQILYAAAYKASLNALVVRVGQVCGGLNGAWNVHEWFPTLVQSALELGCFPDDDKGVNWIPLEVAAEAIADFRHAANTMHTVHLVHRRPVSWHSLATVVSSELSVPLVAYSAWLAKLEHTMQTHEHTGHGITQIARSLRALQLLPFFRGITEKLGATRMSMGLPDLEVKQAMAGSPTLRAPDLRQLGGEDVERWLAYWRKWRLPEGTEGVAPISGVDLLSDAKEILCTLAGRTRSTLCSSARSMSAARGGEDVREWFVGFVQKFVAQRRGARKTKARLGLPPLRVLGLRAEAGNHSNGSAAASCTYMQIEEKRTLNKATAEANLGYSSGPQGNDPFSARHATCVRFRHVNYQDERGQDDGGSHFQHPAADSTQQKAQKSMLNSRLPRGSQPDYDGELPRTNGLHQNKPPFTRLTRRHFRFAVIALLVIAAVPLVLRLHAPLRRRFAGPAEPMGADAQEDTSEKPPLYGEWHQYEQDISRRQHFPPNAKYLFTSTHTRGAGWGNAMQELIMHSYLAYRSGRTFTWYNYTWNDDGSDYTLYNGKPIPSRIPMSALLGGPIVGEPYHTDPTAPQPASISEQYYREICPESERRKIDNGDIVAQLGGGYSATALLDKWVEVMAETDARCVEIPYESVPLFDFWIMGDAGRLLNAWDGLAASPVLREFRWSPLVELAFDTNRETISPAQFSAPYLTSLPADLGPRANPSATRYAPLPGLLAMHIRRGDFAGHCEHLGRWSSPWVGFNSFAALPDVLDTGRLPSDEAARIELYRTHCFPNIAEIVVRAEALRVAEAEGGRGELRDVYVMTNGPVEWVAELEAALKETGHWRHVASSRDLLVNREQKYVKQAVDMLIGQRAQVFVGNGWSSLTGLVSMLRMGNGINPKQTRML*
>EED82168
MRFGWTFVIGMVPGAGDIADATLNYVLVVRKAQEANIPDWLLHRMLANNAVSLVIGFVPLVGDVVLAVFKANSRNAELLEEFLRIRGEEVLRQL
>EED82169
MSSLLNPTCNDPVYEWLPDHDLGVQWYRVWDDESLAGPRPPAFLHHEFLKYLGSATDPAITWRTWVRVGNCVFPHDFWTLLELNPALNAVKALHSGLEVSHPQYRFPDPYGYGEEDREVTEAFDKELKDRPNLRDPHEVECYRHDRRLDCQLEPTTSAGIFRDGAPDLEHTLLEGPVTDNDDDGFDIRCNGNPMPRHAAGSSLSQHIVVGNNARSFDRWLDMLAGIPPKQGNT*
>EED82170
MATPILLGFGAIAAAVAGRQIMRRAGQGAADQWVKGGFKAKMDRKEAIAILGLKDSPQLKSRLKDAHRQIMLANHPDRGGSPYLASKINEAKDLLEKMEKR*
>EED82171
MKRLHSITDFPSYRSGRRSFNVSLSGTAAGEDIIGDEGDEGLPADEGDCCDCPPGGLLEERVLERPRGGDGASFVSSDGPWSAIRARLPITDEERSSVSAAVWVVTRDVDLLLGVEGVLKSRAVEEFVSHVDGGRKGSMQQEPKPRVREDKYRPLKCRKAAEAGLYTGEDKGRLCALVRAQLVRAQHADAAPGAVDNDASISGR*
>EED82172
MSLMEMQLIECAWENLTLYPSIAQLEERETVIGCGAVSRIWLCQHRPSLSQVPQGPNGKDYSHTGAGEHVQAFKEDGKEEYPEDMYCHALMFLLPGCLTLPAIMSDALEAANVDEDVLCRDLLSILARLERLPVLDPNASPPVKIRRMHIENLVKRCSAYSHLSADSSSNPTIPDDPAGLLPCYQELQSSRSRRQIAGFTVQARVAKILPALNLPPLTPSQLSDVISHLPAGNHACVENAALSIFCRGHEESSPAVWEDALSHLAKHVPTIAKIRRQATYTAARTNVHDRLVHRHRVIQRITDSNQGSQLLRLIFKHLEAIHFAKDWNSQSGPGSKLAKTRFNKSVFSQLPHVVDQLGDLTPEEQDRLISGQLQGEYKEWLRRSETIITARNRLLEMYNLFGAGVLLDPVWNVDHLAAHRTPAFPKVFRLLAEHVAPHMEIRHEQASHALLCLVGILGSDDDVAHVREFLHNHFPVNNLYSEVVNSSSRSGGSTYREEMMGPPPPPLLRGGEFTSSGNYDLQQDVSSPSPPLSEMRPGKSTLGAAPKRNLTTAIPDSRSFESTASTSGNMRGGNPHKLPRTAQDDDEVDAIPQCKRLIEAHQGEGPVVKAPGAAGAKAQSMEVPDRGCLILMAKFIAQAHDRDVFIEGMKAGSMAKTVQYNQGKLALDATEAHFRLMETLMRLNDARRKLNMPPLASFPIVPQPYLQDISKVWMAVIYPKVEVLGGAFTEMAAPPAHTSQDEEFSDRDEDNEGRHPLPRSRGA*
>EED82173
MLAMLSLDLCLHIGSHLAKDSEGGSSLVAWSSTSSNIYGLLQGHETLWKEAHTFQFGHVKHSPEQVTWRDDVIYRVKCLKMPFVQVARFMMLKYKASSTIKFAAYMLVSKVIKLTLVCSYAETSLLDDVRESGLDNCLLTTLRQTICALVPYFNEQIYDEEETHFNSTIHYFKVAKSGNGPRKPTRYYCLERISALPMPYPAKATPTLVHTVIVSSLNRKAPRTRVEEYCNRNLRRIMSGLECLLKATHNASALVSAASSFPTSFPDIKHHLLPPINELGALGDIDKALSVIKWSECFPADLNITGGDNLCKMLKEGVNKRLCILIFHAGGDPKGSPVAWVPLTEKLSPYSTIAYAFPADYTYKEGFDLTVFGKHHLDYLIAVTVKSLRLYDAWRVKHDKGMSYIPPLEALITEVGLNKDEAVEGGRGV*
>EED82174
MSIWEATQGDAAHAGGIRNGVPDRRVTVGESDEETCVSDGALRLSEDETCVSEDETEDEEEETEDSEDDDANDPFRRDKFDETGDRYTVLWLAGMVTAIRYPEVILEFGLGYVPHQAIVEAKEIHRRLLRGILLRETNIVVVPAKCNALFMHKHSSGENRLRLSYTQLRYTA*
>EED82175
MSTRRLIPPPPKTHALSSTTFRPPPLDGSLSVPEMWDWHAEHSANHPLFRYAKDDGSVRTIPWGEVVGAALRAAKVIQTRVRAEPGAVVAIFATSESIGYATTLLGIMRANYVAFPISVRNSPAAVAHLIGKVGAKHLLVSGEQAIRDLVRDALDILKSQDAASVPETSPMLVFEELYSSANDIVTSKDVPYVFRGPDAIGVILHSSGSTAFPKPIYWTQHRFVELARAVWYSENDLTGRVFSLHPLPMFHGMGFMNMMWAGSCGTVLAVFAPSFPPRVPTPDNVIHAAQTIDCDTILVVPSFVETWARNPEYVKWLATRRSVSYAGGPLSKDCGDYLVSQGVRVGNGYGTASETAQHAMAGTCSTEVGPVCDIYTSEPIPDWEYFKLHSAISIKALPMGDNTFELVVLANENSTPSVINTKVDGVDGYATSDLIAAHPTLAGYWKILGRTDDQIMHSTGEKTNPGPLENILKQDPLVSDCLMFGRGRFQAGILVEPKKEFAFDASDKVLLAEFRNKIWPSVEKLNAYAPQHSRLFKEMILVARPSKPFTYTAKGSVRRGAIIKEYEDEIDAQYDAVEESAQSNIQPPDHWDQQTALVFTRSVVEKVFTVPVDDDGDIFEHGCDSLQATWIRNTILRAIRDSTKTNTRNVSSGFIYEYPTISKLASFVLTLASGTVNGTTVDPATIVQGMRAMVAKYSKDFPVHKGGVKSTGKVVLLTGTTGGLGCYGLQALVADPTVSRVYAFNRPARSGESLRERQRATLVDRGLDPSFLESKKLVLLEGDLSAPAFGLSRDVYQELLFTSSIGVVQNSQDDRTVSEKPVDAILAIGNGYTQSKWVSEEILYXARAQTALKPVVIRVGQLCGSLDGSWNAHEWFPSIVQSTPKLGCFPDDDQKAAWIPLDISAKALVDFLSAPDSIDTVHLVHPRPVSWHSLAVVAAQEFSVPLVSFKEWVAKLEQYAEAIVKEDDTGLDSRHTSQSIHAIELIPSYKAMAQNSSGSGHAAGLPDLSVEKTSIASPTLADPSLPQLSPAHVKSWIAYWRRLGTLLWFIGSDKPSGIFRRGQNEVVATLIQLYPSTAYKPTMDQMSPKMGNVKYMIERPAFWFASASLLRVGCHLLLSTVAAFLAYPISSPLHLLGYFALSSGNIYTTRLRTASGSAVSDSLMHRRGISCVAEISSTAGTRRCASTSSNPRPPFRLPKKTYDRIESTTKLLAVAAAKGEARRSKYKKPYSGETSHTVDSKKPYQQSTILPSSERVAFYAEPEEQGDLLSDLSQLDVQKRERLPTGAFVELRRSAITVHGVIIWQKPTEKGWLMHVLVRSGEVWLVRESDVMFVVPDFVQPDLIAQCGPENVDAKTHELAARVHILKKLRNFDLSLERQSPEYWQKLNKLYTQFKSSDPTQWASVTTNQVSQLLNPEAKTAPVATQLVVHDYMFDKSKYFEADQTHFLTTRTFWVRPQQHVQDIEAVDRMISQRDPVLDAFIEKARVVITAARQREAGTQNGHPTFKEDPQHGFTAEDLVIIRWFSDSLVPRRTFQVDPYTVSQSYIIKKLGLYMDKEVNDSTIHEFLFELGVLPPWEDRITRHSSLARKSQSRTLTLDVVDDSSSPRSLTRSTAGQPLGPEDFYSQDAVDSLRHDFGNMPVYVIDDVDAEELDDGISVESIPSEPGNHWLHVHIADPTSILPPTHVFAHRAFEQMETEYLADRSYPMLSGGMLDKISLGSCEGQPQRVVSFSAKVDPEGNIIDYKVRPGIVRNVHIFQYDAVNDAMGWSYYPYKRPFGGEAPTVRPRQSLHLTPSVRDDLHKLEIVQEALTRGRLRNGMLTFGMPSAQLSLNPKPLPTVPPLGVAPRIWSGFPSVNYAVQEVRTFEYGARFVVAESMTTAGRVASRFFRDRGLPALRRTSLPPTPRYEGAYDEVMAARDPHGSVDSFSPLRFALIFNPAEYSIEPGTHSVLGIPEGEGYMRITSPLRRFPDLLAHWQIKHALLHPGDRASPPFSNEWLLAFARELVLHEERNKRLVRAQHAYWAHQFIRRWMAARQRGEPADGSDPLDNLVAWAFAPAVRNAREQYWQQLVMLPGLGLKGMLVGLKEEKAQFPIPGVVDVKIERVVVGLRPSVIVTQR*
>EED82176
MACSWFATPKGPQQTLIRTSCMLTLACCYLMWAVTYLAQVFPL
>EED82177
MQRKLEDAIHNLDEAVGPCATPLSQQPPAKRPRTGKSLYATLAKYGIKKETKSTARRPDRQPDSSLSKAAPHLAAILARTALRTRKALPFKLAPAAPPTKGATASTTEYRPSSMQSFLNRLSTFKLATYANKPSAIDAVAAARLVCGICDISWVVAGREGMSRDAANALVEKQRAQLVDMHKDGCPWKTRQCDASIYRIPLQAPLAMAREVKMRAVALDAVLQGVKIKHPLTTTQTQSLCSTISSVTVPTFAVPRLQEADNVHDNLAPPLTTLEASETGIITALFGWAILPPAPPSERSRTHSYCRASSVAPATPTRALSRAPSVMSLRESTPTPTTPRRSPSIAPSSPGRTGTLAKADTTLLHCALCQRRIGLWAFISPSVTNGTITPDASTVSSTRKAQPCRQLDVLREHRSYCPYVVRSTTVPSLPAPPTDAGTSALISQSAAAQPDNQAGAMEGWRAMLTVVLRHGLARRQRLNGLSNGRRISNDENTQQEGTPMEVDRVEAMVAGVKSRGGKDLLKYVKGLLG*
>EED82178
MWRWCLRTVQNAGGYAVDIATSPRPYLFATSSFWIRLYGTNSKSSQTYDGPLLSVYNGARRGPLNQAMEMETQRAWQETSYRPAMRTRAVSEHPGALTHLLTDRTLRYGGGRRPEPHGVTPAPSSEERSHNDVLDAGRRAGPSSNASSADRLRELLFHANHTVKSDEPFGINRIRNLYLSANTRGQLPSFKPGDFQALVCLVGSLSVSTSRRPYVSVYSHPLVTRMSAHSLRPHWGLLRRILKDKSSLGYRLNVSDHYWLMRAHIAELQTADSGKWAHLHPDVHVHYLEALLVNGNEEFIEMAICKACDLLLWYHDCPPQLLAVFWKVLLRTDQDIRPEMKRNILQPRHFVHRAVGVLIRARRMRAAKRMYERLRDAQSESSRTAIGNHILYSSLLAKSRRNARRVRKGLDTLNQLTNKFGFVPDRVTVNVLLVGLMRWTSVVDREKLRVLFDHMVRSGYPTGGLHFRSVVPFGTAAPTTQMLNIPEVHSSLSYAKHVRPLYKMFIKAFHRRGDKVAVGVVIKILKAVEAEAVDQSEQRGLARAEGIRRKSARNSRR*
>EED82179
MESAKPPPATPICLPRSIFDDIGLNLIPQSASDVSWIYSELFGRYRHWVMCGGLNPSCDVIPPDLEVPQDPPDPQDSFSVLGKGDHSSFLHVAVILTDVPMAYECIRLGTMVNRQDAKGRTPLLFTLVLILDAMWTFWGSQEGLDTLPGRFRFPSLPPDLMANQKGQPRNRVWSLTQIVHVLLQQHADPNIKSSANETCLQLACEARQWELVDMLLQHGADSAPQGSPNLMSLFSDFEEQEHLTELLAAHVNPKRPERLCPCFSGKTLARCHAARAQPYPKGFICRCGSGKQYGKCCLKRSALLSESWSDKEQRLAASGVWLSRPTFDPRNIDQELRRVLNAFTDYAGRIRPDEAFIQARVAAELLHVGDIIDTLSREGLGDVAFIAVMKKLSPYIVMPYENTLPKVGCEKAAKAWNDSVDLYIETIPKSIDARPRIEIEKVAKVANDGGPLYKRCEATGCMAAEGVDGAKMKKCTGCKRILYCGSACQAADWSQHKAKCKNGSHPLQMLPSQAEFRAQLDGLLRESLKKIQKMQNMEEAFRGDVSAAAGISLAFTVHAIGVQQGFTSGADGVGGKS*
>EED82180
MESAKPPPATPICLPRSIFDDIGLNLIPQSASDVSWIYSELFGRYRHWVMCGGLNPSCDVIPPDLEVPQDPPDPQDSFSVLGKGDHSSFLHVAVILTDVPMAYECIRLGTMVNRQDAKGRTPLLFTLVLILDAMWTFWGSQEGLDTLPGRFRFPSLPPDLMIQTSNPPRTRLVSSLRARPDNGNSSTCFSSMAQILPPQGSPNLVSLFSDFEVQEHLTELLAAHVNPKRPERLCPCFSGKTLARCHAARAQPYPKGFICRCGSGKPYGKCCLKRSALLSEGWSDEKQKLVVGGVWLSRATFDSRNIDPELCRVLDAFSDYVRRRRPDEAFIRARAAAELRHVGDIIDTLSREGLGDVAFIAVMKKLSPYIVMPYENTLSKVGCENAAKAWNDSVDLYIETRPASIDVRPRIEIEKVAKVANDGGPLYKRCEASGCLAVEGVDGAKMKICTGCKRAADWSQHKAECKNGSHPLQMLPSQAEFRAQLDGLLRESLKKIQKMQNMEEAFRGDVSAAAGVSLASTAHAMGIQQGFTSGADGVAHLDRPTKTLRWKMENTTITRVRGLICTISGTPEAVT*
>EED82181
MADMNSAMRSGVSVNLGLDESKWATGIRLSLPGPWMLAGVVTFFILSAIASVVLARLGLRRAFSLVQHMGLLSGTTSLSALGIICVLSVLRDSSVLVSLVWLGVHVSVSGTRGSGALKSWLGCLVLWAAVVSVGGVSAIGLVNASRHQSTQQRGLGRLVLWICGPTSVFWVNAMLQYYSWSRSAGCHWLASGTLDPWMHLAWSPSELVRPAQEWLWGQLYRVAGYSKDTSRTARTAIVRVITLVEAYGLVKLGVAGYMPLQRGVDDGDILRAVVVRHRDSREVYASSRCSPLEAILDSTGQSDDESDWDSDLDLWSSDSEDGSSDSDSDDDGFFTSRPRTPTLRVGWTYTSGTSTGVVSSEASGSGLTVVDKLDAVMCKSALDKTANKTNADVNDETSGAQADIAAIMADTQAMVLEELLRTVEGDVERGNFRLEELAAEAEEVSGQLEHALQATADAEECQTVSCREIEVTTVRLQDLEACISMLDGTGRDAEERFQREKAAVEALMDEVRRDAGVAAHGLESEVECVRAECEVKDQLAMSFKERIGQVAREDQDMLQHLQCERQLVEDEVKLVREEVAAKGCAMERKGVAVEQAQAEHAQIKHEYDAEVMERSGVVKQLEVEVGAKTSELKVGRGTLESIEEEIGTFKAHCSGLEQTQLQNQAEKETIEAALQVVKQEVEAKEYSLRELALEVSRVTRSCNELTERLDAEESSLELQRTKAHFAEETRKDKISQLEAKLAVSDATNEQLVADLTTEQVGLEQRTAELKAQGAELETRASGVQEDIVALEDTHCSVKDEVAVLKEQTLILADETRRAEELFEGTLEDDRCVLTELEEEEAELKAALGEVHASEQRRIEALREQLPQLYEQYISAGRG*
>EED82182
MIRTSRPPSVHSTTDPGDPLSLILRPPTSETERDRVLRLQREADAKRISDSIDEELKLDRERYKKSKQDVKLLLLGQAESGKSTLQKQFQLIYNPTSLEEERLSWRVVIYYNIVRPVLRILESLDLFAEADSDDDTTTEKTSGSPKSGVLGNAPATSQGNQAPRPPPSPSPSMDQQISLLRLRLSPLTALDSQLADRLSGGVAVSGSGKGSVFVRSGWQSRTFGLSFGKARERANSGRGRFSFTGQRSALENSADASIGDPEEGELSTELPEVEKDKLVDEAATIIHSCQDDIRELWQHPAVKRLRDNRKLRLDEWAEYFLNNIERVSQSNYSPTIVPLHGRPVTWHLYDVGGARGQRHTWIPYFDDA*
>EED82183
MESSDSDTFQRPSSLLSSVDHVELNIQPASSSKDRESLQSPKQERSLRVATRATGSLSLVLCCVFLIPYAVFAVALWGLALIEYFICLSRRGRTLNYNDMTLVKTERDVRSYIWKNISRGTDNPTRRFCGGVAYILLPSLRIQDSVKHRDYVAKSEKMAKVIEDEIKGCRHLLDALEKELVDMKYIAITQATADHTGYHGLADWAQKTLENHKDLIEALYQVSFVGAGLTYSTIFSATRGNIGLMCYAFALFNCGFTIPMVSIALLKWAASRPREALFASPKIWSALLNVFLYVSGTAIAVAICLLNVTIVFLQFREDSDGVRTDSPAQFNVAPRPAGIFALVCITIPSIMTLLALALHYCANGWDTLFSALIGNYKQESGQGFDNYILR*
>EED82184
MSTTTNRDDQQSIHNSTRTLRSSQTSIAETPEDRLSFNDELDELWTRCRSLVDSLHLRFIHPTHVALCLLYDGLQSVYDPRFYDISTPQTWALERPLFWIAISKVYASELSDSLDDHIQKATFPDEPASTPIQKKIADDLWARTKTLNDDRTQPTEDRDSERATGFQQSTEEGGIRGLLESSKFGISADAVIEITRRLRQKKVEEQMSKPKFVMLSQFAVEDTRLIKEKLDRGELIDPIVGRNREIRRLISILSRKSKNNAVLLGDSGVGKSAIAEGLALRIVSGNVPESVRARVFSLNLGALLASTACKSAYEQILQLILDEIAEHESMGISAILFIDELSQITIGGYRGDGSGMYTSVSQLFPRLPDSAIELLDEACTNVKISKDANWEQLGKWRRRKVAIEMDIESLEREFDGTGDTELQRMQEELKNLEIQIESCISSARSSRPLEKELAEIEQSIRIQEKSILTRGQAGQYKEKKEDVQQLMRLKEKKIIAQQKLDQSRMEMEAHNMLTPSEPPPVSSSPEELPVVTKLHVVAQPEAVESISSAVRCLLSGLTDPSRPVASFVLGGPSGSGQVLLAKELARSLLGSAEKLICIHGVDYADAHAISRLVGTPACTGFDHGGQLTECVKRKPFSVILIQDIEKASQEFRLHIQRILDEGTLRDGAGKVIDFTNCIVIMTTSVGQEGALEIQTEEEERKHFVKEVQDWFPVEFFARIDELVIFRRVTADMMSAIVDSRIRDLEVHLSHIKLFLEVDPQAKLYLEISGLSPNTGAQSLERIIRSQVLRPLSLLFLNDEVKENWTIRLRYDELQDGIIIEPVEPEPNPQFTSDQTIPTATSPSSSPSSSTSTLDDSDNGEQVGQGASVLSDRDVLLASERGGHHRVVVSLLEAPTMQLQPQRVLWS*
>EED82185
MAYMVYGTVPGFCLNLGLDESEWAMGNGSVGASHQLLRFRSLSLASLGEVPAPLWGCADPGDAGLYGPSGPWLLAQVVYFLVILCMVSKVLARLGLRRATTLVLRAGLLSGTTSLSVLGMICVPSMVLDLSLLVSLVWVGVHVSVSGTRGSGTSNPWVCSLVFWFLVLCVGGASTVGLVKTSRPQSMQQRGLGRLVFWMCGPVSVFWINAMLRYSWSRSIGRRRSASGSLDPWMHLALSPSELGRLGREGLWQQLARVAGYGKKTTFIARLVVLWVVNFTNIFWLVVLFDGGFIPWGAVYIPWYMGYGFASSSVVYTGLWAGEKSSDDESGWSSESDWSCGSESEDEDNLNSPRTSTPHKGKQPASIGWAYASGTSEGAVGAEASGSGLNYVDKLDAVDHKSAVNKAADATADDDGSIAVHAPSVAVVVGARVTKLKEALAAAHRQHDCNTALIEEREARNRKLVEHLPAIRQAAAQAEEKASAARQDGNEKSRRVQELEAHIAVIKAAQKEAVQRSQKEKAALEASLDILRRKGEDRVRALKATVECARKASGNKSRGVEELEARLKQAESERDSTHERLQREKEALQTEAKLAREELVEKEHAEQQLDRVIERLREDIARTRRERDTEIAGLLDQEKALKADLDDRSSQLEITRAALRSAEEDLKKSKALCADLEQSVSQDRADKEALEVSLREAKAELEAIATTRRAKAVEVLGLMRSRKELAEQMDAIKGSAEFQRVEAHFAQQAFEEKVKDLEIKLAASTGQTEQLNADLKKVRELRSKNAVCKQEINAAKEHNAELERRNAELEAHAACLEEKRTHLQELDPGVKAEKAKLEIQRAQLDNDKRKAEEDFSAKVVDNRRKICDLRESNADLRAELAEARANAQVHLQELQEQLPQMMDDIVAAQCEELQRDEEDILVEHADSALVDLCDGADMEAADDSDALWYDISATMVFNFTADDVETSEPEEGDSVELEDVVLDDTGAGLSSTKQFKKKPRKLNDPYCAGGPEVGYEETYAISGRANVAYARRLIVIHRGQGVVRRSPYCRQRQSFSMKRLFSPTFGERLHLTMESPTLRRHTKPFVKALDLLAWLGLLSQARRNPNLLIITGPGALVAIEHQPNLYRLYTVVAALTFYPQP*
>EED82186
MSPPLGSPYKTLNDSVRQDSVQLAGVLVILAIVLAGGIWLCIHFYTERRAAQRDRLNADSIPSEAMQVPSMNPSTYVDHSVRARSETRAQRNMSRARLPDRAPATREAIRVPAAVNGHPTTEDAVSCVPAPSPTTLLRPVPESIPRPQSVSFARPISGSFSFSGPRTSHRYGAYRTSTYSIASSVSALDEVHMRAVRQEFRPTLPDELALTAGERVAVARACGDGWYIVGRDSRGRPGDIELGAAPLWAFATPVEGVVPVRPQRTSSLGIHVTLDTTGGLCFSWTNATS*
>EED82187
MQMDDPQANPRIPPPGGRCVFDALPDELIAHVFLLGMPEAPVHDSADEHLLLVGDTRHSGDQEDVPTADLNFELRVSHTCRRWRGIALDTPRLWTTIEFTDSTAPSFTKEAVYLTRSRNAPIDVLAVLLDTDSVCDDEQFPDGQTLVNSAREIITILALLEAHSFHLRSLRINIPSYPSMYAVRCGLHGFGPAPILKVMELENLHLDGRFDRHFHAAMLQDILHQDLVPFQGVTPKLECIVLCAMKLSWSNTAIMRSLRRLYLENLDERLAPSLTQFSQLLHDTPNLATLALRNAGPKRGDMLRPVTTQDDADPGSSLSSTSVVLKLCAVECADFSLWKLALGTADQLFWLDVDVRRCGGAQGWCGMLCANTTDAQNGRLPEFLPALEHLSAAGLSGKQLHRIVKMRMDVGRPIAQLFMGPHDKVNARNRRWLQEHVPDFMSPEERRKDDDARVGGSNDSDYGNVGNGEGGFGLGHEELNVIILMGHIFSRRKAAAR*
>EED82188
MLPRESTISAVCALRKSLSILNSISWVQILETYHSHSQKYLVPSVINNCFAGATYQEVPDDLPCTEWSLSADRRQAPLGPDTPLFGSRIPPGTSTQSPNTSISPSTLFDIFDGARHLLEARHGRPDASRIDLGTSPAFGEQ*
>EED82189
MGNEQPGSTIDLLLAPSVASGLVILYTEVAPNAVSDLNLSRDILLGLWHRRLTFIVKLRGDSLGAGGGLTMSIKVKRAASSSSMQNMNICAVFSGNQSSGVRTTSPSWMSTRAVVGALRGGTNRRLREILPSLKHFAAMGLSGKQPHRIVKVRMDVGRPIVQLFMGAHDKVNARDRRWL*
>EED82190
MLIPIQLIFTGLLALLAALHGPNLLDVHGIDVLIDGVVTGVVVARDRTDYLVRTVVDSAVSSTFRLFDTPPQTALRDCGRVDVIYVPVLVGNVSMNLDNMVCPAVWDVFMAPDIVLPAASAPSSPHVKGRDTSLFAPLVDVVNTSRPLPWTLITTQPASTVDVFTMPSTLPLEGITLFDLITILLIMPVVAVAFLMKHRVPSKIRKQLNVEAGPRASPFNPAMPDTPKPSTPHITTSETPETPEGYYVDLEYTPDPVEFFMFVFTDVTDFLLGLVKDVVLPSSISKDDETLGCFNSFYEFMAELKLVPSVLESVDACGQQSPVLSSPSMPSNVVEDIQVSPSPVEDDVHMTVPPATSPAPPTAPLPSPLLPAFSLSSLPAIKSPVAQPSKQPSKSWAWAIDLYLRIRASQDIQDSKPSQSASPDPTRYRPMPYFKNGTLQEGIVDTHVGWNEPLAFPDAAEENDPVPRSVARERLYDVMNSGVARKTSGASVEPMYIPRTRRRKRRRKGDAPNVTESSGATADSDAPTTSASAPKAAQPPLRQRPVIARAGLAAVRGVLAPPPRRPRVPAVAPVSPVSPVSPVSPVAPPPPPPRITSIVVHNASPSTKPGLLPPRSPILPPSDDHWKPLIRSNESSSSSSKLPAARVRMLPPPSSDAPRISRGPSMTVEQSLFNVPVRVISGKRGKGKQVSRSMVFHEALDSLQESHEESDTPTAIQ*
>EED82191
MQVNFETAPVYTDDLRDNNSAVYYVEDKRHYHVPGTWTVASYDQTIMLVYRTNCSVLDSNRDVGVLLTAEVLLQMVAAIHGTPCIPIQKPIGYEDQIDDRSSTCGSYIYTLAYLKEKVGLQRKYQQKVGWDDAPFNCEKGERIGVTKWFLVMDSSTYEEFQPYAVQ*
>EED82192
MVLLLSSSPANNNRALIARLDRSRRITSATRPSEGPNIRSAPAVPTHLDLRPDTPALSLPALAFPKLLPAQVKQEEIPISLQTLHQSQSLKRVRVKKESRSPSLHFTVGPHRRTRSPPRLQSLSPPGGQPQPPPPPLGRPPSPPTPIMSSPTAAPDKETLKLLLPLRYDGKSVVECNRFISQLLIYWAINTALTTIELKIQVTLSLLDGDARTWATPIFSQLAAVQIGVQGAMTPFANEAAFLTAFKARFGNLDDAAAAQVELTKLCADKSLRERHTAAEFSALFKGPADRSGYGDLELHDKYLSGIPSRVYRKIELETFTTWREADTRATEVEHTRSFGQHQRGRRKRRLPQ*
>EED82193
MPLPRVLLVEDPITPEPLSMLLSMEACLAAVCLWIDDVDGDVDIHAAGSAIAAANTIAPIQSADLIDDAGYSAIFAEGKCIILDKDGVTIGSIPKTHGLYLVAHLHNGGSVHAVATQPDRLSIMDLHRHLSHIALRAIHELLSKGLVTGFELIPSDKPKRHGCPPAAQLPSSGTAALQPSLLHQRLAVYNDKDGNGTSTAGASGMLGHWMFPPLAPFAHAHDVPPGYAPLGYAPSGYTPPGYAPPGYAPHPQPTLLHAPWYAPHGWPVDSQTLDSYEVMDLRDAGHYYCPLPPQFCMPEFHTPSLMMPDSTYIPSGRVHPHFYEDFGQAAATAFTDVPQAYIMGSVHTSYLVSCHYVSFFTKHDHTDTMSNISSTITSNNAVNPVPLGLPALAGSPLLQYDQADRCFVTSTKRAPIYPRGGTWCRATGPNPQVIAHQELVSYYQRHPPAHPEDVFTILRIDVEPTQTAESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQEDINQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRHFIQCILSYFVTTNNTRLSDEAKITFTVALMRKDLGKTWADAYYKKSAGGVQVYSTWADFVAALEEAFPEHRMKTVLSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNADAAKQGALIVTDTRDYGEPMDIDAAAIASTFASTSGGRKWELGAALNEANRKLHRDRNLCFYCHIKGHSAKDCQKKAAARQGGGRPNQGGSGKDDFRARIKALSADEKRELPAPTTTTNMSQTTNTPLMPPRGHSTAPSFDPSEVRSLRHYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGEHARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNASTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAGHVHENTGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKWNTDGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTDRGARTRTPSASEVKGGLHGVEILQRKKPTTTAIPRSAEASGSVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPIASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNTAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETEDREAGSILPGVANRIF*
>EED82194
MLTATILYTVAFSGFLPILTSALANDWSTACTSGYCFYDVNGPVAGTLYIAGDSNAISDITTAAGWTILDCDSSSTNQTIRAVCSTPSAGCDHLFQGGAVGTIVRLPENCGSMPFARVADVQDHEDQTISSTVRRMLPRDDVPTVLALTVDTDFSAVDSQEKGNVAMSIHASYAGGPATGVSVGGIEKRVEIGTSTPALTIDAHGNLFNETLTCISTTVKDTSITCGISLGVDVQATLTVLYGIELNGTLIPPNTTDFSMYAGLDGDLDGTILVNSSAEAAFSTGLIILYQDTLPIFTIPDILTFGPSIQIGAEGTADLDVQFNAAVESRYTIDNATFVFNGPPNSTSGGSFGSSAPTVNITAVDASASASVTVRLVPTLLFGLTALADNVVGTINFELETFTTLDLTLGTESISDCGNSANKTSKGCVSVHGGVDVSADVDGQFFDLFQEQHDYPLYSKNFTVFQCCVSRSRWTARRSPIPQEAQLGVRLGAHLRAPAPLKEAKQEMQFHFAHHRS*
>EED82195
MLGEDIDDRLPMLNFAAYDASSGSRCFQFAFITLEDVTLRRIMHTTQDEPLRIVWNTLFKIRNRRLRLNSKDFEHTIIIGASRWPAVAVTVSLWDHIIRFGQEFELLWRQRKTLHTMLLMFDMYGVEGSMIYFAFVFSGVKRPLSGTITLYGNIRDDQHRRLSILTTAFVVLFVVQADRGNSFHLSMPHSWRLKVASDGITYSAAVNSCVLGNSTYLTGVLGGMALFDLYVIILLVVSTLSRPRRNDAEIVNNLKRDGFLAFLAVLGLRLIPFIQNMLENASQTFTSLFVAIARGIRIFMIRNNCSTIPHHFHSLEFRRTIWNAGRLPISGESMNETLQKCVSRFDAERGHTVKPDRETVTALLDRQTACSGWKWRGKIVKDRCYILRERCSANDCVYLPRMMVIFILVDVVSKSRLSRALVAGCRVINRAVAKLPGGGQSERLLCVVSPGDSVPPVSQHLSDLTMATDIETLAGGYFIEVLLAIMLYGVAITQAYAYWWDYPNDSKRLRWTIVILIFAAMYEYLIIDFNDIEKIQTIVWSYATSVVLAILNTAIVQGFLIRRIWIRHLARISSVFKLGSWKLYRDTKMTTDRIIKWIQMFVSLATVITAKCSSTLEKSPEAQGTQASQIEILTMVSKVTDNMLYHEHGVPKPPVSSIVIEPSKNQILKDDD*
>EED82196
MNVWKTLTQVHVNPANGKCTTLPLLHLKSQYALNFHLSWLGFWVAFLSWFAFSPLTPEAVKTDLKLTTAQVGNSNIISLCSTLLVRVIVGPLVDWYGPRRVMAGILIIGAIPSGLAGTISSANGLYVVRFFIGILGGTFVPCQAWTSAFFDTNVVGRANALAGGWGNSGGGFTFIIMLAVYDALLRDGLAKHVAWRASFAIVPVPILLVTAAVTLLFGTDHPNGRWADRHRPFSLRGADEEVAQEGQEKEVPPRKPHDKAAAQEVSVDVAIAEPDETTLSIGVTTSQGTTLDVAAQVLMAPATWLPTLAYMTTFGFELAIDANLSNVLYGMYKSESFGQTKAGYIASIYGLMNIWSRPLGGYLGDLIYRRYGVTGKKYLTLTLGALAGFMSLGLGLYIDRGEKPSLAVVIVMFVIMGVFMEAANGANFSLVPHCNPNSNGFMTGIVGAVGNLGGVIFALIWRMQPMPYGKAFWISGVFAIGLNALIVPIQPPRDKH*
>EED82197
MYDVCGGEVVAALRVHAVSGRNWCWVLPVWLHGMVPVGTNIERARKLGRANNRGPEAPIVVYNDPARYVLVDASTPNVVAIVTRVSVVISDILAQSHDRHVPKWIISLLNIVDLIVNIIGISSSGSFGIDITF*
>EED82198
MFIVYVDFTGCFVFYTVVDVYRNVLVDAPTRDVVTIVTRGSVVVSDTLVVAATWYYISRTTSVRTQLVRDMWAVRPTLTTVMFRDGTSYFLIISLLNIVDLIMETISSSSISKTTYIEEAKVMQESRAMME*
>EED82199
MSVISTRLAAALAFCLGQFQEDCVYYACAALLIYYVALNLPHDVQCLWARRSIATLLSAINWLAITGTIITNMPLPQSTTQRYVLADTPTPDVVAVMSRGSVVVSDILVVVATWYYISRTSSVKTQLVRDMWAARPNLTTVMFRHGTLYFLIISLLNIVNLIMIVITAISSFYVLDITGLTTAMSSILISRFLICIREAAERSTHLFGSQSLSFVDSQGSVSPQPWLSSVEFASDIANHSAENGHADAFPDLDDNSDHLDSECGEEEPELLTWTAAGDSIREYCGLNSKRTSILAKCGVREGMSVPNCPVPVNKTMLSISSTAHSRARFVTGRPHRLGLLTETRLRATRTPTETCLEQRRVGTYKNVRASEHISPRNEPTGGGHAHVALTGLSRRPGHVHAEDGGGGGLVGDIHHERSSM*
>EED82200
MTDPALNTVAASGATLEITVDIVNVQGIIRHMPSPLSRLTRMRAKKIEAKGDMTRHQWNIMRSVDSSLPCINPEQHKRQVKQRNERLDAETKKPMQSIRGDVNDSEHVETGTVCTGGKPVKKGCRQVVLAGQSQRREHLTAAWAMP*
>EED82201
MPLKWYSSSILPYPYEYLSGNSHKRHGFSFLNLDVFESPPHIHRDAPADVPAPRVVVIITRGSVVISDILVVAATWYYISHTISIREQLIRGVWAGRPNLTTIMFRDGNYGSLEYSTHRRYSTISLLNVIDPVVYFININIFAGLSMINMISAVSSILVSHFLICIREAAEHPIQAFSSRSLSFVDSQGDSVTHRWLSRVEFAADIVNPSAGDSDTDAFFDFEDDVDSRSEDSAVEGSNDETELHEYATASPSVDAFMS*
>EED82202
MAYHVLNVNSVGHDSQLGCLGFNPQQRNHCSCHCLNDVSAISPLVAALRVHAVTARNWRWVLPVWLLGMVPIGTNIVSMLSMTRGIIVVPDARDMDCHSTWVYGQMSIITRGSVIVSDILVVAATWYYISRTSSVKTQLVRDMWAARPNLTTVMFRDGTLYFLTISLLNIVDLIVNVITISGSSYVLDLTSFNTAISSILISRFLICIREAAERPKQALGSQSLSFIDSQGSASPQPWLSGLEFASDIANRSAENSHAGAFPDLDDNDDNLDSGCGEEAQIPEHENGIEMEEYAAGDSIREYCGLNSKRTSILAKCGVREGMSVPNCPVPVNKTMLSISSTAHSRARFVTGRPHRLGLLTETRLRATRTPTETCLEQRRVGTYKNVRASEHISPRNEPTGGGHAHVALTGLSRRPGHVHAEDGGGGGLVGDIHHERSSM*
>EED82203
MQSTGFLWDYQPSQDHHSSSMTEQTAASSPPQKGLPSTLEAAPGVVVAHQEFLSYYQRHPPAHPEDVFTTLRIDVEPTQTTESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQANIDQRIAVTLAAYQSQQSTANRPLRLNIPAPEPFSGKAEDLRCFIQCILSYFVTTNNTRLSDEAKIAFTVALMRKDLGKTWADVYYEKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTVLSLGNYVTRFEQLASKAQLKDTEVNGTNRVKNNYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWDYGEPMDIDAAAVASTFASTSGGRKWKLGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCHKNVAARQGGGRPNQGGSGKDNFRARIKTLSADEKRELRIPHGTSTQSPNTSTSPSTLFDTFDGARRLLETRHGRPDASRVDPGTSSAFGEQ*
>EED82204
MWIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRMGMVVDNVFLEEIINEAKERKEKERQMKAVPIPPPRSANPEPPTSPIVGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGKKWKNVGRNVRKEWFDEEEDDGVDWELYGDGEQ*
>EED82205
MPTRPRGPTPYQLVTTPIFSQLASVQIGIQGATTPFVDEKAFLQAFKARFGNLDDAAAAQVELTKLCSDKTMREKRTAAEFSALFKGPADRSGYGNLELRDKYLSGIPSRVYRKLELKTFATWQAADKRATEVEQILDVSRACRPELNSFFSARGRGRGGARGGAPLSHAASASINAAVGKGNFPGTCFGCGKQGY*
>EED82206
MATECEQHALQQSGVSQRGVVDGCSVVDLLKKGLPGNWKALAQGSRDIDVEMRTSTVQEVDLIPPPVEMTVKRYRNPNPTPRLYQQTEVCDTIRQDVMPTEASAMLAGTCAEMPSAFVTEKRKDFSFAHKMWVGGIQVSTKLVQSRNDKTAARLLHIDHLAVIVDRLMVSGDARTLEEAVTMMEECGYLLIQVFATLLGKGEDDDSESEYDMNE*
>EED82207
MSVPFTSLYINGSECPASSQASFDVCNPFSGKLVTRAASASSEDCRRAVDAAADAFRTWEHSPLGVRRDFLLKAADLLATPKYREKAAAALKEETSAGDFLVEFNLQVAREWLRCIATLVAELKGESFQSTTPGGQVIAQRRAQGVIFAIAPWNAPLILAVRAVGYPIVCGNTVVLKCSEVSPRSQHIIAELFAEVCPALRSTRDDAK*
>EED82208
MYAGAKMIKERMCAEGPFDNNTVIALLASSDAIPYAITEMAIIRANFVVFPLSTRNSPAAIAHLLNKVDAKHILASKEQSITDLVRDALDIMRTEYSPATIPTVSSTFTFEELFSSPSNGLVTSEELPHNSREPDAPALILHSSGSTAFPKPIVWTNRRLIEVATYPWHFGQDLTGLVSSLHTLPIFHGLAFVNLLWAASTGNILAAFEPRQPPLVPTPDLVFRALQASNCDLVWAVPSFVEYTKTWAHNDEYVEWLASRKGVTFGGGPLNKEIGDMLVSRGVNIYNAYGSTEAGMLSVVYEAEPLIEWDYFKIHDYISIQMLPMGDNTYELAVVANNVHRPAVINTKVDGDDAYATSDLVMPHPTKSGYWKVLGRTDDQIMHSTGEKASLALTNPGPLEDILKKDPYVSNCIMFGRGRFQAGVLVQPKSEFSLDPSDDTRVAEFRNKIWPSVEKMNAFAPQHSRIFKEMILIANPSKPFSYTPKGTVRRAPVIKDYEEEIDALYDAVDNSAQSPPVHWDDKSANSFTRAVVEKLITVPXKDEDDIFQHGCDSLQATWIRNTILRALRDSAKIDTRKVNSNFVYDHPTISRMASFVLALALGTTDGEDRQSASHADAMRAMVAKYSKDFPIHRGSAAANMESGKVVLITGTTGGLGCYALKELVADPKVARVYAFNRPAKHGQTLYERQKSALADRGLDASIVDSEKVVLLEGDLSAANFGLTEKVYQEGRNTAWRVDFSISLASFESNVYGVHLDSDDDLVEAPIDPDVAIGSGYTESKWVSEEILYNAAANTPLTPVVVRVGQICGALEGSWNAHEWFPSIVQSAPKLGCFPDDERGVVWVPLDVAAQAMVDFMDTPPHIKVVHLNHPRPVSWHSLAVHVAQAFSVPLVPYHEWLAKLEQAALDVSQAESAGSDSRKALQDLHAIQLLPFYRGLAANFNVGRLSVGMFQLDVSQAVAASPTLSDPNVSQLGAEHVKNWLAYWRKVGLLAGQ*
>EED82209
MEPANDVSHTELSVTFQPPLFLERRGWVFEILRREGVHNVLDVGCGEGDLISCLCNPAPWLLAPPPSVLKSLVTSATVQREEIECSKLQAAVAHESYLHPTKVMGLDISATDLAYAIQGTAPRPQSTDSLSVSSIRWEPFEVEIWQGGLQSINPEFVDVECIVSTEVTLSSAWTTELESPVPEHPVMGDLEGVKSHWEDSSKDDMVFGDKISPQWPTSTLLPDNWGADEARSWGPAADWGEDVTIPAVDTDAVWTPEVHAKHCWSVIGAQRMTLIVGCACEVK*
>EED82210
MPSGVSTTATPYHKSEAISPTVPVYIVPQVLLSIGSMEAESFRDEILVAFSNLDRVGDQHSQKTENSKNEDASLRYLWGSPGKAARNRVLQFITCPTVFSEKDWNEQAVEDVKANGRNATGLSKYSASKVLAERAAWEFVEKNKARIAWDLVVVNPPYVFGPTLNEVDKPESLHSSMDEWFKAVCGGIYNTAPWGSDGGPDYIDVRDLALAHVLAIQKEGAGGERLIISSGPWKWQNFTIAGRKIGAAIPAGNTSYDPAKATHLIMYDASKAPKVLGIRYRSIDETTRDILEDYKARGWVKFD*
>EED82211
MYTALPCLKVGAKRYGVAPSSDSGLSTGLGLGSRSTEEVEAKEYSLRELALEVSRVTRSCNELTERLDAEESSLELQRTKAHFAEETRKDKISQLEAKLAVSDATNEQLVADLTTEQALLENEDIELTQEQVGLEQRTAELKAQGAELETRASGVQDDIVALEDTHCSVQDEVAVLKEQTLILADETRRAEELFEGTLEDDRCVLTELEEEEAELKAALGEVDASEQRRIEALREQLPQLYEQYISAGRG*
>EED82212
MSTYCCKIDLSATLSSHDLNDAVEASGAGTSDEADMSTWLDMAPPPRRIFLMVDMNSAMRSWFSVNLGLDESKWATDIRLSLPGPWMLAGVVVFLILSAIASVVLARLGLRRAFSLVQHMGLLSGTTSLSALGIICVLSVLRDSSVLVSLVWLGVHVSVSGTRGSGALKSWLGCLVLWAAVVSVGGVSAIGLVNASRHQSTQQRGLGRLVLWICGPTSVFWVNAMLQYYSWSRSAGCHWLASGTLDPWMHVTWSPSELVRPAQEWLWGQLYRVAGYSKDTSRTARTAIVRVITLVEAYGLVKLGVAGYMPCTSTSVFWVTQYSAVSTTVIYCGLWWCDIVIRESTGQSDDESDWHSDLDLWSSDSEDGFSGSDSDDDDDEFFTSRPCTPTLRAGWTYTSGTSTGVVSAEASGSGLSVVDKLDADEVKLVREEVAAKRCAMEKKGVAAEQAQAENAQIKREHDAEVMERSDVVKQLEADVGAKTSELKDSRGTVESIDEEVVTFKVHCSELEQTQLQTQADKEALEAALQAAKQEVEAKGDSLRELALEVPRVTRSCSELTERLAAEESSLEFQRTEAHIAEEARKDKIGQLEAKLAVSDATNEQLVADLTTEQALLENEDIELAQEQVGLEQRTAELKAQGAELETRASGVQDDIVALEDTHCSVKDEVAVLKEQTLILADETRRAEELFEGTLEDDRCVLTELEEEEAELNAALGEVHASEQRRIEALREQLPQLYEQYISAGRG*
>EED82213
MYAGWAGWAVCKKRRSAERHGVVIHTQIVSREPSDAQLVYTTADVSTSLHTGARGHKRGRHLTRRGAALVIDKLEGCAKIRMDGTGTETTMNKRGWGIVWLGTLYRGGWMSMQTFDARKRMRGEAPDGLGVVDPLAPALLALEPLGVEVGDVELVEVVGRRGGGDGGDEPGNLAAYARWKKMRGVARRRRSGEGTEAEMRGHSQTQLFGLLRLVMTSTLLADLAMGMAQQTTRGVERPLLLIVKQADGAQSVATWSWRAGETRELRDGAYWSVDVVCTRKCKPRAGFRDADVWARLATRGWT*
>EED82214
MSSTLPFLDYNPTNVFNKLKTHNPEATNATDRAALEAYLSARHEYDEAVKAADEAIDHHKRLVRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTLKGSCRRCGSSRHWVQDCPDVRCAGCGKETPGHLERECGTRPMKRHVSTPPEEPARRVGVVVDNVFLEEIINEAKERKEKERQMKAVPIPPPRSANPEPPTSPIAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARNEWFDEEKDDGVDWELYGDVCFYNIESTLCNHLKGDKKRHTGSVKEFELEELDDDNTLEWFDGPATVIQGQSGFLAANEALHGWEATAVAHGCSGVVDVNKAEDAYTRNSSGGSHVPKGK*
>EED82215
MRISPKHFKKRSSDALDAREPSVAGLSGSVTASALAATGSSLILNASGSVESVSISDYSFASATVTTSPTLIPMSSIVPSASASAASDISSNSAIPVGTVVGACVGAFAGAGLIIFLVYTYSKRHLSGAGARDGGRGENWKKLHDNHDGAPNSPSHDSSREMEEKNRALFKKSTPSVRTTRTKLSDEDHGFGIPPFEFSKYHPNLAQELALEKPAPAFVAHRGDSGVSWDGSTVHDDSFLSMRSVRVDSGTMSPTLGLAKPTPVATSSAIHKWESAEVLTLGEEDVAGPKEVQNPFADVAEQRRSVANPFFNAQELHRSPTNRNRSRSNSRSGSRTSRISRTRSASDTRNASYRQSERLSNPFADVQEVPVYRVSPPAQHEHTDSVASNSSGNMFGEHAMKSLIAALDLTQEEVEERLRAVSMHESTMSRFSTITGDEAIATMRAFPMPPATEQRYSENVLNMMQPSRRPKASMQMSVSQAPAGTSMPSPYLAAAPSQSLHHDDAFVHEKHGPPGVSSVTWMPRLDVRCGRTGTTPSTGAANAHKGAAPSSMSPGRPRLSRPTMHQPSPHVRTTATRSPAASASSSGSVGRNAWRTVRVCACASSSAEMEDAVEYVLVRYAPWRREARGPGTEGSEMGWEEGPGWGRVEVPDTGRSKELRREPVGKGTGTRETGGRGVRWSDADPASRVTRIRSGRTTLAGTVSVACWMWGSRDLHRLLGGRGDVRDAHAQLVSPRFTVLPVEVDAQPGSAYEHDDEGDNEPSELDVVVAYRVGRGA*
>EED82216
MDPHVCEANTGDQQSHFRTGLLKLAFSYARLSVLPVGFQYSFGKAAATDEGPFLWRCLWAATDTVKAVVEDIAIPSQKIYLRHGPEAQCVFVTFASAFLVKLLQPKYAQHLSRERRVEIRDLVQRVIDLLSSPEVAIDDRHGPKLYSRFLQGLLATPMARVDQSPASVRSPRRVPSKQSSARSSPSQAPGTLSARQSLSPPPATSSAASSPKPDTPPPPSALLQLQQAQIAAGAPQYAAQPTATSDLDIPGFFSPPLFYDSELLQSMQSITDWPDMVLPGFNWMGSMQQTDFNTNIRYDQPMVGFTHSACDPD*
>EED82217
MKESKGGKTLKPEIGYSIIFFFREVVELELVDRGHNLDEEAAKDIAQKQLDYERRVHQEYSDYPEHDAAQFAHKSRIDSDISEEKAPKDAANSMSSKTWR*
>EED82218
MSAKIVQLNSTIGCSFIGIVFGTLYALRALLHPDHVLLPQISNGQRPPCHATGLCEADVKLNVCYSLNKHLIFVEFPNVMAEGSVACCQRERTRRTGRLGWGNVPPGTVQIVLKFQSEETEQLRRRLRAAEGWSKDCNASLAAEVARLTRESANAQTLIQQWEGSFTQTSQLPQNEVESNSLLPICSPERSINKLVKALVPVRSITVVLMFPSNPKYFRCANLADFKGNWNKVQNKKLLRDKMEFVAYNGFQWKYLGTFASANTDSEELSREAFIALPDQTREQLIRLSGHKKHRTEVKPMYESGEMVGMKFSFRRIGFNHALGEMLRGVGQVALQLRETEPQLACQIMCAHLCGREKARAAIRTSFSEKNRSSFVVQMPPIPTQREDSEETNEPT*
>EED82219
MDCILDTSNTKRVPTGPDTSTYYTYSASALTELDSVTNIDDASTSNYDMLQQIIASFRKPIPTTASLRDLRLAGYLAPIHRPQPLPPITPRLSPRGLDDEVESPGPQTPQDIPEELKNTSYLLASVAFMECQLQKQDEAPDYDHYFPFRIDGPNALGLDIGHELSNESDLEPKSIVFRGLNERYRPRWEEHQAQRAGKPTHL*
>EED82220
MPKSDTSINRPGLSVLQSDPKGATSARSSKHPRISICLTAFSPCLPQLPSSLTGRRSKHDGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVHGKAEDLRHFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGINRTENDYHTLHTNFVKGLPKELYVSFATRVARDRPNTMKAWYDEVRNADTAEQGALTVTDTRDYGEPMDIDAAAVAVIRPTKP*
>EED82221
MQRTRKMQRRRRRKRRKKHHRRHREEEEALEKDDLELLEENTGASFSRNRLIGLRRARDSDSPPAPSSLKRKAVVESSDDDLDNDDLDLPQVQDIQNIWDDERNAGGRDNKDDGDDDMDNFIEYEDEEETGGAMDEDEREERRRERRRLEKARRKAMSQPELAER*
>EED82222
MVLHPGVERAAASRTRTRKWEIKTHDKWEIMTHGFFFKSDNSRIPSTASAAVRLLLSKAITRAPLPMPSTRPGAHIGADKAPSMVLLEMFWLVAEVSGEVDEHIQRHVKL*
>EED82223
MQSTGFLWDYQPSQDHHSSSMTEQTAASSPPQKGLPSTLEAAPGVVQLRHPPAHPEDVFTTLRIDVEPTQTTESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQANIDQRIAVTLAAYQSQQSTANRPLRLNIPAPEPFSGKAEDLRCFIQCILSYFVTTNNTRLSDEAKIAFTVALMRKDLGKTWADVYYEKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTVLSLGNYVTRFEQLASKAQLKDTEVNGTNRVKNNYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWDYGEPMDIDAAAVASTFASTSGGRKWKLGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCHKNVAARQGGGRPNQGGSGKDNFRARIKTLSADEKRELRIPHGTSTQSPNTSTSPSTLFDTFDGARRLLETRHGRPDASRVDPGTSSAFGEQ*
>EED82224
MPSTKCARTPLYAHFYSHIQLEDPSNDNLSEEQPGSSTNDQKKEWYPYKSKLVLNTDWGNPLTRPHIHVYPKRSDGIVSEVWHGKKWNSEMDLDALSPMWDAGQGRHFYVQELARLSSREYVIPVRWFTKCGVVHADAFKVEITESCSLIYLIYVQKDWFLTYGMSMLPQRDILKACPIQFETWDFKKVLESTHNNPIKVMDASTKQVAQIRLFGNSKPLDNPMQSCQFKTVIQTAVFHVYDLVGPFEFRIWKSVGDLAVLVWFPEIFNLTEYIGDLKIAVGNVLDVFSEQDPSKITKKIKLHLLNHTDEDIKRFGPLIRKVSNSMSLVVGGTTIISAGFKQDLEVIVIGQVNEILMQAGEQEPLVVLDVFEISKKQHEIFTMPFVECRQGEMLLLIVKASGHQPSPLGHYIRGQKASTCGFWVDDILIPANKRNEATQRHGQAAPHVAAMRVGRSGCHPSTNWRCRHLSGARDTWLVLLATPPIGAQLATIWTQNMEPIPTPHLYRVTARSDPDGGDVWPPHLPQTIIAFIALAGIMVMDLRSSRWLRGVWLIAGGQRYSVFTQHNYFACPVHFFVNTPVDNLENLENPADPDCDGPESASSRGSQPIYSILKSRVVQAVGDSAAGPGPLKGTNVYIVYLIAVDHGHHYRDLK*
>EED82225
MLFISTLVAAAIVLAVYALWFTPAGNTLQVPADGLWRWSIQLYKEYGAIVHLRLMGDDVLILNNSCDAEELLNKRAIHYSGRKNSIYLEYRSNGKRMLLLPQGDELRSQRRAMKFMFRPDALRAYHLRQEQQARGLLNDLLLDPKNYAIALKRFSSGIIMGICYGKRVDEHDEDLKEILESNRTLNQDALPGAHLVDLFPILDHLPDFLAPWRKDARAKHLFCRLADAVRTRMRQGTASHCLIAQLWEQQSGLALDDKSVAYDTNNRM*
>EED82226
MILLMGLTHQRAWMQNGDLSTSAVRPSLYAPLLTGSSLQEFCAMGKKFFIGRRFTNTHLVTLHKHTEYSYTAVNRYVVHWLRRDTVTLLPAIPLRIIALTVDTFTSIYTMSHDVQNNARQRFYPGQPLCPQAMAVPPGSPLLIQTPPQPFVAGDLFPWFPTDVLNMPLRSVANAQHFIPAITAPHVFQCHQRNSRTRQHVSGQHQPGYVHARQPENPAASHQQSLLAETTESVYNPQFYGGVHVENVPVSYNINPGQHYGQLPPPATDPSLLAISTPVTAPSRAPSITFGPAKAAQDGTSSAMIQCGTKRGREVEGAPQVVVATATESAPPKKKRLRTSRRKYHVHVNSQAHMDMKSGGNQPPSAKPPRQGTVHPIYLYLEEESEEQRPYPCIMEGCTYLIPLGRAAPWKHMEACHPDVAQTWKCPWPSCKDEAPKVSGDAFGRHVQTAHAPVRIWQCPKCWKKSKPRTRKEYWVRHCDSCNALGLSGSATSTSKQSSSNVIDKLEQNGSTKASLKKSKKGTKTQVSDVASQPATLNENGDGGSNGTCDSLEATIMLALRLLGVLGPETESIGPEKNGIESIIEESQSLRPADDPFWLKDGASPMPPSSGGCLPPAPNQHTATSRSRP*
>EED82227
MFRTAAKKIAHNSTIPVLGVNKDLRTLQELITAEKSVLNSDSGRLQRLSADLVKASEALKAWGIGEGDDLGDVLTASCTLFLHFAEALANYANHEIPVREHMKSIRTREERLDDLRRRRKSLHSDADSAEKKLGKMSPDNKNLQVQTDLLNKLRDEIHIMDADIMAEEASLGDYKRTSAKAWMGLKFGGLAECSEKGVIIGEFGKMLVAEIPLDTTEPGLPRSVYQGHSNTEALVADARRALTNVVFSHEPNPNRLPNLRYSPELPGIPFAHRHSQLSYGGDTSRRTSMSPSSISMPMPSTDETSRLSQILRSPTTQFPQPSLYPPPRQQSLSYEQLQSPTPPPGSEAAEFGVLPDPFSPSTVDEKRGSTSSQRRSYSPPPPQYSAVFGPQEFESLNRKGNHNSQLAYMANPDEEEEGLQSTEGRDDRRVTFEELREPEAEESKAESDAAVSSRAEQDASEGAMQRSDASHSNVSAEATRAAPPQSTPPQPESVAARPSTGSEQPTYTIPEVSSRPREPSPPLDEEKALNAAAAREVSRELDALMFSVSPQPQPQPSAADAPPADRTPSPLQPPRVPFGARSVSPRPNIEISTSQPGSPRLGPQYVRARDRSLASPSSISSASNGDQGTIPPVTTSSRFSADRGPASPTAPSISIARGTPSPAPSGMSSSTPFRTPPELPGASFYSLSSAVTGSGTSFASSGGKISAAAFRRQQLRSPSTPTLDPLSSADTGPLVVKKRPLPQSPSAQASGWSAQNAGAIPRVPSAGRRISQSPTRHEGAGSEDEYDYVSAYANETENGSGRGDGGYAQGKFATNLEDGSGLR*
>EED82228
MVFYQRIKEYCDRTNTQMFGVAYLVSGTDLGAREHQLKHRNSERPEQGERSHIRLVLRTVGRPLGTFRYSRQLVLALRDAILGHQLAYKAGVLHRDVSAGNVMIVEGQPFSGFIDDFDYSSLIDSSADGGSPLTDEELESLGSREAELRERTGTFAFLSEALLNISAKSVIHAVHHDLESFYWLLIWVVLRHTQHTHNAGETAFFEVFPGDNEALAKNAKHSFRTREEPLAVKDNRPFSHLLSRLWLLVFKTVKSPLNPLPTLLTYHDVLHALNEALDMDDWPENDAAIPFSKNTKRESLGDADAAGPSKKIKAEPKDTESEIDGDEFESL*
>EED82229
MVVIATDSLHPINLVLQRTRVQNEDVLIKLLRSLILPDASRNVSAIPPNHVAIQSIGGFVRLGIQLANAMGYRFIALSSSAAKEELSKKFVTEVEAKLITRIAPNPDVVRTLHPGLTFGGELFIVVLTGDAPINLDALVTKWLSIRGVAPDSED*
>EED82230
MSPSHYTRIVLASRPADSAFIEADNFRKEVVPFDLKPQKGQVLIRVQYLSIDPAMRLWLSEKKSYRTPVPIGGVMQAIGIGAVVASGEDTSLVPGDLVSGIFGMTEYAIQDATAVQKLELPPNTQALDYIGPLGHIGLTAYFGLIDIAQIKAGETLVVSGAAGATGSIVCQLGKERGAKVIGISGSTKKCEWLEQELGIDKALNYKSPTFKDDFSKAVGTLDVFYDNVGGEALDLALASMNLHARIIICGGISQYNTAEQYPLRNYFQLLSKRATMRGFSLYDYTARLEEGRKYLTELVTKGAIKQRYHVVNGLDTAPGAIGILFRGENEGKLVVKVSD*
>EED82231
MSYQQNSQLFAYLDDSQLYGSPPAFPYLSPPTPPNLPLSQLSYSRPAYEQSCQEWDQFLPTTGVPYPMYMPMASPPSVTSPGQFYSQPWMSEEMAHPQPYIDVAAFRPSSDPSKLFLNDSQWSSASSVSTYTAPLTSPTPSMTYASTASSRASTSSRSSPSTSPPRIFSEDPHTGEDIFAYLEGTPNSALGLAHVPSTPTRGTVSPVPLPPTEPSGPSTRRYVMWNERLVVPNRRTMTASTSPLGSGTDHVPSLIPSGLPVGGQKQITTEHEDIVPSAFITGMMGGVFNNDHGRYVKAVDTHATGNNVHVATAVQPVTKKPRLSSSSAHTRTKTRSKTMSKRSERLPMRTTDTVEQTTQQALRKQKRKAPDDAGANRPCAVKKAKCSSESNTGPKTYPCEFHCGHESLIRYDTKRHMAYSCPLRPNRSRKPFPCSMCDKGYSRKDALIRHVRNEHEKCIEAERLHADSGGHNDH*
>EED82232
MAGGRWLFWLSKRDTRHFFDFSGGQPERQTPDAGNSRSKGKAKATETGTGLESSGQYSDSLWVDRCEPVTQDDLAVHKRKVSDVRQWLLESFSGGPSGRLKQYRRILALTGPAGTAKTATLRVLSRELNFEIMEWRNSTDEQWSRDTAFKDDGADVGHMEYESLVDKFRSFLARAGTCQPLAQSSSQPYASSQASTVQSSVTPDGKRHVILLEDLPNILHLGTQASFHSAIEEFVSLPATSVAPLVIIVSDAGLRGEDVENDGGSWRRGKEAVDVRSVLPPSLINSPYVTQVRFNPIAPSLMRPALQTLLDKHFHSVPDNLPPKEVIDVIVESSNGDIRSAIMALQFACLTKAGAQAGGATVKGGKQNISQARVMLGAITRREQSLALFHLLGKLLYNKRKGDPPNASASAKDKQQDKELDATLKEPPTLPLHLRVHERRASRVDIENYTQYCTTLDECDSEADWLSWIDSSGGETWHQANPHCFHLLALSTLHSLPSPVVRRCQTPYKPAFFEALKREREAEDGLRDVQLWLQYQHSESGVGDWSRQRIALELGGILKAWDSRGVASSLAPKTHKLFSRMDFGENTRHDVQLTDESEGHQELTLDNEDEIDTRHTSEADHDLAGRGGWLEDDDIEDF*
>EED82233
MSTTVVPKLFQPTKVGKTVLAHRVVMSPMTRCRANAAHVHGDLAGTIIAGKAGGIPFVPGIWNDEQVAAWKRVTDAVHAKGSRIFCQLWAIGRAAVPQVLAAGGYELVSASDIPAKPDSKVPRALTIPGRVFLTLGVTITQLTSTENTEIKEYIQLYTDGALNAVRAGFDGVELHGASGYLIDQFTQDVSNKRTDEYGGSIENRCRFALEIIESVSKAIGADKVGIKFGPWVTLQGMRMADPKPTFAYLVSRIKELYPKFAYIHVMEPRVDGNVDREVQAGESNDFLREIWLPRTYIGVGGYTRDDALGQCEKTGELIAFARSFISNPDLPLRLAKNLPLTKGKREDYTPPFDGKGYIDFPFAEDRYIQNFHLSLLENKRHSSGPKQQAESELPPRTATNKRLLVASARRFWLTRLSATSAPFGRSKAAKSRFLSSEIPAVVLTAPIPPFVPLPQEKDGVWAFMQALLPEKEIGRQP*
>EED82234
MGTTYPVPANGQSYGLLNDVEELGRPFAPFMGDGSDRSQTPPSPNASQSQLYRGSAAGSMQYGDGAPGLPRTGSTAAMSMRAPFLSPASRPTSSLWAPPSVPYAYPPASGSSSGLNTYVGSGSALYAAGQYPSYQDIQAQLRKAKPVMPSSRLPEKLATEDKPWMQIKDGRSRASWWLTFIGMVAGVAGAAVLCYFSWTSVLLLSNSDLCQVFSEDWSNGYTNNWVADVELGGFGNGEFQMTTTDSKNIYTQNNELYIMPTLTSEDIGYGSVLDGYTYKLSGCTTTNATACSVTSSNKSYTVINPVQSARISTRGKASLKYGRVEVVAKIPTGDWLWPAIWMLPVNNTYGNWPMSGEIDLMEARGNSPAYPAQGTNYVRASLNYGVLPGVQTHLFGWWEDKRSAFSEQFHTYALEWTDGWMRLYVDSRLQAMMNIKITGKGGKSFYDQGNYPSTATNGSNTEVVVQDIWSEAGGGPNAPYDQEFYLILDVAAGGTSGWFPDNVGGKPWYDGSATAMREFALAQDTWSATWPSDADDRAFRVGSVKMWKIGAC*
>EED82235
MTTKYARGLNNNPARGKKLNTLPLTESAIVYKLAARELSLLHLPATVFQAYINRPTGCTLGSYGPWSFMPPGRARQALTVGLTSLISLVASAPPGFPTSGNGLWYTSPGASDAWSTEWLPIGNGYLAATMPGETIQETMHVNVESLWSGGPMQDPSYNGGNMLPSQQSTMAEDMQAYRQAIFASANGTINNIEELMTPAGAYARVRASSGSLISCIPNGVNATLSVEDAGEAWITWVGGTNYDMYTGNAANDFSFAGTDPHASLVSLISAATEPSVSYAALFNTHVADYAGLMSPFSLSLGQTPDFATPTDQLVAAYQTNVGNPYLEWVLFNYGAFATFVRAAVKFKLVPSSQITNTWAPRGAETAQILYNISRGWVTHDEMNVRSLNIILRMSTSRFTQPKIFGHTGMKLSGNSAQWADYPGENPRFYRSMIHVWDHFDYTNNVMWFKEQGWPLLKGVAQFHLDKLIADLHFNDSTLVTNPCNSPEQAPITFVFLRTKTVTSYAGYTSEVQEKRTQMDKGIHIGSWGQLQGISTRSPHAGATNLTLSPNCKEWKVDMDSPTDTHRHLSHLIGLYPGYAITSYDPAVQNGSMYGYSKSDVLAAAEVSLFHRGNGTGPDADAGWEKVWRAACWAQLANASEFYFELTYAVERNFASNLFDIYTPNSGPDGVFQIDANFGYPAALLAPDVASYSTPLVVTVLPALPAAWPSGSIKGARLRGGLTLDLEWANGKPTALTITVDQNVVARPVEIVYSAGTVASFTTSGGLTKAVGF*
>EED82236
MTNSCMVELVSPSGVALKLFLTQRVHPRSAFCSFITLTDAILRAEIHEYVQLYTAAATNAIRAGFDGVELHGANGYLIDQFLQDVSNKRTDEYGGSVENRCRFALEVIESVVKAIGVNKVAIRLSPWSTFQDMGMKDPKPTFAYLVSRIAEAHPDFAYVHVVEPRVEGNLDRIVLEAESNDFLRSIWAPRPFITAGGFTRDTAFEAAEKTGELVAFGRFFISNPDLPLRLAKNLPIIKGNRDTIIFLSLPKDISTTLASMRQLRGYRFLPLFHSLVNITNGKQKKRDDPDNYNGWRHEILNKVNMVEDTLVLKFIDKYVPGGKPYRARKTAIDDPFAPLWTEHLLRNEFHMYPHLVTGLNQLCKGMPRGSHLLFVEAHSVMFGSPFPDWTTSHGKISPDIAALKPGVKNANVFNNDNNKWKHVSLIVEVKNCRHADPVMSESEEAQKNLMQMSKVACRLMLEQASLCCFVLGIYGKKAHIYRYDHASAIASEAFDYREYPDYIRRFLWNFANPVSGLETLGQDDLSSKPTGRDMLWARDVSGDNCTPSDIDHNRWVTVPSSTRNGRDEDYLLLRVLSMNYRMFSRATIVREALKRGDMSGKRYIIKELSRQVVRSDETVFYKHIKEYCERTKTPLFGIGDLVCGADLGAREVAAGKDRKHRESAHPELGKRSHMRLVLGTVGRQLKNFLRTWQFIFAIHDAILGHQLAYMAGILHRDVSPGNVMITEESKRFKGFITDFDYSSLIDSSADGGTPLTSDELSVLSSRDAELRERTGTFAFLALELLNLAPGQSVTHSVHHDMESFYWLLIWIVLRHTEHTHFKVNGACHEVFRSGDEASAAHAKQAFIVSDRPIEVKGNKPLSHLLSTLWHLMTQTVKSAINPRPARLTHAEMLRAFKEALDMDGWPENDTAIPFKPPQSSPNSPKQSRRKTTTKRGRATVSGSSEEVPVSKKTKTARRFDNEENDDIEDL*
>EED82237
MCGQCPIVKVNSELKRKRTVQYPLPEDQLKPLSRWWRHSIGRSSSAAPSAPGLTEVLGQRLILSISAIPIIENTGMWSTARAIKGFLRLGCLLVPFPKSTTIKRHATDSEHQCQEWGRTVRRGGGNHTLLSRVGRHEIPDYYKVCAFFLMIGAPCGHASVTSWASRPERMKRAAAGGRPRKGSDDGHKQ*
>EED82238
MAFYLRYRIWALIIDWRGRFGWHLWSLYSTISDVHDATAAQVLTVGDEILYMWRGRFVWTRMLFWLTSVEHMLYAVDRTGIGPLATTNVTDVPLCNRKLLLILCTLFAAELSIECVLLVKIFNNLKRTLTTS*
>EED82239
MHLPRPFKNPHYTKNVNRRTKNLKAVLTHERDRERADRERRRQEKEDAMEVDGEPGDSTPEEEMPTYASIEAPPSLLPQRRYCDITGLEGPYTDPAMGLRYHDKSVYELIKGLSAAAAKDYLAARGVNPIVK*
>EED82240
MYSQYVTHIWADQLQREVNARRVILGTVVALLVGDHTELTIPGRICCLTSLDATRDRRQASINHVGVGVTFQSNSGFRGKCNVTRPSRLIQLAVSQLDDGGLSGGTFATSANNNFHYVKSAGAVPTLSVLVRSNIQMATHRYIPHSNQPPTNLQALRVDIQDALIAGAQRVHRHRTRAPGVYIGIAGTVLMDLRLSSLVPDLELPSPQFSSLSESELSIPRAGSKVSFLETTVGQAALILAHRIRDYVEDPIKPAEKELLDDCVRIIVSAIQAALSEPRDDGGSEVLYGRAGLLYALLWLRSELSFPAPLGDLEDTNDPLLWGVSQLCSDENLQALVGDIVRRGWLGASIYAEELDAETQQRAPRLMWSWHGKRYLGAAHGVLICAPAHIIAPYWSDITGTVEWLLAIQEPLGNWPTKASRHMHYAAGGAATSRTAKRVSVEDGDALVQWCHGAPGVLILLSTLLTRASTSSKLAVSSTTTDSIVAALQRGGELVYTRGLLRKGVGLCHGVAGSVYALLAVADSVQEPAGYWLARAAHLALLATGYRARERKGDMRVPEKPYSLYEGVGGMCCAWAEVLARIAAAGGSGREQDGGRRRWGMPGYGDLLLC*
>EED82241
MCQLIQTNCKFGAGSTGFNDFIPSDRQSNPPIQICSEDLLVSTRTPPNQTQVSALRVHHTPRAGRERGLAGRAGRSPHTADLAVRGVPSMIMEGTCSDNRTQPFAHLDDSQLYGSPPAFPYPSPPTPPNLLLSQLSYPRPAYEQSCQEWDQGAPGCCLIYMRENPPGTGNGPLVPSWNDVMVQKESGFYLEKISGHIWRLYTWASTNTKPCDAWDSLACAAVVLTNLWTIYTMIYHVERTGCRVEPPRNGGLHITIRLSLVSSGLPAGAQTKTNAGYEESVPNAGITGIAGGFFNNNHHSYYIEAVDVHTTGNDNHVTTAVRPATKKPRSSSSSALARTKTRSKTMSKRSERLPMQATNDVEQATQQSSLRMSVFALTFDVHLSEAVVKTMRVSLNNALPASNTSLDMRSRAIASKINAGFMEGASQRIAPVSTGYKKTEKHRRLNEIDGRS*
>EED82242
MSGQNTTYINDTFALELQEGINLSYYYLTSMTALPLYDCLITFGQEINVFWTRNPRPKSMYLFYLNRFALIFLAATNLANQWLFTSTISTFIQTIAEILQYVVLADAVLSWFVGVNHVLKLTGIQTIVILITGRLCVITNTISYWSKHIEGFIRKKSSSSSSPNPAAVNAGRTYVEATLVVNSLIPGLIPAIFSSANLGPIEVEHRRRSGADHRSIPFIQINATIDNPKWCTTENAYTTFARKLYDICAIISYVDMAFMIAGVALPAYDLILTLAQEVQVFWSGSSRLKPMLLFYLNRLGIIFLIAVYIQGEFNNTQSGCTFTFVGRGVSGMILYATLAVVIAGIFARSPSSWLCFHGFKMRYDFAFILNIGGFDHCGLNLGCVRQIQDLSECIPPVQYQTVYNGPTMDTIGGPHPTHRHFVRREKRRIIAPESRVKTRSLTLLNVLEIILYYSDVSSQCFISVYHDSISLVASMGWTLGVLHTPYIERISSILVSRFLLDLGASVKREDQHGIDGSELHTFSQATEVDTVQSMKFIVPSDSMLDAYDTGCIRPSSEADVLTSPQVRNIDTLVATEA*
>EED82243
MSGVNGTDISSWLQDVREGVDLSYYNVAFMTVLPLYDAVLTSAQELQILWRQRPRPKSICIFYLNRSSQVLLAFAIATNYLYGPYSCKGVATSQTVAFISLYAILAFSGTLRAYALCGQRWYLCITVLVLALVPCFQDIIILAASVIYATETQCIFDIALIKWTGTIEQGTVDAMGSSPGPRVLIIAPAIMISARLCVIASDSIVIVVTLWRVLKHGDDPGIRTTFCYNPGVWYFLSLAVLNIVDIMMYYLCLVLRITIPLSLGH*
>EED82244
MFPPLLQVPTLASIAEVDAFIKVAAQTLIQGEEQGKLFEKRIWNESYRYRCLRLLKHVYGSNPTVDRRFREGFERNARSLEETSATSDLFHLRAFETGFGALNDANAGCFGGGRVKRFLDLGCSPGGFSSWLLKNNPDTKGTGVTLPEEHAKLPVQIEPALRSHGRYQVIYEDIIDIGVKALEQNMCPSIPLDDSFTGLYDLVIAGAFPTMQGRAPWWHRVQLLLSQLLIVLTHVAQGGSVLVLVKTKPQLLTMDILGVLQQVFRFVLAHKAGGLHSYCQSSMLASPTDPALNLANRMPLLSADSAEQVFDNQYRSLLQLLQPVWELQYKAIRKDLARILAPEKEATTSRAMSGVASTVNVGVGRPHGRNHKSATQSHKHIGSTTSSKSGSLLQLTRSPSNAEKTASWRARPCTDGAVVPGDLFGRKRGSGRLSVAELSTTWRARPRME*
>EED82245
MSILHIEVPSFTSIHEVDSFIAQSLDIQLDEDGVDVKRLEGRFWNESVLYRRLRLLKQIYGQNPAVDKRFDSNRQAHLRRINENRDTIPRDSHMENGSHIRIHAQAFEYGFSAINNANSRCFGGGRIVRFLDLGCSPGGFSSWLLKNNPGVEGVGITLPDERSQFPLQIDPAFLTIGKYQVRYADIIDIVSVSPGDGALPIIESKHSLRQPYDLVIAGAFPTMQDSTPWGYRTQLLVAQVFLIIANTAPGGSAIVLIKTKPILLHLDIIGLLRQAFDSISAHKAGKLHAVRSSCYLVCRGFHASAEEIERMAGKLRYALQWLGEKALAMSSETGGSSTAGYNFLLSADSPEQVFDTNDRFVLNLLRPVWKSQYDAIHTDLAKVLVAEYGATAGSVATHSGTDEPKQRRVRTKRGKRA*
>EED82246
MAQLRTPTVASIAEADEFVINHSVALQHNAVVNLNPFEIRLWDQSLPYRRLLLLKSLYRHHAAVDQRFDERRDIHLRALDSNTVPSRVHLVAFWRAFAFLDHANANCFGSGHVRRFLDLGCAPGGFSQWLLQHNRDVYGVGVTLPVEEAGIPLQIDSAFLANFRVCYDDLIRLATASMESGVPVQIHLPDDHHEPYDLVIAGAYLVLQRQKPWWQRMQMVLSQTLIIISNIAADGTAVVSISTKLFLWIVDLIGMLRQCFKTVTAHKTGRLHAVRTSCYLICRGFRATPEEVEWFTARLHSALRYLVVVSAEWYIDEDGIWHCDRKSEDLPLLSGESADTVFDTQHRFVLELFEPLWNMQYDAIRVDFAKILIEEHGGTCCNTYNKVLVSQTNHPTMMVTVQQRS*
>EED82247
MTEPQEVESLSARLCQSPRKDDHERWSGCLFRLSGEEEEEEEEAAGAGVCVGEGVCACAAIEDIGRKTKGVRGEGNPALEVVLAGGRGAGSSEKRRETVRGSGYGRRWGRGDMISSQTLGVSPRPASFADYLSRPVRPLLPPALSLPTFQAPPSILRRRAHSAARTQPTGSYATCSECDYL*
>EED82248
MSDASITFSGWASSSSMSRLDATPKSRKSLVNITNGKQNKRDDPDNYNGWRHEILNKVNMVEDTPVLKFIDKYVPGGKPYRARKTAIDDPFAPLWTENLLRNEFHMYPHLVSITTLTLSCILWLIPLQVTGLNQLCKGMPRGSHLLFVEAHGVMFGSPFPDWTPSHGKISPDIAALKPGVKNANAFNTDNNKWKHVSLIVEVKNCRHVDPVMSESEEAQKTLMQMSKVACRLMLEQASLCCFVLGIYGKKAHIYRYDHASAIASEAFDYREYPDYIRRFLWNFANPASGLETLGQDDLSSKPTGRDMLWARDVSGDNCTPSDIDHNRWVTVPSSTRNGRDEDYLLLRVLSMNYRMFSRATIVREALKRGDISGKRYIIKESWRQVVRSDETVFYKRIKEYCERTKTPLFGIGDLVCGADLGAREVAAGKDRKSAHPELGERSHMRLVLGTVGRQLKTFLRTWQFIFAIHDAILGHQLAYMAGILHRDVSPGNVMITEESKRFKGFITDFDYSSLIDSSADGGTPLTSDELAVLSSRDAELRERTGTFAFLALELLNLAPGQSVTHSVHHDMESFYWLLIWIVLRHTEHTHFKVNGACHEVFRSGDEASAAHAKKDFIVSDRPIEVKGNKPLSHLLSTLWHLMTQTVKSAINPRPSRLTHAEMLRAFKEALDMDGWPENDTAIPFKPPQSSPNSPKQSRRKTTTKRGRATVSGSSEEVPVSKKTKTARRFDNEENDDIEDL*
>EED82249
MYEFTPFYYHPLSYSQHANLCRQWEKAKAASRAEELKRLKRDRFQSALDKLRQLGWGDELDLLAPKYKPLTRHEPLRAAQKLTDRIWQNIGGGVVAILEDIRDERYRTKHTEVLRKRLDALCTVILNKYSNSPRTVETEYKPHMCDIITMPEIREIVHSSNDAALGSQSEEKVRALLPSLVDHWQTRACEELTRLASRWTKCAEGADVLSLAATHFVCSVCTKLLPYPEVLAHNCLRYLAPVLFRHPYNQYMIYEIAAWTVGVNGQPWNSQLLIGPGQRFHERIRTIIKLCGQDPDRTTRQELKNLNVYISYPRYNDKNRVITLRTAVEKEWQCWTSKSRHDESVEYKGRIATEAELSEAQRGGQEVLWSKHDYPWCCSLCTPRGDDRSRFSLYGIQAHMQQMHSINNASEKEGNFYAYPECGMQPF*
>EED82250
MAGRYEIWDVYMCAGRDRGWPAIDIECRGWGGGCGLCGPRVIACVIAGAVAGEGEGVFSRNGKTARSGCEEGDMTAVVLDARRRREEADGNDRAHAWAVDAVFAVYVQRSDGKGRKPTGEFARLSRALGGRGPDATIRGRGATHKRRGRAIARLAQR*
>EED82251
MCRISGVAVIPAVGAWIRLDVVSTQPRETFVNIISPPRIAQRQRIRLQPPDAAASLSKGFLLRGAPSQHPCQTRLFFPKACGDKVCIFPATAPASKASTNALHKKLSTTQSKPYRTCIKAAWSVPSTSDGHMKACAIRASPMEMSDAAAGTPGTLCSDLEGPVAYAAFASALRITSWSEALLAQGTIARVEARRGHPGSSVFESCSPRRAILVPVVHPRRHTTLYAMRGRILAWPA*
>EED82252
MSTSEEQPGLPPFPELRIMTVPDHELVSQDGSLKLDIYRVGWQIQRDIRNDIEPWLRYFKVKIQTADDNQIGYMTYRLVNGGYIDDFHEEFQMSEHGAEQLETIGSLLFTKDGTFQPRWYSQPYVGTGIFGAELSATKAKIALLDCTPQDHLFVLDESRRRRVGLLAVRTLLESLRAEGVKFALTWPSVPRIQPELVGTATEQRLLRRNLAFTRKVGFRRVGTSPVFAYMLQDADHPSHQLKIESDVFAIDAMLTVISYLFGLCILSSSGSTCVAYRPPQVALAPLRACPLTLRLLRLPSGTDLAEKRNFHVVVFSLQFYTLATPVPSKPVQRQEAGVAQDSEPEGLEGHQLRGRILYKITESQHQIGFRWSFRL*
>EED82253
MTGVSLVAVNLATICLESFFYGIFFVLALAFIYILAHRNSHSDLLAPPRSWIQALRSIITSPMLLAAAAFLYYEGGTKPTQFYGDLSQKTYIAQLSALAFCIILGDAILVYRLWIVWAYRKTVIMIPICSLIGLVVCNIGVTYQTSRFRPGDNVNQVSAVAWITPLWAFTICTDPHASLDRMARLEGAFKISKSFRSRNLMLQSELQFNANQTTPGICGIAFMLIKGSEATADDRPHEANRFPYHSCSLASIKKHADQYRCEGERASLVEPACRGSSPASLTQLVIKGIAAHRNYTTNSHPANEVTIFYDGGTIPRSLDFRDLINCSRKLGLFWTSL*
>EED82254
MSYQQNSQLFAYLDDSQLYGSPPAFPYLLPPTPLNLPLSQLSYSRPAYEQSCQEWDQFLPTTGVPCPMYMPMASPPSVTSPGQFYGQPWTSEEMAHPQPYIDVAAFRPSSDPSKLFLNDSRWSSASGVSTYTTPWTSTTPSMTYASTASSRQDIFAYLEGTPDSALGLARVPSTSTRGAVSLVPLLPTETSGPSTRRYVMWNERLVVPNRRAMAASTSPLGLGTHHVPSLIPSGLPVGGQKQITTEYEDIVPSAFITGMMGGVFNNDHGRYVKAVDTHATGNDVHVATVVQPVTKKPRLSSSSAHTRTKTRSKTMSKQSERLPMRTTDTVEQATQQALRKQKRKAPDDARADRPCAVKKAKCSSKSNTGPKTYPCEFGCGHKSLIRYDTKRHMAYSCPWRPNRSRKPFPCSIFMCDKGYSRKDPLIRHVRNKHKCIEAEILHADSGGHNDH*
>EED82255
MSPSHYTQIVLASRPADSAFIEADNFRKEVVPFDLKPQKGQVLIRVQYLSIDPATRLWLSETKTFLAPIPIGSIMQSIGIGAVIASGEDTSFVPGDLVSGDLNMAEYTIRDATAVQKLELPPKTQALDYIGPLGHIGLTAYFGLIDIAQIKAGETLVVSGAAGAIGSIVIGISGSTKKCEWLEQELGIDKALNYKNPTFKDDFSKAVGTLDVFYDNVGGEALDLALASMNLHARIIICGGISQYNTAEQYPLRNYLQLLSKRATMRGFSLYDYTARLEEGRKYLTELVTKGAIKQRYHILNGLDTAPGSLGILFRGENEGKLIFTLATDVQLHGSSNANLNHYHQGRYIEVVDARTMGNINHIATRLGKLLHLAH*
>EED82256
MSSAPHPKIYSAYGFTKKGGPLEPITFDWKDPQPGEIVVKVLACGVCASDEAAKHQGVPAVRYPIVPGHEIVGEVAAIPSTESKWKLGQVVGSGWHGGHCHLCATCRVGDYMMCEKQDVNGILRHGGYAQTEAVCAVPDGMDPAEVAPLFCAGVTMFNGLRNMSARPPDYVAIQGIGGLGHLGIQFAKAMGYRPIALSSSDAKEELSKTLGAEVYVDGSKVDQAEALQELGGAKLIMCTAPNADVIRTLLPGLAVGGELCVVALTGEAPINLGALVTKRLSVRGWPSGVAPDSEDCVKFAKVHGIRTMVQKFPLEKAEEAYNHRSTARFRAVIIP*
>EED82257
MRSFCLPRKSD*
>EED82258
MSQTISAILDSQTSLVQAAAEALPHQFSQCTHALGHIRQAVYLCLTCAVPRGICAACSIACHTDHEQLELFPKRAFRCDCPTAALAHPCALHRTPEPENTRNAYGQNFRGAFCRCGRAYDAARERETMIQCLTCEDWFHESCLSLRERPLSRASTPEAGVPAQEVDDAASEASSNDLPPPLIRADNYDALICAACVRSIPVVRRYAGTPGALMVVRDVPGGAWSVIGREAESGANVEVETVEARKGLDTGVGEKRARSPTKGESDAPNAKRARVSPEASSSPACLAPPVNSLAQQIFDKSLSKMDGAATSSGEEDVCGEGDVFLTEGFRDRWCQCSSCLLSFETYPCLLEEEETYEPPEDPDSGLSLEELGMRALLRLPRERALDGIRAFNQMRDELMSHLRPFAQEGKEVTESDIRAFFEERMAAARGGVGESS*
>EED82259
MSATLAHARLVSLVLSFAFGIVGMATGINALAKSNSSKSAVRRAASGLGATVNIDTHDVLSSGVVITVVCGLIALTSFFTLVHALLARFRWRVSAASSSADIGRHLRTVPLTGAHVLTFLTLWLFATLVPFTDFVANRQAKVTAYIGGVQLPPNLIQGTEAELGVTPVYHRLGYLRAGTVLPWIAFLFAVTSAALSYAYARSAASLIAGTNGYAAQPEVQEKEKVPEQVETQQSLVHHVGSPRLLITANESIAASSTGSRIHVALSYRDLRRAGIAYQYSFELLAVLPAPQSRAPHFTVSQSAWPPLREHLHYIRTIVVWLLYESVLQPCGNLLSLRAARQYCLQILEARSIKLWLGFRISIKCGPYALPLYEYVLTISQEVEHIWGNECGRASRALFSLNRFVMLLMAVGNALQRSVDHSYSLQDTFTRRTVVSALRVHALSVHNWYLSLPTLLLGLVPFITNTCNVIGVYYLARPIPTLVIPYCMALVPNHLVLGNSNNGIVIFSRTSIDLTRVYYLATIITHMLVGLSDSTGNLRSSRYYSETFSSTLTGQDNGALIQFILPMSSILVSRFLLNIHEAMHSSPYGESDRTVTVMSADILQSDTQTPALIFASQSCSEGGIIEDDGILMENGHIQEVVDDEAEIIDIEPADRRIRTL*
>EED82260
MSNPESVIISNIQQSYYYISLTEYFSAHGVIYLPPVTIAKTISGCQLIEPFTQSTVVKVTYRGTYNGANRAISLERKPLLAELLLRDGQWRCYGFGLTIAIFRSCAIPGGIILNSRQCNIRALCAGIYTSADVHEWEDRRVQNAPLEGEEEIRMEASLTNRMITGRAITLAGGR*
>EED82261
MSTAADNGVNDTTRKNLILNYCFIALSGKISDNLHHGRPRDSFPAGLVLYEYIITISDEIQLFWDRRPTTWSFFLFTSNRLIMIGLVVTGALTVAPADRVTSSQLVLEYGYVRNWVGPTRNQYLTIASRTSAMVSDIIVIVVTWYYTYNRYRNPLQLQDQPSLTLLMLRDDYSSPTDLHDSEGSSTDLQDLSFIDASTRLHHQLELGDDKSVTLAGTIELDDVIDRDQLDLKSGSVFGKSDSPVGTGDNFFPKYQEWNEAAKLHVWVSQDADAILLYEYIITIWREVTLVWDRRHGSACFILFQLNRLVMLGLIITGALIVVPASQLMSCKAVVFPYSILIQATYALWAGLSAIRVFALSSRHLIFTAVTLITGLIPFGINIYPMSPNMDVCEFEYNYYVPPQFSTVARASSMISDAIVIIVTWYYTYTRHRTSLAALLIQDGTLYFVIFLIMNIARIIVVCTHIIDIVPEFMITFFLDVRTVAICMADPSSSDLRILSSTPHASHECGSPIDCEPTYQSGPQSEVAGYLSGMDEEMDLAHEHNGDGLEIEEELRFCETQPDMSSMVYECDGQAVWRERYMDAPSPPKLQHAGVQNTQTRLQSDIICRPPILLCGNVGLAPLSPVGPNVTAWPDVGVRGRTGLLSYEYFITIGSEINMIWCHRRTTPSFCLFLINRVNMLGLIVTGVFITMPASKLTSCEATVLPYNVFHVATYATWAGLSTLRVFALSGRNVLLTGITLVTALFTLSINILAECYRLPVCTASGLSSMISDTIVVVITWYYTYAQYRNTHMLKMQASLTGMLLRDDHQYNPGVHDVVSTIENPPYGVGDDGLDGGRISTVLVSRYFLDLREVAYDSTLADPWSGSGLYSLPFLRPPFGGRERYAQREPAMYVADATAVISEGHGGTDTLDGVREGTNDELV*
>EED82262
MESASFATHHDERDDRARSRSLHFPSTNSDAPDLVKMYKPLFSASYSDRFDVHRIQEATRKRPLAHWRRGYMKDGLNTPLGYYLEPAPPSPDSASSSSRPTTPDATDVNREIVHGGVSPPSKPGSHGFSVYIHKLQVILPRIPFLSPRQPRRMAATPRRTPLWVSFWPLAFELASSYVSVNTYCPWWLRLGRPLAQADRFVWTRFALTIPLVFWDSGFLLMRPRSMVGGDLHFLWKPYAIYQNVDRNYGVQAYEEGHGFPNAQVAFLTLVENFMNIGYLWLVHAQGSPAAPLLGFASALMTLSKTALYWLIEYYCGGCSIMHNDLQTLVAYWVLPMGLWLLVPACIVWRLGQDIATALHAANAGSQKAASGKTQIVIALTLRGHCLRDLLREAAAFRSLRNDRTGERKWAFAPLQGSEPRYRSERWTPALTDRTCSASRVESVLEQICPNEFAASGAELVPAELAANGRGVHRQAPKAVPVRLTLGPQGRAGTALIAYQVAILGSTHRSGYGGADRVPLARRDAPRPGGGPLATGAGDGTCRTWITRLLPPRGVLGIWPYEAGNEARRLHREIELPRRTAPSSRVVRISGRRRRGGNRGWHVRVPVRVEDHRDLGLSREASDKTLLGDTVVLACLALSRRAGAYLGRDDAPRDGGMRGAEDPTNIASCGNMSHLARRRGRSQATQDASGHAPEPCSVPRGGGCVACGGAGFVAHWPPSDLGRMTGCGSVGHPGHRCVLHGWAAVAGEGRWPSPSFSLWAMGASARRRQMTHRSPRGPPASRAMHDARCTIHRCPAHDRARSRRHNTRRGAARRHAGEELNVCVMQNAERSAGRRGAIGAIEIGRRTGTRRQGRPGTTTDRRESGGGREGCDMSDALRKPRTRGGPRLSLSVPGAGALSASGDRGRTRSWAPAGGITNTNSNTKVAVPPQTGCAAIDAAYRSRIALHRHVPAQARSCSVTYVHIRINFQRSIGPAPAWREGWSPAAAARTLSYAQHSSCTTHRELCRHLPSPCAADKARPGTVTAPAPAPACAAYAGDRPDAGDARTRRSRTRGSAAFEDTRSGAQKTGAGISRVGGPARGASSQWTGSHRKVDGITQESGRDHTGKRTRSHRKVDGITQESGRDHTGKWTGSHRKADGIIDHTGRQRTEDRGRRKDGGARIAAREAPAAHSSWAPRTADGRAAASRRAGADATGGVFCSWSLSERQGDESRAHVSGLGARGSGVALSGLRVAVAADRMYGCSGQNVRVQRTECTGAADRMYSSGARRAPGGNIFHIQKTDMMRERECGATKKTDCANVNAMQEGGTGRAGSGVRGREAAGRLVMQSEVSRWRRRGTDMEGEEEEVVYRRGNGNRADVYGCALRREERRAASHTGILDGFVCDAACQTTTACGTGTRARLTDLRIISGVELEPGEYDAAGWMRRTWSAAVQSGGGPMCDAHRWCVLGEAAWWRGGRLGERFSQLGRRVSTSVTFASLSALRCADIDVGWRDTLSTLLACGSEESWQERLGSAMSWSGAERVLEEEEVEEEDFLICEDMVWWASVGAAGGAAKDGRGERGWKRDGRGTQGRSGGRRARQQEDGRAGLFAVEARDSAFISRIRLKLGGAHRAAHLRVYV*
>EED82263
MTQLALPSVTSIVEADEFIAGHSDAVQHAAIIHLNAFEVDFWNKSLSLRRLLLLKRLFRSNPAVETRFRERRDIHLQAIGGNDEPSRVHLFAYAKAFSLINRANGGCFGGGKVHRFLDLGCSPGGFSSYVLKHNWGAQGVGVTLPDEEDRIPVQIDSDLLANYDVRYTDVVAFVARSTETDTPPAILAHDGSPVRYDLVIAGAFPVLQGAIPWWRRTQLVFAQLILIFANISKGGSAIVAINTKAFLWTVDVIGMLRQCFETVTAHKTGRLHAVRTSCYLVCRGFRATSEEVDRFTGRLHGALLFLAAVPMESSQDDNGRWEYKHGPEDTPLVSGQSAAEIFTAHHRFVLDLFEPLWEVQYNAIRVDLAGVLVDLYGAEAGIPKYAESDGFDSPTDVQHEAVEHSLATSFRPWPHRQPTASPDDYTAHHPDTIWRSRGRGQAFSQSGQGPPEGLFGRRRSQTSAANSSSWWRST*
>EED82264
MLIGSRSQNVDSGTMRITVLEAPVLPFPRGLRPQRHTVAKCVLFIRARYEDAFWKSFSLTMTMAQLPAIPSLTSVTQVDEYVDNHSFELRHDAVVKLNSFEVDFWDMTISLRRLLLLKALYRSNPAVDERFHERRDIHLHAIESTDEPSPMHLYAFAKAFSLINRANNGCFGGGKVHRFLDLGCSPGGFSSYLLRHNRGVQGVGIDLPNEEAKFPLQIDPTFRESYRMRYDDIMAFVSRSVNAGTPLVIPNHDDPSTHYDLVIAGAFPVLQGPIPWWHRIQLVLSQILIVFANIETGGSAVIAITTKAFLWIVDVIGLLRQSFAAVTAHKTGKLHAVRTSCYLVCRGFRATPEEVDRFTGRLHSALRYLMEVSDGSSIDNNGRWHSDGNPEELPLITGESAAEIFNAQHQHVLGLFEPLWDYQYAAIREDFAQVLVGKYGGVITMPITQIYPKSWRSQQHLRSLPFANGVLGYPRQPPM*
>EED82265
MHAAAVPLLASLLCYVVAAAAVTEEPLHTLKKSCQFTLGNRRFDLCPVFEGNEGGWNVAYDRQTPPTVTKTEYKISFAGALKKSKKVPSHEQCPDGTWICLIGQCSRAVYDARLTEHIAGELSLPDEGDSFARDDYVPGVNITAKLVPARTQTKHDILHIHLHGGYYVYRQQKADFQFVCDHQVDEPSSPGMLWNWNGTHTFEWRTKHACGERLATPTKPASEPEPTDEPKPDDDEEQDADAPPQDDENKKTKGEHKLLDPDLVGARSRQYMMTLAASSAAVMLVLMYILYFPPPRVRQMITKYVKTHPWLLRSRVGERVLVRWAYEDFSVDGAEEDTMVNDREFEGMIALDEQIPLKPSPRRTVTVSYGSA*
>EED82266
MSSPELELPTVPVSELNATFGVLLIGFIFAVTLYGLTFFRRPYLAISETRPSTQGGRYSDYNIACGIRESTSLCGTATSQIYNYWQNFPYDYKLHRWTVGVLYSCSITQNFTLSAFWSLAVMLAGIVQRFKPKFIRTMAVSTFDEFGFTGVGLLAHIATVMFMQVPEHLPEKMYLNYTIFDKIPVQSLKTPRRAHEEPAHFILEHKAPSDPQHIANIYQLTVYTHVAYPGIRWSDPSSSKMLTHTCQNVRKFKLQSRKQEI*
>EED82267
MTVSRGIPKCGGWSSVASSRSGMLYLYRVWVELFTHFLAVENSCQYYVTTVHFNARALFGSPALTDRLPISSPTEMIMDVQLSGTYTVVNHSFKTRIRLPNENHSQPLWEVASCEGSYHTFYNTKFSSYVSSNADCYEPTAASKPIPHLATLLSMFAGCWTHTAMLSIQFALWRTEELPPRTFLHLSAFTSIHTLKLTNVTFAAVKMFGQLTCSLPRLRRLDCHGVKVLDTRHNSQLLSRHAASVKLQELQLDGPSDRAVIKLLLDSGMIAFAHNITLQSLRPSDTVKCQLQRLLCDVSTSLRVLTIKLVANEGSEEHRADSIIGTISHHILVLSASLTPTLCQEIDVLSTLVLTDLKIVCIQLWPPPGCQCAGGSEGPWNEIMQLRFPKLRDQGILCAYTYCCDNYSLALSAGWPWPTKFSNG*
>EED82268
MSLLSVIPLSYFIGMAVASISAQSSIGMGAVINATFGSVIEVILYSIALTQGKGHLVEGSIVGSLLAGVLLMPGVSMCTGAFRTKEQKFNAKSAGVTSMLLIMAFIGTLAPTLFYQIYGSFQLICDGCPTDGNSNPWTCQFCYYKHPDPVDDPFYQSTVKTLMYFCAVILLLSYLIGLWFSLRTHASQIWQNPQQLLQHMDFPSQSGQNRLSVYHRLLPGIHAPQSQSQSATSSTQLPASSSGRRISYANPPVIQTQGLTPLMETVDHAIKDTGLQPTSLPANMTTDDFTRAVAVATVSALRHQQHHSRSPARVRISATELEAAAGGHGGHDAPSWSRTTSASVLLACTALYAIIAELLVDVVDVVLDGSGIDEKFLGVTLFALVPNTTEFMNAISFALNNNIALSMEIGSAYALQVCLLQIPAMVAFSAWYAPEKMGSVADTFTLIFPRWDVVVIILSVFLLTYTYIEAKANYHRGIQIPFSNILHEAILDQHF*
>EED82269
MHLRTTLYSSTWRAEVGK*
>EED82270
MSLTPEAIDVRNVTTIGHVDHGKTTLMDALLAANNIISTRMAGKIRYLDSREDEQQRGITMESSAVSLRFKVMDRTEDGGSSPKTYAVNMIDTPGHVDFSSEVSTASRLCDGALVLVDVVEGVCTQTVTVLRQAWQDRLKPILVINKFDRLITELKLSPLEAYQHLSQLIEQVNAVMGSFFASERMEDDFRWREERERRLAEKKESHAQEVDATIHDADEFQEKDDEDIYFAPERGNVVFASAVTGWGFRIGKFAHLYAVKLGIKEAKLRTVLWGDFYLDPKTKRVISYKHLRGRALKPLFVQFVLDNIWAVYDAALLNPNPDKIEKIITTLNLKIPPRELKTKDPRQLLTLIFSQWLSLSSCVIQSIVDVVPPPSIAQRTRIPKILYPDLYESTVDPKNRLEECLYSCNGKPDAYVSALVSKMFAVPAKELPGNKKKPMTADEMRKRARAAREAREATQANGAATPEPTSESLDAALEKLEVKEKEEGGEPIEADETLLGFARIYSGTIRTGTTICCVLPKYNAALDPAHPHNAPHVVTTQVGALYVMMGRELVPVDSVQAGNVFAIQGLEGKVWRSATLCAPNADGVDETADLSEIKDCLLNLGGVVRYAAPIVRVALEPEVPADMPKLVRGLKLLSQADPCVETFQQQTGEHVILTAGELHLERCLKDLRERFAKIEINASKPIVPFRETAVKGVDMTPPKTPGAKRGTIYGTIHSNLVSFTVRAAPLPKKLLDFLQDNLATLKRMDSEQGSNMQENHFVEEDDEYDVQGEIVKKPSTKPEDFWNTFSERCREVGGEWADVADRVWAFGPQRVGSCLLIDSRSDAKSNSLKTRQERDKIGESNKEALPFSREFDNHFEAGFQLATLQGPLCAEPVEGLAYFVEALEIDKEGIDQERFTGAVISSVKDACRNGLLDWSPRLMLAMYTCDIQASTDVLGKVYGVVAKRRGRIVSEEMKEGTEFFTVRALLPVVESFGFADVHSLFSAGKWHEKYEMLDQDPFWVPTTEEELEDLGEKADRSNVAKSYMDAVRERKGMFVDKKIVEFAEKQRTLKR*
>EED82271
MTASPNFGRDQGAQQSGEEQYISTFFCRALYDYQTSDASSLSFHKDDVIEVLTQLESGWWDGLLGDERGWFPSNYVAIISDQEAEVAINGSEYVTSQPPSLQDDSMVDMAQSMSSTLSNRDGDWLDSDVEYSRNARQPDSYVNGVNGKSVQHNDFWVPQVSQDGRHSRDLPQEMEEDPDGDFAGLASSQISPRGGSSAGLGLANGLGQELGTAAGFGISKRSRVPDPWEERLADDGLSYYYVNKLDGQISWTRPEATVPMSREASSMQPSSSYSSRNGSLNGRGGAPAMMSRMRSDSSVSGPRERSDSNADRYSINSDDSEVFPQRARSGSSANARPTNGAVPRARTPRHVELTPPEQLAKALQHALTPPGPASPVDLSNHVREAIADIMDHLRSALSPRRPDQFEEIDKRVQEVVSTVRNLLYVTATPIGHIPSNLYFRDGRDSRTSTGQSMQSHLKAAQRKVSGTLSKLVLSALAMQYDPALTSSDRPNRIESDVAELERAVVTFVTEVHAFQEQNAPAQGGQPSPITKRLYGVLSPSNVGLGLPGAGAGGSWRGFGYVPLEETEQSNLQAFTGNQVQEVKIAARGLGDRLGALTALLKRADFDTERLRRECQSVIAHLSSILEFVGNIDVARHVDVDGVRLELGQSIIHIQYMETVEKARLLVRTMEAALQSLYDDGTTLLLAAQDLGRTDLDDTGRITQRDYMDALVAGIIANANVAVQSLEALLGVGFDQSDISQTDYANSIEWRKSRPVEMHGEEVVDLEMALGRPGTRVTSPMDAHPSQSTLFYESSQSSQASLDMMARSRSGSVADSAATPTWPATEPSESGTLVSHPTPDPEPPELADMDEDEPTSAKSPPRSAAAARKIMKILGDDAPQHILQKLNADSKPWYLRPNYDQLEILMDPDGAVRAGTSSALVERLTAHEHGDPTFNQNFLLTFKSFMTLDDFFDLLVRRFWVQPPANLSPAELEEWTKLKQHVIRMRCVSSDTDTGPPG*
>EED82272
MSGGLMNSAKVGHVQGRSRGLRDRAPSGSELWALGFDQPNLLCQFSFSSVHHDVASFFDLPTQNVRPEIYIVEIIYISTQCATYFAQAAFAAIRVYAIQRGRWPLSVVVMALGLVPVATNIYAVSQVKLVDAFQYCLLDSAISINNQHITCGNMASHTGMDRYTLGGQSTRFIPSSNLTPHYRIISALNAANIVTNLLEGTGIDITMPVEIFSTVLLCRFYLNLRRVADKDDPVGSAASISSTTFSSRITGNMGEMLEFGSYLPDGLSLNSDSDAMERQTHDAVDIF*
>EED82273
MINLREIAAWQSEYGRPLGVTLSALLELLFTRSQIAITAKAFGLDAIDMVCVNYKDSDYLKEECEDGRRLGFNGKQAIHPTQVDTIQSTFVPSDKEILRAATILHRMQQAHVSQQGAIGLELEGGGKEMIDAPMIKQLRAEMGYMSV*
>EED82274
MSPVRSVLRLWRSRGVSDVRLGSRGCRVRDVQGRHRNCWPGYVQA*
>EED82275
MASLTPPQLALRWSHSADDILSLTKQAITKHGEGLDKIAALPKKDCNFDSSISVVSAAPALTNFAISQVFLALAEADTELSLVTEPLSFYQNVSTSKELRDASNAAEVLVRDYDVEASMRLDVFEVMVAAEENIKQSSAALGSEEQRLMERSLLDGKRAGLALPEKERGELTMLKKELSQASLEFSKNFNEENMFAVWENGATDESGFVGYCYLDLFPRESKYSHAAVWPLLQGFTRSDGTRHYPLAAMVANLAKPTPTTPALMQHQDVVTFFHEMGHVFHGLLQCVSGELTVVRVARDFVEAPSQMLENWCFEPAVLERMSSHYETKKPLSRELADKINKSRHVNVGMFYLRQVFFAKFDIKVHTEREQTDYTALWNDLRKSITQVNPGRRAAGQGSFGHIAGGYDAGYYGYMYSLVFAADMYATVFKADPLDPARGARYSKSILQPGGSRDESLSLEEFLGRPPNADAFVREIFGETGSANL*
>EED82276
MSDLRFEYSPARHDADGSTDGLLLKDRSSTSTRLTPDWRTFRRAIPWIAHGVLIFISVSLFLLSVRLNDTRCARKLSVYSPAMDAVEYHNVKFNGTLTWPSEFRGTPSPELDAAWKRIAADSESFPESNMLRKRTYSEYYAADDVSILAGPEAYRTHLAPGGAEVIDRYSVRVSVGRENRAESGWRGSARPERDDSGLRARPGASLCTAPVPQLDSSCGGDGGGRADEKPDVPSPASLREQIMYMGAQRTGARAHLQPREERSSRASGMSSLVLHLKLERTDGGICTLHAPSRSLFAGAIRRGTGKNAENHEKTRRRTASKHTAVAPPQ*
>EED82277
MAMDRVLCVWMWCNAPLSGHRAQVGDGRHHYRELGSKRRRMPGVLWPIAPVLAALAAVRRSAGVATGKGEAGHQSVDMGRVCVVNTCVRPCDAAAWAGVMGRECADRRDWSAAQLLGACIAFITLVVLTGGEVKHQLGGRHASRRSAIETRHGVRHQRNNWIRGRETAGSGGRADWLGRGGTSACRADGREGSGTDTNSRGKPRAGREASGSRTQTKGVKQREPKAHKGRARESVKARPNCTPVMLGRSSSNEGRGSPCSRVREAVAPGVISLPDAVATPAAAVCARGHACLRAVSSAHSLARSPTRRAIRVLFFTTRDATSVCAASLADRGVQPPACPSWCRPATTGVTRCRTTPSDVPVPTATNAPTATNAPTATNAPTATNAPTATNAPAVLAHSPDDAKEDEGELAGSHCTSPIARATCATICVYPALCRSLARDSGSPGSDAGLRAALRAALRVGCQADDCPRRAYDTQDRTPRDADMGVRAVGGFSLQGCTPGPIVEDAAATREKPRRAPRRRCAWLGCWCCGRLRERLHDARGGAGCRDAWKGASVRRPTARAGGKEVGWCAFRPRRIGKEERPLLVKFLDEDGGGYLATLENMLRKRTYFEYYAADDVSILAGPEAYRTHLGPDSPPNRRASLADASEMPRTRSDACEDVAPAAGSPWASVIVHRLRWKIGRDVWSGSGSGGVLLRRLVLCARCGSAARWRSRPGAWTLGLVLVLEVSALLLVATTTAERERREAAHHGAQRSAFKADREHLENWGAEEREGSRETRDEAELARAKGRGLAVAEHLRALTGDRKSQRRGGVQPASRPQTHAPGIKYQCIAHSSLHAPAIQLVQIAGSAIDTQHSVRVSVGAGGDSRGRPCSLSGRQRASGTTRSKPLHGTGAAARFLVRRRWRRAAGM*
>EED82278
MAGGDRQGEHGTPEEAGRQPSLQEKQPSLPSLVTPPPAMSPAASSSSSSAAAPKPVLVSTPLAPGVPAPQPAPVQSQPKTVALNCKGLRSLPYRLAHPPSVRGKLFSLSIVPRFEVRLDDILDRKHLPPLGLKDFEEWLLFVEQAAENLCAVFVAPRGRLLTSRSYFILWLRDYTQRYSDWVRCTKLSRNSTYGANPRREYRTTSALPQASPELMYSYMRAKRTFLTPNGPFELDVPSEVLAPFHTPSGSKSACSLTEGQDGTASEHAHTAWSGTTFAYGSPYGYDFAGFEREMANAPLPPDPAVFGELGEIVREMLQQSLDRFVLATYNNVGTPRAVCGSAGGMFISLVGSVPLIVNFVTGGSRWWRLAALPGVWLGLTIFISAMYGVCMMIYVFGDLRQLRSFELVRPPISAPQPHPSPSTSVASLFQPRSAPMAPGAPAFTQLNPFRRTQRRSIPEISPPMAVARPQSITFVGITDVCERIEAGPPKLHLITPSPISRWSEESGVRPPQRALTRGPRRPRSAERAVSRASTLASISDAEYTDDEDDECAHDSGYEESDCESDDAPQIEISDAYYDEHPSPEGPATAAFQGPRGFGAPLWPDYVASDEDELNATAAFIHPFSYEDIAREKEGDLEAQTGRAAAARQPVDPFDFDALPPRRPRFANPGRHRSVQSPTSTWSSQHGEKVPEAPHAVTLSRKDLINPRKMLSVWQSKCSPQNVVKTHMEKEKEKCEKEGWGIGWGVASSPAPRSPIASSPTATLAGSPVKAGSFTFPAVSPTSTLAPGSMSTTTTMLAALYPEKAENEKAETPGKQIVTKEVVDEKPRELKTATARKISWRQRFKAVQAVPAFAVPLTPVLNPVVTRAQWEIVVRSSMIAFVIAALVVGGLTGAPVPYQ*
>EED82279
MALSKQAAKAQDPSTVNSNPYFPPSDGRCLVNQLLPPELLAHIFLLGTAQYDPLEDEDEYDDDDEEDDEGPLFEVLVSHVCRLWRDIALNTPTLWTRIDFDDEITPYEMSQVYLERSKNAPLDISIDVTKDMALDGAEQELRIHSEELDEMLGLVLPHVSHWRAIDISVSEYSVMHNALILMSQCPAAPMLEVLQLYHYEDPDEESETFSPAVFKEQKFVLFCGNAPKLQHVALWGVHLNWAESRFLSGLIDLELAYHPKDVRPSFNDFSRILRSSPGIDTLTLCQSGPAGGPVDWLASIMEQPPNAKGKASSTVPSEPIASITLDSLKNFVIAYVEAEYATALAERLAMPNVTSLAIDFDEDADFNGFLTTITRPSPATDKSLLGGLEALKLSGIPCTDTALIADAYAAMTNLKSINLNFHFLSEPWHKLLAYGPTTPGSSTKPAVLLPRLEAMTTTGLDGRQVRHIVERRKDAGLTLKYLYMNEEDELEEEDEKWLKGNVAEFEYFEGSDEEDVIEVDIDEGDGWEDVEDGEESDGEEGAGGGEDEDDPME*
>EED82280
MASVTEQRGTKRKRGASAKEYHAAKEMRKVVKKEKTFETQKIVKKLKTLRAKDPERNAKMILDFESQLEVIKVHTPIRHSISLHVLTTDQHLDHEPIANLAFKTKLNKDRLLLQNEHMQTAISTELTPNLLTSADPGTAARKVQDRLLSSKTLAVEVLSVLTALKELVDPSLRKGPEQAPGAEGSDNESPSKVKKTKAPSRPVGGSGDEHESGDESIALEVDAAGWESGTVRGDDDEDGWESGSVDDANPRGQSIAGGADSDGSEADDSGNEDENSDDEEEEEEEDDDDDYDVDGGDNAAVAIASATKRASQQAEKGKKVALPAKDTKGKSKATAESTFLPSLSVGFTRGDSDASDLSDEEVKVADMRKNRRGQRARRAIWEKKYGGNANHVKKEREAMAQEPRNQRRGDPHGRNRTHPPGGSRKGGPPSAFRAPPSDKGWMKKGEAPNVPSHASLPPKAKSKDDKPLHPSWEAKKRLNEKLKPVILPPQGKKIIFS*
>EED82281
MGDGDGIKDSEERPPRLALITPSLSPPGSRIAPATKSRNAANRAPPTKRPRLASTPSSSISLPSSSASETPYHIRESIKASSLRLLDFWAQLGERYDRPLDEDDIIDLRDGTIVKDRGVLRGSPTKYEMGYFAGDEPSSDANDASSDGGGLHTEGEDEDVDDLDLFAPEANISDELKLEREKLQARREIPMDPADEEDFREFMEAERRRRELHGDADEDDDLEESQVYHQISPHSKNPIAAFTRDDVSRERVRLERPLPRATEVANDDPEYEPKFVSNPRRSLAQYDDLSDDELASWDIDYTTPVKRQPVTPKAAPKLGVIDLTGSPSSSPPRPPIRRGRSQSRAPPQKARSARQAHSKSTPDTSKTPARQKSSMPPPPLPLSPVRQLFTPPLSSSSVTASTPDLSQASEPPLSSPSPSPPPRPKPRPRYKGAIAKTGRKPGSSSQCASSPISHAIASSTDAEEQAGSSRLKRKPSKHSLIPEVLITRRSQSAMPMRALVEHEASSAMEARDHHVDNDGTAADLSVPHRDKGKKRQVSLRPDVPHNEPEDPSLKQSNSSSRLPSDDEDDFLVPPSMPPRSRKRKRIVSSSSSSGRSEQRGSSPNSPSDVPIRSRRSTREAAVLEQDFSVSSQNITALFSSGLVLVSHTDAASEFPREGEDEDEDAGHAQDAPLSSRASRSEIPTNRFRRLGARATSVNAEVATLSEAPKKSDKGKGKALVDPASDPDDSEEDAPLARRGRRHERGCTPGPPSHRERLARASAKKAA*
>EED82282
M*
>EED82283
MLSALRSLASPAHRQWPISYLRQSLFQNANVTMLFRSFYPSPPTAVTLNQSTRRKPLPKSKRPRSPLLEGNTQKKGVISSVFIMKPKKPNSAKRKVARVKLTSGKTLHAYIPGEGHNLQEHGVVLVRGGRAQDLPGVRYKLVRGAADFGGVVNRLTARSRYGAKKPKE*
>EED82284
MTLCTASATGIPSARVVLFKQLDKRGFVFFTNYTSRKSQELRANAHAALAFYWREVHKQVRVVGRVEQVSRAESDAYFRSRPVGSRLGAWASRQSAVVADGEVQARVDELKRRFEVDEHDPTANVPLPEFWGGWRVVPDEIEFWLGKPSRLHDRVRYLRVEGSSEDVPEWKIDRLAP
>EED82285
MYPISDLTSFCRRTTGDVPPKLVGASTTVVGSKMYLYGGRLVSERRMVSDIYMFDLESFNWERLPQSAEDDIPQARYFHSADAWRNHLIIFGGMAIKPHSDNPEDLCVLNDVRLFNLSTGHWVPSSSTPGDASPQAFVPNARYAHLSSVTADRLFIIGGQDLANVWLDDVYIYDLNAKAWIQRREYSRHCGTYRSVAVTGDMRVRLPQEEMRNGQSPSSNLGPVGTRFKVNKAAAPTTSVTQPESLVHLPYSAPPTDDYPCDVFLFSNYNFTDVQRELEVFSPISDGDFTVSDRSASMVGTSFPPGLRFPTGAILGTFFIVAGTYLSQSYQSFSIWALDLINMTWSRVDPGTTLNTGSWFRSCLWPAANKFLVFGNRHGNLVEDYNRRLLSWDHVTCIDLEAFGIYQPPPLILDIPSQELGLAAFEEGALTDFEIV
>EED82286
MSSSQAIILYDFPSTFPGKACSPNVWKTRLCLNYKGLPHKTIWVEYPDIGKVCKEIGAEPTATCPDGSPFYSVPTIYDPSTKSVVSESAKIARYLDKTYPDTPVLIPPETDGFHAAFNDVFESLVLPMLPLVLLSTSEALHPESRAYFQKSREAWFGTTLEEISPVGQAREELWKKLAEGYTKVAMWLQMDGRDKLFFGGDNICYADITIASFLGFLRRSVGADSAEWAAVKEWDGGRWARFIERFDKYEVVHE*
>EED82287
MFQLPPPAAEDDLETIDGCQVVRMYDLPVDLSALIKTLYDGAEFQNRNALDFCHVAGILRLATKYCIEHLRLKAVRFLTETWSCTLKGHDKMLELALQSPTVDGLSYPYVHPLHVLNLARETDIEILVPSALYFLSLYPLSDILRADHPKLKIDHPSRPSSELSPRDVQAYTLMFQHRIDLIMDFVRRVVGQRASSEGCQNDQGLCSKAFSRVSTTLSRAWLIRTGALHFMMQAVDELFNDSTICTVCRRAFRNDVYKERKKFWDGLPDVVGLPTWQDLETRDL
>EED82288
MSDSLPIFNETSAYSLAFVAFIGVAAVTASRTLLPKTASWQDKFTFIWLAFDAGIHFVFEGSFLYYSLFGRQVNTNFGPALDSRRLILGKDYARADARWGFADPTIVSMEILTVLGAGTTSLPSCYSFFGSPSQQPAVKPAMSQFTTTSAYALTSISAVGVAAIVATRSLLPKTASVKDKFTFIWLAFDVGIHAAFDTAFLYFSLFGRQVNTSAGPLAQLWKDYARADARWGVADPTIVSMELITVIGTGATILYIMQKLVQDDPARHYWIIVLCTAELYGGWMTFAPEWLSGCQNLNTGDAFYLWCSDSTLIHVTFAQFLNMIWVFIPIWLMFDSYRYIARSLRVLQKAERAKKT*
>EED82289
MTASAGLPHWQLLVLLAEAGQTAA*
>EED82290
MASEAIQRQIATKWQGSFTRLNRDQPGILGLLSFSEAWKVASDFLQPRDLTDPSSKKEYNVQQIKVAIDILSKSKMLPMLLENFLGIQYPCRGHASWQHTKCILLSADLNSSSPVCLRRQKTLNILLGLVTNTRFPYDDNLTLSRKARAHHSLRIYPCLPDTRFLGPAPIILERIQRASTSPPPWAPPSLNPTQPMRYDLPLWTAFEHLGLLDRYESLISSVCYEHIETHILETCAEKWGTPMLNQLREWMTNKIVPWMVMPYARGARSAEEARSMLQGVGSRFDFHVCKTLADLRTKEIFDIIVDYPDSSGALQDLKECLARVDQRAPLVQTLRKANKKRLLHPGADTKDIITQYVSIIRCLRIVDPPGVLLFKVADPIRKYLRERPDTIRCIVASLVGDDESGDSLVDENEPIQPLQQLQVEDFTDPNWEPEPIDAGPDFRTNKPSDVISTLYCSRNAIPGSKHDSQDPLWGGRFTNMTDSKRTDQHVQSQKRVSLHPTIISKHFWPPLQSSKFHMPGQFKQIQDDYAKEFMAFKPDKRLRWLPHLGTIHLEIELQDRTVPADVPPLEAAFIELFSERDLWTVDELIARVGSVDRTSALRALMTWAEMGVLKEDGDNRYRLLEVAEDGSSSGSRPAPPKPAQIIEDVPAVLTVQHQQAEQMKIFWKFIEGMLTNLGTLSLDRIQTMLKFAPGYDRTIEQLGTFMEAARREGLVNVKDGMWKLNRQ*
>EED82291
MTFTTETNKPRTLILCFDGTADHFSDTNTNVVKLYSLFKKEESEQLCYYQPGIGTYLNDGVVSPFFEWGAKILDQGVAWYLDAHVRGGYQFLMQNYRPGDKICIFGFSRGAYTARALAGMLHKASIGLLPKNNPEQIPFAYKLYKHTDAKSIELANGFKRTFCREVKIEFVGVWDTVASVGMLVSRTLPFIANNTTIKTFRHALSLDEHRAKFRPNLYHRPDTTKAPQTKSTRKQNTEKPADKGKGRTFWPFSLGNAKNKLTKRATKRTSTDAAWSDLPSAAAELRWGRGSADEKVEKLNTGADVLEVWFAGCHCDVGGSAVTDDTKLSLADITLHWMVRQIVLAQCGIVFDREALLLAGIPASPLHDQLWMAPLWWVLEILPTSYNWQEHNGRWHTSLHLGQGRHLPPHPNFHITVKHRMDEKNLRYRPRAIWTPGTEVYVE*
>EED82292
MSELPRRRHWMGKYHPSRDKDSGSSSALPAPREGHEQSYDYPLGVSSSAASSTATLTALPFARSNSVSSLVSLLPSRPTTPQSSKRSPSALNLLTREEPDPQAQNANALTRTLSTQSGSGKDKDKDKDAPGNRPTSGSFSRRSLSSVMGGLSSLSLRSGAGNGGSGAGDEKERGRSKDKSKPERPGAAVRSSSFAGTSGDAQDAAGGRTRSQSPFRLRRARMREPSPAVEALSVSDAESDSEAPRIRPRNAFSLSATSDDEWGDETEDDEGSEESWSDDDEFDQVTERNTERNALIPADLADQDVVDVPDPLGEGVNVVVPPEPYFPSTLNHSAHNTRRRKSTRPDPLPLDTSRPIFQRDRCTITLIHGDPGRMLEESGRRSRRYVLASDLSDESRYALEWGIGTVLRDGDEMYVAAFSVSSRVMDPSSRRLNSVAVRAVDPLIPNPADRAAKLRSQQEASNLSAECKVAPRAGARRRDAHIAPLCVRRIASCAEIREARVSRQQHRAARQPRRAARQPQTAAPQNVLQNMLCMVNPVPYALDPMTDTPRGGVDDGRSWGSQCAGSLLDTDDVPERKHRARRATREGHGVAHAPELALTSRSGVLDPGTYHTVLRDVRLGTRTTNYRPAAVASADPGAGASWEQSPVTTSRAEQTGAAHAPREKERDSGRPRQISGGGMFSAALHLVHCEQGWRALDIAKLRHW*
>EED82293
MPVLCPPRSSKFEGHTARCTQGFAALWVPWRAAHMCQAVQSVRPHAETGLASTSVLDSDRGSYLISLGPHYMPGALSTAQKTCAVEVTGDAGRQHGDWKRERRAVWLRFLLGRTRAASSVSYRHTRASAMSTRALRKACGGGVLTGRSVRASGTHQHDDVETRAVSEMPYGGGTGVGGGDKRSVARPTDSGPVSGARGPERLAGCERDAGSRGRNTHAGVGSAMSTRRSCGDGLKCGNASRRGVALPVTWLPAPLVNRGVRASGHGEWREGEHGCLCSRSAPAVSVYALAATRDDAGLCDVALSGDSVCFCDAERA*
>EED82294
MSELPRRRHWMGKYHPSRDKDSGSSSALPAPREGHEQSYDYPLGVSSSAASSTATLTALPFARSNSVSSLVSLLPSRPTTPQSSKRSPSALNLLTREEPDPQAQNANALTRTLSTQSGSGKDKDKDKDKDAPGNRPTSGSFSRRSLSSVMGGLSSLSLRSGAGNGGSGAGDEKERGRSKDKSKPERPGAAVRSSSFAGTSGDAQDAAGGRTRSQSPFRLRRARMREPSPAVEALSVSDAESDSEAPRIRPRNAFSLSATSDDEWGDETEDDEGSEESWSDDDEFDQVTERNTERNALIPADLADQDVVDVPDPLGEGVNVVVPPEPYFPSTLNHSAHNTRRRKSTRPDPLPLDTSRPIFQRDRCTITLIHGDPGRMLEESGRRSRRYVLASDLSDESRYALEWGIGTVLRDGDEMLIVTVIENESKMSLSAQSIPSYPILPTEPQSCAASKSMLVVGSRGLGKLKGILLGSTSHYLIQKCSVPVMVARRRLKRPPRRSAHLAPHRARVSLAQAAGIDRMAPKVDQDVQEMRTQIERDDEDRRNGAQTDGEDYPDTEVEGEPAHQLGTKVRGE*
>EED82295
MSSNKMLLVFCDGTGMDGTLSEPDTDNPTFFLKPLFLKENSDHQDGKRQIVFYQSGVGSEAAFNGDPAFGGLILSLFGVAVASKIRDAYAFIAQNFEEGDEICIFGGAYSARKLSGLIDRIGLLSRVNLGQLFEIWSALDEDKTPTIPSDTRKVNISCVGVWDTVGSVFRENNALNIKDNSLPESVDVALHALSFHENRLPFLPTLWEEPKGGLRKGQILKQRWFPGAHADVGGGYEHHELSDISLFWMAGEIQNIVNLDLDFLRASAQRNPDPWGTSQPHNTDLEVMLGLLPCTRLTGKRITPNSTFHESLLYSPDSLKEPLNMITLDIVEEHFGTSFMPQFAPLNDFEQQCKDHWGELPVRGSRMVSGLILIVFCIEDETWPRDILSYSSESEDRIKLRSLLTENFVAPKEAAGTTIQHLGFTAAFKTEDTLFEVLLVFCDGTGMDGNLSNFTKLAYVFPFLIEIVSTKLNVQFAALDKSQTTSRSSSRSQVTAHTKSPPEASVRSQKIHISRRKFSPYAELFVAVLTAELMSSVAVRGGSSTQFATNVLRLSRAVYPYTVDKRKQIVFYQSGVGSEANFAGDQVTGTTAMPSKIRDAYAFIAQNFEDGDEICLFGGAYTARKLGGLIDAIGLLTRKNLGRFFQIWHQLTVGENPTIPSDTRKTRIKCVGVWDTVGSVYGEIDALSIKDTSLPSTVDVALHALSLQENRKKFLPTLWEKPKGGLGANQILKQVWFAGAHSDVGGGYERHELADIALFWMAIRILICSGKGEIKSFINLDLDFLLASKQPQPQPWGTSQPHNAYEELPFLEKPVIGHETRLESKQITADSVLHASIEFSPQKLDSPDYMVTLEKLVKEFGPSFKPQYPPLNEFEEYCRDNWKDLPRGIPYRPVFEQPGDLFGFSAGSV*
>EED82296
MASIDKLAVRGIRSFDDKQISVIEFFTPVTVIVGHNGSGKTTIIECLKYATTGDQPPNTRGGAFIHDPKMANEKEVKAQVKLRFYAANHNRMLAVRNLSVTMKKNGALTMKTLESILALADEKKGKRGAISTKCAEMDAEIPHLLGVSKAVLENVIFCHQEDSYWPLAEPSILKKKFDDIFEATKYTKALDSIKALRKDRAAELKAEKERLQSLSTEKAHADKLRSRVSDLNATIASKEAEYEQLKRDYERLVTANAKFYESATKFRETYMKLDTLNEKKTRYQAELEDTRENVRDIEENTIINVIKGTDKELADRLKNFDKDLLDQQQKRKSEMYKQAGIEDDIRAVQQAHVSLVGEQAKLELELQAHEQQIRDREALIREISAKHQLKGYDHTPLEREKVLDFISKLSELRRRHNLETDKLQNDTAQSRVTEAESELDKASVLASQLRTLQADMEDKARRVESTKADIKSGNFEQRLAEANTKARNMEMKRDELTAEIRTLSLQADARARLDLKRAELKSKSSELKNTLDFNNNKFLKLIGTDARPDSMEQEVERVNIEKERELSDLESESNISNKELQTGTANLEAQGIRRYVVLRLIICSFTDTLELLALNERIKEALKQYEGSDTIDAALESAQDELNLRKGEQARGTDSKAVLDGLMKRGKARKCCPLCARNMDDRELSLFEKKHVSWCQVLEEIQKYTPAAVQENKKEIRMWEEETLRKLNDIKKDIKEISVLRQHAATVTQTQKDIERLNREIASLEADLATTGSTKTADDLQEELDALSSALRATDREKQNLLTERERLTNAFRTHESELSSMRVRESELKSELREKTALEGRIKEWKADITNFSALVKDLDAKIADAQGPIDRLESQFHETQRELNANISQAQRTAQDLNMSADKLDSINKTIERYVRERRGRSLKECNEKIEEHEAEIQKLGLQLEEVRNNIQLIDKGISESGATVANLRENLRIRRLRQDIAATQAEIDSIDLEEAAKAKRIFDEKYNVEKQKETQLQSKYAHIGGEISSLQAQLKTLQGDQDDFKDVSKKYRDQLIRVKMSDMANNDLEKYAKALDNAIMKYHSLKMEEVNDTMRHLWNKTYQGTDIDGIKISSEGEGGATKRSYNYRVVMTKDQVEMDMRGRCSAGQKMLASIIIRLALADSFGQNCGILALDEPTNALDTENIDALAASLVDIINERKSNSNFQLIIITMTRTSFASWARAMSWSIIGMLTRVSRDSRQKSIIERHRFS*
>EED82297
MATYFTPRPQFSSSHNANPPPQETGRRSLPNLDLTVNDHSIYIFPDPAAELPSPASALFSPATSFDASSPSIFSVSSRSRSQRRVRHHASSFSTQSGRSPSIGQASSGSPRTHSYFVVDNPSPISPTYFSVENDPDVGEVWDGLHSPLDDEASRELEERVERVSRWDFDSRLRQFVERAAAASIPAQERRRRLAPLRTRTRTSSQSLTSNSLASSRPTRARSSSTRARAPSPSPQPRIRIPFLSFFAGLLALDLDDPALRLLTQSAPADADCILFPGHSATRLLQSLDGDRYTPPSSLSSPDSDEETKSVPVHGFAKLLSSANDPSGAALRSLRAGLAMASLPSELVASVPGARGLAGLWRAAWREVWVGS*
>EED82298
MDSSRRGDGARQSSLPSSLHSHLFSGPQRSSTTAAVTPQGITPGRELEGDQEAAWDSPYAHHRNPSLRPPSSVSGALTPATFGESSGSPRSRPLTSSPESVGTQGSGRARRQPMTTLPPLPSQAPTDWAGSDPRNYVTPYTWPPPLPPGHYQIPPRPIIEGAGEPGPSRRSPIPPGAGEPGPTTQRHRSRTQSFATGRRRGSRDAALRGHTRYATLPPALSTASRSASDLHERHLPPLLARRPGPRTGDSQGGTREWSQNDEELPPLYMTIDPGYVPLRPPRDFRDDGQPVARLPAHFPRRQPPARHSVEIGRSEEHYTTSPIPLPTVATDQPPGYEGAGRGRSRRELHFLLGRPQPHWPEGADIPGGIQGRQTLEQTRQEPHLSPRLGPPDPESSGWLTGTRPTVGDPPLVPFAELNPPWYAQAQPWGAPQPDSHPAVPAVQLVNTQYTYNPRALASQDTLPPYTREPWLIPGAPVPIPPFSGVRREPPSPGASGDDGTESSCVYVDHKKTRGPGRKKLAEKGLLPLPGKVVGPLAKKAPREKPGEQAERRHSEPPPPYTPDSGQPSVAHTAHPAGNVAAPARRVAEPAHLTPGRRMGVQIASPAHHTASPAHFIASPAHHTASPAHHTASPAHHTAGPAHRTASPAHYAAEPAGVQTGQPVGQTAPAADQSVPQGSVLRPPSPPPIPPSSLLAPDAYGFTPEDIEPLPFPPAYANSWEAVLAAGHGQEWQRQRRAIFSTHPYGPFISGHATDEDEQAGTSTMNLDSPKTAAGRGRKL*
>EED82299
MPFTKIYYPSDRGTPLENTGLNVVCNQEYAQAVQHVHYHIIPAPTAFSTATSSNAAAGEVDHVAKPLTQKEMHLKEFQNRNLLDDDEARQLTSMATFDYDDSMEGPSTLHDDPQTIGYDDPQSEPLDIPDDALADDDEPIQGATVISDTKKAREKKKFAYDPKREPGKTHAPLSKVRQITKADRELPVVQKEALFVLAIAAEEFVRRMAGAAERIAKRDQRTTQQMRDLDLILMPPLEETVGHKGKTKQPKEKIVDSARGPLDRFVAKDPRDEEDLAAQIIVNEDGTMSMELKVMVYLPAVLSNLAHQKFPSILTVWSASAVRIGLIYSTFSAYSGGLPTGCS*
>EED82300
MKSFKRADIKKRDSHVWEAQACAATSEMRDARIAVDASNDVHVTYWALCLRAPFAVLPPPADDLSPKAKSNPNASPPDPSGEPNRDPQGSSQRRLLSGQYGDQLANAKERLRGWSERTASQLRQRIDQYTASLAVTFSQLGKEINKVTGYGEIEVLKQRVVQQEARIEAVRRAAREAKEAYDRAVLQRASSQREVNDLLQRKSSWNDEDVIRFTSLVRQDHLHEQEESRAKIGATQAEDSVEREFSELMRVILNRYHEEQAWSDKIRSASTYGSLAVMGVNMLVFLLAIVFIEPWKRRRLAQTFERKVEEMSAQNAEALAKRFEGQDRLMSQIMETVHYNSQVPEADQMAASQTVVTLRDRTEQVPLWMNLKSNQDVAWAMAASATAAGLIGWLARSYMG*
>EED82301
MDPFSDVTSDDEAVWLEDPKTLQDSEWSRLSSNFTNAGYREGITAGKESALQQGFDEGFAEVGAPLGREVGILRGMALALISFLTASPDQASQQDLIQELRDISSELSRIRLSDIAPRDLEAERHAREHDIEGNTNGDEMDLELTEELKEKKDMEKLEDLMMQLNPEPASTSSAKRPTMDDVRRLKERLAALSVRLQLEPRWS*
>EED82302
MSSSSRPAHGAS*
>EED82303
MLFGFGILIHLTVLIIYQMDLKDFSLLTEIGSSSRTQLVWYAVQALAELLALAVTYHWGAVTEGILESAGIAISVLTLSAKSQSAAFPIVAGSFLVISASIVYLAQHPDADDEQRHGKRLTVPFRRIMSGVLLLCGVFYLGFTAREWRAALRSPSQPMTVVDVLSAASKTCTRKPLPSRAYWPTERTYHAFDDVLLIVFFSHARYDANLDYYREVYSQFFPNIVFVGPGSREDAGFAHSYDVLVDSYESDEDLIDQRVYKMAGRMAHHMLYTAMREHDCYDGYLWAPFDTLLNIPRLQQFDQQYFWYHSPFGQYVPNPAFRDAETNTNKSWHAPPANISPDPSINLTATWRGWGVDWCDPHVGLAKWAQGFEVDTFHTFHWGDRGSDGVWRGNPEHITDVRSLLVESARRQGVAFPAVEVPA*
>EED82304
MSSTTETVLKILDASASAVTIATPALVPASKPVVKYFATLTPRSRLKRGDKAQAATLKILEETAEIMDRRTHDTLQQQYDRVQSARTELVKLGRMQALMQRKKFCVYASEAAVLNANTITSSQEARNDDASSMDITSMEENPFRETASVVIPDYAFDLVSESSVADSESVYSEPGDIGYHDSLSLRALKPSARLE*
>EED82305
MQFKVLAAIAALLPLVALEAIALPAPGSTCSAENRENVGEVERRAEDVSAGALDTAYWRREEDVSAGALDTAYWRRDEDVSAGALDTAYWRRTEAAEVIAA*
>EED82306
MLAAYSGHPALVTGLLARGADPDRANDTGQTPLAGAVFKGEDAVVRALLAAGADPRAGTPSAIHTARIFKKAGLLALLGATGADLRDALPAMPGPPGRAAAAECEEVQGRAGGSGWSADANRTLKPASGAKLAQAFANHRRQHLACVPSSGV*
>EED82307
MFATLTRTSRACTAHPRSLLYATVSQAAAQVGKAHLEVGSTLANRKEGTIMDVFTSFSGKPVILPDRFAALKRQICTGPDKMIHPWQGLLRELEGAVEEIAEKGGNLIPQVSYSDVERGLTEAQVKDIKKRGVVIVKGGVPEEEAPGWKKSIKSYVRTNSERVRGGPPGRVVFYELFNSPAQIPARTHPALVTTQKALLSLWHISSQSVSPLEAVSLRTPISYFDRLRICPPVPSIRPPSEFTFNSGNCSVFRPWQGWTALSQTGPGEGTLRVLSLTAAYVLLRPLFRLQAGTPLHSLKAEDWELDLESTVFHGCAPMKTQELSERTHPHLRLDKTLLSIPQVAPGDQVLWHYDTVHAVEGEHNSKGDSSVLYIPAIPLTHLNAIYLLNDVKALDGQRLLGLAPFEVPPAATQGEARLIETVNSFMF*
>EED82308
MSSNHVIILYDIPSTLPGKACSPNTWKTRLSLNYKGLPHRTIWVEYPDIAKVCKEIGAEPTASYPDGSPYYSVPIIYDPSTKSVVSESARIARYLDKTYPDTPVLIPPETDAFHAAFNEVFESLALLPMQPLVLPSASEVLHPESRAYFRKSMEAWLGKKLEEIPLVGQAREEQWKKLAEGYTKMAMWLQMDGRDKLFFGGDNICYADITVASFLGALRRSFGADSAEWAAVKEWDGGRWARFMERLDKYEVVHE*
>EED82309
MRTFALCLAACAAIVHALPYPRSLRSHKRDSSSKLVVAHHIVGNTFPYTESNWASDIKLAYDSGLDGFALNVGVDSWQPDRVADAYSAAASSGTDFKLFISFDMSSLPCTSSDNAATLRSYITSYATHPNQLLVDGKVFASTFSGSDCTFGQANAQQGWQTQFIDQLTGSNAVHFVPSFFVDPTTFSEFDGVMNGAFNWNGGWPISLTTTSYTSGVSTLTGGATTYIAAVSPWFFTHYSPATYDKNWIYLADYWLYNARWETLVANRDSVDIVELITWNDYGESHYVGPIEGAQPMYTTWATGYEHQGWLAMTNYYATAFRTGSYPEITNDQLYMWARPHPKDAVASSDSIGDPTNYQLTEDKLWAVVFATAPSTVTITTGSAQTFSVPAGVTKLAVQLTPGAGMSGTITRDGSTVVSVNADGYTFNANPSEYNFNAFVAYASS*
>EED82310
MPVAGPSRRSTNNRRRDPSSDGIEADEPTQRHANHEDVDEEEDEEERPRRAKAGKKEKTTNTAAPQEQDEDEDSDPLENFGDQPLDKAQAQRIAGVASDWNMIRRNHLAPFYGIIKDVATVFAEFTEGEKGENLISEMDGVVRQLIDSEAELLAHEKALNDLNQKVSCKEPITDVVDQYEQDVKRRANEYKEKTTRQKYATADEYFSFRQAVFEVQNPDIAMPPVTDFLQRGGCCSQLLWLLFAEALFYPEDGDESDDDDDLVMGGVTQDYKCPLTLTILVDPLTSKLCGHSFSKTAIEEYLGSRYAQKECPASGCTKMLTLSNLEPNKELARKARDAGRRERMREQDSDEEDVVESVSFAVLSHTIHLYQLKLPATCEPWLVWGVNIVAKHSSLHKPLPNIGGTHQQLLPTFSGLGVCTQGLLRLVYEYVTGRNVTTSPDCDTRYENMSESVASNVEHTSKKRRAEMDKPPSERTTIKHEDFWLSDGNIILAADNEDENATVLYKCHRSMLARHSPVFKDMFDLPQPVESQEEIYGISLLRLPDKPKDVESLLRAIYDPMLFALDKFASNAVDLLGGAIKLSTKYEMDSLRAVLCKKITDAWPSKLDQWDILQSDIQTLMRRPQIVKQSSKGAVFQDPGECYVLPISEARIPEALRAAFYELHRLYDGRGHTRICRVNDLTTGDLHRLVMGRERLRMAVTAILTDDYLYEDELYAMHFATVDFNGSKEICRKHVEDWWDKKAERLAFDDLALLNDPFIELNRLANEIEEEHTLMCSGCAGEVSAFIRSSRKELWDSLDRFFDLTVLM*
>EED82311
MVHGSHEGASSSASSALTVEAPTPEGMLVQEVDVEVIDGKQGTASAIPTPRGNDEESKQHLREQLRRTLNKKESSHADQYSPRHRQEDEASDNFTSTIGVMQALVSIFLDDGDKLRCINAGNTRITFLLRPPLYYACVSSWGEAESVQSKTRFHLEYLHLQILSVVSAEQLRRMFERRNNFDLRRLLSGTEPLLHSLLGRLEWDIAMSTSSLHCLKMEPMLRKSIADVLVPTSKIKDILYVILIAQGKVITLVRPKKHSIHPSDLHILVNTIHSPSIINSSAAASWLPVCLPKFNSAAFVNAYVMFLRKEDQTQEQQNQHRKLKIPLSSSQKLKAVKHWWKNNPLLKSVSNWCNTINQRLMQDGLLGALTGAISRGETEYAAGDLGVPGLRHFVYKSRSHVQVTMPLFEDPYDNSHEKRRLTTLYQTLYDAIHAKSGQGSTLKLQYIRTERESVMGWITQPFELYLALSPLLPKSGIVNTANAVANTARCLAASILDTFWPHAYLLNRAGAISSPSEFLKAIGRSADTKVTAETWKDLWAIDGLQLKKAGLAVRDRRYILWSMEKFRQGEDPAQFAHPPTPKKKIRGHGPAVQNDCGETRARISRDNYVVPHASCLYFTCGSSRMQSSKLE*
>EED82312
MLPAVDSQHKGPWYPPSSTFTEVV*
>EED82313
MTLKAPKIGTSNVTAETDNGLLTANGPTSAPPTANASTARSSYHNTLSSTLANLDLNSETKYDLRNEDLRDMQELGQGNGGSVKKVEHVPTGTIMAKKIVLIDAKPSVRKQILRELQIMHDCNSVYIISFYGAFISDPNICICMEFMDKGSLDGIYKKIGPIDIEVVGKVALAVLEGLTYLYDVHRIIHRDIKPSNILCNSQGQIKICDFGVSGELINSIADTFVGTSTYMSPERIQGAQYTVKSDVWSLGISLIELALGRFPFADSSSDDSDLSDLEGTLSPSRPTPIPLRKTQEEKEREKRKKKRKSRGHIVNEPAPRLTPENRFPKEAEDFVDSCLLKDPEQRRTPKNLLKHPWIEHSRTSTIDLEAWASTF*
>EED82314
MSNTACQCARSTTQAMKMHHPNRGDRRSVIVTPGSVWDTGQPRPLAIIVAHVATHARNLDSHSGQRPSNMHNSPVCTSATVSPELPPELISAIIEQLYYDEDGNPDRRALYACALVSAAWTGPAQRLLFHTVQLHGPRAFTFLHAIHPARPSGTRLGEHVRRLEVRIGSGPGSGSPTSPDATSLRPTEFLTLLAHCPRLYELILRAYNVHAFEEPLIRALAVLASDPSRTPLRALSLLRAGVQSPLLYQLLAVFPSVRFLRLGSEMAAPLPKSPASVALTELVLYRLPSPRILSWLLASSLSTLVILDLRDAPDVQLTEILAPHGPHLRSLRLLRYNARGAALIRLCPALEELVLFQLSTFLPLANLPQTLEHLSFRDFSWTSNPSLQTIIAAIETLPRLRLLTCDANAKQHGDFPALRRKCEKKGIALRTDALPIRTFEDAVPVNRFPRRKSISNFPLMNDKVGAADQLMHQS*
>EED82315
MNRIAGDTTDECPPDTAQVEARRFRIVAENPTTQLPTARGSRSTVVVVGVDGDGKRCSRRKLFVMIAGFRSGELPLQSKIGIDSQHRYCSDQYTLQFCDLSLSVTRVWSRRGVTAVGVFVYYHVVIDTQPTALHIGSPSNALQLPHFVTRLSRFLSMLSTPIPVTYLPAYPAPGGAPETPHLHLVAAYTPLLELELSPTRSFGNVVLRVTAEQLGPNASADLAVWLFFQLAAGHVLLPLLVATFFVSRTVTRRPTVINCVGFTATHAKRVLYVGRETGLDPNQELCIAQSALLSGVLPMTTTACLALVYHAWTLLNRAGPQDASKRAGSLVNALLLGVPYVFFAMFTAIAAKLGTDYPTRVSRVQKYFYCSIDLAPFNYAVAILSALACFGAIALYANISVDFCVRIGVFAVCMLTATFTSIAACAHSETQDVIHAWLSWRRPKFARQQAPGSPRLPRAPYPSFDLDLMKRTDSAVSEKARLEALRAYYAARVQGEGVGVEIIERPEDAFIPGRGYRSASRLSMTSGFSGKSTDSGVTK*
>EED82316
MSLRQRVPFKFSDDGTEDDHILDEQEQEELIEKLRQQSDAATRQYTALVQIVIALSCLLHIIYLIKRDKESPLYALLSYDSPSEAIPLSTLFATMHILLHLNLGLLLLPSAHSAIRFLSSLPPSLGRFTPLPVPVPHAITLITPALAPVLALLLMREWPEIVWWSITGGLTWFVYNVRRWVIENEEEIRELEGPFKNQWFGSRSL*
>EED82317
MHARPTSLSTRFTRLTDGSRRRLATSASRHSENVVLNRYSRTVTQPKTQGASQAMLYATDGITNDDDFNKAMVGVASVWYEGNPCNKHLLGLGQDVKKSLTDAGIIGYQFGTVGVSDGISMGTRGMSYSLQSRDLIADQVETAAGGHWLDGMVVVPGCDKNMPGVLMALGRLNRPGIMMYGGTIRAGSCAGAPQLDIVSAFQSYGKYLQDGKTPAAEQERYDTVRHACPGPGACGGMYTANTMASAAEALGMTLPGSSSFPAESQEKMQEARSIGPVMRNLLEKNILPRNIMTRSAFENAMVLTMILGGSTNAVLHLIAIAHSVGIELTLDDFQSVSDRTPFIANLKPSGQYVMEDVYKLGGIPKILAYLLKNNLIDGNNMTITGRTLGENLDRWTHEHGELSFSGQDIIRPLEKPIKETGHLRILRGNLAPGGAVAKITGKEGLGFTGKARAFDTEDDFVAAVESGSIKKGEKTVVVLRYLGPKGGPGMPEMLKPTSLIMGAGLGHDVACLTDGRFSGGSHGFCIGHVVPEAQVGGPIALVQDGDIISVDAVKNTLDFRVSAEELERRRREWKAPPLKTSQGTLYKYVKSVEDASRGCITDA*
>EED82318
MRIEQGFQPASFVEGHPYTTDPALPGLLKRLFPPTVLQDVEADLIHFGDIVETRMSVLSQLVEPPRLVQYNQWGQRVDELQTSEGWRGLKALFHEEGIVGIFYERRNREFSRAHGFAKILLAIGDSQVVCDIANGHIIRNDKNDTSYINQFKLSNEHDRWLCPGQQRAGGSDVSLTETLASPVPETASTTPSHYGQKYTLDRFKWFSSATDSNFALALARTGSQADGSRALSLFLVPLRLPMFREPGAPRPPSTSNNVFVHRLKNKVGTQALPTAELSLEGAEGYLIGEPGQGVKLITPVLNITRLHSATTSVGSLRRCLAIVTAYSRVRAIKGGAQLLKDTPLHVAELAKVSVLYRALVHMLFGTISLLGKTECGVAHEEEALRLRLLTPAIKAFAAEKACTAMEECMAALGGAGYMSENEIGRAVKKSTALKAFISAALSDLVAVYAVPMPPLAPALRSSFFVTSRRVCVFLSTLYGHSTHKKKLVAQMRTFL*
>EED82319
MPVGIEAWVTQIPPITRGWLVLSVLMSLAVQCQLVTPLQLYFSFKAAFTNAQPWRALTTFFYFGTISLDFVFHMFFFMRYSRMLEESSFANRKADYFWLLFLSSLMLLALSPLVNLPFLSSPLAFVPIYLWSRRHPNTPISLFGLITITAPYLPLALVGLAWMLNGTWRAAAGDLMGCAVGHIGWFVRDVWTREMIGGPTILSDAPDTLKRLFGDI*
>EED82320
MYLSEKLLPQDALIFQDLDGYFYTRIWTLVRPLVASDLVAIEKYAPLVRHLGVKEKGRFSRFTHSYRLDAVTSDALSHIVERYPHPLLFPGILSLDWRVVMRPWSHSAISDRGSWKDLLVYVGPKLRELYLGKKAYAPHKSDTLSGLAEVLDAVGNQFIQELQLGLGDQWKPWARPVSGQPLQLSSLTTIEIVEASAESYINFARFVSLPQVKSATLCITPYPSAAHLGDLFSAIGQQFCPDRLVNLSVHLLEFEPSNEVAHGAIAHSDYLRSLLPFCQMQRIVIDADWEYGHDDEVLQEMAKAWPGLKHLEFAEAEIQVSRSHLHSPATLRSLIPLATCCKDLLSLGIWLHVDIRADHEAIVADIAQAMLNPSSSPLAILKVSHSTIEGLPEDIASLLSILFPDLQEIIATGADEHVERWGKVEKLLLAARNARLMEL*
>EED82321
MFVEQPWYPSTAGRPLARTPQEFNEIGIYDHHYSQLSATGHGFSTSGLSDLNQWIPRLPDNHIQIGNQPKLVNDCGNMHDSSHSLSSGQATCPSSLVEVPVRTVTRGPDTAWYYPSMLSPWPGYPSHSRQINLSRTGKPLAIGALAIKIAKELQFLVDVGEWRLGPGFIQFEHIFLTEVLQVSKASLQPVLYVFFPGERVG*
>EED82322
MTVGGGAKAAGVLHAVGTGTPFDMVRRIQGLCSRARSSAAEVAPALESEITPEATASRAREQGLPPSLFALLRAAIAGVQVAGCSVPASMFRCSVSLESRTESRTWCGNIGLCSGGILNEEYKMVTRVTSSHKFNKQIHLSSRRRRHTDGQQQNYCISIMHACGNSRRFFRPVQSGVGGNDEVSAPGIHLWVFTEFGSMARLRLHARTLTMYADYTSPLRDTHARSLSVLNTCSVCHFPMPSPRVSSRGPREHATLAPVDDVRAISLDGSRNGISPAPPPPLQSQLYHRVVLPDEASRGSLEYSAISADPTCTVAWRAVCDARPGASCKWDGRSTGCCREAIQRTAPSSPVSPGTRCLSYAKISYQVMLTCHNDIDPFSWRHAASPEHDRDTSKRHMVEACTEHETPRRRLRSVVLPDKDSRGEPEDEIVGASCVDGQEAARGVPGTAGQRDLPQARAEEQSRIPHLLWRETASIPPIRRAGRTQTSRVVPASCHHGGMRAGQGASTAGGTPTHCLRRMTRAAGGLVVVFCGTCSVHTNARHRQFTVRARSGDVFVHVPCVRTVI*
>EED82323
MTEPQRHQAQNKGFLSRTQKIAFYATILYAVLIFLLSQPTIQRHVAYQHYLSEPFRGRLDVPEKYGLAPGKTLNTKILTSDNVTLGAWFVLADPYYQSLRSGGHPTSQPSLETIQAAIQAYPTILYLHGAAGRRSTTWRVQGYNAYTSRMQSNVLVIDYRGFGDSEGSPSEAGLASDAYAAWTWLVEQGARSHDVLIYGHSLGTGVAGKLGSRLARENVKPRGIVLTAPFSSLSSVVETYNIFGFPVLQPLQQFAWGRKLIKRLTIEEYNTLSVIREFNVPTLIAHAVNDFDVPHTHSRTLLDHLLDPLLPPAVDLPSAPGTPMSEEGYAAFIEVQGKRREARATLVRKVEVPNFGVVEEFDGTYGKVIYVETMWGSHALVGLQEGVQDAIISTFRLGGRL*
>EED82324
MRHASSDFVHANLFIGLYGFDVRGPSAFPILQQHYWSNVLSILRDRVGAEVIVTAVPRYVGMDRILSRKAPGRGINFMAHSMGGLDCRHLITHIKPAEYTPLSLTTIATPHRGSPFMDWCRENIGIGRLRQKELAALAAEASSEKDAPNAVPLKASQDAREKTPTPKSTLSLASLPSSFTTLLLSLLDSPAYANLTSTYLNTIFNPNTPDHPSVKYFSIAGRIANLNIWHPLWLPKMVLDGYEERERERSRSEGDSRWAQQEEWGNDALVTIQSARWGQFLGVLEGCDHWDMRGARGIEVDLPSVSVPGLNILGGSKAKDDKQKEAGDGWNLTDWGRFVRAWKREEKAAAKSAGAGVSEQKNQEAKARELLGAQTGAEKEQADEVLKSSTDKLSAVFDWIVEQVPSPRALGTSSNASEREHKRRPPEPKASRERNEKQAEKSDLATKMDLERFYVALCRKLYDEGL*
>EED82325
MPTAVLQSLSEDELAKVLSSAPFVQVEGIINIRDFGGHSTSGDAAVKPSYLFRSGEPSRITQKGMEQFQTLGIRKVFDLRADAEIAKYRTPDVKIEGVEFVIVSRMLKRSCTHVRAAIVVLDRRAVALACVRCCVSALPRTALPASHTVLRPTGVRSPIARTPASGIQHAWSGVENTRAPAVRLATSVLQLRARVLLVQPQPRPSPPLLAHPSPRSLQPTAHAAGDVSESDVKPRSHACRARAQKAYAEPLPAIDAPPLTVLLASDGGRVKKVAKRGGGGGRGHDRGQGGRVRAERACACKGGRRGRSAQLLEGVKRSRVAPVIRVRTPDGAHGVIKGHLKSPIHDIDRALLETLAACADVNRLIAARQLQDLQPARAPASAPLHHQSNQRRPVTALTAMALQDPPTSAHVPATYEATHSRPADDDPPPISGPLYILPATPLPALRTHARTLPSPRHGAQPPRRVPHAAARLSSRNTRTRSYAHLRRGARLGFSRVAATPSTTRPDQTCIPARRIHHRQDARARPCPRPRPVLHFICATRAVVRLAAHPQGRRRAREIFNAQGETLLANAPTPLAWRPHVRDAVCSVDTHCACRTVCPAGDELTSPPSPSETAVGLCQEGLLGCEYARVQARQRIAIAIGTAGVETLMGSAISVPLVQMSTHGPRGCNNSVPLLASLVTSEATARRGLHGGERACCASRDPYGLLDRQGASSLWQDDTQNVLCDREFARVRARRRNGYQQRELSRDAVAKRYHTWGAPGSPLAAVPRRPACCMRSAREHLLTWSDGVVLARTVISGGSRASIRKGDHARGDSLARAREQGLPPSLFALLRAAIAGVQVAGGSVPVSMFRCSVSLESRTETRTWCGNIGLDGAARNLTFEAIEADSCGMTDDDGARHTDEQQQNYCISIIHACGNSRRFFRPVQSAIGRNGEISGQWLACACTPGHPQSHPSDMPPLPFSRRAHVSLLHRHHRRRLSYAPGRARPGRVMLPDEASRGSLGYSAISTPGIHSQHAVYLATGIRPARLPGAQSAMPTLERAASGTAGRRDVTGKRVRRWTGRRINGRGHTHPLLETDGTGCGQLGRRVLRPMTSGPVKYVGMPVNRRPDRLKRVLARHRRVPASTASGCERAGRGDNAATNRNESSQHERGMMAYRLHVKT*
>EED82326
MADGWACPPPYEATAAPNRDFMTPAEAPGSTAPTIVILTDHQEGSAGTVLYASPVPFLVVANPHARYSWLQSQRLHTYITLRKGEEANPPQHFHRLRRQTSPFSKMTSEEAQAPRIAVECASEDPASAVAAREERAEYPSPSTSVLNGRPENGAPSAPSPTPQQRTHAAIIISRGDRYPAEAGASPIEPNSAQDETDPKANQWAVIHRADDSEDLDEETDSMENFIQTPRVNRFEVHVDVQVQVQYAE*
>EED82327
MTEDEREEERKEILERFGPNVGDILHVTPNDIYVYESAPPSPRRKPLALLSPIDDDGPTISLGEWKSKTGPSVRRREPEALEKLASGPNTTTLDALGEGTPEDIRRRFFPTAPAHDPSLAWIETGPSTSDIPSPYTLRFDLTGTPLSPDVSSSLPTHLGLHHHADGEHAGYTLDDVFLLSRSTLPAQRATMLDVLGRIARRLSKGRSDGAQSIKELAGREAEIRKRIMAAGVEAMAERGSVGARAVEVMWECIVSWDEDIVDIEGVELQSAPKDDSSPSNASTPPADVLSTLPLDYVLPQIASALATAALPAESLSQLLAILHRLAQHSNRIAGMIVTTDGLVRGIIQTFLLTPIPPTEQSRLPDPFALRVLTTLALASRDNASTLLGPADALLRFVITTPPMSSFSPPLASALLAGTLRIYAALASYGLYAHIATTAAEHLAQVGRYVFSEACQSRQLREAWFGVLETWMVCARDPHRTTPSHEILWSQVVSWGWGVDVLEMRVRFTEQDDRLWASMWSTEAVWLEGAKVNGVRGGESERESAIEALRDGFRDGSEMRVVQRAGQSLSLTLGRLGCKTLRTEDVPLLQELARHSRTLAAALRLWLSCLAPQLAGPPKNPPFILPFAEISELCASLTTHPIWTTLFSASAPSYAHVFFRPISLLLSYYVQLSRRLPDTHDDLWMGQAFSILKRLLPGDEEAARAMLDHVVDLVTPDFMSSRGWGVPPIIGEKGGVKVLKPFFVFSLQAKEDLCIGPTVPSPASLSSSTTQRLPPLHFFRPGARHGHPLPLFRDWMFIPLDHLLRSGESDVFKHMPSWWDASETEVVRATLLFAKPHKQILLRHALDAFGLSREETSFACMKVFMLEHGQQQSNSVEEVFRDTVVNQLMDELVAPFAAAASSTSLLAPPLESTSQNLEIVAARFLGASTPFYQFYTDFVGLYDAISFAHPLFGRLLLPPLSMRYPLDYRKYLWADYSHILKTVRTPIEDVITENVAEFLWPVETDPQVIGSYLRALATGTLVGFLRFVAVHHIACNIWPDLRDDGAEKANKLLQAFVDQAGFDAVREVVLYQQVQQGNILVPPHCYDQIGEWKTMRLQFIERLGEERHKERLGSLLKSV*
>EED82328
MHLCQDWRPISDSCTDSRQP*
>EED82329
MHLADLIQELLLVLHELRAGKVGENAAWCYVYQAMLGITFDCAEKATDPGQGLSVLARGRKTVTATASTADKLDWLKSIPSGATHVVNYKTQNFAEEVKKITNGNGVDVIIDFVGQSHWQKNIDALARDGRMTMLGLLSGGLVDSFDLGPLLYKRLRIEGSTLRSRSPEYTADLITSVVDAGKSPCYGSPRMGGEARSTAHDLFWCVAANTIRQMDGVRGSIGMFTLSRLRIGAVATVRPRVVLLVGREIG*
>EED82330
MAYSRLQTIASLNRDASSFTHLSLGTHEHGSERNMERLRMQLAISTNAKPPAATVDSVIPPDTIQKSSCCKAARYGAGKGAWAVVTNAASTQGSERAVRLAAEGFNVLAIGRDADLLASLLRRMQSAYDLEDNTRQAKTMVVDDATELSPERWDALTAELKELDIGVLALHGDAEASKSKSGTDVTEKAVGNASAANVATLARLVSLVLPGMAQSGPALGTSSAFGPRPSHQDTGCFRVETGQRAHERRARSRFARIPVADARQTAQNVLSEKLVGANDGPAPTLQGGDDTAGTM*
>EED82331
MSFQDIETGLAQRPYSPNNGVPQSQEEAAFLSLQSSLSLQVFKINSNVQGILKLVDQLGTTRDSATLRKSLHDLTEATRAMAKRGSDDLKKLAALQAPLPRHKTSLQKTSHDFQLSLVAFQRAQQVSAERQRTVVHGVKIAVEEEASPHDRPSSPTPSQRQALILQNQLSPHELAFQESLIQEREAEIREIETGIHELHEIFRDLGTLVQEQGGMLDNIESNISSIAVDTAGAAEELTTAHEYQRKAGRRALCLMLVLVVVVAVVLLAILS*
>EED82332
MSSRSATPASTPSLVNRRLASLLMVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWACGDWPELATAIDAEVERRVEEQKRLAEEEARHVEEAAKRAKAAEDRRLEDERRRKDEEDRLRQAEDERRAQEAADEELARIAAAEGLLPDPATAGVDKGKGRARVDDEVTELSDDPSVISLLFSIVVRLLISLS*
>EED82333
MSARSATPASTPSLVNRRLAALLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAGRASESWVEWARGDWPELAIAIDAEVERRVEEQKHLAEEEARRIEEAAKRAKAAEERRLEDERRRKEEEELRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSIKTPRTVERPFVMTEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED82334
MGLPTLLVLVNDCLCEATDQQSKCCCSWTNRGSSVSESPSYQHVLYYSVSNPHWWLLAEARADLRSARGAMDSKSCSEVIARMKLLVRIRKCCKHLVRCFTHKKRRKADVDEGSPAMAPEVLLPRLPPELCDRIIDFLYNSPRALSACCLTCRSWVPASRFHRFRSILLTPFRAERFLHILETNPDTAYYVKTVVVHFQGRDPTATLLELQEGSAETLRRVFVQLHAVTSLMITRLFITPKMTDLFSVLAASVKSLDLVDILIGDPISLDTMISAFPSLEKIVTADKMVLDVTTSGRSTVVLPSSIRALRLNFPYGPHAFPMSSWLHSKNLTQHVRKLIVDVPTPLIQRRLDTITKVSFALLFDTFGPALEALELNMPQSLRLSDIPFTFKHCTSLRHITFHATPDWFCPVHAAANPFPTWAPLFLSRIEVDCVRTIAFALRLPDTPEPRDLHCIEELALPLARPQFRALERVTFRVSGERLEKELLAFVKKEIPELHDRGIVGVEVERSMPPLLVSPLLSNRGGRLLAGIGP*
>EED82335
MPQRRCNDQISNNVELSDSLPVLLLHAKARPFSFCLDTLHGTNNIIVKMIQPMRLSLGRRSATLITGNIGCDKNFKLAGGLEKQKDFVASWRRRAEQPKVDIYPINLKENMAPMNKIHAEFYPHERERTARCGDLSYLLRSVLVSMPAELPLYDPQRRHQRSYKYFSRPSHYSFGASIASPFKPADSHLRVYSWISTFLRLRQGTPPDVSNIAPPPAATRCAHSKGARESLSIANPTVPHRATIAGARIANMMAAVQDARSNKEYITKLTMYLTRKDNHLDMMMSISVQFFRKPEDVSPWKCLGVLSSSASASCWANSSHPPLRAESL*
>EED82336
MGYIQRYGNLSVVEGGGDCSAVMIVDIILLLLLSVISAAFSALRVFASSGRDWPLTSLTGFLALMQFITNLAIYSKTTYSVAHLPYFGAVCNQSFDMSYSIITKNLTHIAFRNLYCDGYEKRCTLLVLNVLDLALFVPKIYLNVGMNFVIPWVFSHSRWQSADATNIESQNILDIGPAQRRNLTANSQSGQASNPAHSFSELVVTLYTGWQDKTSSNQAVEAIELTLL*
>EED82337
MTSSDSVVIGAVVLARHGDRYGFYQDPETYTVTATNITPLGEQEEWQLGNLLRSIYLNASSPSYIQGISPIGSTFNVRQVEVYADDSGIDSVIMDSCAAAVQGLWQPTPLENITLANGSTITSPLGGYQYVPINSVDPDTDYTLEGFTDCNTLTQKTTEFYNSTMFKQMASENAAFLQDLVPYVGGRSVQLSNMIFDYMNTQSIHNATFLNLLPTGYLEKARILVNWHEYNIFSDPSFTGVGNVAFQTMLPGVIDALDRIANASDPLKLGYYTINYKPLLSMFNMTGVVNSGELPAALVDYAAAVVLEVRQTSGSSEPLIRFQFKNGTAEEDFSTYSMVFDGWDGSGDVPMSTFLSAFGPAAINTTADWCNVCGQTTLRGCSEALAAAADANVHSVHQKITPVGAGFLGAGLTAAVMLMGLGALLLLGVLTLGAANSRLRCLCVLSFTGAYLCDLTRCGGVVVQEVSGKRSRDGPAIMPVDSGSMPAQRPDQRARLLMYCKQLHAASQPLSTHSAPWGPGRTGSDASATFSPPPAAPTFARQLSMRPTPLCDIMLTRYARRKSEIVANVGGPVILLVLGNNLSAITRTDPGSEKHTKVLVVGPKYQVHSSHPFAQQACLAWIVGRSWADSLDARPLSEKGVLRLARSNVKLSTAII*
>EED82338
MESNETTHTSMSQSPSATLRLNDHVKSRDIPRWLARIRIGSGIYRDVRSRLPYYISDWTDAWNYRVIPAIVLIFFANVLPGIAFSLDLIETTQQYGVSEVLLSSFMAAFIFSVFGAQPLCIAGVTGPITVLNKTIYNIIERQPDAPNYLQFVGWVYLWGAILHWITAVLNWCNFLQFVTLFPCDTFGFYVSWVYLQYGVQVVTRQFPPLSESSDDEPSATLDGAFVGIILALLMLIISFLFRSLSQSAYFHRHVRRFLADYGMPIALVASSAMAYWGRFDAAKPSTLPTSSPFEPANGRDWLVRFWQLDGKWVGIAFPFGFVLWVLFFFDHNVSSLMAQGTQFPLRKPPGFHYDFFLLGVTTFIAGLLGLPAPNGLIPQAPIHTTSLLVMGYPDKKSEQDDVEGQAGGKQQEKQPRDNDAAELKRMRSRRSFLEPELEPDPYQARDGGGNARKQEVAVAVVEQRVSNLAQGALCLGRSCTWYMGADALQGNGITRKILYFFRDKALTPADEPLRRVSKSRILLFVAVQLAGFGATFAVTQTIAAIGFPVIILLLVPLRTLVIPRLPFTDDELAILDGPTASPFTTEPMPMLPQERFGSPSVPTKTTSTSGIVYRPIDTDPSQLNRRIET*
>EED82339
MSFVSQPTQPTEDARKNLPESQGLCRYPFSTQEAETLSPHTISLSGAHNWNVWEGNTGSRNHISRGIRTQQQTDRAQQAHTAADIVSFLPASSLNPLAEPFVPGASRMHALAATPVPQPSVQQTSAATNASLVIRYDWLPSLRLGLAAVHPESRRVLAQSIVRLKPWARDPVRVAILSAKIAKCVIEGHYPSMTTVAQFSSELHQLFRREEGGQLATYFRRCLELYLCRCFAEYCSPLCQQPHEIYSMRAPVRSRDGAVTRSRIESALALAAYVGGLFAQDMIPGSLALTCLNALVSQPYVLEHLQAIHALLSHAGQKLCKAGSPDYWDSISSVVRWRATRLLNDASMANASLDRGQVRSFVQDICDITEEWWKLSLSENAFARLADELGQQTATAQGLPDGHNITKGQ*
>EED82340
MSFVSRPTQPTEDARKNLPESQGLCRCPFSTQEAETLSPHTISLSGAHNWNVWEGNTGSRNHISRGVRTQQQTNRAQQAHTAADIVSFLPASGFNPLAEPFVPGASRMHTLAATPVPQPSVQQTSAATDASLVIRYDWLPSLRLRSAAVHPESRRVLAQSIVRLKPWARDPVRVAILSAKIAKCVIEGHYPSMTTVAQFSSELHQLFRREEGGQLATYFRRCLELYLCRCFAEYCYPLCQQRHEIYSMRVPVRSRDGAVTRSRIESALALAAYVGGLFAQDMIPGSLALTCLNALVSQPYVLEHLQAIHALLSHAGQKLCKAGSPDYWDSISSVVRWRATRLLNDASMANTSCIGCWFCQVCEARRVILTVVISEQPSSCSLSSYNERKKAISAANLASTVNRMRAGQARAESADRTSENPLNAPIPKILKNGASGANMLHLKPPNGARTAVRLHPKHSQMQGFRRSTPTRWPVREERLTYLALVACASWNSYDQRWPVGPTVRVTEWENEPEPVPMGQGVRRTSDSFYTQPTWVQMVSANVGMWRNKATCKEMKKKDGYGRREKMEIFDSTTGGCNSTPSTAKLRATVFLWYMESEGQPSADPRGDEHNVHEVEDLRVVREHESPELGRRAEDVDEALAVARADLGPIGNADKVVMNGQTASHSVSELSVTADLDLTLTSLAH*
>EED82341
MFRKAVLVAGFLAVVSRVDALWPLPTTLSEGTSALRLSYGFHIAVSPSVGLPPLDLTEAIVQTQTYLLTDGLGRLVVGRGASDVSAFETAAYLSELTLTLKPGSEVNSITTEAQKPIEERDEAYTLSVPANGSAAVIEATSTLGLFRGLTTFSQLWYTYEGTIYAVNTPVEIDDTPAYPYRGLLLDTARNYFPVADILRTLDAMSWVKINEFHWHVVDSQSFPLEIPGYEELATYGAYGPGMVYTAADVENIVSYAGARGIDVLVEIDTPGHTAAIADAHPDYVACNDARPWADFANEPPAGQIRFATPDVASWTAGLFTAVSKMFPSSIVSTGGDEINQNCYEKDEPTMTILNATGEPFAEAFQNALNDFVGGTHSALKSAGKTPAVWEEMVLDFNLTLADDTLVLVWISSDDVKAVADKGFRIIHAASNYFYLDCGGGGWVGDYPAGDSWCDPFKTWQYSYTFDPLANLTSDQYHLIMGGQHNLWTEQSSASNLDPIVWPRAAASAELFWSGAGGNVTAALPRLHDASFRMQQRGVNSIPLQPLWCALRPFECDLTW*
>EED82342
MTRVLEKRLTYGRDLVHQATPLSLREAMDLKKNTYVDPLVSRRTYAVRPSLRLPLRVVGVSGTDVKPGSLAERGGRREDRLFAAEGGVLGGVERKEENGSASGRLEGDATGEGDLTELDVSIRRGDSRPPWLEAAKSTASVLRVPAGRGAAYLARRSTTKGDQTERRGFERRNSIRSVTRVSGCLAPSSSWFEIEKLAKRVTQGVPKEAVAVEETRTQRDERDYEASWK*
>EED82343
MPQMEDILSNSWTLYLFALTAWQQAIIVILELTGNQAFTSWVVVYFRRRYFLKHLEHIVIAESERGAAQHDRVGEAPSLRTIRDVLLQRSQSANEEGHARRKGLFFSAKDDRTPIRPEMVRRVDVEPHRIDPTGRQASPYTSAVDEHIHGGADEALRRTASRSDSLRTDRSPPPSPRNTTEDDLGGFPDPPQVLSGVLRALFPGLHNRLRRRMMMPRTETLVPQASIVDGRAPSSGRWKAVSYLPFSATVGRNSAFRGLSAEQIDQLGGIEYRALTALLWIVPLYYFGLLAISFVVIAPYMTLPRWQYLFAPPEQHRKINSIWFSAFQVIGAWANTGMSLVDQNMVPFREAYPMIIFLVICVLAGNSALIIGHATDVIQLAIDLIAFVFDLILNLGNPVTDSIPAGVRVINAVLAAAGVRSSGFQSISVSTLTPANHVRSTNVYEERALGIYTGDHDDVEDNINEKEWRSGQESRVAIWGRHILRHARKQLSIDMWWLSLSLFFICIIERSPLMNTDNASWFNIWAITLDRAIMLPREFQRHEDDGARVPGRISEASSVQKEHEPSTLKSTSKAESTGRVSFAEDDKRPSRVGTHTLNQISEVERGDESRY*
>EED82344
MLSRFPFDNIYFTFAKTVFRWLWTDFTTFVVRIAWHPKVFGGELLQATVSTTHEKWPTIAETNARHVAPPYPGSRAWSSADHDSFATVRDPSTHLRTRTRPVPVQSMFAGSMAAQGREAVVCRHWRTVALNTPQLWTTVPVTSKATMSMMSEYLGRSGGRPIDVNIVILHPDSLYETDDHYPVLRYLLLEHAARWRSVSIINDKSEVMHFLLALLNQEPFPMLEVLEIDFPSSFHYTFSMFEGNWPKRLLAKGAPRLREVSILQGRLTNITMEPLASLEKLVLMTPNWTLPLLKKISVESSRLTHLTLYCNIARTTIHPSEVFSDLFFPCLTHLRLRYTVSGIPLLRIMEAPELTVLQLEDLHFKRNEIVVALLNALRIGGDPDAPCKFPCISSLRFEIPQTKTEGLPEVFGGHLLEFLRLFPAVEQLAIQGFRIDEIVRSMTSTAPPDIVLPRLKRFVMLGYPHAEHLHSAETLVRWRAAAGASISNVFLIGIPASNFPLYTKHYGLGMLLEWQLSPKRVLLSLLTSRAAPSYYGGLHAGADWMQDEQTRRGRLGFYGPYPPYTSPLERANDVTKERSLTDNTISARNCWNPYDYGCYPVRNLSFPIELSTSGPYLQKRSFLAIFETVYRRLYSNCASAVSIAQRLRPQLDLILETVRKKLLKYTPVLALNTPRLWTLVPINSRATTYTVFDYLGRSGVLPIEIDIRVPGPDMPFSEDELLGICTLLAWNSERWRCFSLVCRNPMLLYSVLHFLGQRDVPILEVLDLDVPLPGPEIPLLLQQWPEVLLQKGAPRLREIHIMQGRLTEITLKPLTVLDTLVLMTTHWNLPRLKHLADTSSLITHLSLYCLTMSNAPQEDAVETMVGISLLSMLTAPLLEVLQLEELSFGTKVEARMLLDSLKTEDAPDALCKFPRILSVRFEIMQMKNEGLPEVFGGHLLEFLHLFPLAEHLAVQGCRIEDIMVCITPPNITLPRLERITLLAPLSEEYLSAVDALRQQRQKIGMPVPSVHIIGMCASNMPQHARNYESAPMVWGFVKFISMGTQKGLRFSPMSDSTDEDEWMQDRQLSRNRLRLKQPDMLTLMLSMCGLRGTDDDNVREVYWALQGSSVSLGGFETSCGGEKGVGENGGGESESHSFPSQRDGRRKTPAISGSAGGEDIGGRRKTEVEAKFRRELLASKAPFALVAFGEMTFRRSCRESQSAERHVCRRILPVQTWDNLIIVIAKLGDVCVPVLDVLVRGTIAICGNQLRMTLAEGALQNKATAYTRHPYQWNCGLNAAGRETFQDACKVSQKHLERSRKPPWLDADIPLNHWPGLGCIVELRLLQRKWTRRPPYLSTSDDLNALMRREAQIITWRPLNLGRPQLAKRRVLPVARLTNATRGTRDQRVAPSALGESSKGCCCNASFISLATCKADRNMETDSVSLVVPITFRSSITGDALELNTNTVARSWASASASCLIAWGCSDASEQRDLEEKLPSHGLAFGCDDGTVFLFGSQLAFPRKHDITGSPTNTPPEPAEQVEAPKNYVDFDDEPEKLKGMLRGKATRSELLSPDVDRTAKLDRLSVSTSTRSIKAFSPAASLRSLSPPSSPCSPTANSPAQRETGLFLKSHILPSSLGSTHAVAALEVYDDGITSGVKAASDASILWIWKSIQVGSVGESIDVAEGDAETQTRILVCELREGPQLDGSDMTLIKKGDWVLDGPAESVGFYQELDGTLAVHYMSSDHRLVIRILSFNEELSPPDIRDMKSNSTTMLPLPNPFKAFKNLSRERLSGETGNDDASELSLSAPMDLGDVLLAVPVIGTSLVVHGDGVRIAYWSEYGLCIFDLNRQTMSSVCTYELADITKWQWISVDSFVVTRPYAFIKHEPDYEVHSSLFSNKNAPKLTWRWVTAPFFLVSFDEGVVGDIPSLQSTTASDRGGDPLVIGGSDDGSIGIWSAGARTLELRAHWTIFVTPLVNVVSLREDQIGRLRGCVLCISQDGTIAILAVDDLQFVVLVPASVAPLKMVHMREDDILLVYTDNRARLWDCKTMEFRRSMNKSKADELLGQGAWAGWPAEPVKPSVPVEIVSLPVFAGLDSACSLLLDMGSFLHSNTGHMPGRTSASKHDRGKTRSILATLLTPGISKEIDDICTERLRVYPSCASVGYHGSLNARDNTVLYAFQSSQAVWSISPEISAFRAVILVTLLQVLIDNDDASTMMTFYVASLPGVIGPSYQSPSLTFLAKYYLRSSVQEVRSAARLLFDAGVARLSNDDTILIVEKWKQYLPSVQQERDTESFRSAMALHMCGFVAAEKYNLLSTNVLTEISKSIGLYLHREGSPYRALAIELCSRGFTLWQQYVDAVEMLRAVCTLATTSRKEAISIQNVGSQARSAVLHIAAANTPLFMTTLTIDILQPRSVQHRKAVMQLVIFLIRKNPLVLYSNLPRLVEAVVKSLDPNSTFNRDAVLDSATDILGHIVQTFPNVDFHMSTQRLAMGTAEGAVVMYDLKTATRLYVLEGHKKRTTACSFSPDGRRLVTVSLEESVVLVWKVGSSLTSFFNPGAPPRQGHGGSDPYKTLSFNIGSEAHMTLAATLEAVRFEWPADRSNTYKTLYCLDGLNQPTFPTSLFLEAGRTSMIVPLFMAAIFSASNGRFKIPFIDALFMCISAGTGTGLTTIDLSSMSVWQQAIIVVLEFIGNQVFVTWAYFTKHLKHIVTAKLERRSPQEDPFLSEHSVVFPRILPENDLKRRRAEMDELVSATVTHRGLQVKGSPPKFCPNVIRRVDIVPHLADPMRRSESRDHDTATNTHLLEGGHGPATGHEFTHMSSASTRRVVYFGGFPGPYELFARVIGRIFPQFYRSVQRRLTIPRTKMLVPHTTGGSPPRSAHRVPYISFNAIVDRNSVFKNLTEENIQELGGVEYRALSALLWIIPSDSLLTEYYLGLLVISFIVIIPYMSRPGWHSMMHPPEQHRMINMIGFSTFQVVGAWANTGMSLVDQNMAPYSTAYPMITVLILCVLAGNAAYSAIFYTWLLLAIQLATGREADQRRATKCGRTKRRLPVRPSQLCPTFFPALKTLSVRSTNVYEARSLGIYKADNDTEDADSPNDAASGFRVAIWGPYLCHHVRKQLSFDMWWLALSLFVLCIIERAPLMAAEDATRFTIFALIFELVSAYGTVGLSLGAPYHWAPANNNRAVLLPHEFQTAKSAEMKSEEMRTPSVEAKRNASEIPLDAGKRSKALNIPI*
>EED82345
MPPRFPDNPHFTLAKTALRLRRLTVKCYLALGTRVLRRTRRLKAVGKELYEHALRPLLRDLGLVSPRATIHSLPYETLAHIFALGRDPSPCRACSRKPWRLRDGNPCACKLPFPHLVSSVCCHWRTIALAMPQLWTTVPVTSKATMSMMSVYLKRSRGRPIDVNIVIRHPDNLHETDDHYPVLRHLLLEHAARWRRVSIICDRPLIKPFLLALLNQVPFPMLEALEIDFPSLHPYLLIMEDDPWPKRLLAKGAPRLREVSILQAYLTKFTMKPLASLEKLELMTSNWALPHLKVISVTSPRLTHLTLFCKSEYLPTSTHELEAFSGLLFPSLTHLRLRHTVSGIPLLRILEAPELTVLQLEDLYFKRNEIVVALLNALRKGGNPAAPCKFPCISSLRFEIPQTKTEGLPEVFGGHLLEFLRLFPAVEQLAIQGFRTEEIVRSMTSTALPDVVLPRLKRFVILGHPHAEHFDTLQTLWQWRAGAGAPITSVSLIMIPASNFPLYSRHHGLGLRLEWHIRRFQLSPRRVLFPFVTSRRAGVPSYDGGIHAGADWMQDEQTRRGRLGFYGSYPPFSCPLENATEMPEEDT*
>EED82346
MLRVRAQVVPANRAKIAVTTGRRNFGASAARREQHLVILGSGWGGYELLRKVDKKRWNVTIVSPNNYFNFTPLLASCAVGTLEFRSAVEPVRRYTPQVHAYQAWCDSIDFKHKTLTCMPATPPLPYPSRGGETPKPDPGTSATLQVAPGNRGTQQYELKYDKLVIAVGAYNRTFFIPGVKEHAHFLKDIRDARAIRARILECFEQANQPTITDDDRRKLLHFCIVGGGPTGVEFAAELHDLLHAEIKQSYPSLARMAKISLYDVAPRILGSFDVGLQDWATKKFTREGINILTQHHVDRVESGKMYVKEQGEVHFGLLVWSTGLAPNPLVQNITEAEKDPKTARTRERRTRTSGRSATPPSSRATRCPPQPKASFHLLRTRAERAVLTSAGHIPAQEAEPARAGPRGVGAVRVPQRGEPGVPGRLAGSVRPLARGERAEDDGDRARGMAAVALGVLHDDAEPEEQDLGADVLVSGVLLVVRAWLRAVMRRFMNWIFGRDLSRF*
>EED82347
MCGPDMVPCMAARRCYVCCRQRYSDIDHPVILHPTPHLRAGCQRHHPQLPSYSCSLCRASLACTAIHARHRIYRLQTIRLCARSQTQSRLESMVHTSWTTHHPELLAVLWLLRFAARSYREQAVLSTDAPSWLQRQTLSLRAREPGNDLVYGLRARPRTHHQHHRLASLCEPCHTDVWERHHA*
>EED82348
MASQESPFASTNSLHSEAPTSAASSTATLIPKVREIAINPQIAMLIFSLQKNAQNPTSSTPSHAHPAQPKDWEAALGTLATSYGFSGQGPLKATSKKAKVKAPKASAKSKPSDSSKNKLATFAEPMVPSQTVIMSTNPDEEGPDQLSAEERKTINENLMKFADAQRLQPDVDQPRLAELLADALVKKDFSSVCRLLQQSAKDAGMWLVVNEQKTVRDAWTSPYVGDAHTTLLENVREINSSRGMNPYANSMPLLQSSGMGKSRTVDELAKDIFVIPLNVRREATGYPFPHSDPDIYDYLVSANYKTWEVTMLAYYNFFFHLFSGIREEIRTHLKGPYPSQKELAQAWHTHLAPVNSQEDHHMTLYSQMMRDATKSFYSEKRGQTSTQDAAEGRGLELAEAIKELAPISPGGLVMVLWRALYANATKDVRTTLMAVAITKLTGIYDFTGVHNDALRDLLDDACLAALSVRLLLEYLPINEDIMHTGRAIHRSLSSLKQLLK*
>EED82349
MQPLIGSGHSDNRVDLVFFSDGYTANERDKFISDANRLALDISGNQTFNTVKPLLNFWAAFVPSNESGIGSGGKPKDTPFGLFRDGTELRGVYYSKPEVARAACLSLGDKCDYPILMGNDPLYGGLGGEFTVITPSLANGALVLRHELGHSIIEIGEEYDGGYAYFGVNAANTLSTPIPWSHWFTPGPHSGHTVSGARVERSAMPLQAYAWTMLNTTASWSVRFNSSGTYARHLVRFSLSGLPAQSDLNAQLDGETLPWAPRPDIGVDRWHYDIHRGNSLSEGEHALTFTLLNGKREGIAQLCSAEILEFGDEGEFVSTPGHYGVFPTFSNKNQTSYRPTNEDCLMRIVTTPNFCKVCLEGLWLSLLRRVDLIDSFRVGCVETTSDTPSPAPDAKKWKRTLEVDLVPLAQFREEGIDGDESYTITWLKDREVLEAFTNKTRVEVDDNELALGSYIVDVQFTTPEVRVD
>EED82350
MSSTLPFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLRVAHRFQPLLPLSIRARHNKFIPRAIPNAPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNRKHLSGEEWMNVGRNARKEWFNEEEDDGVDWELYGDGEHRYLYK*
>EED82351
MFACARLAGQTAGRARTCRISDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGINRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDKVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED82352
MAWVYGISDSRQWLSTGYPSEPIVAEAAAHVMHRLHDKSAADVLAEHIQSYTIKAGEKGELIMRLLLTEAFDKASREMENWDGQIDRPVPLLNFLGALFGPEHLDGIKQSYADNRCGEQRTFAEAFKNAYVRFTHFARLEDDSLVNPRGTWIALTRAIAWQCNSEESLIDCIIPVAIGDGRLSPDCMTAILIQAKNRPKAIVAEIDESKLNGCRGFFPKTFDRRPYVTLMMELGVQPKRNQRNAHVQASPPHVRVETIPSTQYPTDTLLPHPRFPIIARGCSPRVYAVVKDKDSEAFTTILRGKNSPHVYSYPHRVTMDWMERMCKEGSASIEDSEEDDEGISIGSDMPPPEEPMDIDDNDLQPPSPSVTGPDLIPPAI*
>EED82353
MADIPDDVLVPIFRQVFNCTGQRKPKTGIHFPESVASVCAQWRAVMFSVSEFWSVLLIATDPDSDKPTPLRGQVENVTPTTGTLEAPILESMDIYGGALCQILERGTPMLPKLNEIIIDASFHNGKYTFSLVDFVKLLPKLPLLRTLRCDSVELASSGDELPPPDLGSPPQIEEVEFTYMNGKDIEAISSCLGRPTVTRAHYYGCTFPRRFKLFHTSGSCLLTNMLNHRNIVPQRDIFNFLKDWNGPQCSTLHIESSPFSVDIVEYLTGPLPDGSWACPNLTELVLSGFCYGLSNSESAQRALVNMIKARYAFNNSTQLPPQGHPDHVVSSLTRLTVDDKGRFRCYLEADDFEWLDANLVSVRWGDWSGGNGEYFKSKSSVEGPDRETYA*
>EED82354
MVRSSSLLACTAFLLPALVGAQYSMVKEYQGASFFDDWNFYNNYDNLTSGNVNYLSAKTAGQDQLAYINDAGNAIMKVDNTSTLNVGANRNSIRISTKDHFTVGSMWITDMVHVPYGCSVWPAFWSSAQDWPSGGEIDTFEGVNQVTMNQMALHTAPGCTHPANATQTSKLVNSTDCSIDANNNEGCVVTTPTTSSYGQGFAADGGGMFVTEFAEDGISVWFFNRSSIPSALSGNASTVNPSDLGTPTANWPSSSCSPAQFFDPQALVFDITLCGEFAGNSVIFQETCSGVCYDDWVLGPPSNYDNAYFEVQYVRVYGATGELTVISGARPSTPYRSVVAAAALAVAAVGGALLAL*
>EED82355
MTEIKASGHTYLPSDWSKRNAIIQDVGNTLPEVRMEYFFAALLPPLRRSLDPVATVEKLKDKGQIVHDGWACLKGGLAEADENECGDFKSLVDIVQAIADASPHVKDKQLLILRQDPSQAPKANTRSAKSRPYGYLVKAGEQEGAARWVDIGLCAGYSKHGSKKDRNDNVRLVVWSMGQCMREDVRRRFVYGMTIENKTMRLWIMQDVKTVVNMFQALMYAEEHQVGWDPTVAYVVHKNKGAADEIELAEDNSPRVDILVRDEDGEETWFRTNKPISDSGANWPHGRGIRVWKARELVMDERTGEEKEIYDYLVSEG*
>EED82356
MSSTLSFLDQFNAPSTEGRKRLSIYTPKHTHVGDSTLLMLLLSNPTDIFNKLKAHNPEATNATDRAALEAYLSARRDYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKEIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPVAGPSRPRPDTPIVFRKVDPNWTPDTTQWTWDSSWPNQKHLSGEEWTNVGRNARKEWFDEEEEDGVDWELYGDGEHRYLYK*
>EED82357
MIVIPIGKSGGGSYFDVLWGIMLGDWFTRLVKAKDLFKITTSCVKENCATLKLKYGYQGQEVCIPDLYDYRRKRKLQVHITCGSRFKWLVFPSTITLELIKITSPLGRRWTRNGLEFPESVASVCSQWRAVMFSVSIFWAAMLITVDDNPTPQSVIRRYLALSNKRPLNITICRHEIEFDLLRARVSEDPEYRARLADPVEKWRMAAVMDLPDSAPGSLGKTFRSRCTHLELLDDELPDDDYASKLKLVDFRHMSGDVLENLNALLDWPVLEAVVYNDCSIPRPAELFRASRYGAIKCMQTEQDVLNVLRDWDDEDCTRLHFTNSAFTENIINALSEPQPDGNWMCPYLTDLVLSGFCQGFSNCEPAQVAFIRMVKIRHAMHANIESADSEHADFAVSSLQEIKVNIQGIGQEVSTEALEWLDANVPFVTWGDWSGGTQQFSDSASSSEDAEDSSEE*
>EED82358
MDAKIAKVRAEEDALEEAEKAKKTAEGEKDTGERAPEVDGLVDVLATLEVVRRAIAARAAVQPRLLVHVLDVHNSVEKSLEVSTKPKWFQMQQKNKNELEPEAKKDPKARLMESSALVFWGTGMSTRVSPFTPEDSMQC*
>EED82359
MDTTRNNYPNVRSKVTDEERTFKKPYQTVGRPETTDHDIHEEYLKDPPHNQSNELSTDRTTRGLKEGAREQVDPKQEGVLGEETTGKISKESELGTLTTENSVHP*
>EED82360
MSFTQQQTKTMRPWGLARVGGSAIGRLMSRPSLDEANGALASKDKTIKQLLGRVTNAESASIALQSELDEYRSSLSASEEEKRRAEAVSTSYQERFATLEAEYIQEHEGLQEALRQAEADLGTARNELLGHRTSATSKLLAVTAELNAERTARSQIETELTASRSQAEELETAQAQTQHDLEARTAELEQLTIRVEALVSQTALLHAHRDQLAAKVDDLESQAHIRQTLERDHDEALSRMQQLQARLAQSVTAWQQTAEEARRMDDELQQTREEAARHTQMADAQLDEARRHIQILEHEKLEALQRVAAIRRTREEVVGHFQHQIAIGDAEKAALQQTNVALRRHIDELSRAQSKDGSAGCIIQ*
>EED82361
MLPLPLLALVASSVVPIVNGQISSSWPQAYPGMPDVEYGPAWQNYFEVTEPLPNNGPIHLNPDFSAYENNYSFSNLADCFWIDQPVGTGWSTADETGFVYDEDQVGTDFMGFLENLVKVFPSLATRPLYLTGESYAGTYIPYITKAYFGLSNPPVNLAKIVIGNGTVGSDAVVRHLPTTTVIQTYPQLIGYDPEVFQWFVEQTHLCGYDLNLTYPQDGHLPDLQLVYPTAPGRASQVYFASKQKSKAMVSKRELLQEGRRHYGDESVHARGRANLQRREIMKRDLAGRANGTIDPWYGCFLYDAMIEYALNFTYPWSVYDLPDALDPKIPLDGNYFFNGVFLAGALLLPKEPDRVFIDAQTVAALHAPTSKQWTDEMDYYLPMTFFTELATNASARVFLSSYIQETTTRSSLTAAQKNTTFGGIQGFTRKPATPWYADDGSFAGIVHQERNWTYILFKGAGHLVPQQQPANAYTFLREFVLGSNTTGLVSGSKGQVSVVGGEVSSLAQDVLPGQSGIYVGSGATQSTYTFPSATIAAWESYITTATASPTRLAAQVRSTSGAKPTARPPFHFHF*
>EED82362
MPKSKRSKVVSLTKVAKKTREQKNALLKEVQENSDKWQYCWLFEVGNMRNAHLKTVRKLWKDSARMFFGRGAVMAKALGMTPEEEHRLGLHKLAKQIKGQVGLFFTDTPPAEVIAWFEDFSQPDFARTGNAAPRTVVLPTGPVMQQHSEPPEPFPHNEEPQLRKLGLRTSMVRGVPTLTAPHTVCERGKAISPEQAQLLKLVGLRMVRFRLGLRARWEAASGEVVQVEGVKIDEEELEGGDAPSGEGEGAEDEEMEE*
>EED82363
MGSPAAVTYGPIFVGCVLNIVLYGIMITQTFLYFTVYKKYVVVQQSSRVASYHYHDRDKLWMKLFVGTLFLCDTLNCAFDIAFVYVALVDGFDNPIGLDYASWVFATDPAMTAFIALFVQMFFAWRVKVLTNSIPAVLFVMFCSLFQWCGGVGTAIAVGMIPEFVHFQRFEVIVIIWLAFSAVADSSITAALVWHLYALPFLFEEQTMLTTFLCQPSGLHLIFNLPLSKLYTNSLMSSLNSRAGWKYGNVGASTGISEMSHGNINGLSMKSEIGKRGGQQVFIDVESHEMVDVLESDKHPLPSPSDSEMLKMYAVESRVSTEKQHAISMTMSVVMIDGGILAPIHVANAVYGLIFGLADGCEQGPSAHDMVEERLANLLSSRKKMTMKSPT*
>EED82364
MAQPVIYRTPLAGRPPFATDEPDSVYQQQPSPTRRIRQPPPPNPNERSSAYNITLRTLPLVLVLTAVVKGTKSLRVYGQLRLTVTAYMHECQSHAILARCASSSSDLKLDAGYDQYLDGNSGPAQASENPFDDSKQLKPQPIPLAAPRPGYAAPVAALNLSRPARAATPEGRQPSPSTPEMSQAYPKPLTLVSRQNSPISGSFPHTPHQLQPPMTPIAPAFIRPSSAASNTRDVKFSPTQPILRGEKEETLLPKRGQGEDFWRRFSIVAHEEAPGKKRKTLDGGSRHSRWVWFTGMLLLIIIIAAIAFGVYKSHSDASSSSNTSVVTALGGSANEQGAGSSSVGASVIGSSTYLHVSPTNTVQRRQDIDDPVPTAPSVLDSIAVPVAHVPLPAHDADIDGARAVRALSRSHARHRRSHVNRTSH*
>EED82365
MSSPYSLDRKGLLNCPGISPASKKITEDIIRYDADEHHCIYRAPSIHNHLSHHLLAVYDLGGRPSILQNIEKRRQTMQRPILLDPSDKDIILTDENWTQYLDNPNAYYGFVKFFSTSIRNHGVAATLEQYIFSDRARDEGKMIIRTYGIEFGHDQLVATGLAMGAVTKPFPAVLFHYDNPPAGDVVTNDSRPRNRQPSRGPSLLALLKDIYDSSQLAPVMPYDREKTIYDNLRDFMASDRPRETRRIISRYFVDYSSGEVEYETKPELLMSYSETPRPPVRVSTTPDPTCLGDPANDADYHPWPDIIAAALPFPDAHLPKTLRTLVYAAQQYGDAAPGNAIGAFVAGRPSDKLVETHTGTAQMDGTIFVRAAGMMMDYMGWATYGQKPRTDWDRSGLGWDDAWNDVAASIGELHPIESDSPIDGQNCSEDAESKKV*
>EED82366
MTAYGAFMPTHSRSTSGSGSSASISSAITSVSSASVRRSRVQPPLPSPQLADHSNEQENENLASSKGPRQKHRKTRLEHADRRRICEYSRQFPSLKQEEIAREFKVERSTVSKILKDRERWLKPDNEKPTSSRTRPAKFGELETALENWLQSCKRDRILLTDALIRETAKKEGLKLGIDETRFKASSGWVENFKARHGIKKGIYNGRGESNHDENVDMSTASGGARASAPAAHAEVIDLASDEESAHQLAYSPEAPHAWSASAPAAAVYEHAAPPEPAPMPGSSAVQVSEGGGGPHGAQEYLVTPAVQFNEEEDLPSLAEAEIMVEQLLTFFRTEEHQPLITPEQEETLIEVQRMLFSRSTGMRGAEPARA*
>EED82367
MQNQFHELAKTLPPPRKQNTACDACRFVFPFLLPFPRDRCSLPLQGAQSQVQPAPRTGQGSVLSTLHHVQQATTEKKRNANLNKRPRTLSTSAPGGTGDQSISPGHTPTTPNYPAAASYRPLQGYWPHYNPITLQTSTRDLLAYLFSPPTPSTMQGYNAALPPGMGGSYADWGDVAAKLGDESFRIDIALDLVDVYFQIVHTRLPLLNPAQFRTRLQRGLFLGANSRSHSPHTPPSAPASTDGLKPLHPALVATVIAWGAKFSENPLLVADRARNANQSQLAKTLINRARDLAEDLKVHRIPSADHVVIALLIEPMQSRACASACRFHGFWLMSAIRLLLDLQINHKSVMSNIQDPEARGTMIFAWWMACLADAYRSVYYRRKPMLDDDDYDIDFYTVGPVPPDHLEPQGQQPSPREQLEFLGYYRAAHALARISRQMSRQLWRPATESDGIPLGELVTFMALLNEWREEHLQVVGVPSNFHSDWDFVSAVTACASDATYHIMWIILFNALDDFGIREVNDLTRTGSPGNILPNTAHIENTKRKVMDEALHGATRIAGL
>EED82368
MAASLKGKEKAVAAHEDTEESPVTQTLTSSEDELDELNSDTSSSNDSDSTSESDSDSSESDSEQEITEEFLNNLLEKARQNVRARKQDESGSSLQETQEEEEIRLEDDRKKDGLPLPSLHPGSLPSPYIVLSDSKTAGPLTIRDDDVEQAEKASSSRILPAEPAPPPELSAKGKPLTKKEKKEIKNKTAGPGWFDLPAPAEADLPHLYREAEALRLRNQLDPKRFYRKEEGEGKGIKGLPKHFAIGTIVTTNSPFGAASADNLSKTARKRTLIDELVDDAEAKSYAKKKFKELQTVRGAKGRGTLAQKKAMQKPKW*
>EED82369
MFCLSIFSVVLCALAAAVLASPIDKRVTHTGRGTYYDVGLGACGYNDVDSDAIVAISHEIYGGGGNCNQWMQITNPSTGQVQYGKTRDKCMGCAATAIDMSPSLFESLGVPLGQGVQTVEWHFMSKDWSP*
>EED82370
MYWPLWSPDDVQYDLQLGNQTRQALDVRGTLCKVQITDDMGVMDAIDEYTKPLEAFQENAAPVAALPEVVPDDDDDAELDDAGFSPLTDALDGGSAALGDSVDGGIDAVRVVAADGPSGLDTGELAATVLEPGTVVTDMDVTTSVVSTTLTDDVAEEEAVLDDAANTPEEAAAVLLVAAVAEEADEADEPMMVKFPLMSPESPNTGKRRMSDRNVEEFVILTEDGIEDVFLQGASTYEISTRQSSRATAAPCHRLRLADMPNGTGGGTNDRRGDYVALLMEHEQGYSMSRCNEAR*
>EED82371
MSGPTTAQDAASSTAADDPTASLRAAALMTLKSKRRKQGTLPDTLSRSAAVPFQSFELDYGQDEPAGASSTASSDTTAPSVSVTVKTEPKDEDDAQAREEGEISDSEPPATPVVQPKLGLLADVKPPIKESNKLPAPPKLPPIRTGTTISPKASEPASSVVSTSSMSMQLSWDTYPFVIDANHVRPGLAMTQEQYDTAKDIVLDLLGWGVPPEYLVDCGLSREIVYYVFVELNLRLPQNLDTTGIPRYEPPPSMPSTIMSTSAQRLDTVSVYAQQNESAPRSDQIPNHSSTKATEVAPQSLSAAATPFVPGNAPTPNLLDMEQQRRQELLARKAVLASRKARQQALVSSSASTSSTATPAMPAPAEPQDVKMDVDPIPTKTVEDFLKTIGPSTETSKAPSPPSRLSSFDDMDVDEPIPGLSASSSFTSQPAISFVRTVPVSSDARAPSAAASDSSPPRELVCSPSQITPPNESDMDVDVVPGLSTEQAKASTNVVPSRRGTKRPVAADFVDMEPASSRTQSANGYASYNSPYHQAPVRRKTTVFAGLGGQRRCVIHLSDSEDEEMGEVDVKSNPYRPDSVRIERPSSRSIPLHPTAATRRVSPGGTHSGRLSPAALQAKEEEIRKMREMIAQRERERELKKIALSALKFDIRARGPRPRHRGTTPGPMRYLFRPSRWRMMILRHRLLLRICDLLQPAPMGLLKINNTQLFLQRRMMYFRMLSNTLPRATKASGYFIPITVKVFLFSAIAVNGTSHQATLPRDKQSEVGVISTHAETSAQVSICQDDNQDCGQNTEYPPEFAAYSSPLDWFPMLRLRRQQQPLSNGGPSSMPTHEHTTSTPSSASTSTPIDLRTLRLAHMKFWLADPLKQIYEEVAQYLCAHAMASAGPQHNANDIKKALEDARRRNPANSFDGRVSEALISLGLGIPPWPASALRHCSLHSGEFATTVALDGPLSKGVAVDVFGMVVGPCVTRFREMLDVTIEQIMQGFGDFKRQHRWP*
>EED82372
MGHGNSDKLYVTHAEHAGLYGQHTASSAGYKAAHNNTNPVTQEPLAPADLITLHYSRKPSGEIHDPISFKPFSEHSHIVAIATTGNVFLAESVKGGRDLVADVKFKKEDVITMQNPHALPTAVVPRAQTETAKAAPTKAAASTSAVSVAKSKSAVPWNISPYSTGLPGASLTSTSIDPQTKTSQLVWDEEELMFDDFANLPKGKGKEKDIGKRRAYVRVVTTLGGGSLNLELYCEKAPKTCYNFLQLAKAGKYNNCLFHRLVPGFMIQTGDPAGTGAGGESCWGTPFRDEHDMRNVAKHDSRGVVAMANKGPGTNGSQFYVTFRETPHLDKKHTVFGKLVGGEDVLDALEALPVKPGTERPAKSVRISEVIIYQDPFEEFKERRQKKLARRAEAEESARAGAQIEKKESDDINWFGTKVGLEAKGNRGGAGEGVGKYLTGVGNKRPALEQITRPADDVGILDESKKKRRIGFGDFSGW*
>EED82373
MKIDWSRVDQPEIAFQRFPSRRSQVYGTKGMVAASQPLAVEAGLEILRKGGNAADAAVAVSAALNVTEPSCCGIGGDAFCLFYDAKTQVVKAMNGSGRSPAKLSIDYVRQQGSHRDDYSGHQPQQCNRPRLRRGLGGHSRKIRQRKAQHGRSSRPRNQARRRRWKRSEQLIKNASPNADEMLLNGKAPLPGQIVQLPNLAKTFRSVAEKGKDGFYKGWVAEAIVELIQSKGGVMELDDLANHETSFVDPISYTFQNEVTLYECPPNGQGITALLALGILENMEAQGKIKSLLEMEHNSTEYLHALVEALRQYYVTDPDFAKIPVAELLSKEYLASRAKLFDPSKTNPQIVHGNPVFSSDTVYFSVTDQWGNGCSYIQSNYAAVPKGCGFTLQNRGSNFNLNPEHPNALKGGKRPYHTIIPAMATRGKELFLCYGVMGGFMQPQGHLQVLLNMLRGFTAQAALDAPRLCISAGSPDSLASGQAGDVNSEVYFEEGISPEVVQQLRSMGHDARAVTGIGRTMFGRGQVIQKIVDQSGRLVWAAGSDLRADGHAAAQI*
>EED82374
MLAACLFLGVLPLAIAAPAESASAFVGSTVTATFPLPDATFTLNENYFPDAEQVGFPGPTPTGAEPLAIATAPVAALNYDTYPIIAPSEQYAGAGPAFNPMYYWGNLGPWYSVGGAFGLPETSPQIPAGCDLEQVHILFRHGARYPTAGSSPGVFATALHAAATNGRGFSATGPLEFLNTWTYKLGTDVLTPFGREQPFDLGVGFRVKYGNLLNKFTSIPVFRTTSMNRMVASLSNFAVGFFGVPEYLTSYHEEIIIEATGYNNTLAPYETCTNANNDVGGNIGNYASGNWTQIYLKETTKRLQRYLKGYKLTPNDVYAMQEMCSYETVALGYSEFCGLFTEEEWRGFEYSIGSPNSAARGVGYVQELVARLTKTPLTVFDTNLNGTLDGNPITFPLDQPIYADATHDVVIASIITALNFTTMAANGPLPWDRIPVGQTYHVQDIAAYSSNLVGQVLSCPASETPKEKEKYIRFLLNDGAVPLTGISHCETPNKDGLCLLDNFVQGMKERIAEIDYAYDCLGDYTIPNPDTIIDGRMIR*
>EED82375
MYTGNVEHASAPGLSYGTLPQVQYEGFEPSPYEEEEAQMNSIGLADQPDVAPAAPLFDLSRVQYTLPAPLMSLVVSSDVLSMGLSNNFIVQIELSRSEQVVKIPIPRKPTEFLIHKLFLDPSGRHLLVTSLTGENWYLYRGWKKPKQLKNFKMVIESVAWNKAALLASAHSTSTREILIGARNGTIYEAMLDAEEDFFKSQERYCQPVLTLPERQPVTGLKFEFFPPSDPRKALVIVTTPSRIYQFVGAPDRRSDDNGRVFLGLFASYRDSPQKFSELPGNVDYSELHTYGSDAGQANSLPRSLAWMTTPGIYHGMLNFESTSDDLIDGAQLLPYPVLTSPSASPAQASGSLDVPLSMALTEFHFVLLYHDRVVSLSSLNEQMSYEEMLPVKPNEGVKGMAADPVRGTYWVYTDQSLFELVVTNEHRDVWRIYLDKGNYDAALRYAKTASQRDHVMSAQARAFFDEGRYFQAAQCYAQCSVSFEEVTLKFLDVGERDALRSYLTSRLERTKKTDISQRMMLATWLVEFYLSKCNELDDLIASESVSHDVDNLHAERTILEDDLRQFLQTYKANLDPKTVYELILGHGRTDMYLHYATVISDLERVVEHWIMEEEWAKAIDVINRQSNLELYYRFGPVLIRQAPKETVDSWLRQQALVPLRLVPALLRLQHAPRDPLSPNQAVRYLNHVIFERGNTSPTIHNLMITFYAFVPSSSSGSSSASHSDDDGPLLRFLSSAPSDPLTGKPYYDLDYALRLCKQAGRTQPCVHIYSKMGLYECSVDLALEKGDLELAKINADMPEDDEQLRKKLWLKIAKAMRFLENTDLLKIEDILPFFPDFVVIDDFKDEICNALEGYAAHIDTLKADMDEATRNAEAIKQDIAALSKRFITIDSTEKCSVCGQALFTRQFYVFPCQHTFHADCLIGLTKEFLPSHALRRILALQNELVKSSQKGPLDRSAITNPTLGAGTPPPRQPAVQRTLLSANFGIGQGHTRGANSLGRNLLSAGDRLRDLISPDTLASVVTAPAGWIPGIGTGAGAGAKRGGGEKDAEKTERLRRELEDVLAASCPLCESVVAGLDKPFVQPGEVDASWAL*
>EED82376
MNPPPDRDDHVRHLLDQRAARADPHGRFPSLSEFSDSPSVYSRAYFSPRPVDKQLDGNFDFVVPVQARGPPSQPRSPMSDRERLYSLPDESSLDLDDDSHSTYAYSNSHEDDDLSPLEEELPRISTYGPKMTVHSRAPWELGEDDDPDEEEREPSSSRRSKTKKTETGKRVWGLGKNSSEKRPSTESNRSQGKPKQSIEFTNNGSTLMALAQASMSSTSLALGASPQPTLRDKLSISRLRSRTPSNTNTLSHNLEAIDTRLPPLPPQSGNTSPVSRLMRTMSPAQLSPVEATYSDNSSRSPTPNTPQHEYFHPYANPALVSRAAAPKPISPLPSPKHPPSSALSGNSNVNSSDSNATLTDTTTTSSMFQSRSNMTVSSLTPVTSLSSVSQSIGDYSPTRVQGKGISGPIASHRIPLPPPPVSHPNGAAAVSIGVQEKSPNMRSKDQKLVSGTNPVAAFPGWNEGSAASSIKLISLEEAQAQARERSRSATANPVISSSLAAGPSKCQGQASADTESRLRSTSAGGGKAKAEFAMRPPMPGDGTGSSVPARMVQRKKSGFMRLFNAKERDRALYSPPPPVPSMSSSDTVATSSSTTSQTPRSRKGSTPRVPVPSLSPSILGEVGSINADSGSSSDTRSEASGSKESVVARERQLSARRNAPGLSIVTSPTSVNTSRLHPPVPFSAVSEYSPHGHTVTITPTASDSFTSAASEVPHIPNSAPPGMSDFVALSLRPVSTLFSPSLADQLSPGAMSRPSLDVDYGTPTTGTTAISPLSPDFPVKSYPSASDDKNVPMIAHDDQSPAVQALQEQILMARRAWQRQIWELEGQVRDLKAEVDDLRAEENSAPYCSTCGRGNVGRPNADSGNCLEDLRKVGVKVGVVNRPRARTGVGSRFASGT*
>EED82377
MMRPHQRNPLSTRKGTSWTPKTGSGNTRSTSMTL*
>EED82378
MEVPPSAEALLSDIPIESLRQLLTTVQHDTSPPLITHIPTLDTYFSSRRPQTSLRIGDVMEIQGPAASGKSHLLSHIIITCITPTQHESRAIGGWDKAAIVIDSDHTFNLERFHQLLLTRLLRLLGHADQSDACGTVPDMAIVAGIASRCLSRLHIFRPDTGIQLAATLLRLPQYHASLPELQTTEIGLLAIDSVGAFYWQDRFRMERLRGTREPSGVGADPVSSLEHVLCALQSFRESHGPLIVLTNWGLNPLTKSSVHVGESLYPFYKQHLHPFPSPFESAYVAGKAIDRTDHTRVSDSLVNPATAPPASQGTASAVTGRNLRREHRVLPLTHHITLRPAAVAPLSTDSTIAEALQGNIARSIIVKKGEVQGFVRSPGSAAVGKFSFFITSEELLAHHQLD*
>EED82379
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGSPAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSVKNCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELRYIPTRTNMSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNATSVNVALIRQRPPVHVHCFNSSHIHRHQIAKVDKAVEAPLIVGGIMRSRRRRQSGDDGLCKGELVVGRVPVPNDLTHQLQERLHAIRKTGGKSSGALDEPEDHHLDGRETFELCHELPDMHVFSVRCVRPKLEFWWGDKATVDQADDYLLQRYIGEDTIPRTDHALVLFHIRDRIADTDNICNGRAGDLPHTITRLRKCKVFLESIPDDAIKDCVRLRGRVNTTLARAEARGRGWTCAANTGIGFASRHFDRRAIDDNKVAKGGERRRREDDDEVEAVAAKRARGNSSASRWSKSEERPPKMEGGTRSSGTSVGSNPEVWQLLQRGGSAEEANGQDELDDDDSNADGT*
>EED82380
MSTRKLCHIS*
>EED82381
MDHNPWSPTSPGKHGYMQVGLGQDKARFNTPEIRHIFVGNGGRFKYSGKYEVSRVEPLSVDEWNTLPDKVRHEYSKTTSIKEKHQNHGNVAEIYNKYQDGQLLAPCILLKCIEFDLDFFDDLIATARGFTSLTMKASAVPKKRKDAPIADAVRGSSQIKKPKIIVPAPSTRRKSSRISSTQQIPTAGLVDNDSDDLSSLSSSSGIESE*
>EED82382
MNIIETLFGRTVTPAERLRQHQRALAKAQRELDRERTKLEQQEKKLIMDIKKSAKAGQLNACKVMAKDLVRTRRYVQKFYQMRTQLQAVGLRIQTLRSNQQMAEAMRGATRAMGAMNRGLNLPQIQRIMHEFERESATMDMKEEMMSDAVDDVMDDDLEDEEMEGDNILKQVLDEIGVDLSQQLSDAPTGLAVSSSLTEPRQAVALGDASGPPHPSGGDSGSSPGGGMSDEDALQARLDALRRG*
>EED82383
MAGSAVGAKRLKKTDVRACYGVTTQRFSECQALTSTFENLNLRELARLPVWAPGVHCVGVIKSGGPNLPTVHRAMDTGDLETYQVQLSQVELALASDPANPELSSLRSELKELIELTQAALAQQEAAASSSKAESSRKHAAAVTATRTWSAGDECLAKYSGDGQFYPARITSVGGSADNRAYSVMFKGYNSTELVNASQLKALPANHQSAGPSAAGKRKLSKVEEEERERKKKKNEKKLEVKAAKAKEQTEKQASWQKFAKKSEKKGIHIAGVSGTSIFKTPDNPLGKVGVTGSGKGMTEVIARHKHKFEAKDDPNA*
>EED82384
MHLFALSCLASLLSCAAVAAEASHASTTIEKRAVPSGFVTTNGAQFDLDGEPFYFVGANSFWLPLLLTQDDVDATFQTMQSAGVQVVRTWAFNAINATELPYALESGLTYYQVWNNSDWTLNEGSQGLERLDYVVETAGKYGIRLILTFTNNWFGYGGAELYIYHILGNTAITHDEFYTNPTIIASYQRYAQTIVERYKDSPNVFAWELMNEARCAGDTLPSGPDCVPGSETLTTWYQLQSDYVRSLDPYHMITTGGEGQYDGTLVSDFNFNGEGRDFEASLALPNIDFGTYHMYPQTWYPELDYPGSNFSVEEWGLGWIEAHANTAAYVGKPLLIEEFGVTGLENKTEIYPVWVGYALASGHAIEPWQFGELNLTEDGGNRVIKYTDQIYQGASPNDGFTFYINQTAVWDVFTAAAVVQGYRSGP*
>EED82385
MATFSSTPIVIDGKGHLLGRLASIISKQVLNGQKIVVVRCEEINISGSFFRNKLRYHNFLHKRHIVNPKKSGPFHHRAPSKILYRAIRGMVPHKTARGAAALERLKLYEGVPPPYDRKKRMVIPEALRVLRLKPGRKYCTVKRLSHEVGWGYKDVVDRLEEKRKIKAQAFHERKLAAIKLRQKAVADTASSFENLTQLGY*
>EED82386
MQGHPYAQGVPPPQQAAPVSGVFIAHAAAAALSNPYAQSHAMYSYSQAPHYAQAYAQYQAAMSGPAVTAEGYTLSSTYTPSSHNHPFFPGPSSRPRQPTARPGGYGQAQAHWYQSGNSRCTRPGCNFVGSQKSVEIHMMDRHLIYPSGWENRKRKSDWDADPSLKGKPIPIQGTNVKLDTPEAIEAWIAERKKRYPTASRVEDKERKAQEAIARGQLPLNNDHFPNRKKRRLDDSQNGAHHERGRGRGRGRGRGRGTDQGWQGAHRCAGTSRDREEALCETASKTAVQSIRIAPLPSS*
>EED82387
MLVLWMSPSIITEALDASMPIFAEMHRFKHSIFSIECDLFALIAGAAEAWNGSEWAIEIMCCRSHTTNKPSIISVNLATIAIESMLYGIFLVLASSAIYLHLSRARSHHSSFPRVHWILTVTRLFAAFVNFHGGNDPTYYLSNLSFATEIVKTAFFVVTLIISDVLFIQRLWIVWGYNNYIIILPVCTFLGLCDSLDLNFSQWQDPESYINVRVWEWAQYSRSQSAAGYTLTTALLSSQRVVVTVVESATIYSYDSGERLDYRAYTIFYFVSYQVRSNIQFTAIDTLCTVCGITFMLVNVRVGLGWAQRAQSSTNPSSHSSSGSNATSFRMRPVAVNITRVVDETYDTRSAQKIAVGESILPV*
>EED82388
MGKGTTPPPTPGKNRLPSSGSSSTEGKGKKPNTLNDLIETERSYVELLAGIIRKVAGAWSRSNLPPPELDTMFRGIESIYKANRSMLSKLKDVGANSGSSSARDLGDLVMRWVDHIETAYASYCSKFCTGFDTWELVQSNSRLPTVLAMFSATNPPPLPATAPEHPAEPPLWTLDRLFLLPRDRLEYYRKLYTKLMKSTVPGREDHRLLSNALDKIDTLLTTLDQRGGLEVGAPRAAPQAVAPVATAEPEDEIVIDMRSRNNAFPPPDGAGGVPPNVNARSDVSSGADRQMRNPAEHASISPPSMPISDLERRLVTERTLDIFTMRPRQVRLQISPLNLPSPRELRFSGDVVIRFTPQSTGVEVITQLGHLFILTDLLLICERMVPQERSRRGPDGPDMWLSYPPLAGKHLRIAPSKNSSTALVLTILRKEVLTLQVGSPALRNQLLTAFSDCIGVANNAPSSSKSSLPPVPTLPTTGGGAPPPSNPNNQGRYSDLKMQARSPPPQQGHSAYPSRSSSRSTRPAAEPGQLMRQPSFGPGQIVPPQATHPGQPMTPPTFGPGQVMPPQGYGPGQVMPPQGYGPGQVMPPHPPHPQQAPPEPAFGPGQVMPPRQPGSQRAVPNLSVDPGMVAPRRLDSGLRSAPPDSGFTDPAEGPYRPGMGPAPLAGPGMGRHGPSGPHMAHGPRRSTSSRSLRPQHEMMSTASAPPMPGYPGDLAPPRRNFIPRTASSSSLRSLPNQLPPSRPLLPSEQMSMQSMSTATSFQEPSPPVSPVEERPPIGPTTTAVTAQLKCKVFLQQQHAQWKSLGAAKLKLYVESPTNVKQLVVEADNKDKSILISTIVLVDGVERVGKTGVAVELSAKGRRSGVIYMLQLRNEKSAQGLFDSLIQGSDRSAYGRG*
>EED82389
MTTAAKPGRVVIDHFSLDPPGSNTPIGFPYAPLKRRPTLDDVRRTCQSAPLDTLPRALIPRSHASLRPPVLRFGWVADDEKLLELAEKYDCIITKAPYDPKDFEDEDEDEDKDEDDDPRWPGVDILDTMNDVIVQVANDLGIELPSLQIGGAMRAVQLSISVLLSGYVVLCCRKVGISSRVVGRSTFIANHEVDMCSAPVIAPRAAHAFALGL*
>EED82390
MPPKPKQAAGPSPLGARQGYLGGLFRRASKASLKVPIVNNLPDPAIDDANSILSAPSLPEIKDDSKLIEELQAKTETVKGLEERVATLTTSLDGANAGADEKQSALQSVEQARTRLEQELSGAQGTLRQLQLERSEDRLRIDMLTQEVIHHMLPHAIRERLTDHLSQLDESKSSLGDERVARLKVVEDLESLRAEHHSLQSEYSRARTTIDQTSSQLHEAIARTAALTNEVMMLQADADTQTARASDLEAEVRAVAKERDSLLHQHDEMLARVHNLENDLSSATSATQRVAEELRATEAETHKANESVRALSQELDAARVESAHQAVRLEEMSTELASVNGSHALLKEEAQASVQEHLRKLEAVEKLHEKRHAELEAEAERITGELEASHGLLLAQEKEYRMELGAVKIAHREEVESLMTIQSEMQLERDRDAATIEELQAKLGSALKHIDALDRRTAEQSATLREADAELAKCQAAFASASHELDALRAQAEQARQRAQDVENMKDEIVESLSVELAHARDAHAALKETFLATDQHAKELEGSVRRQEKELEGLREAYRNEVSAMETEIAHLQSRRDAEGTRAQELQVELEIAQEQLTTMERQTTDQHLALEIKDKALVVTASDLKSVKSQLEDVRARIASIERTKNAEIARLKKELAEAQETRVALSKTFNATKEKLARRHAQEAEESARSRTEEIAKLKAAHQAALEELERNHRGTLQEHAASSAQQIETRNAELETMRVRRLVSTRVFDRENQRRGHRAAPDFPPRAARGGKTREV*
>EED82391
MSLLAVFSVVLCALAAVVSAFPIVINETVLDKRVTHTGRGTYYEVGRGACGYNDVDSDAIVAISHDIYGDGGNCNQWMQITNPSTGKVQYGKTRDECMGCNATAIDMSPSLFQSLGVPLSQGVQTVEWHFMSKDWSP*
>EED82392
MSEGESSAFSDAPLEEHVKWIATSMYGALVLNPKVQLKAQEEIDNAVGKSRLPCFEDRPRLPYVECVLKEVLRWGTPVPLTPPHRLTKRDEYRGYTFPEGTNFIANMW*
>EED82393
MVQEEAESCVRYCQLQWALRFLGFLALIVGILATLLVRQRGVAEKHIQYRIFDLSVLRIPGFALYLAFCFLQFFGFVTPLFFIPRSPVIGLSATTASGVLSVTTALNAVGRIIAGFMADRVGPLNVLIVLYVLTGLMCIFIWLFANSVGVMMAFGILWGLFSGPYWSLAVPTTAKIVGMEKLGSAVAIQFLMNVIPPIFAVPIGSRLIAETAAMYQIPEESGKAYRFLIIWCALVPILAATLLVLVRLRFSKRLWVKV*
>EED82394
MVKVEIVEEKDQQESTSPYASAASSRTSSSVSLSSVGSDVDAEESFYQRIAALVDIVPPTTRHKIAARVSRTAGFFRTSGRVVGNLVWIVTTSALLVGLPLALSLEDEAKIVAQEKEMLAQQQGAQHMASMYAPPSPNQSQQKGIVPPGF*
>EED82395
MSFTDWDFGANDRTLARLARTNRTFCEPALDALWSELHSLNHLLKILQSSYEERAIVGRPDKPCMLNENIHQREWLRLDCYAYRVHSLSIGNILTSVHPSVYPILAQATQGRVLFPRLTTLEFNKDRVMDYASMYFIAPLLVSTTLQKLELALPKPPRSEITRDNLYDMPSGPDAVDREELVSKILDPFSVGCRELLVLVVDTGMQPLPSMRPIAKFQNLQTLDVRCVSVADVLRYGSEIPTLTSLRVMLVYDPADAMQPQPAAQPQPAAQPQPAAQPQPAAQPQPVAQPQPAAQPQPLPSTCFSKLQSLTVTGRDLEVVKLIGGLSRTTLPYLHITVDFQLPPNGNAFSAALAAKCATMTALRGISIYYRSPQDHPLSGSLFSNVVPLLSLRNLEDVTISFISAALDVTDDDMQMLATAWSKLRALTLSWDVFDREVQLTPTSTSLLGFARGCPQLRKLGLSYVDLTDVGEPASWPSASHSLQQLDVEFGYDDDYAGLIQQELDPETTAKFLNCVFPTVMLSHSIFDRLKNYADVLGAVWEALQQERKTVVQEVIG*
>EED82396
MKKAYELSTLTGTQVLLLVVSETGLVYTFTTAKLQPLVTQPEGKNLIQACLNAP
>EED82397
MPRPRLRRSR*
>EED82398
MYSRIVAVVCQKHSLGDKVSWANAEQNSEADENGVGSSRQGSSQHVAANLVRGDVLLCAVTAYAMQDNFEGALLLAVQTMSRFSDDTLTEFLNILKDDPKLRRKVEDFARRLEVARLVSRPAALSRHIANLIRDNALTSLQKTYNGILAGLTGPDAWMTLKEDEKSAQKPVVVPEFIWPSFLTGFLRCRDTTFAEKLWDDALKLGVRPPISMWTALIDGYANMKAVNEAINSWDIMRTQGVKPDAMTYRAIIYALYHSGHMDEALKRFHAFQKDLPNISPPPLESEVLVVYNSAIHGLLFHFRDSEAREILDGMLAKGPKPDIVTFNTFIRYYGRAGKLKTLASMLQMLESAGVVGDVFTFSTVLSALLKVRDDAPRIVLNLMEKQGVKPNTATLTAIIDHQVKEQSEKALQTAMELLEKMERSVDPQAQPNEITYTSILTGIHRGTWLDRKVAREYRRTIWQKIDARGIKPVRTTFNTLIMACFDNPGAEGVQDAMQYYRDMLQRRVFPANDTWFILLHGLYKREEWALLQELVADLDNSGFTPAKALFELIQRIRTRTRRNMRYRF*
>EED82399
MPTSRSL*
>EED82400
MTSLVETRPEQKLPACSLDAPAHFSPVAPFACAQLTAIGTPADTSSWLSMLWRASRALRHLQPAKALFLPAPLVTTFPSYILGHPLHQSAGLQWSHALAPRAQPIATRDPTEGTGPDAQPENTSPALTSSPEPPPSASDEKAVTVPSRIRFSDPTLGPAIDKLVSKGRPVLLKRSSDPVVAFLTDPVQARKVAIRMADSSEPMRAIRVLIISNKLGCKFAQLLYEGLAHRFAKQGRWPLVRRVVELGKRHTGHTTLRLLNWRTRALVHTQAYASLSGVLELFAEEKVKPDRRTYHLLISGQLRNRDLVRAKRCMGLMEEAGFPPDVSTHALIVSSYRSLGSDPTVQRKALEHLAKADEKEATVILNGLIQMSLDCNDMVAAFRFLTMYDSRVAQSDSQVNEEGIVRVDGDIQRRSHPPPGSVQDTATYTMLVNYFAKTRDLDRACQVFEQMRKAGVRPDSRAAAALIRAHFNTGHSVTALRMVADVCKDTPQIRQLFARIGFSAEMKKDGLHLPPGIGPSAHIFNALLQGILTSHGLNGMRVVMHLMRLHNADMDQYTLEIFLSHLDKVENARPREIMRLLRKLHSEHITPTLRHLHVILRTILRRERRRVIRAEDRAPTDVVSFVSRANGDPPMKEISGVAESFDPSGGVELTPWLSYRRLMEPLVSSLQDRGVRSDRATFALRIRHDAVVKGDMGLAKQGFEAMVGRGLHPNEYHFSALVEGYVRQGDMTAAMDIIRAATKMGVNPNVHMYSMMIVGYAKQKNPTQALHIFHEMTAAGIQPDVVSVHILTRAFILAGAKNTAHNVLMELWPKVAPFPAALRDASLHILMKTFGALVKTGYTFKERLSSKKQRILRWKVRGLVQRWRRPGGE*
>EED82401
MATTASARATPASRRSPPVGPPTASTPSPSIARGATARSAVSPRVSSSLNGPAGRRGSIKSAVAPTPVTHGEPRETRESLSASLKEETEKKEQLLVQLQDKEQTIAGLTTDNSSLSSALNAAETRLAELYADQSRMEEEMAARFEVIDKLRTQVRDLEKEKREMHRRYNEQRLLTQQKTATFEAERQAFYDNEQHLKSRIQTLTQARKQPSIPLTSPSVVSVAMSESVTEVEEELSQPPQEAPKQDVGDPEQEPAEMTALKLELSTLSTSYTSLHSTLALLQTQLVDLKRVNNELQEENESYNILLREKTLNGQFDILRMGAGAGTSETSVSESGDDGDAEVESRDSESLRSRNTGRSMLDPVDELAEEHEHELDPAFELDGDQEQDSREAEDVSRNPRRHARKHSSHSSRSPAPRGESLANLPITGPGLDLAAELGRAENKDILVGGTSLENERAAHKNRKGRKTSGSETARKVSSASEPVMDSSAPVTDIDALRNEVKSLKDANKALSLYASKIIDRIISQEGFEHVLAVDYDKMSPPPTATNFTTFSNIPKSPSPPAQQPQKKGRPQSAIFPFSSSSAMANGPASPNPERLTTFGSPTPPSAQRNSSAGALPPPMSSRASRRSLSFDWKSFSMFGGGDKKPEPSPNLRPLTLRVGSNPVVTGARKLETQEDEEDRKERERLNATMKLMGIEKPVVPVVQKSYSSSSNPASMGLGVLDTNVIKQPNATMGAANSPAPPTPSRFSFFRRNSSMTVSDTSSMRSSAQGSPHPGASTPNLTEEALEQAEAENTLAALDAHERQLSAEIAKGAGGGYTEIVRRTGDRRSSRKSGESASTVWSAGMSKGEDGDD*
>EED82402
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDNTLLTLLLSNPTDVFNKLKTHHPEATNATDHAALEVYLSARHEYDEAVKAADEAIDHHKQLLRQQDDHVLTKLIRLDNLKVAHRFQPLLPCSIRARHNKFIPRAIPNAYLPLPAPLPMSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGRCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVIDNVFLEGIINEAKERKEKERQTKAVPIPPPRSANPEPQASPIAGSSRPRPDTPVVFRKVDPNWTPDTTPWTWDSSWPRQEHLSGEEWKNVGRNARNEWFDEEEDDGVDWELYGDGEQ*
>EED82403
MLTRPRGPSNISSTITSNNTVNPVPLGLPALAGSPLLQYDRADRCFVTSTKRAPIYPRGGTWCHATGPNPQVVAHQELINYYQRHPPAHPEDVFTILWIDVEPTQTAESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQEDIDQRIAIALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTQLSDEAKIAFTVALMRKDLGKTWADAYYKKSAGGVQVYSTWANFVATLEEVFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEPSRGLSSSQTPGTMANQWISTPLPSHQPSPPHREEGNGN*
>EED82404
MPNITDSKCVLVIGATSGIGRALALAIHDLPSKPTVIAAGRRQERLDELAKSSDRLKPLKFDVNGDRDALKRSVDDAIAKFPNLDAVLFVSGIQHIFDFKKPDEVDLDKLETELNTNYTSIVRMTRFFIPHFLKLGAEGRPSFLVTVTSGLAIIPGPWVPNYCATKAALHSFSLSLSLQLKDTNIKVMEVFPPLVESELHDHQGTTPKLAKFWLPLDEFTKQAMEGLKRGDVEIPVGSALGQWNKFEKGKLEASAQALALLSASAQ*
>EED82405
MLPTMSVTGEVVWENRMITPDRLSRGDLVTYVSPLDPTRLVCKRLIGLPGDVVCVDPTGTLAPSTEHVVVPKNHVWLIGDNAAASRDSRVYGPVSMALIKGRLVARV
>EED82406
MPYELPPELWLQIFHYAAEDEPLFDYALPTSMTESSWSKRVMSDGWTLRAPNDLIEIMHRKSFATKKAIVSTCRAWRDLGTEFLFRCFLFGDPSRFQQLRVILDADNSLGRRAKRLHIARFYAGHGSTLEETQDALVSIIRHCPNLEAFIVTWSFSNSLAAVVDALCTYCPRNLRTLQLYIPTSGIAKAILMLDSLPALVSVHFQFEGVSSENIRLGSTSAVTLTLNSLEQLQLRGPFQEFLEQATGWKLPALRSLSLDFLSFRDDLPDIIEFLTHHGDDLTYLDINCVPALDVATILDLCPNLTTFAFNPDWRIASTYDITGVGGTLVHRPHEHITTIGCHQLLHAFDVGDAAKHLAIDPLATLLVQRRNDRNFAALTKRDFPRLQRVRVLNRTLLRDLEAADGPQGDAYERWERWWDQCARQGIRLEDCTGALLGTLPLEEEDDESESESEDDEAYLAPLREVLEECRQLPSVDESEYFFTHANGSMHHSE*
>EED82407
MSSVSGLPAPALQASQAAINAALAENEIKENGRANGDEAEPGEIQEVDMQQQAENIRTVFNDPTNFNVKHPLYSPWTLWFDSPATKGRNLPQTPMSSFPQTPLLQTPGAAAAQGWMEDIKRVISFDSVEEFWGLYNNIVPPSQLPQKANYYLFKEGIIPAWEDEANKNGGKWSIQLPKDKNRNNVDRMWLYTMLAAIGETFDPLLSNPDAETPSQSLITGVIVSTRPQFYRLSIWTRIAPTGAGGDDDKLRERIESVGRHFKMNVLGYSDSQKLSGPLATEVEFQSHKDSEKKGKQSGRKIVV*
>EED82408
MTLAVRIQDPRRLPSPLVFARTHRPRQVRDAGTSDLYPNNVTIRTEKNRPPKFQKHSDNILREIIRKVWDARLNTEASGTAYGIETWGLAHEEASLAHMGRRAFAMEGSKYQEGKSSHQEALDDRMQTEGTRTAQTGWDVTSGQAGGRFWEARPEDPIIEDAASPTKIQHTSSPLCPTRIKPYPAPLLQSAYSGTLKFLDTAHYPPVHPLSWRGFQDAPSRTPSAFAVPVADEHELAAGLARGQPCHHLLRLDPWTWRVQMTCLQNSSSSPSAAGPGIQSARIDVPLTELNTLFLGPAALGAAGCKGEQLNGALWHARGGVSVCVSGDRWSRKNHRLVLGPSCDMVQVKGKTSIGSTLTQQGASDVGGDGPRLGITPGGEDPAAPHLPSTITQTGDRQVGARVSRHKKQRARLLRPTHWLGRDRIHVASTPPALSPSFPVDLQYPDSDVSDSPSPHDLPADHEPTYHTPAPLDLKLVSNAFPGSGMSQYSSSPTESEYFSDLYSSSPVGVQAHLQSLSLVQFPGAPPSKSGSLSPVAAATHGLGITIPEPTPDSDYRFPPPTPQYGRSTSSRPHVRSLVSPASPVARPSAGTSRADVGHPYARLYTRRESTKRRKIWNHAHEKALFTPQEISTIGAPQRRTTYTASLEAHVDRLHEQLMRLSLYPVPYESINPFCGLNCKTAKSMVAGLHQDAADLKLKRLELSRALACSVFKTLYTRISSTETSGTRFGPRPTFSTIRLYVSELDSRNDLRN*
>EED82409
MKLDATDLRYITTDEFRVLTAVEMGSKNHEVVPTPLIVQISGLRNGGVNKNLGSLAKRNLVARVQNARYDGYRLTYGGYDYLAMRALSKRDSMFSVGNQIGVGKESDIYIVADAEGNEMVLKLHRLGRVSFRAIKEKRDYMGKRKSASWMYMSRLAAEKEWAFMKVLHEHGFPVPKPVDHARHCILMEFIDAYPLRQVAELPSPGKLYSDLMDLIVRFARAGLIHGDFNEFNILIRRESGEPIVIDFPQMISTSHFNAETYFNRDVQCIRKFFRKRFRYESSVYPRFSSTKTITAKSEDSEDFRLDIVVSASGFKQRDQEVLEEYMNHVLGGGSDREDEEEEEESDDDDDDEEDG
>EED82410
MFHKELDRGEAGDNMGALLRGVKREQIRRGQVIAAPGSIKAVKKFQAQIYVLTKDEGGRYTPFMDNYRPQLFVRTADVTCGLHWPEGTADAAEKMVMPGDNVEMVCDLMHDVAAEIGTRFTLREGGKTVGTGIVTEILTFA*
>EED82411
MKHGIAFRKFSRTSSHRMLMLRNLVTSLFEHEQIKTTLPKARDTARLAEKIITMGKKGSLPAYRRANAFLLKPALLPKVFKDFAQRYADRPGGYTRIHKFGNRPGDNAPHAILELVDNPRDLRFEMTARAVGWELLGKRLGPGGPKALARSGITDLEQIVEKERTLGPDQRGELRAKTRWNVQKLLRFRSADAAATLVAKAEDHADTLLARPLASKEAVEKDANDGDETPYAGLKSLRLKAGQTLPGGARSALSLAQGALGKEVTGRPRWFERRKLGIDKATIWDQA*
>EED82412
MGRCIPHVTGDLLSG*
>EED82413
MSNNAVNPVPLGLPALAGSPLLQYDRADRCFITSTKRAPIYPRGGTWCRATGPNPQVVAHQELVNYYQRHPPAHPEDVFTILQIDVEPTQTAESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADTYYEKSAGGVQVYSMWANFVAALEEDRKMALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENNYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEV*
>EED82414
MSSTLSFLDQFNAPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTDIFNKLKTHNPEATNATDRAALEAYLSARREYDEAVKAANEAIDHHKQLLCQQDDRVLTELIQLDNLKVAHCFQPLLPRSIWARHSKFILRAIPNAYLPLPAPLPTSAFRRPPILSPFLQATPRSTTIPANWQPNPGWTPKGSCRRCRSSQHWRHVSAPPEEPARCVGVVIDNVFVKGIINEAKERKERERQMKAVPIPPPCSANPEPQASPIAGSSRPHPNTPIIFRKVDPNWTPNTTQWMWDSSWPRQEHLSGEEWKNVGRNTRNEWFDEQEDNGIDWELYGDGEQSERKEKEKQTKAIPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNEGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLCFFLH*
>EED82415
MSMPLDNGSPIKMDTLSWLGQPPYYMPSGKATAGITTGAPDTCSARTRQQKGITDDKDIVTTVTRYTSLKVKDLIKTLESYKIPSWKDLRADLLQYYNTDQSTQQYTLSDLMMFQVWSAKQPIRSLDKWHRYTQKFQHVTGWLLEHSMITENGMHMKLHAHIIINLKVNDPKHNYCTPFSVDKVTTAVETYLQRNHFDAEHLLSDQETADSLDDSSNEDDSSSSEDDVVHKPVMMGATVTVMTMPVPVMDVHTAMGSINRGCFGCGNPGHGVRDCPEVLQLLSDGIVTRDMSGQIIMKDGGHVACMSAVEGLITHSRTAAPSQEAPPVWDPPPHEPLLTQPIEVLPLRVTVDPNNSDAFMEDDTHNLDEITTKPRVSADSLKGLEATGKRVPRRIELQAQIDQADILDRVLGTSVSLKIGKVLAISKDMSHQLQNVLKLRQAAMVALTVVTPSRGTLIKLQMECDDRPVTAIIDTGSQLNIAHWRVWKSMLRRPMDVTCFVNMNDVNGGWDSTYLLFKDHNLNVRHKILVTPGRHDQGWHFDPDAFSAKLTHSPAATASTYYLQTEGEDISLKPGGQTGVAALTDLSSVIEEVT*
>EED82416
MSSRSATPASTPSLVNRRLSALLVVLEALPTADATLDVVEEWAQDLSPLVLAYHKALGAIRDEETELRVTAAVKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARHVEEAAKRAKAAEDRRLEDERCRKDEEDRLRQVAEDECRAQEAADEELVRITAAEGLLADSAPAGLDKGKGRARVDDEVTELSDDPSVCDCCAGYRSAPVECVWVENATTCERCAQFQHGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPVPSAADSSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLDALDLDDESWGIIRVIREERAFIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED82417
MLCYLDPTQYNNIIHYGIFTSLQHYFLASPFNFLIMLFFISYCLLVTNINTPKPIFQTCGKTIFRQNKQLAPKQLGLHQCLICTFECGFLVDLGINDNTENIEPTTSSSRKSTQILQLSRKITDENNIKGRTSSGIAHPLLVQMHEHVSAIIKTRSEGQDQEYIDIPSVSDNEATGAAPSGSESEDTPMENTANYKGKKKPNNQYDDDGLFKDLEHIAPPEKHKGKANKIADVNAFFNNPFEQTRTNGKTVKVHDCIRCKSKRVSGYTLLKNNFISMIAEDVIACKAEEKKAAAQTTLDGHVQTEGPCERVTPYSKKSFRLAAIQWLIKTDQCMVDVAACAKDGITIPTWKVTQKEIIHLFGKYLDDLAHCLNASNINGYFAVTGHWIEEKSLNEWSLESALLGDNASNNVTMMAELSKQIKKAYNLDYDPESHRIGCFVHIINLATQALLKAQSKSPYYDPTKPDTHMPDTQWHAEHDEVGLICAISVKVQWSSMYMMLNQAYAMCNHVQHFLTEISRDAKDNKTGYVLYALIPSPEEWQNVKLFQQILEFKPGLQAGLDKIVEYYDKTSDNDAYVFSIVLEPTKQLMHFKTNWTTDLLEDATELCKTVITMTQQQPYQMQEKAQLVQSGHVHLLWSPFYPVTPRTIVLLSLWQQLRYIDLDEDIPEDMTTVKCERAFSSVGITVTKRCNRLKGDIVEALQALKCAFRCDLFFKETSPSSATENELKAEDSDDSDIGGALLTEKECTVIGLTIDLDSDAEEDK*
>EED82418
MSGNVSSFRGVPYAAPPLGDLRFAPPQAPQQIQGVYRAMEYGAACPQQATPTPPGLPFSFSFTDSPIAGVPPIANISEDCLFVNVVKPQAATPGADLPVLLWLHGGGLEIGSSSLYPGEEIVERSIAIGEPVVFVSANYRLNAFGFLAGEEVQQAGLGNIGLRDQRFAMQWIQSNINAFGGDGSKLIIWGESAGAMSVGLHMVWNDGNTNGLFRGGFMESGSPGGLSNMSAGQPYYDYIVQFTGCEGQSDTLDCLRHAPYDQLMTAVNNTPNQAGYRSLNTVWSARIDGSTITRNPPRSLEMGLFADIPFVTGDVDDEATIFNLGNTNVTTDEEFVQYLKSNFMPEATAEDLNAIANAYPSDPRLGSPYDTGSADQLTPQYKRLSAFGGDYTFQAPRRFLLGHSAGRQDTWAFLYKRNKTIPYLGSHHMTDIPEFFTGIDYIGTDALINFAYNLNPNVPSTLAPNVSYLSNITWEQWNSSTSAPPLFTFLDPAPSVTISSDTYRAAAMDLLNEMTARSA*
>EED82419
MIRGFNNDATACLLIPVEWSWDDEETRTGIKDGNPRFYIGPHHLPAFLFVHFKSNPDDREEGIFRSSILISACLPELSKMLTILNLDAQKVLHFTLSDFDSWSNDLDGFDYRTFYNSIVDWFEAAPGPLSAQRNTELLQWLDESYYCLQALSLTTPSYTTLPDSLACSDYQHIWIIIYAPITPATLIYDATYFFRYTCSITPDTIIHDAT*
>EED82420
MFQIRAFKELAIAVAVTVSIWDHLLRFVMEVELVWRQPMSIPSTVVLANAYGVELSMIYLAYVLSGLRAALTDLTCHVSVIFVGIYGTISIGISQLALVLRVYILWDNRYIARSMLIAGFVVCYGISAAFSIIAAKNEAGTIQYALPLHECFLPSKSTYLTGTWAGMVLFDVYVLSLVIVNTLSKPRRRDSEIFAHLRRDGILTFVFVLAIRLIPLFQNIYGDRHMVPRQHSLYKTVPQGTTG*
>EED82421
MCCLQGQVQLPPVSQPPGVLQRLFKGTDPCSHLFQENIRQYNSAFAFTSVAVTVDHDVLNGSGPYSFRIHGGLYHRMGTLLPNNNIQPLYAQLYIHDPHAALQTCMLHNPNLDPGIMNEIQDLLLTHNPFVPLYKRAYEILVEKPPEEQLNVSAQLQLQESDDHWRYNLPTVDEVAAIIPGSGDEDVDEHRDVILRLRTGELKQISHIHPLYSPLHYVLLFPQ
>EED82422
MCKRLKLDFAVHARGDAAQVLVAEFQVSIPGASLASSTCAAASSSRLPKRALSAVAKAASFAKGVVTPWVPNCTDTSWAKKGVAQYMRSWDRNRSHSMMVFPSYRRGSRSLSVSLSGGDAGDDITGDEGDGGLPVGEDGCCDCPLGELREERVLEQPCGGDGGGGDGGSPEAVSQAKSVWHVNIVDSLNIIPLKTPKLNRQGKV*
>EED82423
MCQRTGVRGRWVSFLGSDGLWSVIRAWLSITNEEWSFGSVALWVVVGDVDLLLGVECVLKSRAVEEFVSHGDGGRKGRRRAPLGPDTPLFGTRIPPGTSTQSPNSSTSPSTLFDIFDGPLDAARPSARFAPSHTNVAGLSRLGIEPRGMPSTTATGPKWPYVSLHLRVRHDVYRWTWSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDISTPDLFERSSSSPELEDPIPATSNLVLPTPSSFRAHVQPPIASSSRLSVIPTPELAPPPPLVPSNAASNSNSAPPAPTIPSTTTTSSSSPAPTTTTNMSQTTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKSGLLLP*
>EED82424
MADVFPPPLKLALGSTLGAALFGVTCVQTFYFFRSATKDGWIMKALVLSLLIFDTLHQCLITHIFYYYCIINYGNPVTLLNSVWSISVRHPVTCLRSRIVVDCKGFGQAEIIVNWKGISPCSSASITLDGTPPASLFSDSGNAILTVAHFRSDTTKAMNLVYPIRGLFTTNLLNTDGERQTGISGLAVAVATDVCISGCLAFWLSKNRTGFRRLVNPRIVQEIPNIFFHSERRSDRLIDKLIAMTVTTGLLTTVFVIANLVAYVVAPNGLYVLCFNFMLGKLYINALLTSLNVRNAIREGQNSESQLINSIPLAQLAIHSGTESSNSKTSPVAVSVDRVVRKASCATFDQTSMDATVHTNSAYKDLKGNPDMAL*
>EED82425
MSIFFTVKSLLLLAAVFGHHVGLMPPRPTREDELAYKGQLFERTVMALAHLVRGICPNSSPESHALFSLSTPFVAGVTTIVLTAALRLWCYATLGRLFTFLVTIRPEHKLITSGPYAYVRHPSYTGVVLMQIGVALIAFEPGGYMVQCRLLRTPIWWLVLMWAVCNTFTLLSLNNRAKVEDDLMRVTFKEEWKRYSQRVPYKFLPFIL*
>EED82426
MSGLFSFLKRIRNINSPPNVPAKNADALRFGILGAARIAPNALIIPAISHPDVVVLAVASRDASKASAYAKKHRISKVYSGDDCYQAVLDDPDIDVVYIPLPNGLHYEWTMRALSSGKHVLVEKPMADTPQEAQQMIELAASKNLVLLEAIHYTFHPATHRVKELLDSGELGKITGIRADFAVPDAPHGIFFLKDDVRFKYDLGGGATMDMGVYPLSAVRFFTASEPVKVSSARAVGHAADPARIDREMTARLMLQSSIEAETYADFGMSGWGPFHIFPRLLKASVHVECERGALEYFNYPLAHVFHSIKIKPQGGKERVEKAYRFADGRGQDWWSSYRYQLEAFVEQVRGRAPHYWPEADDPVRQLQWVEGIYAAADMPARPASELYSPSHDFAV*
>EED82427
MAILVRFAMRLCPSKLAGAGIAIVGVVRHNTNMAVAIPAAEGRGVAYYGDFQTNEKVSIQAQGTLQSMAGLTTGIYITRQRTGVETYGFQHDCRAHARFISDSTENLIRTLVVYSINRGIFTAVFQLAQFCNRRLNMRRKMRRRIKVSQNETTSLPQVGRFVREGNPRGWRAGSP*
>EED82428
MLSAGGLSGVILTVCINKEDKWKTKNVLIMEFWTLWRHTKQLVKLMGAAPEVQTSTAGPGLAGLARASSQRSQDLLEGLHRALAWLGLTYPGLAWPGSGF*
>EED82429
MPTPIAIVGISAELPSGTYSKANLEHSSFFDFLLNGEESYECMPDGRFNVEAWKGNNRGEIRVNAGSFLKDIDLFDNVEFGISARDARTMAPATRKLVEQCFLALVDSGIDYRNRNVGCFTSGTNLELTNVADPDEFDCEGSFAGNPSMISNRVSTHLDLLGPSVPTDTACSSSLTALHLAVQSISNGECDAAVVAGCQLNHRFGRAEACVAIVVKPLQQAIQDADQIYATILATAINSSGGGAPPGAPVAEAQRDAMRRAFKLAERNPREVDFIELHATGTAKGDPTEVNWVGEEFSREDEVLIGSVKGNIGHTEIAAFLASLSKVISIFRSRQIPPNVNVKELNPAIQWLKYQLRVPRSPTPLPCHSARGPLIAMSSSGIGGSNGHAVLEGPPTRGSLDSRAEIMAAQPSLLVSAGLSSRSTVAFSESITNMATRSACEVPVLSTILGRRSRSMTWRSFAIADSASLGKLQFSSPQLCPREARPVVFVFSGQGPQHERMGRELFERFTVFRHSVLEMDRIYETLTGSSMLRDYGLFDGCGSPGKLKDVWPISLILPSIAMFQIALFDLLTSFGMKPDIIIGHSAGETAMLYASGAAPKEMAFELAVIRGRAFAPLEALGGGMAAISCGKEDAEEIIASVRSELPDNILEIACFNSPSAIAIAGHDIAITRALELCQTRGVFGRKIRTSVPMHSSMMERCREDYCRELRALFERYPGQHVPQIPTYSTLTGERLSDSIDADYFWRNTRSPVRFTQAMERLTASQSCTFVEISPHPVLVSYISSMAGDGSPVISTMHRVKPNMPSVDHVDLLRVCGELTASGFNGVNFTALNNRACYECDVSLPAYPFSKKSYALYPDTPGYAKQMEPHLGPLNHRYLRINKDTHPVLAEHVIRGEPIMPAAGFIEMAIEFGATALLHVDLKAILSLSSETPPAVEVELNSSYWKVQSVTSHDWHSGKQQKRLHADGYLTFEPSQPLKDIDIATIRQRCKNHVGSCSILNGGFCTAFYSSLSYFSAYGPRLRRVTNVYYSEKEALVSIKGMDNALKQPFNGNYDQNAYYLPAHVDAVLLHRNLAEEQLPHHLYAHVVLKEWWPVAKHHIDPLPAVQRPLHLIYQPAFFAPQQPTPASLSCNDPLRIKLDTINCYVSWLACASVLGC*
>EED82430
MKAEKARTTCTLAPGSESAVIEQYGGSGKAMLTGLLNPHLPLMFQLADQVWNEYKDMPKLSLKKHLPSNEMINSLLNGAHFLLKNSSNVLSLAPIPGLDTAAMVLCDLIDMVKHDIAERIKSLATLITETSKQARKQIEGAGIHETSFPVPSELNRRIQVLIRNLQDLQTQVWQVAEGSLFSHFVHSGQDAGKLENLSKGIADAKSDFEHHPVSRADPYQALVNGMFDMLNDVCRDVSVLAHFKIGENDMQTLNSLPHANTSYHSALNELKSGLLPGTRSDLLSEIDEWVKGESSAHNFALYVLSRAAGTRKSTIAYEVAQLLEQNGMLGASFFFVRGVEDLSMTHFIFPTIAYQLAQQQPVLMPHILEATRAFLKHVPASHHPVIVIIEAINKCTKLAQDLIPKMLYLLTHWVPEVPFPFHVLITSHPEYHIETAFELVEFRKESNHFRLQDIPRVVINHNIMQYLEDRVSKLCDSAELLHVRPDAIVELMKKAEGLFIYTSTTINVLLCDLEHAVENLNTLLVDGNLDSLSNLSQLDVLYMLVLKNVFKDYLGTPPNPRIAHILKTVLIGITLLQDQFAPSTMELLVGVSVTDIWFIIRCGNLRQNICDLPDPAAFKDEIENLAALVQCQIPSHVQYACVHWAFHLCSTEFTLKLTEYLGIFCSMKLLLWLQTLSFMDRLDGAPGALLDLRNWYQVRAPLPSAGTFILSCPEHIYISALPTAPCCRLVDMYSALDTELKCLKMLSPRAPWWDLCVCMVKGQDEGVQCWIIAGINDGTVQMWDASSGISLNIMAGHSTGVYSVDVSPGNSRIISYGRDVRVWNLATGAPLYLAKDPMLPHASPTSPAADTSRLASGGMNSIIRIWDITSGECLFILTGHTDWVNCLAILPNDDRVASGSEDCTIQIWDAQSGDCVVVL*
>EED82431
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDIFNKLKAHNPEATNATDRAALEAYLSACREYDEAVKAADEAINHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATLRSTTIPADWQPNPGWTPKGSCRRCGSSRHWEIINEAKERKEKERQTKAVPIPPPRSTNPEPPTSPIAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHVQAFEMRLVDSYRPEQWEEELRDLFVLQKGPLPVALKAHF*
>EED82432
MFAERISQHTPIISQGGALESRSAGRWGRPLPAARGISWPRALSPSGKSTWREASFWMAMGCMEFWLRLDARRSLMNKCWKHPGRYGMHMVLQCPGSRTGEPLYATNNCQAISGERLQFIWREYAYLPSTSLPMHTCAHLLTITVKFLAASVSIGFAAVSRNVIANPCTLAAAAFVVFIVVRTVAAGIARPPYPPGPSGLPILGNTLHIPQIRPWSAYSEWAAKYGDTIHLEALGEHIIVLNSSRVARDLLDKRSAIYSDRPHFVMAGELSGYGAELPLQNYNNAFRAQRKIVAQTFNPSMVSRYYHIQEAEARKLAKSILDDPSALISQTKFRIASIIMRVTYGYTVKGEDDPMITIPFTSMDNFSRATEPGMWMVDLIPQLKYLPEWTPGATFLRTAKKWKQLEWSASWNPYRWCKDNLETGAALTPSLCATALSQAGGKLSKEDEETLVWAASSGLGGGLDTAKAQKEIDMVVGTDRLPSIQGQARASICTEPHRGGLPHALSQDDVYEGMFFPKGAVVMPNVWHMLHDPAVYPDPMAFRPERFENSDAEMRKVTDLAFGFGRRACPGYDFAEGTVFAVVATILATSTVKRAVDERGKDILPEVTYTSGTIVLNDGASYQAPEHLTWATAVVSLSSSKFKSALDL*
>EED82433
MSSRSATPASTPSLVNRRLAALLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAGRASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRIEEAAKRAKAAEERRLKDERRRKEEEELRLEDERHAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSIKTPRTVEHPFSMTEADMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED82434
MLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTTAIPRSAEASGSGTSKGVAAPPSTSTSTAPPTTIPAAAPAPSSSSPTQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQHSMKSQFVTLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVASAPVVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDMLTQSVVKNFADENQTITILCPNTGETVTIPTTLSGVANRIF*
>EED82435
MSRDLRARHRDDRAELRVSVAHRRRCRRASKAKSKCWETESKCRAGCECRKEVYRGPAGLGAYHRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQAIASTSNTSTTVPPGMIKTKDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENTGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNRSFCPRTIPGLTIRDRIYEWHRRNPAAPATPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTTAIPRSAEASGSAYKTIVPVIQPKLAEEIFQHSMKSQFVTLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVASAPVVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDMLTQSVVKNFADENQTITILCPNTGETVTIPTTLSGVANRIF*
>EED82436
MEIARIMILPAFQRMNIARTAAALLLRYCLQPPGASPPGLGFRCVQWCVHPRNGPSLRLARRLRFKDEGVVRFIYALPK
>EED82437
MATSVEHLVGGFFVLVLLAIMLYGILTTQAILETIHTGFCFAALYDYVIIDFNDVLKVDDIVCGAYYSLAFLLFFKAGINCEHGPNSGGRLCRSLVLVRLSRTQYMLMIIVILHRTDHIIKLVQLYAINTGALTILVFAGLVEVQSKLYTIAFLAT*
>EED82438
MTAVERIEGAFIMELAITVMLYGITTTQAHFYWWSYPQDAKLIKRIVLIVWILETVHTVFCLHLMYNYTIVDFGSLEHVVNLVWSSLQRSYKVSDRNVVITVIPAILLFVRVVLSAVVDLVIAALLIYYLQSNQSLSQRIVSIAIVLTFALINGSFLFLGLVEIQSKLYANSFLATLNARQHLNQASADPAREAISMSTSMYPRSQNFTGQTHSIEVYRTVERDSTFYGSELPSPEIAGTYIGSLEGGKTDLYKAPPLSEYGEAL*
>EED82439
MVASPESHIADMRTMLAKLSAGLPVRHRPEDSLIVPRRDGDVVLVTGTTGSLGCHLLEALSLTPTVHRIYALNRASKDGTPLYVRQKAALIERAIDARILNLQKVVLIEGDLNHPTWGLTEGTFEESLYLHADLPPVAWRVDLVADLDAFEPVLRGLRRLIDWSLSSPLPIPPRILFVGSCSVLQKAPRDKSIAETVVDISAATYSGYSMSKWIAEHLLLAAANASGLDPLIVRVGQLSGGPGGAWAANEWFPLMVQSAPYLGCFTADPRPVDFLPFDVGAAALVDMLDASSPTHIAHLVHPRPTSWNAIAGPIAAALGVELVPFAEWHARLEAAPSVRELRARRLLPWFRGQALRMSSSPNAMGWPGMDTTYAVRASRTLADPALRQLGEEDADSVVSTAHNGTGQYSIRVSAYNIILSMIVGLNVTCTTRSPCHLHISYPARPSFVDMLLFVFVCTERLDVTLLREVGIKLGGFTGVIVGRCECVIFDNHDRTLAALEGTIVCQEPVKASSIVLPSS*
>EED82440
MGCATKNAKVVAISLGSLQRLIALKAVPQSAVPVIINTMNDCMNQGVDIQLRILQTLLSLITNLPAVHGQLLGDALLLCFRLQESRIAVVSSTAAATLRQLVMFVVDKVVDEDRRDEVDVSAMVETTLPNGENKALGPSAYDAYAVFEDLCLLANAERPRFLKLDVLRKTFALELIESVLTNYHDLFRKHTELLLLLQHHLSPLLLKGLSDRPNFPLTLRSTRVVFLLLKQFSLELKTESEVFLTLLIKIVGTEGSDNDVTDNTHPHAPRPLWMRVLAMEIMRGDSELMRNVWDRYDAEESGSKVFTSLIAALKRLVTEKPALLGVCAQMFGVGVPTSTGSSSDLSSYGLDVGGVAGMVATAASATVSGVASMIGPEVGLSLQGSSMKLQCIDQLDKADSPIIPESYLYLLGVQCLVALCEGFASFTGPLYNSLMIQRPRSAGEPVVRAPPALELSNLPPNELNTKQLMTVHDMIESGWPALLAALSFLISTNLSDELFVDVLASYQALTTVAGMLGLSTPRDAFFTSLAKLAIPARVVSSLHSYSHAPIHAEPITPRSAASTFSENLGLSLAGSASSQTPGLSERNMACLKVLVTSALFLAGSLDESWFNILEALQNADYVLTVRGTRQPAAKRNTLGPGSAPPSRVASMSSQSPPQLGSGPSASASPQSQGRHPLLVDLDPDSMLHAIQRLFDASKNLDDDAFHHFVTSLCNLSATMIVMQSEGGDLSTSVSASVDELVTSPSLLPPTEQAHRRRVSGIHLPRTLRSGDFSISKLGGVAMLNIHRLIYRSSDIAWDPITGHLLVVIRNAVAPAPVRIQAARILDDILVVVPRNISTTGELQPKVQKRVLDVLSQQVILEGVHNTTSIEVRRMGFETLHQILQASGHTLVVGWETIFEMLSSVCRPMMPSSTSLSDIPEASPAVETPRGKPPPLGYTNDRGQNSLVKIAFQSLTLVCDSLSALSPEHLRLCISTLGLFGRQADTNIALTAAESLLWGVSDSIQAKRKDTEKEPEYSALWMFLLLEVLGLCTDGRPEVRVGAIQTLFRTLQLYGATLSLDTWEECMWKITFPLLDATTDAIRRTAAASPSDETDPMEAQWDESKILALQSVGTTFSEFLHSKIIPLESFTRAWDVFVGHIQDAWLHDNRSVSATALRCLDKATRALENTEGSSEKTTEALERVWKACDEMGAVVFARQSTAAVTQANQAKLVVKPFTQESLMAYVDVLRTTRSVDKSQNQREWPLERLERVMIILKGVLTYSESPDFRPDVDNLSPVQGAVMDVIDSIDLSSTGVPSLVLRDLSEYATLAFVAAFDIPDPASIVRSPPPASAAHTQKRVTYIALSKKTMPMLVDLYLRFKDEMAIYVDGTLERLFSAYGVPIKLKYECPAPSKFGKDLPLWKSATTCLLRIVKECGPRMQTLGDADRQEGVWRQVIETFRGGILADCSASEGLALEAQEAEENFDLALIAALEIDVVPYLGDTCIPDYLVVQLVKILQQGSRLRDPDSPPHTPVGAGPAKDDARLSQEYEKVDRFGDPEPAEGSTESGRARPRERFAYWCFDLLFLICSDTAKDRVPSRRRVAALSIPALLERCRATLVGYVADEALRGSLPFPRAREEELLYVLQQLLKLQLWPGAMWAALSGAPSTHAQEQPGTPHAPYVLIADAVKRSPRAHLFHFYPVFCELAASPRRAPVAFAKANGKDGEASGKGAEASGDDGEKTAGSGEKKAAELDARTLVKECLKQVGREMGVGR*
>EED82441
MIGCSARAPTFPIWYYRPRASWPGSGFSPVKPGPTGGLAQGFGLAWPGLSGLGLAWLRLLSQARHNTSHMRCTAAVGSYHFWSPPRGDLRQEFAAFGFPKTSPVLTRSQAREAASRSAAENLDSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTLSPELLGLTTSDYATSTPDLFDQSGSSPEPEDPIPSTSNLVLPTPSSFRAHAQPPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNPASPAPTNPSTTTASSSSPAPTNTTNMSQNTNTPLMPPCGHSTAPSFDPSEVRSLRRYFQDLEALFTQCQITDDTAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQNRLSPIEQSRAFLRGFQPALLTWLETRLHLKHPDHYADDPSPWQKFMLRLRSFYTPTTHATHNHAPAPRQQAAVHVHENTGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAVPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTTAIPRSAEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPASSSSPPTQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVASAHIVEIGADEVMTVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAVYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTTLSGVANRIF*
>EED82442
MISARSPSVASASAPSFARVQRATASSYSCAGTQPSGPSPKMSCHTSHALPPPRLAHTARPPPHAPPPAPWRSLQIKRVARPRVARAVEQAARAHGVRQGVVMRRRVLEAAVVVAAPAVTGALPLLPASVRPGSSSASARRGAAASWAASRDRDRRGPGGARARRRGGRRSRGRGRMQGQDGRVLPGPRRAGQPASDGLRTTIDDGALLGIWMAGAETTARRCAGAMRRWRMALQVRDVGVGGRRPRLVPVRVPLRARRDGTSGRRSGARYAGSVRAAAASAASALSSAAIDQLEGVVRQGRKRRTRYTVCVALRSPAAPHGLPGIWANAETRVLLHERDGRPRGRLLRVGGVGEASAAGKDIGMYSVFAAASLNLSKCVVEEVVMRSCRNGEGVADMAPDVPWRQRMPIDSIKCAGLTRRAPVAAAAAVRSPMVSASDARHVQHQLSPPLLVSSQSAPQTPLSQRAHVPQPRPRAASRLASHRHSRVFVAHRAAPARSYSRMCEVVRTPEVFARLIVDRDLRCGHAAPETRDDATTSVRTRQTHGAPPLRLSAAGDQRSRSDVRAPGPMYAACWNTRTPDLRLPADDTAPRTRGSRARRTNARLITRGLADPLPIENETQSRPKIFDLSVRRPAPVLAVDVGYTSDPESEAYAGQLDARGKVARGSRGRGGDRAGGADAEGRGEIGQGLSLSGEAVHASRSTGRVHGAGSTPLHFHFHFHLHTTCAPVLLHNRVHAGAPSPRTPIQTAPGLARARVLRRPRALSRASHAPTHRSKGDRSPHVLAVPWRALTRYAHSTRAQSTPTPNGSCGAQVDEAAAVEGRAPGYRRTVNMYIRRRPSAMASSRRGASSASSQRDDLTRPARGDVQTARRERLQIAHRGGKHLHPDIIACRSPVDTDAGDVTFGGPAVSFHATAHQCISAGYRRRGLPSTTRCPAAGLAWRSMQAEALPKALLICGSHNGKRVLKNSGGKIIWPQVVCIGIWDCFVAIACATVDLGLGVRRQHQRHFGFMLVKKDDCSKQGHWRGHVCRVLHEDWCKADGALAKASDGALALSGCLEVQLWNTNMMTDCRAN*
>EED82443
MAIFTNAFAQIAQMLQLMQQGQQHGSAGRKSLVNKPEDFTREKEKYEKWKMEVLLYLEDHNVTDNTRMINIIISFVRGPKVDAYIRLLYTTQCAQGVWKITAQRVWELFDNHFLDISLREKAQQKLEYIQQGSRSADDFLIEFEDLVNQAQYDLTADHVIRLLKRGASQQIVDQIYMSGNLPVTTEQWLRRRTRQGEMQREHCIKEEDSQCK*
>EED82444
MHEVELGVWKALFTHLIRILYAVAPSGQMVVELNHRFRQIPPFGCTTIRRFSENVSEMKKMAARNYEDLLQCSITAFEGLLPSEFDNVIMSLLYRFAEWHALAKLRMHTDSTLDRLRQITEQLGKCLRHFHDHVCPAFKTVELPKEARARTRQDQQRASMTQNNQKPSGETHRLKAFNLCTYKHHAFGDYAHLIPRLRTTDSANLNISKPSDTMHLPTKMIPRANSRDWSGKLRCRPQHVSFVDSEPLPYTDIHMHHHMSDSMSYPRHLFAFVHELGTDPAVKNFIPQLKNHLLSRLLGLDFDGNKHEYMAAQCDSVIILGNKIYHHKVLRVNYTIYDIRRNQDSMNSHAHCDIMIHSCESGPGVHPYWYARVIGIFHASVVHADATATNCSLQRIEFLWREPLVRWMTGHLIIFIDRDMFMRYQGCGIGHGPHQSACDGHAEQYNEMPIPTTSGVTQVEDDDNSVYEIPGSVASSIPGMSESDDDKSRDSDSDDDGTSSDLSDELGPEDGEDPLDSEDEAISLY*
>EED82445
MSAPSAPSVIDLTSAKHVQLQHWIDAINQNTGKKLIALSGKVDKLWECMARHYGLNLAQAIEPASPASSPHPINEEIQCRQWAHLRDLADEWREKAARAPLLVSPGLSTPMAAASLASLPSTPSHQAKMTFDTVRSWVEAAQAGNPYAIAGLSVAQWLLVPDGTSVAGPSSLLVNTVSMSNQVPTANATTSLIEATSEELLTPSSVQENDRAILDACQKDFAALEHVTGIHDVIAQVENGSVARLRTQYGGTWAWETIKVGICRRECLYGELQDAFGGDKDHFYAFFAEPPDLRKRRKQDSLHAYRLVVEAIPHCKKDIAHEQLKPEYQDVFSTFSEQCWCERWKSMNDWEIWRELGLEWYR*
>EED82446
MGAYA*
>EED82447
MLSPAPPDKELLIYWQVNTSLTTIKLKVQIVLSLLDGDARTWATPIFAQLAAVQVGVQGAVTPFTDVRTFITAFKDRFGNLDNTAAAQVELSKLCANKSMHEKCMAAEFSALFKGPVDHSGYGDLELRDKYLSGIPSCVYRTIELETFTMWVAADQRATKVEQQLDISQAHQPELNDFSSA*
>EED82448
MSSTLSFLDQFNAPSTEGRKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADKAIDHHKQLLRQQDDRVLTELIRLDNLKVAHCFQPLLPRSIRAQHNKFILRTIPNAYLPLPASLPMSAFRRPPIPFPFLQATPWSTTIPADWQPNPGWTPKGSCRRCGSSQHWMTSEGLWTLPFGVQPGLGCQSAGMVVLRSVAWRKGDGIGGRLKADVGRGAGRAMGNFQIVEPDELGEDTVVLLA*
>EED82449
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTVERPFAMTEVDMAAAAIEKRQAGQKCDRCAGYRSAPVDCVWVDNATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED82450
MPMAALRVVILLSVYVLFDSLQLIVHMGSLISVFGTLKPDLSTYVEQYFLTLNICGTAHLFYLIGCSMALAKTILQSTNPGAYVLLVGAGCVTWGVLNRCRMRGDYAVSALAVAFLSLLVIIYTQVQSIYASTVLVSTYTFVYIISAALFTAAYRLSPFHPLANFSGPWLWYISSLKLSWVSLQGRRHLIIDELHRQYGPFVRIGPDTLSVNTLSANYIYGAQAHMEKSDSYLLPGRQPNVSLFFKQKTEKTHSDRKRVWSAAFTGSAVVHFFPALERRTWQLLSCIEERQAAGKDGYVDLAKCFCHWSYDFMGDMVFGGCNNLELMKTGDPDKLVEGGKVATIVVDRPVTRLRDIAASLMRTRIKSDADVELRDLTSYLLAGDLQTGERISHADLELEAIVAIQGGERTLTKMREISIHKL*
>EED82451
MDDQCKVWFITGTSTGFGKILVSLVLSRGDRVVATVRNLEDFTLTDVDRSRLHVMILDVTESEDEVKVKAQEAIGVWGHVDFVVNNAGVTLPCVLEEGGSKAALAQFQTNVFGVMNVTNAFLPHMRDRRSGTIIQMGSRSVWKADTRLLGMYAASKAAVHAYTETLAAELVEFGIRVLLVVPGLFRTATRTADFAYERLPAYDSLRDGDEAMLRQAWRHITGDPRKAMELVVDVVRGEGKAEGKDFPLWLILGRATHAHLRAHCDTLRRSMDTWVDVARDLDFDEAGDA*
>EED82452
MSAFPITEAQIVSLFMESVGFGLHIATFIVCLRTLLGNSRGVRKPINWPLLCIAISLFIVGAYDVALSLYHIIVAFVLYQGPGGAAASLDHISNWVNVFKHLKLIKMQSSNNFAQTLIADAALIYRCWVIYGHRWAIIILPSIVWVADTIVSAVEVYYTATLRADSTLPEAQRANAFAQAYISLTFVQNVMTTGEYSQNVDVPMSHYRIWTVHKQSSRYFSQSYSTNGAGMGLSRINRILIESAMLYTIVVFITLVVDFDNNNSVYGVSSFLVEIAGVQFDLIIIRVGQGIATEHMQTAVESQQLELRIRRDTTVERSGGSKTVMQSHPSHTHFDVEDSSLHQKSPGLVEDAHDV*
>EED82453
MSDSVNNLLGSLLGTFCFAILLYGSATSQAYNYWWNYPNDVKFHRRIVISVWLIDTIHTALCIALLYHWLIIGYGNLSHAENTVWPGNITMFMEVEVTPFLQDNIALRRAASISVKSGFRLILIYARESKKCTIMLGDGATMQLYNTFSGLPWTQAAMLSARIGTCVLLFKYKTLSRFAESQGPLIITVCGLSLGAAVDLMVSLSLIADNRKMHVVEILQSYVINSGVLTIICQLALCNVRGVSSVRWQAFTLLTTTEVVENSQLMLRQSHQWCTSTMFIRRLDKALSQLNAAYCVLPEAWPKAQNGRAPFLYSSAVRGNNILNDKPRSPDLNSRSITVHLDVRMNLVLPRTNAFGAVVCHITEDELLGDGE*
>EED82454
MPLTQRTSLTSTSPMVPLLSLLPASSSHAPTEHLDRSSRITNITRPSEGPNICSVLEALSHHNPCLNIPALSPPAPTYPDQLPVQVKHEETPISLQTLRQSQSLLRVKKELRSLSLQFSVGPCHRLCSPPCQQSLMYVTPPPGFLQCLHHCCRQEAARTLAPLEVRPTDSHNHHLCPQAVLRPPLPPIMSSPPPVDKEVLKLLLPLRYDGKTVIECNQFLSQLRVYWQGNTALTTIELKVQVALSLLDGDAHTWATPIFARLVSVQISTPGATMPFTNEAAFAATFKAHFGNLDNTAVAQVELAKLCTDKLMRERHTAAEFSALFKGLVDRSEYGDLELCNKYLSGIPSRVYRKIELKTFTT*
>EED82455
MKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVVATFASTSGGRKWELGAVLNEANRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELRYIPTRTNMSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDIFNKLKAHNPEATNATDRAALEAYLSACREYDEAVKAADEAINHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATLRSTTIPADWQPNPGWTPKGSCRRCGSSRHWEIINEAKERKEKERQTKAVPIPPPRSTNPEPPTSPIAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED82456
MPKGPLWDGRRTNRNMDMDFIKANLIGARDLKQHADARKSWNRAFTSSSVKTYEPILIRRTTQLIDELRTKCINGKGGRAHVDLALWLGYFSLDFMGDFVFSGAFELMRDGDKEGLVPLMEAGTYHIRSIAALTQHIPWCLDIISFSPTLFRLAFGKMKGLGEFAFRQAIRRMKEVPMQSDLMYYLNEEGQMDSNPPPAPLVLWSAVIAVIAGSDGPASALSNAVYELLCNPQCFERLRAEVDSAFHPNAGEPINTARLSRMEYLNAFINEVLRLHPPIATCLQRAPTEGSGGHMLGSSIFIPEGVAVYAAPYVYQRDPRYFAPDPDRFWPERWLLAKDTDIVVNTNAYIPFSIGPANCVGKPLALIQLRMVIAYFVQAFDVCFAEGYDKQCWETELRDYFITHRGSLPVILTPRPSVR*
>EED82457
MTSAVESLAGTLLGLFCFALLLYGSATSQMYNYWLNYINDTKLHRRTVAVVWLVDTIHTVFCLIVIYRYIIIDFGQLELLQYIVWPITVTVGTEVCAARFVWNAHADINIEFKQASRKNKGLCGCILSRGSGSIAFCADNVWFRYRSYFTYDNSLLMSYALAATVGLISTRRKDLLSMHVVELIQSYVINTGALTMLVSLSIMFTRNAECPNARKFMLKQARSYRRSARDGLQPCIPVNVPYALLTDHQPGIKVTDEDLFASGIPTTGSAGTSIAHDNETNAEPIEKTTRFLSMENDKKVDVELGKETQVFL*
>EED82458
MTEVDMAAAAIVKRQAGQKCDRCAGYRSAPVECVWVENATTCERCVQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSSGSKKHRVDEPPHPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED82459
MSSSLADFNSALDKLDLTGKNWLTFQQQFLIAVRQKKVWSHFNGMTPTPAAADPDKPTAAEVLAISQWQEKEDLALYLLVQKLPDVTFTKHRHKGNTAAIWAAIIQEFSQKSLLLRATLPLFGSHIPPGTSAQSPNTSISPSTLFDISDGARCLLEARRGRPDASRVDLGTSPAFGGDTTYETLTPNLGAT*
>EED82460
MASEAELISLTKYTVGPPLAGIFMNMMLYGVMVTQTFFYYSTYSRDQMWIKIYILKRGILEVGVLFLADTLNSIFNMWWAYHVFINNFGSVDAVTIANWRYHHFSLRDRGSDGLAAIGTSINIGIKPIITNFVHYKAIVIVWLVSSTVADVAIAFALTWRLYVRLQWKIVMVLIKVLLVTMSNGLLIAAFAFADMIAFLATRSYGNSAMTTLNSRAISFSSVDNARAIRMSSVQVVVNVETHEIVDAPAGHVKTDDEEWTHSQSEVAETKVLAI*
>EED82461
MGFSSTGTRSYWMSQHGYEVGAIPDSSSCDIYMTDRNMAQSDCENEIAVMARIFNGEESYALTEIRSKSLGVRTMHTHGMIIGLDVVDRSLLLTPAPFASRLHVVVIFSKTLSAQFVGIMILDGIMISGKRTPAQRQTKNPSDGERRRTEGWVKRLLWGSHKEETGGGFM*
>EED82462
MRMSFCQNSDNGIRGLAMARSFWFGLHQLGQIVFRISYATVPHYGRGGVISVKTGAKQRGPRLLLEIHCVANAPVAGTRSCPSRELKRTRRRPYSVTLVPIGSMDSRSDNEVEGLVNVLADISIASMIKKLISIAVSSKRLLKQTSNLDLDPKDRVQTYGTTQRRLSEEAAGMGQKVCQSQSRFPTTAMREEVGRAIGLSARKVQIWFQNQRQKARRPRGQATTPLTRPPQFGPFQNAHLGSSSSDMAAFSGTSAQGHTSSGSHLVHLNPIVGYGHEGTYQESQMSRALPRSVTSPYLSGPGIPGSASSSSARTDYTLPRDARGAAVVRETPRPSTSYPTVNRASTYIRPRPATAEVSSSASFTAGEHTLRQPRLSVDSGDTQLDHPVTLPPLVIGPEPNRRQASTSPFSSMSEVWRRWHPSPAGGMSPRPLAIPPPFTLQPHPQWDDPAFSPFSRPSYQIGHPSISSAASGYGSTMPPISPPVTSPSAESHPSSLARHHRAESVSSGNSTRESPTQQAGWRSYDYDNFHE*
>EED82463
MLQFNNLSLTHRNRLEALPLRQLLFLAHQAPPAPSRRGSAREELSITIPSTYDVLSSAASASASPFASLLNPSTAERKTSYTFSDFGAGIPRAASPSPSNTEEPQSASSSMARDPWQKYIKCVCHIPICARAQLLTLPGDRAIQRAVTLHQKLAEAEAKRDSLRRLQKSPQFHSATPRMIERLEGLRIEHERVLGGLKKRFSDAINTLAAFPPGISPFDGPSRAESVGADAEPPPAAEDIERYAVEVREWMDAVRPYVDSLRAQATQPPPAPPAEEDAPQVPAKRKRRAGDTDAQDPVESAVAEVSERLARLEVTADELDTYLQDLRTSSQAAINAALVRIEQAAVPPEPTEDGEIVPVSALDADVGVPGACTRRLREASSELARLRGELKTLQTRDHNWWRQQYALSAENEGLKMRISQLEESETQERAQLAADAQELRKLREQVQNLTAPRPDVPQLPPQPSLDDLVSLLEAEMRPRWREDAMRGLGAMREGVEQQLRTQQQAVLSQVWGAMKPTLRIVDSIQDFMEVQSTSSDQQAVSS*
>EED82464
MVQRTKTEGPSQAEQLLRVTAGIAAELIKAHDANQTVSLNELRAKMSKKYGFGGVPRLVDIISAIPDDYKKALLPKLKARPIRTASGIAVVAVMCKPHRCPHIAMTGNICVYCPGGPDSDFDYSTQSYTGYEPTSMRAIRARYDPYEQTRGRVEQLKSLGHNVDKVEFIVMGGTFMSMPEDYRNKFIAQLHNALSGFTGFDVDEAVRYSEQSKSKAIGITIETRPDYCLRPHLSQMLRYGCTRLEIGVQSVYEDVARDTNRGHTVRAVSESFHLAKDAGFKVVAHMMPDLPNVGVERDLEQFKEYFENPAFRSDGLKIYPTLVIRGTGLYELWRTGRYKNYTPNVLVDVVARILALVPPWTRVYRVQRDIPLPLVTSGCENSGNLRELALNRMKDFGAECRDVRFREVGIHEIHHKVRPESVELLRRDYAANGGWETFLSYEDPERDILIGLLRLRKCSDEGTFRPELVKKEGEEGGCSIVRELHVYGTAVPVHGRDPTKFQHQGFGTLLMEEAERIAREEHGSVKLAVISGVGTRDYYRRLGYELDGPYMSKSLL*
>EED82465
MATPAPSQFAALLRQSKFASFDPKIGQVYASFGGDAHRGNWGLKRPLPLRRRKATVTVRAVDSRQQQTEWKSAEQQHRWIQMWDEAGVSPRVPEGGPWSRRLGQLGGVQWDIDSEFGTSTRDGVQDDGGMAGALSDKSMAIPNIEAMSEKEFERYLEKLRELRPAFRDFVLSKKVPDWPVQSLWQQSANPSELHKHFLANQAHKAYNNNPDARVIEQQPQRYGGLTYAKVPPLQSRFLNKPAPGRALQLSSMSDVVASFAGSTVVLSKHRVDDLHPVDWETLGDANNEKGQGVVRNMRIQNARILDAPAVVAEKPGTLTSTRLLLGASVDPPEDRQHDQSNTNRPGSREYVGQQPQFMQTQMLRSPKKQGAVFETVDTVNLLGVLSNVVGAEQTRNS*
>EED82466
MISAFFIFNQKGEVVSNSDVRSPIITLGSTSFFHVRVNNLYVVAVTKCNANAALVFEFCYRFVSIAKSYFGKVDEEAIKNNFVLIYELIDEINDFGYPQNSETDTLKTYITTESVMSSNFAAEESSRITVQATGATSWRRGDVKYKKNEAFVDVVETVNLSMSAKGTVLRADVDGHIMMRAYLSGTPECKFGLNDKLVIDKKDQGGGDAVELDDCRFHQCVRLNEFDSTRTISFIPPDGEFELMRYRATSNIKLPLKVIPSVTEVGTTQVQYVVTVKTSFSNKLSATNVVVRIPTPLNTTSVDCKVHSGKAKYVPAENVVVWKIPRIQGGQEVTLSATGALTSTTNRQVWARPPIDVDFQVLMFTASGLIVRFLKVFEKSDYQSVKWVRYLTKASGSYQIRVRGTYRHRSL*
>EED82467
MSGGVDSSVVAKLLAEQDYDLSAVFMRNWDTRDESGTDKGCEWEKDWEDVQRVCKMLDIPCEMVDLSQQYWTRVFEPSLQLWEKGQTPNPDIWCNREVKFGALMDQLTGDDVWVATGHYADKSWFPCKSLRRPRDRTKDQTYYLSAIPEASLARTIFPLAPFAKTEVRAMAKKWELPTAQRAESMGICFVGEKRRFDGFLSQYITPRQGPIIDLQTGKQLGTHTGLWTYTIGQNARLPGMPERMFVAKKDAERNEIYVVPSSTHPALYSRSLIASAWQWIWRDHPPGGIDDELGFHGRVQYRHRMLDAPATIQRRGHTIHITFEEPQQSVAPGQIAAVWDGDWCLGSGIITGTSGP*
>EED82468
MSQEIMEVRVCAGSAMRSQAPSVRRAQSTGHASWPGHGVRAPAFRPPGGRLLRSEPESLGLRRPFSDVTAGTGWGVRSVARGAGAGGRCTLTYLHEDGGVRERRRLGVCAPGFSAAPAPSSAAGRARTGALRAFSAAAALADVHVTHGREHAGDHDDCRRVRRGEFAINHHDGSDPCGALGRVGHAIVRVAVAAGIHVERSGGREGGVERHNGCGEGEYWHRRGRADTVRARTQRTRGAEGGCSEHKRRGREEHYKIWRCAKDGGQRPGASLAGQESCLLSAQSLPSDGCQTRPTAHTKSNGCFYCTLADIASPPLVRDRRRGLDTAVGP*
>EED82469
MGPDGAFRLRKVYRRYFYAKVDIIECLTGMGFEKGFLRRKRCGRGLQSKNEASIGSPHNSPHTHRASGGYMITATPAQLWRSRQPYTMGGGNRPGLLVSMGGVLFADAAQSRKSVYHIFEARSGSGCTAAVADKPGKAAQWCEHAGKTEVRISTYWNTRSPTRCPVRAASWEHVRASISPPSRRRTTVAEHMSKSGLLCRRAFYWSRAPPTPDAAAARLRTGAKAQDGRSSGKGRTFAAADHRAPTCRAALRRAAALDQSSGADEIGEHESRLESENPTERGAVRDCGRATPRRACAELVHSCRRAITDQARRSPAGWGCAEVKGTSASWLALVSAPVAASVWRRLCGPAFGTGTAGEWDIMSMPSDMQSDKVWARDSGVTYSEEHTGAWALCASMCAYVRSSCAYEWEWARSRVRPATSACARTGAREVVALARGTRSHIQTKSASSGGSGQVGAGERRHAGGHYPSTLPPARPSAGTSPPDPTPSWFNATAIRRAPQRPRLLSDKRHRAWYCDRRNTRGGKREARPGAEAHPRRSLELLRCVVDWDLGTRGWAPRAPTRYLVGICLNRKISGLWAVHAGRGEGGWGEAGKGKMDLVFIEMRMRAQPLAALLMPARVHACDRANLVIDTGTKIEYAMWISRAPGCGHRTVDMRARYGLSRATDDRPLDSRRPKAGPYGAQLGDPWSSAASPPGVCKHTVITDPWGLGSVKNMXGGLVMGFMSQIRHRGWELTETDVSLQRQTTENGWEDCGRAWSELEGWTVTPHEVLVLDTEGRPTESGRENRIRRAKSAGARGAERESCGL*
>EED82470
MATNVASEIKDIKRPSPAHQRRSTVVLSSTLVAAEQNLKGTIGDRMSRLAWKAWNLVWHSFFLLFLGVVSTDRLREVVEEYEKWRRFKKSLFERYANINIIASLLMTTTAVFLTTQPTNSIADWSAPLPYKALGATFGLAFLSVASGTFILFVGTDLQASVVEDMLAQPYKFAPALLLLALPNLFVAAAAIAGVTATCIAVWNGDSPPAQIVLSFVVVVLFVMVSAFAAIALWAASRAERDDEPTKDSVNDSSACAFCTIDIAEHPSIVTHKTTFENSKQIREDVTTTSPSPLSISWHPVKIIILPTTPTIDCLQCSLGDPVGVLKQETSLISYKRLRELTSYPSDSSKAKEWDSSGVTLVISLGMSITAYDANVMAQSVHELLNTVIQMRTLQEPELFDMIAAWAALRRDATVNTTSGHAADAKPERHFGQLFGENARPLLQMRQSSG*
>EED82471
MQQLQQIANREQEMLVVDLEDIAEHEKTVAELVNRIRQNTRRYVILFSEVVDKLMPVPTKDISEQDEVIDVILHQRRERNERLDGAQEGFPDHLLRRYNLYFRPLLSDIAMAVRDVKGTHLGKLITVRGIVTRVSEVKPLLKVNAYTCDVCGSETFQEVSSKQFTPIFDCQNENECKKNGIHGSLHMQTRACRFSPFQEVKIQEMADQVPVGHIPRSMTVHVHGSLTRQMSPGDVVHLGGIFLPIPYTGYQAVRAGLLTDTYLEVNYIFQLKKQYSNMEITPEIRQQLIELKDDPQLYSKLAQSIAPEIYGHVDVKKALLLLLVGGVTKTLGDGMKIRGDLNVCLMGDPGVAKSQLLKYISKVAPRGVYTTGKGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCIDEFDKMEESDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPLYGRYNPKLSPVENINLPAALLSRFDLLFLILDKPTRDDDERLAQHVTHVHMYNTHPELEFQLVDPHLVRHYIALARQRRPTVPPEVSNYVVESYVRLRKVSKDEEMQKKSHTYTSARTLLGVLRLAQALCRLRFSDYVSQEDVDEALRLMEVSKVSLQEEDDEEREHDRSDVSKIYRLILQMMTDHRSGDSDDEDLDELSLIDIRARVLRSGFTEAQLMETIVEYENMDVWTRVANNSKLRLINSIDS*
>EED82472
MSELPLSGLFHRALTTAAKANDLPTIDDKTQSRAIALSLFSENDLLSDIATRDLVYLLVPFVFSEVEGRVRTVGPEERLERAEHSRRLYRAFLASLETYQIVPESEKALYGLSIPSTADAQKRREVKIKQFQKEKELKARITEVRKRRNHGAPVSEPSSDFELIASLLPESSQKSVPPPADDEDDADTEEILREAILLLLRLTYGQAHAQLQSLEQELQLLRSAPPPRPSQPPADPRRAKERQVDNLWRLDAPRPQGGPDGKGPLLDPSGKPLRPFTILPSQPDRAELQNQVFGPDHRLPTMSIDEYLEIERERGNIITGGGPQSETRLTTKEQLALDAEQDGAVFGEEKAEEQRQQDEKWAQYTDTHPRGAGNTLNRG*
>EED82473
MNLYQAVRDALSNAMMRDDTAVVFGEDVAFGGVFRCTMGLAEEFGRERVFNTPLSEQGIAGFGIGLASMGHTAIAEIQFADYIFPAFDQIVNEAAKFRYRSGGQFNAGSLTIRCPSMAVGHGGHYHSQSPEGFFLAAAGIKVVIPRSPIQAKGLLLASIRDPNPVIFMEPKILYRSAVEQVPVDDYQLPIGRAETLVSGSDVTLLSWGTPIYHCETAMHMLNSPPETLARHVPESLRSAKVELVDLRTILPWDVDAIVESVTRTGRLVIVHEAGRTGGVGAEISAEVQKRCFLKLDAPVKLVTGWDTPVPLAFEKFYTPDALRVLDALVETLSY*
>EED82474
MTVTRGFTEEADKLLDFLEHGIFDALQKQYLRSFIFAVYLDSHDPGNIVEAYTFNFNYYKVPGTDVSVPVMSLDAELQNLSLSGAKKMRDPVSEAAAEGRVPTLGEALIKNLIQATTQMDPLPKRRYATFKLFYYDHTPDDYEPAHFRPGDAQKDKWFFSTHNKDEVPERCSVGSLKTGHHAVDVRVASVSGYLPSSEDNDAPFLGTTTGHVHAAPALTPVEEAAMRLQQVQLQREDALARHVVWDADDGLCDIDADGEEDPEYAAGSDDSNESVSLGSWRVHDSGVEFLEPIGIRDEDGQIVPFPKDEAQRCPAEEVQAEEAQYAGRHDNVPNHVAQLPKGRNPRSRRIISPMASIPPSDIALSTASSQNPEIESIDTQMVQQLIVNKCVTGGDSEMLEIDTQVIPSSVEDPIDSFQSMGDADVQQEAMDTSESDDMLDKPQDKPPCGENLECECGVPLEDSDTCFCDGGCKRWFHTWCMGYHSAKDSCIPTQFICFDCRVKADENWDLIMVHDLYPRMIARFRDLAIFRRAIKIAEMHNPEGLSAFTKLLGCDSTVAGQQFKRLETEGFITSVTKEVDDFGLETIVRGKKGKSKINAGPKTRQSQRRKTLQKPRYVFVKASTNNQAYKDYFDPNQEVEKRLLGDHIDAVQTPPCASETAGGSMYQNCAGSQTQEEIQVPEPVVHPGTGQTTCDQGRKRKSNTLEQLTKTTKKMKISVGPGVDLGD*
>EED82475
MPYNLRSRRAYSSPAAPASSPMVPGKFQVAKTENVDPALDREDGLSDHDSVYSGGRSSTSVRRGLLYSRVVTPEQSAAPGAPTEGSAVSSVDMSLDSVRGTDLGRKAALYARGVEGAFLPTDGDYPDNGGRWHTVTRSRRSRSLDPMDKDNVYRLATKENTHVLTTNQREVIRTAEGELRPAEQEHINRRFRVVHERRNRERPESKDEGPSMRMDKGKGIDPRNWGNAEIALEDLDIEAQKRAYAILANPMALFLNEAGNPLSIDKQREALEYWGSLHKVPQSGGQEVETEVPRAAEAGLYTWGDKGRLCALVCAQHADAAPGAVDNDASISGM*
>EED82476
MSPWQQWRLFVTRMLCHSCAPLPPSTNVHNSAIPVICRRLAQSTQPLRPLSQPAGKPLVCPTLVNLSLPSSNAEWKVQRANISLTHHSEFGINPSPKFSAYNYTVGWCAPLRYFMYAHLPVLPPSIVAACQGQIHAFDSQDLIDVYIPDGPETVIYRCEQQPCPNRTPRSIAEDYPRYKAIRRAQHPLGPRSTLASRSASRHSRPVSPTSRLPQTVAESSQARGDLPPDPAPEPEPEEGDSEEGVSESESADPARPASPTALAPASAVPDVRNPPAELPAAPSPPTPPRGRSSTRSSRSSTSGRPPQPPLPPQRPPSPPTPIMSSPSTAPDKETLKLLLPLRYDSKTVIECDRFLSQLRIYWLINTSVAT*
>EED82477
MSDPASRAKAWPLAGPELNDQILDLVQRASQFKQLKKGANEATKTLNRGIAEVIILTADTEPLEILLHLPLLCEEKNVPYVFVSSKAALGRACGVTRPVIAASITTNENKELSSQIITIKKAIDKLMV*
>EED82478
MSARSSILLIKDMFLPPRLPCPAGRRRIIMRAPQARLDVRECRLPPAFLPRSPCALVHHPQPASDLIPTTPTNIPHTAPQVVRRLSGGRPISGQVDTKTGGEAGMAGVGRRGFAAAAHAALFLAHFEPRNGPVEHELPPSMVPGQGMDGRRANAPKFLDIASATTYGTSTLSPRSPFSQKSPVSAGVPSPLSRTHPAALTSPSAPIVASSPTSPVGSALRHDRVVSPGMEPPKTPLSATPTTPSAASIRLPFFEKFKDSKLRSELQPEDNLDTTAPDSDAESDYGGLAYARSSADEDEDEDKPLKTKLPPISTSVTPASDSDHKDKVRFPSIAGSESHYSESPVSPRLPQRSLSASTGTSTYSARTIAKSTGALDRVMETLLEDVVSPSTSAAASPAPLTAPLLPENQRDSRPPKLPTRSHTSPTLGTGRVEQARGKKRVKERVCAKCTKIIDDGRWIQMEGGSVLCDKCWKSMYLPKVRAAVCLVPGCETDDVVVGDSAGDAA*
>EED82479
MGPYIEDLHTLDQLARMTGNAYALPGQKNWYDIDPIWNESFPFGWEDAADGFRGHVFLAQHNSTVILAIKGTTLQGPTSKKDKFNDNLLFSCCCARVDFSWVFSTVCGCYAGHGRCDSSCLTRALVEDSLFYNVGVNLINNLTALYPTSTIWLVGHSLGGSLASLLGATFGLPAVAFEAPGERLAAQRLHLPLPPLAQPFYNYNYTASGSGSALHPAPAAQTRFGRTPVTHVYHTADPIPQGACTGLGSLCAQAGFALETRCHLGRAVVFDTVGKLGWRVDVQTHTIKSVITRVLEAPVEGGWEVGEDGVPRDVPVAREEADCVDCYKWEFGDFKDS
>EED82480
MAPGQTLNIRGVPIWLLGSLRDRHAHNRMEGQDETARAPALPGDKRDDARKAIYHTLDVYLRALRRREKARDASVRAGQGAGRVCGWGSHGLSLHMAIRHDVARISRSPSGSETRSATVPRVALRARAKYIQRDRGAGSFLVHRTVAGRDAPGRASSGSMAVRLLIYTRGWGSRYGTGRRNKGEGKQEEEGEDVAEKHSA*
>EED82481
MSSDYQLSIKPLKLSELPSEDAIITLAETLLDSTTSWKSGKTYYKVVKTCSRPKGPQDGAPWHCRVSEHAPADATFDEFWGKLGVDKAENEMKFIADIKKVKQIKQISPTQAVWSLYYTFTPPVSPRVFTVLQTTYLDATTPKTGIIVSIPIDLSDEPELVKLEEKGVKGRYVSVERLQELENGNVEWRMATSSTPGGKIPSFIAESTMDSAISQDVTHFLHWFHSVRPKPEEAQQDAAA*
>EED82482
MDPSLNPSVTITPQPEVLLPSSVFTRQTLVIASLSLGIVLLAIFFILTRRRTSARGDAVLLVGPLDAGKTAILSTSNSAVVPLAQKTLRIIDIPGHPRIRDQFREHMQDARGIVFVVDASTVARAGPAVAEHLHQVLHAITSLPPSRPTPALLIVAHKSDLLKPTAQATPDQLAINRVRTILERELERRRASQAGGVGIEGLGAEGAESELGGLECSGAGEFKFENWEGGDVSFVGTYVAVGATVYHGLHFMQDLVSEMVHDDPIRRPSAAQVVARFDALCPTLNFWILRSRLVKRDESWLGRIFRGIWHIFRTAGHVLARHPTVPTP*
>EED82483
MSGVDNNNDVAHATITEVVDSVHTPGLLRQGTEPTWVPVPGGVPLVLHNGNCERCMQFAMHIAGPRLCDQSLIDAEDAARATMIQRHFNNGWSFPGERGLVEDCARLRDAQARIRELEERLNTEMVARIRAEEQERLNTEILARIRAEEHLRLAMDQRDYFTRTFGFDVVEESTYGSSSSIASTGMAQPSRQSPVEYWWTWFNKNPSAAPTSFRRDANSTFNIDDIRAYVQLRNWAPSTRTSEVARRAWIEAMVHVLSVRGLYAYIVAVQGFNVATNPIIAMAPVLDAGVLPRDVAQSMASQGVQPTEVGVLETHAVRERNVRLGRTPGDTSPYTDGPSHVTDAIPHIDTPMPLPAPRNAPAETNNTMDTAP*
>EED82484
MSRERSVMARKENESAAAPPRGSRPSRTQGQGDRLRERSDSSGDAVRAAYALLSPEAPTQHRGHVEGQTMATSFAAYASQFLNRPQAGASSLSSSQPLFFSFSTDNGSRAGNPDEADLDDLDDPHLRASAMSRHTAGHEDAHAYGDDEDPYLRLDEEEAGLSRHQSQSIPLLGASVGESAKGWLAHASPLRSPSPSLSSSSVDSGHPPPDFMTTSSKLHVRTDRLQPQQPSLPPPPRAEATLSLTESLLPRDGRTRPLDIFSLPDPRYVPRGRRKYNDFVWTALWCAGLSICAFFSILVLFLTHRPPGGGGKLPYVTFLHTIPLLTILIVLSAVVAYLHVYLLRIFVKPVMVATSVFIPATLFISAIWAFVGSFMWDGNQEPTWGETVGLRIFSLVPLVLSLLTARKLLDLPRDIHTASSLLTLTTRLLVANPFLLALSPAVLLATLVASIPFVTLAFRLLLVGYATSPNTQFEWHIYGWANWAIAGTVGIWLWSWGVARGLLRVTCAGVIGAWYFADPALPPPPPTSTHIIHAALTRATQPSLGSVVLSALIIAGLRLLGLLTAGLRTLPYYLPPYLRLLSVGAGMAVGYLENVTGTLSKYALVYMGLTGDPFFPSARRARALTAAVESSSVVNYRRRFKTEPSLTILTVAPLTLTFPFALGTYLFVAHTLDAPDQALPAALLAGAVTALVGLFCVGLVRDTADTLYICYCIDKDVGERHRDEVFEAFEYENRGTRSTQQRRPQQSAPVSHQPTSISQRPRERLEPHPLPPVQREATPDPFEESPLETRSPPLPDPHSPASSGLNSQTRMPLSRRMSVLPEPLLSTTLPPHHESDEEEGEESQLFPGSDLF*
>EED82485
MAPPTATDSWLAARRFGVVIDAGSSGSRLQIYSWKDARVARGDTLDTLPKVEKGTQDGEEWSMKVEPGISSFAHEPQGVASYLAPLIDHARSHIPPSLQPETPIFLLATAGMRLLTPEQQAEVLTATCSYFRSHSNFRIDDPSPVGPCGSSVRIITGEEEGLFGWIAVNYLMDGFKGSGEDRLTYGFLDMGGASTQIAFEPSREEQDKSKNLIDVRLRLLNGHEVHHRVFVTTWLGYGTNQARERYVSAAIDDHLAHPSSTSSSTEELVLDPCLPKHLRLTELDVAHKPEKSRTLLGTGSFEQCLQRTLPLLNKHAPCPDTHCLFNGVLVPPIDFSASHFIGISEYWYSSEHVFGLGGSYDVVQYERAASEFCSQDWSNILHQHELSRQGAPGGDGQAEKAGQAVKWGPQVEISRLQLQCFKAAWIVNVLHEGIGMPRIVDPGGNSTTHGDKVAQQADRKGLGRPTFQSMDSVGDIAISWTLGKMVLEASKGVPPLSAKQRPLVDPLDDPANSDPLIQRIRPHFLDFKAIEDRISDHIPSPLRRESLGFSPVAFLFYCVLMLVMLAAMWRLRHRFRSTLRRYMYKRDRAFSLEGFSLEEGQVFNGGPRPSSPWSITPTRLSFQLFRQTKAPSASRFTRPSRPPPINVRGHESPTRLGSPTRVSPARSFSYPISKSQNGLSTLDVANGAATAFNVPGSPLLSSDGNLISNGNESIFPVVAPDNFTDK*
>EED82486
MSIDLEWNGLDSSLASSLIDALNRQLSSTSRPSFIGPIEVTSFDFGSVSPDIELVDLRDIYRDFLEDDEDAEDVEKEAVKESQWTDEEDDFEWISRKAVRGKGLPQDVPAYHLLPPHMRYGRGVPMDMFASTPSLRPTRDIVSVTFPLRVRNGSRPPHQPDGQEPNPHPNLQLHLHITWNSNLRLTLTTSLLINYPSPMFMSLPIKLSVTGLLFNGEVVVAYEGERRRVHFETPPEADEDMPAAAAGTARAGKPLPIGQRLLPSIYIESEIGQADKHVLKNVTRVERFIQDVIRKTIEEELVFPNFHTLVLGDSGS*
>EED82487
MTRVMAAVARASPAQRASDFVAGRRWAVGQSAVRTRGDGEVAIWRRPVTDGGRCACVVRARARPLHKRRKEHGQCRGPSGADARRRRARLGVGWEDGRGVVGCDKRCATIERRDGPGQGRARARAKCRKHGRGRDRGVYGQLLRDVVMGRIASCRDGEESFGRDGDGGGPSPRAHAGEHRHFCDTHARPWPYGAAEWVGIRDQFSAELQCIMSVIDASALRLISDWGAARPGKCAESIWWVVIAQRRRGRAAGVQRWIG*
>EED82488
MTVPPTNRAPLLIEAGPTAVNQITAALADYNDQLALFVDLFEATHEGLNGVTFFDTRPVFNTLLDNYATFGYVNSTGFCAAYENGTPEMTTQTEPCEPVSSYFWLNTLHPLYTVHNILAHAVSTALNTYYY*
>EED82489
MPSSLKPPSSRNTPESQTPATPSENRRARRRREKERRDAEAGPSNSNTKEEVETSVDRKTDAESYSSEKQKNLFQETDFIPFTFSDDDEPKEPKTPVREWDKGKGRAYERDSSARKRKVEEYDPNDGYANKQQRIAAASRKAPWVANVDWDSCTNVAEMLHRDVEAFVKYISPTPEEDEVRSLVVTLISRAVTRAFPDAQVLPFGSYETKLYLPIGNKESVLHALANTVKRAGITDRVKIIAKAKVPIVKFVTTHGHFSVDISVNQGNGVTAGKMIKHYLAELPALRSLILVIKSFLSQRSMNEVYTGGLGSYSIVCLAISFLQMHPKIRRGEIDPSRNLGVLVMEFFELYGCYFNYHEVGISLLDGGTYFNKAERGWLDYGQPKLLSIEDPGDPTNDISRGSYGIVKVRTTLAGAHGIMTAAAYMQAGIMSSKRNGRHTRLRDNYDFEEMSILASVMGVTQETINHRRLVQEVYDRRILHRMVGVTPQAPSSAPAGPKAMNGKTRASRDDKMKKVWEEADVDLQGSDDDGVKEAGVDEEESRYQIDDRRQSSKKRQKRERPKDVPLVFTSDDEDGEDVNEDEGYDESHEYSHYDVLDGAGGSAADTRYGVAEGTKRKRDFWLSKGFTAKPIRVSGPVAGRPRFPSSSLSFISNQRNAGSSNLEHNKQSATVNTQRTTGSLRDKIASFEKQGAVPVPRGSFGLGAPPVDDGSSRRRGELMGNRVPGLSRPMAPVPGHPSRAVSPEIAGGRRSVSASGPQTLSPQFRPLSPTFTEDDDTFLHDVAPLGADNGSTLPRHPSTSLNAHATRRSVSDVLPQTGGTHLFAEALPESDEGSDTLAPAIVVSNRSESVNLGDATPSVAADASFGVSVTVDDTPTEVIGEQLAISPVVVNPDGDELLQLQTAPKDVRSASVPPVELEQTLEDGNQARLPSTPSTETISVVFKKDTSVQSEVSVGPSLPSHDSEDDGGDASLEGDMVSMTDRTSATSSKVSTPSDIAVFPSIEPAKRFSAMIAEGDEVADQSLALDTSEAIIVTEPARIVSPIVTRAKLIPVPQGLSPVSSPSNSVPAARPVGPIQPSPAQYVEPSLTPKTTTGSFRAVVHRKAAGGSSGEPHYHSTGPITRPPPLKLSVAEPPQSPGFSDLADLLADAARLEQQLSGIGSPRKALEVNVVEAPSTPERKPSPEPESEPEPEPKTPQRRPLSIRLSSPEPIQQVLETAEDSSSSQYNSPQEFPSTPELQIPEPVTPPAQDRRRSRIVSDTPPPVPPKSPRPRYFSTLLSRKPSAANGMLSMPGAYPRNSVCSEMSEESVFVATPPSPRFESVGSDTSSVRSSSKSWKMPKKGLSRATSFADRLWHKKGNRNTVIIASPGKQHLNVGRPSQEEALNPAYLEDDNHLSPGTVPRHAPPQPPAVPKLDLSPMPRPQGPSAGADRPTSWVSISSTGSGGLDSALFDAFPSVPSDVPAFAAHTQYSDHPSSLSPAGYSGPSAPAANSRWSSPPAQSSHQKGHSSFL*
>EED82490
MFAKLTIAVVAAALALVHVKAETHTVSFTNNCGYGTPYLWGQNGVLYSSGEGSYTSDGPLLGLISYLQNGNCGNDGEGCTTVEATLENASNSAADITLISPHEFSVTSGFGFYGGCDGAGEDCTSADCPGAFTDPTNGLIVSCSEPNVNIAITFCD*
>EED82491
MWPSALACWRRQA*
>EED82492
MSRSLAHRPRYEIPEDEQIEDDLVVDGETVADPQDDETIPIRVLDDFAIYEWFGLHMVGIAELLGLTPESEDGITYGASGIVRSYTDSSDNEDDGDDGSETSAVPSLQPRVKLSQIIEFNVHNVSCKRGRDLQIDPLPVHVLKKDMVSNIYIRTQNSWYILDQPLDSYYPFFIDFWIKHRLLHLTISSSLADPTTTTDQFVELLAVTPESSDEVGIALKFLSRELGEQDLNADDTRAYIESMIQMLCADDPQLRRALSHVPLICSLVELNVSSQSGKKESATHKERIKKTFKDIEKNVLLHRERTIVTPTVARIAGDLFVQTLKVTGESLEEKASDDNGMEFDVAPVHYTDPARIEWVPTSRIAPYYYKSVIVDGVKYSACYLTFVNYMIQNANFQFCQIAYMFERQETLKCKYGSKPVTRKYFHARWLQHGSQMLLQETAHPNGLFYLNECDDLPVECIYRRCNLRVLGPDEPEPIEEPSDLFFARMIWDSTQAALVNVTDQDIQAAIGQATWTPCSDGGISRFGIDYHVHDFVYVMPHSSSPNAALFEIGQLVNLILDADSHVLEVEVRWYKRYDLVVQKCPDDDDDDDDDDDDDDDDTSSRVVSDNRRLYRTREYETINADRIQGKAYVAFIESRDRRDEWLQHDDHFYTDLYTNNSIAQSLDDLHTLTKSIPQCLVCRQQRIDQLESEVEVLDRKGPLRGLELFAGLELSGFVQTKWAVEFSPGAALTFQENHTNAIVYNQDSNVLLKHALAAHEGNNPEPLLSLREDERHELPPMPTQGEVDFIYGGRQVGRAIVGGIKMGVVKFIIRALVCLGYQVHFKVVDAGHYGAAQSRRRVIFWGAKQGVPLPKFPKPMHDLTKRSFHSFKLPTGEVLKPVTWSIRHKESGQSNHQCVPLLPVTVNDAIADLPSFDWVNPFNEIRPGPKDLDEIGLRLAKGIRRFDAVPSLEPRLAGFTDPVPYAHPPMSRYQLWIRQGAGAEVTHHYTRLFPARIVERVVRVPLTPNANHEGLPAKLRVGRLFESSGDARKRYDRVYGRIDGDQIFQTALTTVAPNSKVGRVLHPNQKRILTVRECARAQGFPDKYEFHSVNTKLSDRVADQHRQIGNAVPVPLGLALGKELGKALMLYWDQQDVNVSERSLSPEV*
>EED82493
MENDSTFVAPEGVYSITEEHKPTGATQATVNSVPILFPARLSAVTVRYPATKSPNSPGLSQLLGANREKEPKKDKAANSKERDDGLSISSSEDPDEGSPDVSTAPPPETAKASPIVPHDSPNTIFHHNAPAAGKKKSAARPKHNMRTTSSTFITRLQNADNLHKTLQSKQGEATYLFNNSAKSFIWTEAGAKAKVRLLFTGQEPLARIHFSAHPTCHDVNQSTVSPERIDVIIGFNTGDILWFGLDPISTRYGRLNKQGRICNSPCTAIRWVPHSANLFLVSHADGTILVYDKEREDGAFVAKEPGTGMGTSINSSQDGSSSQGEWDPFESIYVTMPPWHPVAAGGALIAGKSDKDKTAKNPVSHWKVSRRSIVDFVFSPDAKFVAAISEDGCLRIIDTVAEQLVDCYASYFGALSCVAWSPDGRFILTGGQDDLVTIFSPWEQRVIARCQGHSSFVSALAFDDLRCDGRTYRFGSVGEDNKLILWDFSSGALHRPKTTHQQRLSMTSSVSLALRRRGESTLLLSVPGADSPMPRYHPAPSRNEVAVVQPVLVKHMGSEMLANILFTSRGILTATRSGHIKLWIRPLVIKPRHLRNQSTYRRDSLIDVIG*
>EED82494
MAGPDAAQFLVVQLLSIFSRTWASSRMATCRCESTNDTSLYMIVTSLAILSALPHQEPRFLVPLVVPSIVLVVLDGRIQRAGNLFWVSWIITNLALVLLFGILHQGGVVPSLFHLHDVIGKLWASSQGDKIVKVFYWKTYMPPRHLLAIPESDVLSGKFTITDLSGAGAIVEQLMAVPSTATSFLVAPLHATRALTKKYPGCVMLKERVYPHLSLDHISETVEVGWKDGLSLGIYVVDAVCFRLHKTLSS*
>EED82495
MPRKPNATNATASTKLTSRQKTRAHVAFQSIDSKAGLGDEIEDDTDDVVINGDDENGMMEMLTMLQEFQKRKASKTSSRATVFHNKKNALFTDARKNVDAVVRDGMAYIDQCLAKISELKAQETSQENNFKDLSRLWENHDEIIQNLLGTYPTIIEDLSHRRAEQINEASAMCE*
>EED82496
MAIWGLWVINKAGGLVYQRNFGDGLPHLTSNEYLVLAGTLHGIHAITSRLSPTGASSGAQTISGETFKLTILLTATGTKFVLLTSLAEPTADSVLQKVYEAYADAVMKNPFHTPEMPIRNEGFDARINALLGS*
>EED82497
MVVIHAQPSTSAAPHKKNRRKLSKKASARPTNASAQNDQDDDMDGTVADITESIPSTDAQSAPVELQAYEDDAVMIETDFSTLPEQTAAPAFPPLPASAQRTTLKSETRRIPIPPHRMTPLKKDWVHIFSPLTEMLQLQVRMNVQRKSVEIRTSKHTQDVGAIQKGADFVKSYALGFDVNDAIALLRLDDLYLDSFEIKDVKTLHGDHLSRAIGRIAGQDGKTKFTIENASRTRIVLADTKIHILGSFQNIKIARDAIVSLILGSPPGKVYAGLRTVSSRMRQRAL*
>EED82498
MRMHAQHQCTSIVPVTYLVPVVSARRLIRSHLRPHPQSCIVSEHALRAQSGMPRAQHWHPILAIIHVISLFVQNMKLRSTAVSSSLRRPRCPLFLLNPSSTTNPITTTASQPHSRRLSNMLDPKSLMEDLESELFNYTTGRFLVNDALRLRERRRVFDVPGLFRIIARALNCKTEEIVGFRKLGEGGFNRTFLITLDTGFQLVARIPYPLLVPKAYALASEVATMDFLRSRRLPIPKVYGYSFTSKNEAETEYILMQEYYQYKKQSPLDHAKNLRRYLCLAPSLVPDDDSLNAFCIRHPDLSDSNLKVSSDSSGLQILSVLDWQHAAVLPLFLHAGMPDIIQNEEDEVSRTMVQPKLPDDFDELPEEKQEWEMELLRRRLVHYHYSLSTATHNRIHHQGLVYSLNTFRRRIFNHATAIWEGETIKLLYALIDMVSGWASFAKDGTPCPVAFTEDEEAAAKKLYLALANAERGERMLRDRVGYGEETWVPVARYEDAKALGQEIKRMTLKACAEDEETTGEEYAVIESNWPLDDMDEEELEEYK*
>EED82499
MPYNLRSRRAYSSPAAPARWYLNVDPALDREDGLSDHDSVHSGGRSSTSVWRGLLYSRVVTPEQSAAPGAPTEGSAVSSVDMSLDSVRGTDLGRKAALYARGVEGAFLPTDGDYPDNGGRWHTVTRSRRSRSLDPMDKDNVYRLATEENTHVLTTNQREVIRTAEGELHPAEQEHINRRFRVVHERRNRERPESKDEGPSMRMDKGKGIDPRNWGNAEIAPEDLDIEAQKRAYAILANPMALLLDEAGNPLSIDKQREALEYWGGLRKVPQSGVSVSTENNGRPAGASTNGVSVDTGRPPENAIQMSPAPLSAEVDPGREALEQEISMLKSQLTELQVSREQGTVASMSSALPGKKNKKVNLPKEPVRSQSLK*
>EED82500
MFAATADRLELVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYERQVNALPASMGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEIMALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVKLMDSEDDTPPSTKAVSANTARIKEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED82501
MGAWVAREKLIVGITDDALLRKKAHREVLENVALRTARTRAFLERFKPGLHYDIVPISDVYGPTAWDPDVQALIVSKETLSGAASIHRLRQEKSLPPLHTFVIDVIS
>EED82502
MSRIPDLPGTPVPHRSEQEQWLRRTVAHLCQVENERFPGSQPVSFASSDLEKLDKKDYWVCEKSDGIRVLLFVQTDLNTNDQAVYIIDRHNSYRQVNGLFFPHHENPRMPLRNTIVDGELVVDVDPRTQQETLRYLAFDCLVVDEQNVMSKPLDKRYGRLKLWFYQPYAKMLQEYPQMAPQQPFQIQVKRVQLSYHVDDVFNIDLPALQHGNDGLIYTCAETPYIPGTDHNILKWKPPSENSIDFKLVLRFPPVSKDSPAPDLHAKPVFELHVYCGDERGKQRYEFFDVMYVEDEEWERMKASSEQFDDRIVEVHWDAEREYWRLMRFRDDKPAGNYKTVVDNIIKSIADGVEKEMLLERSTSIRNNWKTRHGGPPQPAPQARPPSPKVEPRYGPSAPSPWSKVTGPEMVAGMYR*
>EED82503
MVLLVTSDNEQFIVDKEVAERSVLIKNMLEDVGESDQPIPLPNVSSSVLKKVLEYCEHHRGEPLPTAESEQSQEETRKRTTDISEWDQKFITVDQEMLFEIILAANYLDIKPLLDVGCKTVANMIKGKTPEEIRKLFNIVNDFTPEEEAQIKKENEWAEDR*
>EED82504
MAVAVQPISAVDHSEPPPRKRLRTEVAWSPKVQPEPRLFAPFRALGLVTNHVPFALQTRSHKGATEGPRTHIVTCLGKSWAMWEGGKMGLLFVGPDAPEQITSMVIDGKDVWVSSGSHVIKYIRGKEVRRLANPLGTPIASMLVFGSQLLSLTQDGTRMFIWDLEDGGRLIDAFRTSSVATSVAFSPTNDFLATAHVDSVGVYLWANRAQYSEVALQSVPDDEKVIDVALPSMQGTAEDEALDALSSLALTGQEKSSDFFLAPPEFDGDLVTLSLLPRSRWQTLLNFEVIQQRNKPKEPPKEPEKAPFFLPTLPGVEPRFAIEQNESEKTKKQTKRLQKASASSDSTFYKMLAAEDPHGDYEEFFTYAKSLSPAAIDLELRSLVTLDALRTFLTALNRRLRSHRDFEAVQSFQNVFLRMHGDVLTANPELQEELEMLQDAQRKESEKLNVELRQGYVVMYYCILLPPFAYILLKEARESHPDQVPTSSVPNELWLDIFKHATFVPGALDCDDGHAIACFTRDKDGICLANRHREAMDIALALCIVCKRWNMLATEFVFAYIRVKDGEQAIRLADALRHYATTYPYAPQPGRHVIRLELALEGAHAWTRLHSWALIKILKSCPRLSVFSTAFCSPDVYLWDMSTFPDAICHGLKGSKLRRFESGYIPSFVRKLAPTLEDSLEVLWLRPSDRRLTKKRVQTSSLAFPRLHMLILSEAAENCFAEVAMPSLQTCILEDPIQDSDKAPQCLLARYGEQLRYLVTSNTLQVSYVLENCPRIAHWTIPCAAVGMLRVSEAYSSIISLTLIEESSLRTCMLPMALRSLHSILSEDKSFVSLRLIRFQLPLRSSFTSFVRTYNEHWEYSCIRHGGLLLHLCDRRKISVQASFGVAQQSADCWAPFTEQVLPAATTGVLAGAYGLVKGSTQIGPLITSAALNGGVAGATFFSFREYIASPVLLHALANTEYSRRIRELQASRRAGGRSGEKLTWWDMRMNKVPDTAISGAFTGAILNAWKRGPAGIVPGVTTAGLACTLIQLAYNELDIARIKYVSRTLQETRKQQDAEAALPMSQPVPLPSAPIEPRKSFIERMLVTMGFHQVTDEEFLEKLKFKRDYALRRIEELEQERAQEKEKIASETVDSQTSVKSQD*
>EED82505
MSSSKAKEKQVAEPDADQDSVEDVEDIQTPKGELIITNDGATILKSIQALHPAAKMLVDLSAAQDVEAGDGTTSVVVLAGSFLGAAEKMLQKGMHPTIIAESFLKASAKAVEYLTEISTPIDLSDKSSLLHAATTSLNSKIVSQYSSTLAPIAVQAVLRLVTPTSSNVDLRDIRIVKKVGGTIEDTELVDGVVLNQNVVTAAGGPTRIEKAKIGIIQFQLSAPKPDMDNTVVINDYRQMDKVIKEGRQYLLNICKKIKKANCNVLLIQKSILRDAVDDTSLTFLKRLNILVIKDVERDEIEFLSKSLGSKPVADIEAFTEDKLGYADLVEETSHAGAKVVRVMGVKNRGRTVSILAMGSNNLVLEECERSLHDALCVVRCLVKKRALIAGGGAPEIHVSRLLSQYAQSLKGMEAYCFQAYADALEVIPTTLAENAGLNPIAIVTELRNRHAMGERNAGINVRKRRLTTLNRVSSPTSWKRTLSSLYWCRRARWSCRRRRSVSC*
>EED82506
MSTRNLFIMHVSMYNKIGCKSASLPSISVVRVS*
>EED82507
MTHDAVWFSRPRKYGKGSRQCRLCAHQAGLIRKYGLDLCRQCFREKSAAIGFHKTR*
>EED82508
MRRKYAQPVLPTLYFKDGNLSIPGYTFEKAVLWDDTGSMTMLVEGTSLKDGASVLAKIVPAHSNASMLLEREAHILERMATTPESSSTTLRMIDFFSIPRVNGDCVVLLLSHPGLNVLGRYFPSHKVNDLLLGDISRVRPAPSHTDILMMGTEEPYTVEEMEAIEIMDLASFLEFAIQATHCLEMMHRIGLIHREGELCIHHIPEPVRANAFHLNAHSGLVRIVHFGNRAVSLEQFGVPSAFVLRADAFEEVKKLKVKEALCYLAPEQTGGMEALNEDHRTDLYSLGIMFWTLLVGRGTMPFEGGPLELLHAIVQKRPMPVHEVRRDIPQVLALIIDKLLMKNPDARYQSAYGLKADLLQCQRLLLRAVTISSDQSTEEFTIPSPLFGREKELETIRNIIRHTSTSYSRHIGSPHGSIVVSSSDSTGATNDDPSDSRSSSDASMQTPMSTTGDGFSPRLSSLKASEASVTTMSMSPGVSGSDGLRRVALAPSSGRARTHAVIVLGPPGAGKSSLILANQAKWRSHGLWGQARFSDVEAAPFASLRQLGPQLRNVPLLYEGAPELRDILSLFDIFIEAPQEVLETHELRARFQSLFKSVFQVLSETRLFALLLDDLHDASDSSLDLINALVNSRTRILVFATVRSDKPEMVDKIRATFTSRSTTWVNLEPLTYSAISTLVARTLRRPKEECASLSRLVLVASLGNAFSARNILMTLQRQHHITFNWDKNHWEYDISTIEPSFHDKKIIADPSDLSYLINHFRELPEDARKYLIWASFFGPTFKIAEVALMMDWEDTSLSSSSSSEEEHDDMWDVSKAVSTISDNVHSSTSRRSIRGLQNAIAEGWLVQRARDMCSFAHDRYRQAAQAELEDIPEDAVSKMSFRIILMMFHEATPDVYRIAEHAKRCLPLLREHAKRDELLELSIDAGDSARARGAHELALQSYINAQSLLDNQSWVNDPQRTCSLYLKLAELYTWKGAFAQSDELVLQCLEHADDIESKVRMLRLRSKNHWMRGNYKAALSDTLTGLHLLGVDVNSAPSRREADVMFEQVKNEILAVGFDEILSIPRARDSRTDLAIALLNDAGHNAYWSTGEGFSDVIGLTTVQLALRSGMCPGTALGFFWALGGFSAAAERRELYRFSADLGRLALRIADEFGTSYEKCRALVLFSSMVSGFDNVHIRANLARLEEAMKYGQSAGDKIFTSFASVHLMQTRLWICDHVSELVIAAEESLTDVSQWAPSGDLGLIAQGVLNCVRAIGGYTYADSAETVFDTDTFKEAEYLARASESSGNPEVTITWWVYDSYKVVSFFCLGFAREAASLGFSIYESRDKHPNHRHTRYALFFHNLALIQCIREGIIDAQTRNQYLRQVELNQAYVRKWLSPSPVNTSAWVALVDAEMASLLNGTEAFKLYDVAVKLAVNNDWLLESGWAMFLQGSHFVRCGVEGLGSELQRRGIARQAQWGAKGIVNYLSSRLDTRAHFALKRHIFSADVAVQTDGVVMTSSSPRSPGVYERKAEQTEEDEISSLTAPDLASILKWSKEISSDINLPMALQRLTEIATGRPEYLDVKVNMLTLHSENSGSQYTCVVIAREAGDYTVATSKAPPEACQMHENPIPIRAISDPLQRAIIHHALNTKERIYYEDVSTEPRFSSEAQQSAYRSVICLPIFSNRGQTFGAVYLASKYAFSPNTVAILTLLCQQASIGIANALLFRSVQAGTRENLKMIAAQRDALEAARKSREDALKATKIKSNFLASMSHELRTPFSSFYGLLDILSGTELNPGQSEIVQTAKQSCELLLKIIDSILDYSKLEASGFAMENMIADCMELLLPMAAKKLDLSYNIEPDVPPWVKADYARIRQGEISSRLKGCVVDGIRTVLMNLIGNAVKFTAYGSVRVICSVDKSTPTPPGEANLKFVIQDTGIGLSSRRFGGTGLGLSISRQLVKLMGGAIGVQSELGVGSVFWFTLPALEEISRLKALLTNPHPPRLLPPLAPLMSLSAIKEEEALARRSLYGNVLVAEDNPVAQKLLIAQLQRYQINVVATSNGEEAIAEWEKHEPGYFSVALFDHHMPICDGVEASKRLRIMENKRRVPMVLPIIALSADCQESTKQLCLSAGMNAFFSKPLKKGDLLTLLSSFGESLARTNPDPETPSPPP*
>EED82509
MPRFTREDPGRQMRLLH*
>EED82510
MGKATAEVKKIGRKTSSAVAHAPVEVPKSLRCHGRLSDFNLQTERYRIDGQIRISGASEDLKEASLEEQNPNAAQEEHDSLFDGPLSLGDAGTISDEVWDTLDITHSERPFSSLPMMPATTAVCSLCMLKAGLPLQDAPEEADDWVTVIFIYDSGNSVEDCGTTGSPAPNERTRDGPSVAAPFGGRPRAPESQKKTHRQQRYGQHLQLGLVARVRGAFERKLFGETARLLHAGSVGLRNHRAAWRSPRRSVLCDGQHLHPAFSRSLGNGGSMGETSLAKGETVDDTALQVGRPAWGSGVRIVRAKGYDRQLRLGLFHWAWGRGAY*
>EED82511
MAVSILILLSALLLWTPLISAALQNVTIDDADDRFTYFPSNQWTARPGPCPSCEAQPNATMAYDGTWHDTSYAPGQSPAPLNAMVSFNGSAVYVYCIISHSSSDPDGESDLQFMIDGDIVGSANITPTGSSTYSYNYLVYSGQFPTGQHTLTVINGQDGGPRSMILLDYIVYTNGSDSDFTSSSSSSSSISTTTMTHTSSGAATDTVTESKNSSGGSSSAKSSTSSLNGSTATSSTPSNQTSMAIQASPSTETHTPNVPGSTSSQGSTTPSASNTSTGTLRSNATSSSSSQTRTVVLVAVVLGTVLILAIAALFVLWRRRSARQTHTRTARPFQQLSSPFVEEDKEFCSGAQTPHACLHGRWSALLDFARLSARRDEMRDLSEQWIMHTPPALHEIQSKHPRVSVVPSPSSERYPHIASEGSISSEQLVASMEIPGIHNSVFDISMQQLAPPPPSKTDRLRSHASMLQLREQLQSNADGSRERNTRDDHTIATRGSTASNTSAITRYVPPVPRASYVQPRADGGVGEYPTSGGNARDAKERAIWSRNVPQLEDNRPPSYHSAGEKVSE*
>EED82512
MGPDKLSDIRFWILDVGRRPSAYDHTYAKCNMCSRPTSRRHMASSISRATTQVCSWMPTRKLPALVSKYGIDSLRMALR*
>EED82513
MFTSSMRSTSSVFLALSALALEGLAATYNIDTTYIGTDFLNSWTHETLLDPTGGRVTYVDQATALADNLTYANGDTLIMRCDDTTVLSADGPGRNSVRIKSNAQYTTHVTIFDIRHMPQGCATWPAAWETDDTDWPDAGEVDVIEGVNDQTPNTISVHVGSTCSMPSSRDESGTPGSNNCDVNTDGNSGCGVSNPTDNSYGPDFNSAGGGWYAMERTSSVINVWFWTRTNGGVPSDVSSAASSIDTSNWGQPVGYFPNTDCDIGSVFGANNIIFDLTLCGNWAGLSSVYSAAGCPGDCVDYVNNNPSAFSEAYWDVASVIVYT*
>EED82514
MPVHPEVLWAQRSSEIDEKKNVLYATINLADIKPETLEYNLTPTSISFKARAGNADKGIEEKDYEFNFDLYAEVVPEMMKSMGGDAAAGLGGDGPGLSGAGHESDDSDDEGPPPLEEAEAPKA*
>EED82515
MYQAAADSLVARLGITYEKVSELQEEANVGIHDLGGSRPPDVHPGRPPKETRDAVARYKEWLKEDKSFEPMPLPQSEAPREILVLNQLGLRHSIEKEQNMGYSKMVPAYMIFAGFQRPFSASPLSQLRRNARAKDTYCNAFQSLPRTQQLMTDSRAMRICTIETIVEDPDGDARGLWLYHFPTTYDCKQEYLDALFPMGTILAIREPTLNAPAQGRCSTIGIDSPSDIILIDRFNDILKDITWSSGMGWKNVGNEHFKAERWLPAAIAYSHGLRADPEAADLRCNRVEAYLRLEWYSGASADARRVQATPGVPAALRDKAVLREAKAEYGRGHYDAAKAQFEEYKLTHPKDVEIADWVARCRKRQAERDTGKYDWAYLFRHAQRDPRLDVAAFKGSIQVQPMTHRGGGRGIAATRDIKVGELLLVSKPFASVFEQDFNTQEVLQHADVRSGIELSREQSALGSKVIHKLYGNPELHNIVFDLFASHEYPDPPLAYPPPVSTDPVFVDALVPALDIDLPRLEAILILNDFSITQLGPQYLPAQQPSKRGSPLGLYPMAAMFNHACLANAVWHTIGDVMIVRAVMQIAAGEEITIPYVGGSQKARHEILTRIMTEECRCELCEDERGEDPEQKQARARLMECIGGEWGRAYDMPVPQLRRWTDELAATFAPSCGWVRRDLGLAYETLGEVLKSKKTPALVKEAGECFMKALECYGFVLLDKKATAVQSAKAAATLPIEIAIIPTTIASTLGSRDSADAKRWLMAALWITNRMLGGGKSLFIQMFEPVLDALKLKKLAEKSL*
>EED82516
MSTTIHNDNAACCSIPPVRSDYTPKGSYKSYPGFTKVYVTGPTTPGNVALICVYDIFGFKPQTQQGADILADQLNAHVVMPDFFEPDAPWPADKFPPKTDEEKAKLQAFFGACSRFLISMLSSADATELKVPLGLYPSQDEPQEEYKKIVEIVSKKPWAAKNDHKFYDTFHGFAAARANLDDPKNKADFEDLYATLIKFYGNASGTHTH*
>EED82517
MVARTLGFTFAVPLPEYEPIGIVGGGAAGLYATLYLQYITKIYQPFIRFKVHIFELEDRVGGRIFTWRFDRHAVNQYFEAGAMRIPKTKMHLPVLSLIKSLGIETIPYVLSDKGNFVYVNGRTGQSILPESVTANALGFDLPEPYKNQTAPYLLQKALEPLLKGLHEDFDKWWDNLLHWDRVSFRQYLFDVAAYPSSVIDFIETLCSQTNQYALSVPELVMQNLDFGTKTWVTIPNGMDRLPNAMAKRIGWENITLKARVTQIKVIEGDGKLKIHLRAIGNSGGVVEGLYDKRREGNIFFAGEHLSRHHTWITGALDSARRVVGKIFKDAIREIFEDAARDKPAHLVGLPLGHHVGWMPADEHDGSMRTYDPREVRYKHLFEKAKQPDFVEKMKKSIIEGQAPLEVGAADLH*
>EED82518
MKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWGLSSSQTPGTMANQWISTPLPSHQPSPPHREERNGN*
>EED82519
MTRAPRSGTAARKTESSRLEGSVLDAQVRMVSAFGRNAAKYMTCSTTETGCGVRILWHDNEVPAEHSATRLLDAAEPVPAPPRRTQRLQRVNANRPRERVIPDIIHIQPEEPVETVNAAGRILTKDELEDDRVFRKYWMQAQRQKLHVQAQWRRVFKYNYRHRPLTPLFVGPEFYDPPRPAVRCPLGGNRN*
>EED82520
MQPINFAPSARRRTKRRRTDGPSTSDAPSSKRTKKGKGRAAAPARNTLRDRADENIADGTTPSSDEGAVASPNANSRPVLAVEESEPQVPYQCGVPGCTMRIGLGREAPSTHIEKHHEMAAEVSAGQLGRHIRAAHGPLTIWRCPRCRMKFQWYYRKDTVGRHAEKCKGAKEGPESNVFGQPLPRTDSVTAPATAEPSPSASISSERPPSAGPSTASTSITDVEQRTPDPLRVVATSPSLTAVSLHVSENIPTSGISSVAESAEATIETLVSTSAMTTPSTSSPGRESVGSQQRGHAGYCISWNGTANITDDRTFLLADAQASTAVEARGAHDVKPNSSGTTVKTR*
>EED82521
M*
>EED82522
MAGNKNNRTRPPNTRSRTATVAASFLAAVDASRQAGPSRLAAPPHASPGASTRAGPSQARSPTVDLLFPSAIPLDSLPRIPRRTGVAATHQVAIDLDAPLYPGITTNASPWQMRLRRRTPAPVARPSGAPLGTAPRAPTLRRAPLSALPTPQPTAATQRGKKRHREDDEAAQDPGEAGAAGDRPPSKRTRTPVGGVPQRVTRSRARLAEVHLGDENVPPPPAAQALRRGGEHEVENDTEEEEEEDEEMLDAQDEDYEAEQGHVHHRGHSSTKKPPRRAVQPPPIFLPEDSAPQVPYPCGIPGCNRDIGLNKSDVTTHMDTFHEGLSSRACPWPGCKKRNCGKNPEEALARHVLAEHGPLTFWRCPKCSDKSKPQQRKDSFQRHIKSCRGQKCRAKGRSKKAQKQDTRKPTAASQVEVVPQGEVPDVGTSIAVAASQGADVTSTPAASVGEDSTEGFDEESEQMLDTPWHYPIDADDIIWDQIAGLPRASSPHDSAGDVGGSEATLSDHPEVMDFVEDNLNFFRSSARLSGGATPMFAVFASLPWIHPPTTRGSALPVHGARVLELPLEDPSSNKTLLAMETPITLSMILNFPPLWSSGSILPLLTRQNRLLGFIPYYPLLNSHNEMGATQSVSSPSEIPTMPLEHDSHWASYPRLEGVDYPGEEPLSLPLKEGAGYPPYTLGQFLQAHQGRYQIIRKLGWGDYASVWLARSERLWEREVLRSIANADPTHPGYKYCTPLRELFLTNTVHGTHVNFVTGVLGPNLNQLYHRFPRGPARTVVAKRITKQVLLALSYLHGPCQSIHTGFVPDLEGGSTVTPVKTQTLPPVGLEEDISNIKVCLADYGHAAQPELLRAPEIVLEHKTPWSYPIDIWSLGCLIPDTLRTAEERERKYVEQMVIFLGREAFSEAFLKDCARRDDFFDKDGNLLTGDAEYRPAEVCLETYKDRFDASDLQAAAAFIRRCMAIDPSARPSAEDLLQDDCLKDLDI*
>EED82523
MYDLYGPFAPGEKGLMLDERAKRMVARGAQPMPTRTLISMNAIPAIAEYVSLKKNMMKCQVKYHSKRVFVVAIFSASLLLGVYFPFFEDESCSRTFLPCLCLHGCVGVSGKGLRCKAEPKGGVSTEVVGYPSTIYCENWHSRKRGLMIRGGDKALKCGGGNAVKCNNKVNGTGGQGALGCCLIYMRENPPGTGNGPLVPSQNDVMVQTESGFYLEKVRHQRIKVILGLGSSSCKLDRWVNTIIAMYDLYGPFAPGEKGLMLDERAKRMVARGAQPMPTRTLISMNAIPAIAEYKEGDEEQNGPSTVGEIRVCVFQR*
>EED82524
MSSRNSTVNYRLIYNRGIKSRVIYAGGKLESALDVATEHLRGKGETWSGDAVGMEKPKSSEAMRREMGERETGGSTRRGQDEPESCLGRTVARKQKSGEEDGMPTDVTPKCFGGPMPGSLDQVRGSPALGEGCSTAGAHAVTGDVVREQGLEASEEPGAHREGAVGTDPQLSRSTNRPEQRGGPQREVLADEDATAIEKGVSFMGRKQETVLLGPIAHHSGAKGEFAVATQTHSIRPDELAEAHERVKTRDKLSEK*
>EED82525
MTSSASSSSSPRPSKSTTEQRNGSTTSSRLLSFIDRLPLWISANLRAKKSWKMLARCWLASWIAFVVLLPEKSVRTLGNAAFFALLGSMFVPPNMPLQIFLFALFTIVLGVCLGWAFGAAAMHAALAARSQTLLRAALQKEAQSTAGLANPDALFQASIFEGVFLDTRSSAIFGAFLGVGVFFFALIRAYAPRLIITSVFATIAIDIFCSYGPLFPFAEYTLMNSLLTSLACYIAIGVVVIIFIFPESLNHAVLTSTSGLLSKVKSLIDMQEDVLNAPISDLVGDGPVLTRVTGTRVAIVAGLQGLKSQLPMLNLEFNWGRWNGDDVKGLDKHISTVIPRLAAVQSFVKLLGTTLDTSSSPSRASGSEAPTQDASYTTGSSGVSDTELLRQLRSRHHAHSMDIPPADLVPILRDATRRLRAACASALAACISVIDVVNTKRYARGSSAELDSRAAELDTTIEQLSSALTAFKDSDRLALLEPFRDILEAERARAPGDGKGEPPLRSLCIMYVFAANMVIAGQAIKALGEYVSTTAHKRRRNRLWAPKGLRMIGKVLMQRGTDSEGGTGEDPVSSQEKSEKDENPYKTDPDAMPPSNIVQKLANKLHVVYLWTKTPEAMFAFKYTAVTIALWIPSVVKTSASESHVQKRMPSLDKGLGSAKSRGSPYGMAASAGVFLIPLVFCRVFAPVQYLPGVLMVCATWTLIVGYSWLDGHIHVLGDVGIGWPVAWRRWVLVMIGCAASFIMMILPPTSARKSVRLRCASIMSSLSHLYSHLMAAWIDEVVPTEDGKEGMPRGTEWVQDFREKIIAVAQQLQALKMQAAIARFEGNIRGAWPSKEYNKLVEVETEMTANLAMLAGSLVQLDHGTKVRLLRHTRVVNPNFISEVVSMFLHISQSLRTAEPLHQAQKQNLVDRVFYHSEGPYLHTVDEDAEAMKPNHVESVMSYDFMFYASGVVAVFQLLQNINEARNITARLCGEVRFEGFEEWREKYGRNRAPVVSTGTTES*
>EED82526
MQSTQFLWDYQPSQDHRSSSTTEQIAALSPPQKELPSTLEAAPGVVQPVQTRRSSPIKNSLATTRDTHPLTQKTYSPPYGSTSNLHKQQKACSHPSTNNHSNSLKFTPPAPTNTPVEVPMATFTQADIDQRIAVALAAYQTQQSTANRPLRLDIPAPEPFSGKAEDLRCFIQCILSYFVATNNTRLSDKAKIAFTVALMRKDLGKTWVDAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTQIKAHQILMKLPERQKDRKTALSLGNYITRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLLKELYFALATRVARDRPNTMKAWYDEVRNADAAKQGALIVTDTRDYGEPMDIDAAAVASTFASTSGERKWELGAVLNEADRKLHRDRNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDNFRARIKTLSADEKRELSISPSTLFDTFDDARRSLEARHGRPDASRVDPGTSPAFGEQ*
>EED82527
MVFVHVGRGVCGHEGIVHGGMLATLLDEILGRQALLNLPDKIGVTAYLNLNYRAPTRADQFLVVKTRLVEAKGRKAKVAGRIEDAQGNVLVEAEALFIQPKYAKVLNTQRMREMMGEP
>EED82528
MATLAADPTFIEGFIGVLQKLGSAYRTSRSFWSAMVVMIYDYVLSFEEEVEYMWRGRFVWPRLLFWLIRQHLCVLRQTLAMLLRVTYASVINNACTFWWRWFMYATIITRISISAILILRLHVVYKCNRKLSLGLCALFVAELSVECVILAKIFYNLQVIPVFILSQVPPQVVHGITGCIPASIVPYAWAYWIPVFFFESVLFVLSAYKCLQYAREDTKRTYLMRVLLRDSIMYFGGVLSAILLNFVIWVLQIERLFVAFIPSLHIQKAARRYQYRARGRTQHGNRRAFVIDIAVPEAEHGEEEHPGFVYEPDEEAQDLIDTVLDAPTPIPLLPIHRRLPSDVPSDGFDSPICETPRELEEAHYFMNI*
>EED82529
MYLQLPLLLYLLASVAPSDAGLFTRSSEHVLRGVNAAHHHAVKRSSGLAKDLRRALSGILVAEGDSGAQHVYCISGTSLTNPDTSPGGGGSSGSSPKASGTATASASSPSGTVASSPWKVKQSYEGDSFFDGWSFFTASDPTDGTVQYVDQSTAQSANLTSINSAGNAIMRVDTTAKISGNRQSVRITTNYNYTGALVILDSVHMPTGCGTWPAFWSNGPNWPAGGEIDIVEGVNTYTNNQATIHTNPGCTIPSSNSTVLGITGDVTGGTNCAAAETGNAGCGIRSTSNTSYGAGFNEIGGGVYAMEWVDSGISVWFFPRSSIPSDITAGAPQPSGWGTPMANWPSTDCNPSTFFYQHSAIFDTTLCGQWAGNVWSDTGSPGQSQSCAQITGTSTCAEYVQNNGAAFADAYWEVKSVKIYQTS*
>EED82530
MYLHVPLLLFLLANVVPSNANVFTRGSESITRAAKRARHNTIKRSSGLIRDLRLAYSGTLVDQQADSPGKYYCVKVDTDETSSTNGTRSGSSSVASLGAKPSATATSSSAPAASSSAVASSPWKLKQSYEGNSFFAGWSFFTDTDPTGGTVDYIDGSAAESANLTGINSAGNAYLKVDTTPVITSGYRRSVRITTDFTYTGALIVLDAVHMPTGCGTWPAFWSNGPNWPDGGEIDIVEGVNDYTNDQVTLHTNTGCSLPTSNATVLAIAGDIVGSTDCSVSGTGDAGCGIRASQTNSFGAAFNDIGGGVYTMQWDDTGVSVWYFTRSTIPADISAGAPQPSGWGMPIANFPASSCNPSQFFYDHSAIFDTTLCGAWAGDGWTASGIPGQEQSCAQRTNTATCAEFVANNGAAFEQAYWEVKSVKIYQTS*
>EED82531
MAPYLIRKSSQRARKTPLKAGIKEFDHCDLQDLHPSSPFASGTQSLSSSSDTVPSSPPSSAGSPDYCPPSPSLSSCSSDYEPSAPPRRSRKTASARNRQDSHKNPASGRVRRPPNAFIIFRSNVCKKTKVKGPATKNNQTTTSCAAAILWNSLSDAEKAPWATRAAEAKAQHKLQHPDYKYTPGKSHKARSGKRGPRPTKLEISRSETMAKLLGQGFEGAELNRRLELIYGDANSPLPSSPRTRGPHVSQSTSKRATKSRTTKVSDFEGDFQPEVAFENMHISDMATPELVASSPDSDDGQLLQEVSTPSSSVSNEIQGQTVKEVGGSLVDAAEESMLVDGQSLIFFDGFAQGSPLLTSLSISIAPIDFENMNSQSDLFSMESAFYDDGHLEKLVHPLIDEHAANAVTDIDFSEWINDPAI*
>EED82532
MTCRYAAGLRSAGREADQKASGRKVDLVQVGNRTRAIGASGSITYRLAKAGWAGSGRWDYGHGCVGALEQPLETQGMRPGREHVTTARAVGMCGGGANSSTIHLGWSVKQSARVG*
>EED82533
MKVLAILYRGHEAAQQEPRLLGTVENELGLRPWLESQGHEFIVSDSKEGPDSDLQKHIVDADIVITTPFHPGYITRDLIAKAKNLKVCVTAGVGSDHVDLNAAVERQIQVLEVTGSNVTSVAEHVVMSILLLVRNFVPAHEMIERGDWMVSDVARNAFDLEGKVVGTIGAGRIGYRVLQRLLPFGTKEHLYYDYAPLPADAEKAVNARRVEDLKEMVAQCDVVTVNCPLHEGSRNLINADLLKHFKKGAWLVNTARGAICDKDAVAAALKSGQLRGYAGDVWNVQPAPRDHVWRTMKNPLGGGNGMVPHYSGTTLDAQARYAQGTRDILENYFTGKPQLPANIIVGVGKFETKAYGQR*
>EED82534
MSMLRNAFASPAVRGTAYATSRAFHASPIAGKSATERVNKSVGQGLASAIEKGEEATEATKKTIGKGSFRSWPLNWEPTRHHTAPAVDKAKEASGQAKQKANQTSAGVQEGAQDFKQDVQKETRK*
>EED82535
MRSFLSSKHLGKSNTASAEEERQHLLSVSKSDSASEYFVDLPTGDCKSTSKPVKPVPRRRDIVMDGGLLAEQYFSLHVCEAPCLKLSLWLTHPKGRALCISCVDTHRIAMVVPKLATRARTDRWDTATLCQLVTETWWSMGFRHTLNTKERITFICVIRFAIYVLALDAGLNLD*
>EED82536
MAKGRWLGREILEVVSTEFRDRSMEYYRYALESGVTTINGKIAKPDTIVQNGDRIENVVHRHEPPVTATPVRIIHHDREREFIIIDKPGSIPVHASGRFFRNSLVEILKNDFGFKKVYTINRLDRLTSGLMIIPLSSSMANTLTQEFAAGTIRKEYIARCRGQFPEEELACEVPLLTIDRQMGLNVVHPEGKPAKTIFKRMHYDANTDTSVVHCEPLTGRSHQIRVHLQYLGHPVANDPIYSETKIWGERLGEGGIDLTPSEERSPKVDGQTTTKVARPKLLPRETGHDIGMGSPVPLSAEMVGIITKLRNMKDEDEDWSRWRDVVFRAKEALAKLAAFRVPPPGAVLVPQSSASTASATTAPLATSATDSDSATPSPVKDTANGALYCSECYLPLHPDPKPERLYIFLHALRYTTSLGSFETGIPEWAAEGW
>EED82537
MSTNTSRSPATELWRLTVVRFDSEPIAGPSTTPTSSSPRPSAAGESGKSHASPSRVAGASNTPTRSNATFPHHITGTFNVPNATESDDVFDEVRIPSRLRRGTPHSHAESSTASTSTHRVVSHDGHGRARAPGPAPAPIPRMKFQWYYRKDTVGRHAEKCKGAKEGSQSTIFGQPLPRPGSVATPATPEPSSSAGVSSERPPSAGPLTVSTVSSPLPSQSVTDAEQGTSDSLRIIATSPRLTGIPAVAESAEVIIEASVTTSAMSTPSTPSPGRQSAGPQQRVSPQHSPRLPAVDMLGTASPSPGTVNIADDRTLLEADTQASTAVEVPLHHDTSCTADDETIPAEEDLFAAWLDITEWMITEGIASHYHRIYERHKRMPDVPGGSLEQGPSPAFDKELVVLCHLGALSSTRIHEADTCRLINIDRLIVVIYHFYLKWWLDVCMELIVIMYTNVAIPPTEM*
>EED82538
MQAQEGSAGGNTISQDDEDDKHRLDESSFERFWSKAWSQALRFRKKEWGFKTMKLRSSGGEEVVQDNWGAFSKDRNDVEYLHDLFKDLPLSEEPSIYRDLAIYDQARFLIAPVARPPVVVGEIKVVVFDLYGVILDRQEGLRRALRTILPLDTHEHDVDKLVGIYLEIEAYRVHGAPDISGNKLVRAVLDDTLRAVGLCLTDDTIQSARDAILPVPYTDVSDALNTLRARGYQLLCMYRFFAAFSVY*
>EED82539
MAPYIPFVLTEAFTIATEEEWHNAIFQNVNVSDKQAALLQTFTANAAESTTGRVRDWIGCLTLEISRHYNRYLQSLLREVESLHITVQNQQALVNSYKRQVDVLPASTGSGHSRQPKIGEPLAFKGSEDKTKLEEWLNLIVLWCKHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLSTWKAFVAELAQIYGQCDDKEGAKKEITALFINKDLASKDFVKYAERFRILGRLTEYDDSLLIDKLREVIPCDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMNIDSAEKSKLKGKGKGKAKDTEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRLKTQGDGTKKAQGGSGNPAVKKAGYSSRAYG*
>EED82540
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPCRIVRPTIKPLICRPFNPERTKKAKHDIEELALHAHPFKKQQLLNCISDPAPPLIDRIDIQVGPSYEYEPPKPLPNIHFQHTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELTSLNIIFKYKV*
>EED82541
MAIVGQIHAFDSQDLINVYIQDGPETVLYCCEQQPCPNRTPQLIEEDYPRYKAIRRAQHPLSPRSTLASRSASRHSRPVSPSSHLPQTVVTDPNPAPEPEPEEGDSEEGVSESESADLAWPASPTALAPASAVPDVRNPSPELSLAPSPPTPPRGRSSTRSSRSLTSGRPPQPPPPPQRPPSPPTLIMSSPAAAPDKETLKLLLPLRYNGKTVIECNRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARAWATPYFTQLVLVQIGSGGSLWVWGPGATRQVPERHPLPHIPKD*
>EED82542
MPLASRSDDARVGLDGALRAVPFLPTSTTSLYLHTFPGMHARDGFTVGNSVFNDDEGDKHKLDESSFERFWSKAWNEGLRFTGRGWDSKTMKPRSSGGEEPVQDKWDTFSKDVNDIEYLHNPSGRCMFERLEFQDLPLSEEPSIYRELAIYDQFATDFSWRGVDRSIAWVGAMRQEDAVALHAMQVPVDGDPPPATPDEEVVEAGWGDDPEPDFSMAPVVRPPVVADEIKVVVFDLYGVILHTIDGLTRLYLEAEAHLIRDRPDVLGEQLVHAALRDMLCTVGLCLADDIIRCALDAIRPAPYADVPDALRTLQQRGYKLLCLVSCDASHVSDTGTFLPPEVPDLHTQTEGVCSQVAEECRSIVPEIKNTEILLVTTGLYRIVELANAAGIPTALVQRPSVDEAQLERKTEQGELSSPTMTVGGLGELCDKLDLMRSGSIG*
>EED82543
MPGLIVLDAATCEEEQTEREEGSIRCACAFAELRWRQGTGKLLGEFESALHGYVIATDAINVSHSGCTVLYPLEGVALCRIIHDRWLSGSMGKSERERAIVRVQGRRVEGQLGCVVWKGPGEGVIECLSAEWDESR*
>EED82544
MVFTHVQVAFGVPSLLLPSSTHPTACAYRTSFVLVSPGRCSGEVEESAGKVTLVEAQAAPSSSSVSCSTSVPAADTPPQHVPTIRLISATPSATGSAADASTSLSAIAPFASSPLAPRVDSPASRKRLIPKKSKLGLLTGGGKNKEKSTKDFSDVVRRVGSNTASTGGGGFEIYVDHADDPDLGEIVVVKKKKSRLGLDGMKWGALGEVTNVPSAPKERKNTAVEHLLKVKGDDSQKWWSIGRGRKDSKGKDKENEKAPTRSKTPEPVKSLDTRARFNSLDSGIMLSSTTPHEVRQGTTSLTQAAQPVTLSPARAIPSMEPVPKGANGLLAPEPNPATGSIAVRAIKSMRSLARMASWAQLSNSGDKENEESGQTGTLTGTMKVKEKEKKEKGAEKKKKKKEKRNDKEKEKEKETKERTVRWSGSSFEAGAPSAQGSPPPPVEKDAYTKTLGRKKQSILGLGLPSTMKGLATIRNTSSSSSSVGQPQAPNRLSIDSAHLIMNANGRPTSIVSNGSSLRPVSTASGGSMFSERSKRSSSSSVASVRWDEEGLRSSKERQRKERRTREKDSKSGRTSRDSRRSSEGRRRAAIVDIFPEAQAQISRPTSMTSAGSVFDKPIVRVEEATADGHSDHAEDPVAETPVKRSRPRPVSEQMLGKVRPQPMSDEGEGVLSILDAATNDLASLINRLDLEATPCSTNGSPVRLSPSQRNGDDSPIKRRFVQRDSPLKTELRECTASMQSLRPYAQAQNAATVALQQPFDPRQYIGKQIAPWSELNWQVSPKKGPTSTIAVRPTHRRTLTPTPALDPPFVFQPLRPAKGKIPPAIATSMPTNVSTPTVSNSGGITPSSSTFGSRPSKISLRNDSKGEDDHAPSPTPVFRRSTGHVRKPSSLIGIETKQSQKCMSDKNSAFTISPEARRGLGLRGTMGGDSSAEPPMDPEDPDSDIPDELQVILSGQSDDDCTRRLDVGRSSCRESAPPSPISPSDISFPGSLPDVATAAPILPVLQLDDEDGNHADIDEAGNGSSSEDDTKKSFDFTGELQKLNESGASDRHSFVEQLENAFRTPARIDLGYGLGEHLGLDEGFLAVPPVPALPANYRRTPPEDVAPRSISNPADDTVLYGNSCDPMYTNSCLSEEVSFALDETGEDMCRVYPTNKRSGSMRSKASDGQLNVDFKFGGIPTFPSTVEEQEPERALTLSDIIPPPSHIRSTSQSYVAEEDSSVLKSIMAKAVEVDMPPPVPRRRALSDSSSQFSMRAAIQAQDSIVSQSTHSRRSSEVSFVGFESFDEVRRAFEFGPNRPAFYPPPGAGATGRRSHVRDMSLFSVASVSSYGDIVDSGSEDPFGYARGLSRPPSSDMSMSMSMSVDDTFSFIHRGRRQRIDSDASSFYFRAPGANQALQAGRHGHRRHESGISIASNAPPVSLYNRSYGGHRRTWARHRQDPSVDSTWSEISARRLGRPGLGDKMFEHEPGMPLSAISASPPESVASDLRSRGSWDSIADGGKCSSVDDSLFEKSDSRTSMSSDSVFGHDESYPQYDKQLPPRQYRPISVLSEFSVHSPRKEDDTMITMLDGNHVRRRSINSMIGASPCVRVEKMKHSAVQLPQAILQFKQEDFNRDDSPKNRLLQQPSIASTSSHQFGDERMIMARKGLLERQSLEDSALIAHGEDLLASLQALGCSTGARDSIFIVDGDNESMHSDWDDERGITTLRRYYALRDEAQETVSESKRVWPDTAFSIFAVQSFRPPSNHGGMRAMLEHSQKHYGPLPSELRPRRIRSRTSSRASPYPLPHVLPSFSPEQTRPSDISAAVNTSASRRDASSTTSALREVSVNANSGNINALSPPPALCVIKPFTPFAVEFEKSKQNKAPTGLPPLPARPRVTSSARRTALGWTKRSTGKSSSSDQKENVGQGMIIT*
>EED82545
MSGTGNTGNNNTGNELGRTWGDKLNRTVSDRKLQRGAWNTIEGAGDSLRGGMMDFADSATGTGGHHTETDVGAQKTQTGIAEMKGSGTAGTAPQTAGARGTATTTGSAPPLPARGGAGIHSNNAATTAGSTTGPVP*
>EED82546
MTSNTGNTGGNTGGGNTGGGNSLGQTIKGTAEIVHGERNIVWDRQWPEMLNMRAGLSEKFRGSVLNAVDGGNANDTQDVAARGHVETQQGIARLQGGGDGQANTAPGVGPGTGAAAGAYPAGQAVGGGSAGVGTSTANTGNYAPSGAAGAGGAPAMSASAGRGPGAALPQGHYQGRHPLQGGAVGGDRGVGTQVNRNGQESQERYGGGQDQRLPQQGTAGPPAMTTNSGPGAGVSSGRPRG*
>EED82547
MAALLPFHEGILEKIHDPATSDLLLLARGLGLRRTVCKLLQLYDSPKSLVILVNASQEEESAIGEELGILGCRKPGLRIVGFEIGRRDRQDLYKQGGLLSITSQIFTVDMLSGDVDTEKITGIIMLHAEKVTPTSPEAFIVRLFREKNLTGFLKAFSDQPEYITSGMSPLRTILKELQIRTVHIYPRFHADVKESLDLKRPDLVELHQAMSESMSEIHHAIVQCMSTTLSELKRANASLDLDDLNIDNAYFRSFDMIVRRILDPVWHKVGPRTKQLVSDLATLRRLLNYLLTYDALSFHAYLETLVASNTTAESGAARQHQSPWMLTDAAHVIFAYAKRRCYTMSAAAKKVPAPPLVDNPFDDEDAWAVLDDLDGRTSNTRNNQAGTVANEPRRPKWLPDGMDPVLEELPKWSLLADVLQEIEVEMIRLQSRLTSLSPGTNTVLIMTSSLRTSSVLSDFLSEMDLDALPGSRGRKMMEDKLRLYLWWKGKLSERKQEGRGLFGMPDSRKDKDSASTDGETAARSKQKACPWRRSGSDGTERAQQYRLSTQGALAPEFGEDITLLGFGPDYDTHYGLLAPEQTVLVRAYSDDSDDRMLQEMQPRFIVMFEPNLEFIRRIEVYRNSNPGLGVRVYFMMYKLSCEEGKYLTGLRREKEAFERLIKERGSMLMPIMEERRSGTAGESLIKTISSRIAGGQKEVSAESSRVIVDMREFRSSLPSLLHASHLLVIPVTLTVGDYILTPDICVERKSIPDLVSSFNSGRLYTQCELMSVHYKQPILLIEFEEHKSFSLEAVTEIKSYAKPSAKYPAKKKPGAMPAESAPSASASIQAKLVLLTLTFPRVRIIWSSSPYATAEVFRDLKAHAAEPDPARAVAVGAEEDPAQGAGVNAAAEELLRALPGVSAKNVKHVAGKVGSVRELCALSLAQVQEILGVEPGKACWEFIHEGERRR*
>EED82548
MAPGKKRQAGSQAGPKTKKLQLEKTPADKGNKRSQPITRPLQEETLDSSEESDGDEPDEEEVIVEGEAQPAVAKDPNAARESHKAQKVLHEQRKASKPHFDTLAQAKSAWRLAHQKSLPKVERTKYINQLMDVIRGHVIDIVFKHDASRIVQTVVRYGGEKERNEIASELKGRFKELAQNKYSKSLNTTGVPGISPPFTSPPGGIKCACDAYERSMLLRDFYGKEASLFTVTAGSEEEKERSKKGLKGILEGVEGERRKRIMAALKDNLMSIFNNPDKGAVSHAIVHRALWEYLSTVNTIEDEAEQEKLRREIFESCQDVLAEMVHTKDGSRSVRELIVRGTAKDRKHIVKAIKPHVERMCKDDEAQLVLFTALDVIEYALRYPASAHFAHAFHSDTKLTAKSLVSDVISSATPLYQSSQGRRSLIYLVAPRTRRHFTPAQINLLAEIDSVRAQTSKKDSDVRCAEIRRAASDGLLSWIAENGAAISRDTGGCLVVCEVMLYAEGDKSAASETLLKALSAACPSPDPAHPHPISLPHTSRLYKTLLQGGHFSHTTRTIEPAALWSARDFATRFVQIVGRDVIVAMARDEGAFVVAALCEQLAGSDAEEKRTLHSWFTKGVKKEIEDAAGKGSSVLLQSLKALD*
>EED82549
MVMRKDGVLIVKLVDLELAESMADEHELCDYIGTPGFIAEEVAAHRYTHGGGRLGESTEKPIPVSMINAIFRYNPLHDLESIWWVAYYYIYRRIVVSVDGTPVTADDEFQKEWPCNARHCAKRLFYDEAERSHMLSQYQPLADLYCCLHKSVLGIAKVLQKLKRRLVEVYFEAEEDWRTIDHTVGEKHDLTNTFANVFEMIAIEQPRGIMIGHFGALTEAGEVDNAAHENKKSATSSGMDPTDQESE*
>EED82550
MTLSIGDGANDVAMIQEANIGCGLLGLEGSQAAMSADYAFAQFRFLTKLLLVHGRWSYQRVADMHSNFFYKNVIWTFAMFWFQIYNNFDATYLYQYTFILLYNLVFTSLPVIVLGAFDQDINAKAALAFPQLYIRGIRGLEYTRSKFWMYMLDGLYQSVVVFFIPY
>EED82551
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNAYLPLPAPLPTSALRCPPIPPPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNAAARRGKCSAFEIWSALSGDRAAFPIVEMLRLSPHPDRSGSVAETSPSCSPTAPDRSSPCAWLAERTFGDYVSWRECTAGTEMNAAFHMCCCAIWVYFWPGWFLRWVDDARRTAGRGVVLVLRCQALVLCSVWLWHPGLLAARENLTSCACVRAFWWRTVLATRQRGVSAPHANYGTWEHLAFKPLLSMDTIVPLHTAAPYQFESP*
>EED82552
MFSKQLIDYTESTFKEAKIDILTKTMVKEIKEKSVVLQTPDKSIIEVPCGLVVWAAGNKLRKVTQDLMARLPQDQTNRRGITVNEHLRMAGTDGSIFAIGDCTATSYAPTAQVASQQGAYLARIFAQLAKRDSLEARLTQLRSAASSDEGRVEIETIEKQLAKAEKLRPFQYSHQGSLAYIGSDKAIADLPFFNGNFASGGVATFLFWRSAYLSTLFSARNRTLVATDWIKVKLFGRDTPLWRVYIPARAIHRTDNERFVYHIPSYGHSGLGHGLIPYDATGVKKWINSSAVEEVDDMTCNYSLIQQKRLDVQLGVERPETAYEPG*
>EED82553
MLVYRLDIGLICFAGLIILFALPRMLARLSRLSAWAEGTIFRRVTIASPLYFSKRFDPSKFVSTDEINAEKDFVNIDVTSSETHSDTAVNWSTSTLNVDAVNGSSSPPVYMPSWSTLFPWANFILSSKVRPGYSLGYCIILLAYTAVVSYVSLVDVDLFTSPSRLGWVATSQIPIIFILATKNNVLGTLIGMGYEKLNVFHRWAGRFAVLLVDLHALAYFAKWAQTGTIASHMEPYVIWGCIALGGMNILYLLSFTALRQTYYQLFYVSHIVAAVVVLVAVPYHEAGSIPYIIAAGALYGFDRLLRLIRTRVTTAHIRAMPELGTTRVEVPSINAGWRAGQHVRLRVLSMGMGGWGFGWTESHPFTIASVEKSRGEEGLVLMVKKAGDWTNKLFELAQKSEYRDGGERKVRVMIQGPYGGPGHASVASFSGAMFVAGGSGITYALSTLQELVQKGMDGGSRVRAIDLAWSMTDPAGLKPMIPTFAALLAQAPSAGINLRISVSYTRALVVPEADALKDFQRLPLGLSIVAGRPRLPKMLDEVIDRTCNAKGMNSDSLSGVVVGVCGPPALGDTVARAVRRVGGDRRRAVGGVELHEEIFGW*
>EED82554
MQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVVKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEVSATNGYCECRRINHWTSQRLDWSPDLRNKQALRRIPSEPPLRGGIPAYHGPESAGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQCDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKLKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDMPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED82555
MSSPATAPNKETLKLLLSLCYDGKTIIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDACAWATPYFTQLASVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDGAAAQVELAKLCADKSWTVLGMGTWNYMTST*
>EED82556
MLLTFYFGLLLFAGLYRDSLFTNQMRAAYVAVSQIPFVVALATKNNIISMLIGIGWDHMNYIHRFTGRLLVIAANVHAIGYSRLPLDNLGEVHQAYRAAALHVRSVALENPSCRPPSQKLQIGGTVHSDVRHLHLGVTCPHPPEVVRVAI*
>EED82557
MTQVTGISPPRAATKKPPPPKPDKFGPEWQMWWWWWWWAVPMRRLRDPLQQDVGIILSNTATDRAAQYTRCSEARQPPLARRIFPSGRLTLRAFLFGTKACVYGTKTPLDLFGVVLMPAVHVQNQSRSEHASEKGSTHRRPHARAPYSTNRADRSNPLEPTTYVVNHVTLKFPLHQQPPPGKPTACWFCDFETKRWSDLQRHVATHALNKSEVTGEVLKCQELLKNGEPCNWAKSDAPAFLRHRERDHKIIPKGRMKAQENGAVKSEKGRSARKHKPYSKRSSRKAAASKKDDPNTGADITNVQGASGLSELATNLQQMSLATYQHAMAPQVLDPFDPEVLAALERLQLQQPAPHPAAFYGQEPSTAKGEVSSPSFEVYPVQNLQRDIAPYGWSQTTQPDAAPQDPLTLACESWLPAPEASLEQYAATYGAYEAAAPYGPQDAFEIFAPAYPAYDAPVSFAPQAPLEDCTQGHSAYLVAPPMPVAGSAWYAAPAATGSCFYPAQPEFCATWPSELLDIPAGMGFEQPNVEEALFEEPASLSSSLDFLGFNFNADLTFGFLNSGGFSAPLVSGMF*
>EED82558
MVLDNNWAGRGFVLEGGYWSQDGILGFRIDEEQLSEVFLAFPSRFRGIEIWRRTSDVSVDTSSHSDVHAHSTPIKLSPAEALEFEFEEARSTAAEFPLRHSEEGLRGRYTPHACIGAPPNFALASILECVVMDLDVTESYISVCFPSAVVIVPRCEEVKPGTGSASKTTRILVHAEDRPPQLYQEIGMESGKPPGVSRSWVAPETRHPTGVSLTWVAGANALAEMEVAPPWEGLLTGDPRAFYSPLIAARFSPDGRHLAAINAFGLLYLVLNFTRVGHEIVSFSDKVTRTALWTIWDPSLLGRVVRQRAPHDGHHLDDQVEDGGVPRMVADTTARPPTRWQRHGSSPVGWWFSVRGTLCALPKTLRIRKGFSTYSDRFQAIEVAHIAAPYTFASAIKDIIAVIHITSPVITALILGHLFDFEAACTIVKLHVNQATLHGRWRSLEDAVLTYAIHLVEKEMVGWDGN*
>EED82559
MEGNPAGLTWGVMDFIPDTNFPTTQAKNIIQSPLTGILGIIERGFLPYSMKAKEITWCSQYKGHIHSPNAGQGANVSMSDAYNLAWKLAYALSGQATSGILDTYEEERRPCSIELINLDEELHKLNRPDSLFANEYVNCIAVFISALDSFKARTCSQLEGFQSLAPRLPLGQRLPPGDILRYSDWNPCNLHDLIRYSLSFKVIIFPGNLLEADVATHLSDFVTSIATSLDDTKQSMIETSVILRSSKYALPQVPDLADMLSDSRLYLDDDEHTSETEWHDRLYRTLGISEEGVATLLDPALPEKQPLDVVLTGSAVTARGRSPPQASLTTRPACIALQNEDRDEDAVVITSLNVIPFCCHADLLTMSRAELVVVADTLNARLPRILNIDVGRSRSAAYIRKSIELLVGLRKDATQTPEAERSRSVFTGVGEVSHSAGSPGLLDNATQQDASTGSVALEDSQEGFRDPDVASHRPQKKRRFDAEATVEASDRPMISRPITRSQSHQDPQPEFTGAEANAERHPSKHYRGPWKVLFSTSWIPALTKHGDDLDAKGTEVTWCRSGSATTLSGRGFSFRFTHLQSRVAYRGEGEAYLEAQAGSDTPGYDGPDVRDRGADDAAYRFGFGYAQRHCVEAMVKNYTYYIHVYCSKANVRHFGASVPDIAFICLLISEQPEIFLTALNTGGGLIPVEDVIALAFAYLFRIVLMDRFAVRWKNLLHDHSVSHVSQPETNAHQYLLARFVVALTTVSLFTALNCTMHAFLFGLLRSVVLPLLYMMPIVPQFLRPFTAHFLRGSWTMTLFFRHWSLLWRSFFLCLTTTTCWEMAESPLDEPVTVAHTTADPVLTVVSGITSADGYFKHFAYAELSQLARGYSPAASARRSALFADQKYNPSMWACLVRDALLTLGKDYQLLLRRGSPEAPAPAPAPAPPKPELTLPATPLKLLRTSVLKPTPTSPLRAALDTLASDGAISSAADSGVSQIPELFRSMVHASPSAEKAIESVKRSEERVVGMFEETRTKLQQRMEAAVHGRVPAAALEKMTPAFGVVERVRQWWTRDRVNKLVEMSLPNRHLDALAISNSYGVVQRDIPKIIEALLSFLAAVEEYQAEISKTHVTPSPEELQNMSAKERQEKERLMTELEQAGQIFAEVNDGLKEGIVHIVRTFGDKLAAFRFPPRIAQKLQGYMLHDDVVIVLRAQITEWQGWGGIRSQKRRLCLACQQAFVTDSLLTIYNIKSFERECGGFHDSTPGTNFWDATVKTRRITFGPPFKETEGPPTGKLSAERHV*
>EED82560
MYASPSGLGWDTTMRCVTNGAEVDNGSTRYDIDVRSSDGTTTTYRTIRMISDGGSECSRGKGTRVWLARKLEDGVECGEPLVLKDSWVDKTLQTEGDNLNAIRNCETDPPTGDLLDKYLLTVSSHGKVYVDGKLDHTLCHLSDPKALHTRLRFRLQTSLYPPGATVKTLALQLLHRRGWVHCDISTGNIMVMRKDGVLIVKLVDLELAESMAGQHKLCHYIGTPGFIAEEVAAHRYTHGGGRLGESTEKPIPVSMINAIFRYNPLHDLESIWWVAYYYIYRRIVVSVDGTPVLADDESQREWPCEARHCARRTFYDRSVRSHMLSQYLPIADLYCCLHKSVLGIAKVLQKLKRRLVEVYFEAEEDWRTIDHAVGEKHDLTNTFAAVFEMIAIKQPRGIMIGRFGALTEAKQADNAVHEDDKSATSSETHSTDQESD*
>EED82561
MLKAKPFIVTAKHEPTGLLERIAIHNTHKFNDVGKPRRIAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKCDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED82562
MAPYIPFVPTEAFTIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQTLVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEIMALFINKDLASKDFVKYAERFCTLRRLTEYDDSLLIDKLREVIPYDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKSSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEVKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANIEELTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED82563
MATLAADPTFIEGFLGMLQKLGNAYRTSRSFWSAMVVMIYDYVLSFEEEVEYMWRGRFVWPRLLFWLNRYWPMFNLIYDNIYQHWPLLALSTTYIADVPACTFWWRWFMYATIITRISISAILILRLHVVYKCNRKLSLGLCALFVAELSVECVILAKIFYNLQAYKFLQYAREDTKSPYLMRVLLRDSIMYFGGALSAILINFVVWVLQIETLFVAFIPIVIVANSILGCHMLLHIQKAARRHQYRARGRTQHRNRRAFVIDSIVVPEAEHGEERPDSAYEPDKEARDLFDALDAPTPIPLLPIHRRPPSDVPSDGFDSPICETPRELEEAHYFMAI*
>EED82564
MLGIPYRRIPVLAKGNDVYCDSNMIAVALERRFPASEGYGTLIPPRTGVNKVDKGIMKALSVFFVDNALFHEATDHMPYEQLTPEFIVERSSFCGHYIDVPAIAARREEVKSTLSSCLDLLGGLRKENAAPFRSITGQEAAEIIKSSQPEDIDIIGFDSVEANRLNIPSVAVMPRDYDREFEATIQRPRVVGGGEKVRQIEFTVHMQPSNLGGPSLLAYLHISPPYPADGVVAAHSQSRGDSPPSWVTSPSSPFSQAPGYFQVPFAFASQAAFGYPSPPLPIGASSGAYSPYGDEQGTRALVSTTQRTVVTTMHTHHHYSNHHVHVHRVKQSHNNGNEKSYQAKTQPTQKSQSNGAQSRKPKSKPTFSKCTGRKKALCIGINYKGQHNELHGCINDARNVQRFLIKHYNYQAENIFMLTDDTPNLHHQPTRANIIDAMRWLVRDAQPHDSLFLHYSGHGGQTKDLNGDEVDGLDEVIFPVDYKWTGHIVDDVKPLPRGCRLTYHTNGRQKGHSEVTPSHMKEKYTEADVTSADTWEAGAAVGAMSYGHPQEEL*
>EED82565
MDALNIVMLPPEILEEILLLLDMEDLIQWKQVSRLFLQLIKSSIRLNYKIELGIAGMADNSLSPLSYAEKLRKLRSLRDMRRNPTLVSGRSIPRDAAYTVSHGLFVQSDGLHLKVLQLPSRILGTEEREWTFTVPDLNGLNVGTLCIDPSQNLLVLLALLIPVQGQFGSQPGSLHFLTLDSGVPHPDAAQSLPPIMTTFGGQLVIEESLLICHTRTDVVEIEVWDWKTGEVVWRLPPSEGVFCGFEVLDTQHILVMDDHNLSVYPLHAENPGSDGQSSSSPYDALCTLPLPQSNDSYTIKPFLYPSYRNDAICVSIPLDGTTAQLSGDNRLVFIARRQILSWMQATQSRPARSTSINDWMLQCTKIDLRTSTIVGTGVQGTHAILAYHEMDYDEDEDEEVGHTNLHVDFVDLHPALRGVRQATLEGSNTIARVTTLSRNRFDEEAAILVVPDHAILEEYWGEDGRGGTGHWQIRYIT*
>EED82566
MANYVSNPIEIYGMRNVRENIIEVFGKHVMELPVKLFMKGLLPPLHSSIIIEDVIKKLKRGRHPEIVRGRWRRFKGEPSKSGKAENDTFQPLVYIAQAAARAAEVEDLPQFDFVQNSDWACEDSRRRSKTRPDAYFVLRGKSGGTASWKDIGACIEYSKHKSNNCRDLDVYRMIWDMDLCIRTDPRRRFAYGVTINDTDMRLWFCSRSGILVSQVFDFTRQHEYVIRFLLTVMYASPSGLGWDTTMRCVTNGAEVDNGSTRYDIDVRSSDGTTTTYRTIRLLSDTGSEYLRGKGTRVWLARKMEDGVECGEPVVLKDSWVDSNLQTEGDNLNAIRNCETDPPTGDLLDKYLLTVSCHGKVYVDGKLDHTLCHLSGPDALHTRLRFRLQTSSHPPGARIQALGHHRHGQLISHSLGKMPIYRAHDHYRIVYNEVCEPLNTLTRLSDVFGVLSQAVKALQLLHRRGWVHCDISTGNIMVMRKDGVLIVKLVDLELAESMADEHELCDYIGTPGFIAEEVAAHRYTHGGGRLGESTEKPTPVSVINAIFRYNPLHDLESIWWVAYYYIYRRIVVSVDGTPVLADDESQMEWPCEASHCARRTFYDRSVRSHMLSQYLPIADLYCCLHKSVLGIAEVLQKLKRRLVEVYFEAEEDWRTIDHAVGEKHDLTNTFTAVFEMIAIKQPRGIMIGRFGALTEAKQADNAVHEDEKSATSSGTHSTDQESRAISAPRKPHPYIKPAFTPHNTPTLLRVYHTGGTMSSGAITLEGAFAVYLLSLYLTQWFSYDRQRYARCLRLMDAAHSAHHNKLCEDAVQAFRDAISSLSADYETPCSESDEPQTIRNAYAGLNMDKIITLMGCYNGLASCMKELHRFEQGLYALQEVEELYAIAQRSCRPALHEWDLESSIPQLMRIDLQYQRLKGLVGMSDAFLVLGNTGSASQRGLFASAIIISLPAQLCTSDLQSLMPAEKVRQLGSFRHPDPDLHVKHQLSSEALQVRGSWQKVSMRRLYMAGGQHITNDIVPENCRDFWCLDLNDGDGWRRLPNIPPRNLKNQGWKMGVHNKKAYLFTGSPAVDVFDLVTERWIRLLTMFVGPDDGWQPWQWSGEVLPGYAMEVGAIFSRQLDLSTGEWETLSGSSDRAPADYDGPGPRQHVASWVNAEKSRIYFMQGIADLLVDSPFGTKQACSYDDLWSWDIDERKWRRERLSGNKPFTHKHSESQNPNLNATIVYGGYNPRLASCFPSIDQYFSFSYFADTFVLEHNSPKPRWRHVLTRGFPTYRAMGALRTDPDTGRMYLFGGYTNTSLVPDTKHINARGFFEEVDVVEEQRTARLGPWQKCFTCGSVGPWKKCGGACNGRAFFCDSQCLKDGTSKLDWDIFQA*
>EED82567
MPYAIQRLTPVYTIPNEILGHIFILGVESARQSCKYLPYPCAKISHDVLETTLFRHSILSVCAHWRDTALAMPALWQLLDITPRIPLAYVSAALQQSRILPLTITISDCCPCCADAPLEVQAPLWTPSALHTILEALTPHASRWQSLSFMIRDHSLVELLAIHSTPALSHTALHTLTIWVPHTPGSRLEWDVFPPQSILECPPASLQVLSIYGIWVQWSNILLSTSTLVDLALCHIQPKCNIQHFAHVLAQLPMLRRLTLAGDLVKPPFEHPEDLPQVDLTRLQYLALRDLDPAFAVHMLRMQTYPALTHLVLKLGPGFSIRARHYTAFAQALIEHPLAIHVTDLDLHELSCLSGLSGGAVPIFFSFWPSLKRLSLHFQGLGPGYWRALCSSLPSCVPSLQRLYVTEISPLDVQEFVATRLNVGLSLPRLQLRLADAWRVHDGRPPQWSTWLDRMGVQYRLM*
>EED82568
MTTRAALDAHERLLSAEITKGGGGGYEMLSAELATDAAGTTAVIVLVREMEDLSPSQQKRRGRPPKRFWSRGRPRKAGTAHQDHTKASKSGFSQSKQGQATQLDNSLPPGAGVPLTRDEEMRMRSTSSVLLDWFGHPGDSPRDVRAHSFAVRWHPVMVPSEDALTAAREERRPVLRWEYYCSGMHDLVVDDEVAEGEKREWSDAGSEDEVSERGRSKAGDLRSEEWDDRASSDQPLGGDSLHEQHSSDDEPNVEEGHRRGRWQRCTHRVRLHVEVCANDLGVAKIWMFGKHRDVRTSQHRYLQFSRRIRNLAQERMQTVGAKVSAVAKVPSWRYPNNDQLRNMLRPVRQRETLDRNPLFAAHLLVDRNRDRMYFYHPHDFRQPDPLSPFTVAIADSHSLDSIILNAGLRGLALDSSWRNKNENRAAMTLLSTVNDHKHVMPGAVLLSANVQTETLTEFLRVTRDRLIARAQEIVKGALIGDDPSTIEDRTPGEHERIKRLAAEILAQGFSVSHFMIDKSLAELHAIKKALVRWDCNGGRRYEPDLRISPDLKYGIIILFRTLQRCCCLEDWPGAEQAFLDGVEALVMAQKGKADATLTVPAIVQGTKTSQTRSSKAPQAVAHLTVAMKQRQWEFIRDYFKTNWFIDEWIHIGLPSTQTRDGTWNTNNWVESAFRVFDSVFLEHRVNKRIDRLASIILNDYLPFYRFWQPEHRALPAEVVQMNRLAHLIWETQSVIQTGPHTYDVIVPAHNGHPSGKFPNISLDPLSCWCPDFVQSGKLCVHLKAANLLRRNGPIAEWHEMEYRNEKGLVAGPTRRHISGEKQRQKESKRKMKKNRQAQRPMVSDRHVNHELYHLLRHLKRANEQAHLETQRRAYEAKTGDIYLPLSKRLAGRPKNMAPLAPFRVPMSRKAQNKVAVPRFARKRGVPKTNRMHNSLFALHTRIRDACRAFRKDLRQRAMSRRGAYPAPPQCETEMHHAANADVESHHSSVHTATASALFKLPSNDGHSTEPEALWPEEHDILAGNLKRWASDDYRLRADECQLFVDILNQSHTAQMQGIIFLLAPLENMALILQGLQLPELRSPEVLMTILCQNQLSHLAELVESRTNQLVRQLVFVNLHHEHWTVFRHWLNMITPGADILAYNSLPYALDFGIGDQVAVHRFIASFMPQHDRPCEEQYHSISTSLQRDSSSCGYWALLTMFSFLLSFDLNSTICRAMTAADVKDLLGSIYTAYVADHHGVTTELLRNLFANFETNVMWEDWPTIFSPRAATQARVSPRTLQARVSPRASQSQISTAQDTSDPNKSHFAQAHVPQSPLRLEAGTSAEAPISTLDPEEKLSLLCTNPNTVWSIGRHRFLSSRINWLLEGKDLSDFVIEPYLEYRLSDLFSHIPVAERTFIVADAQTCHQLRMAPLNGTGMAPPKKSVQLWFPQTNIFEKTYLVIPWYSKLKDDGHWFLVVVYMARMCIEVYDSMPAGTTKLRRARVAYKRVRMMLIYEHRTRLRQELSRQWAPNLDVQATVPVQGPGTRHCGVYVLRFIEMVVMGQDPADEKFTFTDDDAMALRKSIALRLAEAMPDEDVAKAIAQRPTGQRSCPSEEEDDVVVAETPRASVDQALVVPIKAVQVSAANPISNAKTLQPPEPSGRSSRPAVQVGEWRVVQLPNGQFGPAQIIRLDDKEDAIHLRVATEVIWNPDKVPLPQSLQTTWSGLSRSWNHGMPMSQLLPIIWPHGLTPYFPRIVTMSRRTEELRYALMMVIPDLKLVFDGLESHPVFTRVIEGFQSHFGYDKDRDIYDSRPTLGDARQYYEDGMRYTLNDHPGNLLDYTDEAVIEECAIHLMDVCAPEYSLRWGNRVLIFGAGRLMLGMLTLAHHTGLPIQQIPSALKTGLIFRPVSDFESIWQAYVKVMPLNLVYDFEDSHQVVVPGVDLPVAQLKAEATELLRQAEGNQEVTMEQPVQRLCGSSLYFASSLSFVPVGLGTSDLFIHMTREWMPYGIEVVPILRPPLTHVANFADFGREIRGVHPGAISPERLQGIERLLYKHSLLLFRNVDVTPEQQYALAKVDLQKFDLESFGHGNKKIKNDKKSILNPTLKCISRMPQVYFVANGTVYDHKGLREHMDAALYDLLPPKVTTLYGINVPQGPRQIVRYDDDTGDELEVPLAATAFVSGKTVFDILPPELKSLAVRSRVKNAPHPYTWISTAKAKSTGRGVETEGLEMPYDQRSVVLYRAISVDASAGRAADQGMREGDDGARSACPDGRDEWAITSQMAVLNNVLAQACEGRRVDRGGGGPVHVASRVREPKVRKQGGVMRGTYHPLAGPDCGAKLEKARGGARCIDSIPLFPENKEIVSAGLHSAPRCEGLEVLTTRVGEVRELTTTLVELTPPEHTLGGLAAAHSAGSGDAWTHGH*
>EED82569
MRRPTSTSWTPCSHSCADGQTWSFSSV*
>EED82570
MVVRIMLMVPLYAISSFISLFSLQAAFFIDVVRDIYEAFVIYCFFDLLIAYLGGERSLLILLHGRSPKYPAFPASIFWREVDVSDPHTFLFLKRGVIQYVQVKPILALVTIVLKLLGKFNEGDLRANSGYLYVSVIYNVSICLSLYCLAIFWLCVSADLKPFRPMPKFLCVKGILFFSFWQSIGISILVAAGAITKLGPYTDSEHIALGLTDTLICLEMPLFAVAHLYAFSTRDFVDPHIAFVARMPMLYAFRDAFGLKDVVEDLKATLRGEGMDYREFEPSEGFIHQGAGRDRRIRAGLRYSQGGRRKYWLPQPAKPSGNIEGRLNRAVDRIAGQDQTEEVYAPLLSGQAEGVVHTAADLRTPQTEGRTIFDTVSLEEEGFGLPFGDIDEMDEELFKHSKKYLFGDYHYPSVDVSTEAAKRAMWDEEERILSDERGAFFSPIPHSAARKGKTRASNEITRREVVIDKEHDRLPEAKAGDVKLRWTSREHTPVPSLRTRALSANIQPVSRRTSSSTNSPRNRHPPPSPQKETERPVLPPDAVDLIVEDPHAAEESMTHERRKGEPAVRGGSALHKVYRRGYVIDNDNKREEGEVEVTGGASLAGRQEESAISDVGDGADEVDDVLDAETQAVEERTIVQAETPPVHARTVLYSPDLLDDENPWA*
>EED82571
MAATMTATAPLAKATKAATDKTLRVFRAKRYPEEMWWFVACFIATVALFQFGSWVALKLGRKFGSKSNGDAESGAQPAPRRFSIRHIPRAIINTYRIIAFRWTLHIGSWYTLNLAEVFVTCAYIIGLFIWEFINSSHYTYSDEAQLNYVHRMSARVVFVLLWVHGGNRGTSMDTEFMQEDCLRLQSRRLNWSKYPFYFIPVGLTAMVAFSILCFISLQPVRARAYEFFFIVHFLSVLILLLGGYFHAREEKMGYYIWPSFLIWALDRFIRVMRVIVFNYRYFWFGGKRDSLDATVELLSPHFVRLRLARPPHFHWSPGQTAYIVMPGVSRLPTEAHPFTIASVETPAAELADASTDLEKEKAKTKSEFSCEPYWRELVFLVNVRGGFTKRLAERAQKGEKVKVLVDGPYGLTPNLKDDDEVVLVAGGSGVTYTLSTFLGVVNDVRAGKSACRKLVWIWAIRDSSHIDWVSKALQQALELAPPFLDISVRIYVTSGEPLPVTNQRAFDDDSIHEGGSDAHSGEKSSAVISLLDFSAVQVSSGRPDLHALLRDEVAAVSGRLSVSVCGSQAISRACRSALNFPVGSPAASLKGGPNVILHVESFGYA*
>EED82572
MAASMTASGSLAARDELQLQGDDDILYIRSKLYPKQTWYCIACFVATVSFFQFGSWVALKLVRVDIHRYWQPLLTSFSATNLEGGKLDLQYWKLRAGDIATAQLPLVTVTGTKNNVLAYLVGIPEDKLNYIHRMTARVVFVLLWVHSGGEFGREVKALMRLFVCRLPWKVWSDYCWYFIPMGLLGMVAFSLVCLVSLRPIRSKAYELFFVIHFFGVLVFLLGGYYHAKIPQSGSYVWPCFLIWALDRFIRFVRVVVYNHGYFWPFGRREAFHASVELLSPKFIRLRIARPRHLRWRPGQLVYLILPGVSRLPTEAHPFTIASVDTPVTEVAGVSGDLEKEKAQAQAAVVSEPYWREMVFLVDVREGITKRLVKYAREGRKVAALIDGPYGLIPDLKDDDEVVFVAGGSGVTFTLPTFMGAITDVRAGKSITRKIVWIWAIRDLSYIDWVSKALQEALELAPPDLSISIRIYVTSGELPVSHQKFDSESVHNGDSDSDTKSSAVTSLLDLSAVQLCSGRPDLHILLRDEVATASGRLSVSVCGSAAIAQTCRSALNFPVGGPSVSLKGGPNVILHVDSFSYA*
>EED82573
MTVHAMEDNRMLWRFSPGYLSRSKCGLSEGFLAFPSRHRGIEIWRRASDVSVDTPLHSDEDAISTPIKLPRIAAALEFQFEEARSTAAEFPLRRSEEDLRGRYTPHAFISALHVGVVRMFRLRFPVLVLMNSQDDDALLLFDVRDGTLIHRISISFIDTGRIVGAPPNFALASILERVVMDLDVTESYISVCLYSAIVNVPRCEVKPSTGNASKTTRILVHAEGRPPQLYQEVRMQLVKLPDVSRSSERGLGDRCGCP*
>EED82574
MLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAAAPAPSSSPPTQSTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVTFRIGEIVLYLQAHVIRNTAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTPFFLATNISIFPSMSFDHVTTYFEHAKGHLLDSTIDAIFYDMKTTTLQYDPRHRLVIVDSPAHEPDSPLRERGLLHLLEVEVHVVEERSTARDEGALLADDADDPPRFVVEVERIEVILFKAQAAGPIERQSKQGARRLVDSVLLRTTRVRDRRPRRGRPNY*
>EED82575
MPKAKPSIVTAKHEPTGLLERITIHNTHKFDDAGPSYEYEPPKPLPNIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGNLEPKVVAKVRRMGDGFDELYHGLERKVRRLTNRHWRVLKRDLRRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED82576
MAPYIPFVPTAAFTIATEEEWHDAIFQNVNVSDEQANLLQTSLLREVESLHITIQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKAKLEEWLDLIYMKSYYVKMWEGKDLGTWKAFVAELAQIYGQYDDKEGAKKEIMALFINKDLASKDFVKYAERFRALGCLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGLDNGSVVPMDINSAEKSKSKGEGKGKAKDAEAASTEAKKYCVIYKSKTHKTDDCYKLAKMRTSSQIPKGMALRRHKEEATESGFYLEKVRHQSPA*
>EED82577
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVSDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRTTLEAYLSARRDYDEAVKAANEAIDHHKRLLCQQDDCVLTELIQLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNTYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPSWTPKGSCRRCGSSRHWVQDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNMFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPTSPIAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWTNVGRNTRKEWFDEEEDDSVGWELYGDGEHTHPQVAQYVAYIMGSVRTSYSASYHYVSFFTNTTT*
>EED82578
MSRPVSFTATRALGVAADEFAAATHKRHVVVTGGSGKLGRPTVVELVANGWEVINFDKQLPPPTAPKEAQFMHTDLEDMGQVMENLIEVDTKYKNIDAIVHLAALPAPGMMASSTQFQLNAMSTYNILEASRKLGIKNLVLASSETLLGLPLNPWLPDYIPMDENSPRRPETAYSLSKLVGEVMAQEYTRWDSTTKIVSLRFSNVMSPEDFAAFETWQDDPKIRKWNLFGYIDARDGAQAIRKSLEYKATGHHQFIIANNNTTMRAPNAELVAACFPGVPYKPTPGANDTLLSIDKAKRVLGYSPKYDWK*
>EED82579
MGSELDPTNVHSLCKQQPLLYAHESFNPEHRLSTSLKILPFTPCPTLLNPPLLIPLLHRSPLAQMDPQDRVLRMVLLFHIDLLLLCLLATCTLLAVPRMLVHLAHKSEWSDGIFFRRYAGDPARQQESSARNRPSIFSDRSRWNHTTSQDTSQAESIEAHPMRNYSRQTLLIPAQHPPKHAPTLSTMFPAVSRLLSFTVRPGYTVGKLVLILAYLGLMLYGGLYGSNPFTNPARAGLVATSQIPLVIMMATKNNIITYLLGLGYEKASALTHCILNWIHRFVGRFIILAVNCHALGFRTWNEATTLPPIRFGLLALTCMDILFLLSISTLRQICYPVFYASHVVAAIVMLAAVYKHVPENYGWQHAVTGMALYAVDRCWRILQTRVAMAHLQLMPELRAVRLSIPRINGGWRAGQHVRIKVPSTGMGLIGWAEPHPFTIASVSKDPEGDGLVLMCKKAGDWTNKLYGLAQHTSDGKVTQGRRVRVIVDGPYGGGPGHAMVTSYSAAMLVAGGSGVTYVLFTVSELLRKATEGACGVKFIELVWSVQDPASLGPLLPLFTTLLARAELLCVYLNISVSYTRATQSDDALEPFRTLPFGRSLSAPTSREYSRKRGQPYT*
>EED82580
MASTSASPRPSSSSPPAPPGANLTVQLLFHVDILLLSFLGLCFLIAVPRMLARLTHMSEWTDVLYLRSYRDGSSGRRGSSEDTLRRPRIDKTNSELTVNKRESQAEVIEVQPMGSSRQTLSLPVQTPPKHVPTLSTIFPGISWLLSMSVRLGYTVGKLLLMLAYLGVMLYAGIYKSNPFTNPTRAGLVATSQIPLVFVVATKNNVVSALTGYGYEKLNWIHRFVGRFIVLAVNVHALSFMYEFATAGTWKEDTQHPLIRWGLLALVCMDLLFLFSISVFRQMFYNVFYITHVISAVVMLAAVWKHAPANIGWPYAAAAVAVYGLDRGLRIVRTRVAVAHLQPISEMRMVRLSIPKIGAGWRAGDHVRIKVLSTGMGPFGWAESHPFTIASTSKDSEGDGLVLLCKQAGDWTAKLYDLAQSDSDGKPTAGRRVHVLVDGGPGLAMINGFSAAMIVAGGSGISYGLGTVGELLQKTADGHSAVRFIELAWSIQDPASLGPFLPVLTAFLVRAESLGVTLRVSVSYTRAPRSDAAFFALKALPEGLTLLPGRPGIAGILEGLVNRTLDLHDSKADKGLTGVLVGVCGPVALGESVSRTVRTIPRDKFKAVGGIEIHEESVYGYLSWRQRADGLLQELRMVDRFIDCRGGE*
>EED82581
MKFSNALISLSLLLAGSVEAAHISNLKNMRKMDRHALGRRSGASATYTPSVSQTPTPTSTSSSTAPSSSSTAAFSNGIKRGLSYNDASLTDQFSSNQISWAYNWGQTASGSLPAGVQFIPMLWGNTSTYTSTWAENAQAAIDNGTEYLLX
>EED82582
MTRLTTEWIRFSIVIDGLDECDPVVCQDGDVIDRLCQLGKPGISTLAQEILGASSNMFLYIRIWVDKLYKMCYHSTHDVMEALETPPHDLDVMYEDYLSELFTMNASAGRSNEIAIRCIPPGTSTQSPNTSISPSTLFDTFDSIEPRGMPSTTATGPKWPYVIDIQALLQADRKAFRTDARSYETSGGDVPVAQSGHCLDVVWLTIQFLIFVP*
>EED82583
MPSCCFCFGFAIVKAPTRSQHGDLEKAKNPPPQSRLGHLVDNHGDLVGGASADHRNERTIVVAPQFEDVRHAPADSSTPCVSIPAGPFLKSNQDLEVGSNITIPATKVHDSSDPSVSHLPSLTYQDRGFRNPDSSTVLRPTSAPPVYQAEVTEIQTSQTAPTLRTDDNLREAIRLLASETQQHTLSTTNASKDNVEAALERLTDLAAVRSLHGWENWINKLREGVEVISPVVEAALDGLDQFKLGSLIWAGFELLLKYSQEWQGNGSMTHLKVANPACHAFRAIIQYTAEICNLLRSAWKTIGHGLTSTIEEAKSALRDNFLSSDFEMIAAQFKRWHEFEDKTRFEALVSRLNTVNYKQDLDRRRKVQHGETCTWSRENETINRWLDSSTIRLTPQFLWVTGGPGMGKSILAASLIEELIEHRSCHDLVQCLAVF*
>EED82584
MLKTPDHTSFTDEDDIRAKYYPESIALLKLLTGARHVVPFDHNPESLAAARAPRARLATRAVRLPRDVVPITLRYPDHNGETYGVRFSPGHRWWYMRGMRPDEFVLIKCFDSQDDGQTAVFALHTAFDDPTTPEGASFRESVKLRMLISSGPLAAMARGGRHIHPAQKEPVVYTSVSTTEQPLRDESTSPRGGGAERRYAPCRLPVAFVREVYSYGLCSRTTSNGICEAVTYAARIWLVNKLSLYKPAKRSSPK*
>EED82585
MRQWVYVDNHRIVAPLCHAHVAAIGIGHSATRYHADGQRQPRSSAYMSRSRANGDLITDTTAVQNKLKAMPFSAVNDTAAILHHIVSPKASFEDIRSKESRRDPWLADVETWKELCKRSMVLDNNWAGRGFVLEGGYRSQDGVWSFQIDEEQQTMLSLSRAGGMTVHAMEDNRMLWRFSPKVEDADPSQSYLSRSMCELSEGFLAFPSRSWGIEIWRRTSDVPADPSSHSHTNVYDIPTPIKLSPVAAHEFQFEEAQSMAAEFPLRRSEEDLRGRYTPHAFIGAPHVGVVRIFRLRFPVLVLMSSEDDNALLLFDVRDGALLQCISFLDTGRIVGAPPNFALVSILECVVMDLDVTESYISVCFPSAVVIVPRCEEVKPGTEDVSKTTRFLVLAEDRPPQLYQEIGMESGKPPGVSRSWVAPETRHPTEMSLTWVAGADALEEMEVAPPWEGLLTGDPRAFYSPFIAARFSPDGRHLAAINAFGLLYLVPNFTRIDHEIVSFSDIDSYEIFIVNVNPEYHCPDGDRDYQESSPTSFNDPFQRMSVLRLMDFGDPVPSRHPQSIASSDLQVTHTALWTIWDPLLLERVVCQRTPHDARHLSDAGVEEDGALGTYDNATELCLIEIRKIKTPIHDTSLSCPAQRVTEQNAEQSSESI*
>EED82586
MDFASGRETSLDALQHSAVPKAESANVVFWVDIFILCFIAICTLFQSPRYFARLLSPDERGGGHFLSRNPVARTVASSPSLMKTSESSADLVERAHSGKLEQRTNAFVPQHIRAWPTVLRTTTAFLRIRFLGRIHLGGTMLLTFYFGLLLFAGLYRDSLFTNQIRAAYVAVSQIPFVVALATKNNIISMLIGIGWDHMNYIHRFTGRLLVIAANVHAIGYIYRWTILGKFTRHIEQPRYIWGIVALVCVDVLFFFSLAIWRQRAYNVFFVSHVVAFILFLVAVCFHMRDALPYVLVGAGIYMVDLLLRFAKTRICAANLQALPELDMTSLQIPTLNAGWRAGQHVRLRVLSTGMGWHGCAETHPFTIASASMGTAQQGLVILAKNTGRWTQRLYNIAAGSPFGEGSNVTVKVMVEGPYGGPGNTVISSFSGALFVVGGSGISYALSSVEELLEKAARGTSSVAVVDLIWCVKYPDSLIPLASIFSSLLLESAAGPTALQISVFFTRAPTAGHSLKAFDLPAGMTLDSGHPNIPCRLADLVERAKARQAAAGTRAKPRGVLVGTCGPLALGEVVREAIDGLDELLYETVGGIELNEEIYSL*
>EED82587
MATNLFVAGVFIGPVLGPIVGGFITMSYLGWRWVFWIMMIFAGLCTVIAIIFVPETYAPILLQQKARRLRQADPVRNANLYAEHERADWSLKGVLHRTLYRPFKMLAVEPILLLVTLYLSLVYGVLYALFEALPFIFVETRGFNIGESGLIFIGVGIGTTAGAAAFVPLSRHYPKLMKEWRGFPPAEQRLFGAMLGGPSLVIGCFWLGWTGNYPNVPWIVPALATIPIGASVSMVFISFFTYLVDVYLMYTASALAASTIVRSAVGAAFPLFTTQMFQNLGINWACTLIGLLGLLLAPIPFLFYRYGAYIRAKSTFSP
>EED82588
MAATMTATAPLAKATKAATDKTLRVFRAKRYPEEMWWFVACFIATVALFQFGSWVALKLGRKFGSKSNGDAESGAQPAPRRFSIRHIPRAIINTYRIIAFRWTLHIGSWYTLNLAEVFVTCAYIIGLFIWEFINSSHYTYSDEAQLNYVHRMTARVVFVLLWVHGGNRGTSMDTEFMQEDCLRLQSRRLNWSKYPFYFIPVGLTAMVAFSILCFISLQPVRARAYEFFFIVHFLSVLILLLGGYFHAREEKMGYYIWPSFLIWALDRFIRVVRVIVFNYRYFWFGGKRDSLDATVELLSPHFVRLRLARPPHFHWSPGQTAYIVMPGVSRLPTEAHPFTIASVETPAAGLADASTDLEKEKAKTKSEFSCEPYWRELVFLVNVRGGFTKRLAERAHKGEKVKVLVDGPYGLTPNLKDDDEVILVAGGSGVTYTLSTFLGVVNDVRAGKSACRKLVWIWAIRDSSHIDWVSKALQQALELAPPFLDISVRIYVTSGEPLPVTNQRAFDDDSIHEGGSDAHSGEKSSAVISLLDFSAVQVSSGRPDLHALLRDEVAAVSGRLSVSVCGSQAISRACRSALNFPVGSPAASLKGGPNVILHVESFGYA*
>EED82589
MATARAGFEARGGVGDERLESDTYCCLVISSSRYPLRPHSLPRIPLTTDRVPRHSARRVRLAGVCAHTTTSRYAPVPPTSSLHDLRGACSETQEPEPELEDDNLAADGTLSARGGNAFESKIWIRSFVWVSRGQRRVRRLTSGERAHGGMYDHERLRVRGQRQVLRSQSGVDERVIKMPYSARRAPGRIAWQGYFAGASHARPGVLAVWRHASTSSASVGSSATSQMPIPPKFRFSAPAANPEPDADADADDQKASALLTKAVVMSEVPSDEEDNGTDDWVEEDVSHVQSSHGGVPPYSSRHGSPLLSASARRDHFDLSNVTTFIPPDWGEDANVFAPGLSLRGTGSTARHCVRIGHSAVRNVLLNMRFEAATMA*
>EED82590
MVMRKDGVLIVKLVDLELAESMADEHELCDYIGTPGFIAEEVAAHRYTHGGGRLGESTEKPTPVSVINAIFRYNPLHDLESIWWVAYYYIYRRIVVSVDGTPLTADDESQMEWPCNARHCAKRLFYDEVERSHMLSQYQPLADLYCCLHSSVVGIAKVLQQLKRCLVEVYFEAEEDWRTIDHTVGEKHDLTNTFADVFEMIAIEQPRGIMIGHFGALTEAGEVDNGAHEDEKSATSSGTDSTDRESD*
>EED82591
MPNENLTSDTPPRAHQTMSAPYNWGKLDEARQALIDEFNNVVPELPLSFFFDNIFPQVPSSIDIDDVVQTLQDNDTIRNGRWSAFQKDPNRNGDEEDMVFAPLESLAAAVAEAVKVSGIQHRFTYLCRPRRKPASTTKLTHTRPDGYFVLDHKGTLRWSDIALCAEFKKADTTGDRDDVSDFFTIFTFGLTIENSKTRFWYCSRSEMYVSEPFNFIEDHSMMVRFILAFAYAKPQDAGWDPTVKRVPGTKGPPRYDIIVRDKDGKETVYRTTRMISDVGANPIRSRGTRVWEARELRNDEEYGPAVVLRDSWIDADRQNEGDILETLRSAKTEPDDEELIDQGLLSVVCHGDVFVDTQRDHTRIVMTRGADITSDSPLFVLQYTPPPEVDPRNTLSHTGVGKLITPPDRYPPNEVVTYHPKIHYRIVFKEVCRSLYTVTELGTLFKVLMQITCVLNAIHKLGWIHRDISPGNILIYEVDGEVYAKLTDLEYAKCMNLGSPAHEVKTGTPHFMAIEVDHMRYLFNPLISESDKDDSEEESSIPPLALFKQGRKKNPPDAEVNLNTTKSMAPVNSIFLYNPLHDLESVWWTAVYFLFNRDVVRVAGEVATPDKEKEKGRRNYAYAIFHKHKERDDVLKMPNVYAEAVKSLHVSLSDIVDQLEIARKSLVARYQDVEKEIPIIVSKEAARNLHRKFQKILNVIGDLAFQADIVIRQSAQDVAEAKQIYGHNSTHTQDDTFLILSAQAEDDDTLQDKDLLLPIQEEDACLEAVPEAPSPAPVAAKPAMRLISRRTARSPSAINSRSTSVMLSSRATRSTQPGTSTSRVLTTKAADARCSGNICAGAQTSPETYETASYNFATTQEIGGDSFTAILRPAFHRRSAVVREYGYENTTCHNYARNQDNLCTHNAKDQTDYDHDINAHGRDSSD*
>EED82592
MTSSPRQKTARDAHFRYPSPIGAVKFSETETTTGSYAVWAEPFLRHREQFPSGSSYALVRHTVRTTEMHSARPDESFGWVIEYAVSEICTCDDKPVQGCISGKDGARMFSTETDFEQAADVPFPKALSTTPVIGSNKQELGKGYNHAPYGQGNPHESPNGVRQRGRINPIARTGYDRISCLLFAPKVVQVLSPKACNIQLNRLQVVVMTGSPRQKTARDAHFRYPSPIGAVKFSETETTTGSYAVWAEPFLRHREQFPSGSSYALVRHTVRTTEMHSARPDESFGWVIEYAVSEICTCDDKPKMHYSNAVCIAVCKAVFLDKTERACSQLRPTSNRQRTYHFQLIRSPVYQPKAVSVTHLMHFSLLSTRGTGVQIESTRLSTTPVIGSNKQELGKGYNHAPYGQGNPHESPNGWRKHLTAALHAETGREGDLREHTSSRRRRYLLRTSIGSGYSYLTRQDAHECGG*
>EED82593
MSQKPLQFERGNIHWMAYFKLMRLHKFPTGSIVVFWPCAWGVIMSASITDMKPPQVAIQLVLYLTGSTIRHSAACIWNDICDREFDREVVSMTGAVTLLISQLALGIGLLVYAGPVATRVGLFGLVFLDFPYPLMKRWTWWPQAYLGLAMTWGLPVSWVSIVGHMDWTVVPVLFLGGVSWTIHYDTIYACQDRRDDVKAGVKSTAVLFEDHVRPILSCFAAFFVASLALAGVQNAQGPLYFVMTVVGTAGHLAWQLATIDFDVSADCWRMFKVYGYAGMSSKRK*
>EED82594
MVLDNNWAGRGFVLEGGYWSQDGILGFRIDEEQLSEVFLAFPSRLRGIEIWRRTSDVSVDTSSHSDVHAHSTPIKLSPAEALEFEFEEARSTAAEFPLRHSEEGLRGRYTPHACIGAPPNFALASILECVVMDLDVTESYISVCFPSAVVIVPRCEEVKPGTEDDSKTTRILVVAEDRPPQLYQEIGMESGKPPGVSRSWVAPETRHHTGVSLTWVAGANALAEMEVAPPREGILTGDPRAFYSPFIAARFSPDGRHLAAINAFGLLYLVLNFTRVGHEIVSFSDIISFCVSWTLATRSHSDTPADHTEQ*
>EED82595
MDEDRSRSTVPCIYGTISHATKSVHEAAMDVLSLYPAVTIANQRRQDATAFTVWIWVLQETMTTGLSDGNVKIPQALDAWLWEGYEVHEALDKLSSTGISVGWSTNGILEFLQLNPMVATFSWAAILAETLEDREQRQHHLWANARLLAHMVEHIFWWRQFHQACNVVPEFTSSQCTDFTDFTERCDVDMRAEILLILWGEHGVDTDATPHPAV*
>EED82596
MPFLVERAPSVAAAAAKSAATTAAATVASAAAKATATAKAAAAAPAINQAMLVYRLDIGLICFAGLIILFALPRMLARLSRLSAWAEGTIFRRVTIASPLYFSKHFDPSKFVSTDEINAEKDFVNVDVTSSETHSDTAVNWSTSTLNVDAVNGSSSPPVYMPSWSTLFPWANFILSSKVRPGYSLGYCIILLAYTAVVSYVSLVDVDLFTSPSRLGWVPTSQIPIIFILATKNNVLGTLIGMGYEKLNVFHRWAGRFAVLLVDLHALAYFAKWAQTGTIASHMEPYVIWGCIALGGMNILYLLSFTALRQTYYQLFYVSHIVAAVVVLVAADALKDFQRLPLGLSIVAGRPRLPKMLDEVIDRTCNAKGMNSDSLSGVVVGVCGPPALGDTVARAVRRVGGDRRRAVGGVELHEEIFGW*
>EED82597
MRPEARPESLAGPSRAANPEGPSRPPMNGSFASVESQDSEDINFDAPRAPRRPSRHASADSAGRRSEVARLARQAAHLGPATEKDLRRAAHSMAEMSDRNHGYLQRSRFLRQAAKKQMTQVRELRKQIAQERGARVRMLHYLLYWQKIEPLWTEEQLGECVEGIFQNVDAGKSEKGRRNPQHGVQLPARSQQEREWPYEEGGTERPKANTA*
>EED82598
MSGLSHNRSVTFNTSKPNTNAAVLEKKVREVEEKKNEAEKAVAQAEKVAGSKDDASKPVAISAPFEGPAESCVRQFVYEKQNRQVGSATRSERLRCTYQYDTSSNPTSDVSERLSRTAAAPRIRQRLHSHHSETLSLVGEDRGGRCLLADCSLYGKEYISRGFENALPLSTYGPSSRNPAVAVAVSLKQCSCALRQKASVS*
>EED82599
MRGKHQQAEPTVNKCDLQTFRLTTADVDEPYRQALASLASRDVTVNSAALFRRPSQLALGSVCIRTTSKPCEDRGGGYCLVTDCGLDEEQYVSRDFENTVRLSTCGPSSGNPAVAVALSLSNGPPRYL*
>EED82600
MSSTLPFLDQFNTPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHNPEATNATDRAALEVYLSARHEYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPHSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPVRRVGVVVDNVFLEEIINEEERKEKERQTKAVPIPPPHSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDKEEDDGVDWELYGDGEHEGNSDALIDVFLGEHCRRDFNRDKGKQTRKAGGVDRRQAPLGPDTPLFGSRIPPGTSTQSHNSSISPSTLFDTFDGARRLLEARHGRPDASRVDPGTSSAFGEQ*
>EED82601
MPYEWCPEVKSAKSLLRDDVSFLMKLYTLKYPENHHIVWWDPATPEARSNVQLQGSERRRFAEGLSTLASCRMLALRSEALRAPQVGEFIGSNGMSRRKWPVPEIFVGRVLACNYFARLPPRPEDETNRFVFDLFPRRSLPGRARKPSTSPIIKPPFTTCYFENDDEDHTLGRDEDPVPGSNVSVDFWAPMAWPADGFSLGLEPMYQYIDDIMMTYLQLAPSLSFHATPGTLPSIDGPSFSTSNHGFPLPIHPTSITQGRACRWQGLCDRTISALPPGRVMEHLRRYHLNTLPAGTMTHCCWVMKDGTLCGKQVISRNIGKHVAALHLKSTARKCDRCGRVMSRSGSLWRHVKTCRGGGK*
>EED82602
MASYTPVSGGHRGTHWTPTSSVDAALSDTRVIDSKTINPLFPPFWSLPLLLKTKKARIEERKVESSGSTERLRDGGCNGESSQGPFTHTDMASSSGEDASFANASSTEPRSRRVGITGPPSSFRLSSSTSNTETSDSASFSTPPSSPSLFAAISPPRPPRSPLRPTPPTRRASASLLKHEQIDLSPDCDEMPLSHSRSLGSFSGLLASQPASAKSSRSVRSQTPDKPLPITPDPSISAVSVNDDDRDRDREPENDQKELEDALSVNSEFVSLSVPASGSSLGPGSFLQPRPTVSKRVHALEELLSTERAYASDLALIRDVHIPLASGLPAPFLAAPPTPPPSGPSSRTQSTSSDAPSHIPTLEPLYLAYITKHASALEHLNNLPKTPALTNYLAQSRSLASSLTHAWDLPSLLIKPVQRLLKYALLLSAIIVETPDGHLDKANLKQAREKMEEVARGVNEGRRRREVVKEVLSGPPLGGSPPHKRHSDPKPKPKKKGLNVGVSASVSLGRMKSFSSGSKLQNAKEGSEANQEAELVEKLGEEVKRCEAFARQFAKEVTEWVRLAQVTLEDLSRWCKGFGRVIGVSQGDSEAFEAFIKVVTDELPSLGRELQDNVKSKILDELTRLVDSTLAPLRLLEAMNTLEPLHFGLLNLNVAKSRPPPQLLEASQSYVALRGQLAAELPQYIKLLDKGVGVCWEHFEFLQSRYWSQVRDRWMGLWDGLKVEGEMNAGAVETVRVWGSRFVDAETNILGLNIIRPPEKKLHALDVTRQKSKLRLKSSDDSWSETSSTVIVPSILTSLDPPYEPSSSPSTLSLQTPVSGKARSVRSVDVGKSRALERRPSNESLHSKKSGKSVKSTKSTKRNPSHGINSAELEIMEYAPRAPTTPPRQLPQKQTYTRTKSMPIPSPLPLQKTQSQGRMLDLDHAEITRMRVPHHAEISVALQGDVYDDDDRGRPSRKPSFKRRLTETLRPSSASSRHQRSPSLPIGMTPSPLPSPNTSTFQNGSSSRQCGRPSTGGLRIPAMYRCQVVHACEPPYDVSYRGLPFFHLRPGDVYDVLQEAGHPSIHRNLPLQVDDGEDCLLLVRDGADSVGWALASFLVPAD*
>EED82603
MSSASPTAFLLWAILSVLFLMFLIYHLWEYDRFHCLRWSAGRQPGAFKRVMTYSYLGSVPLFVVYSIATTVIKYKEGTSLGLLYPPPQSTKLRYALGFVVMPDHRILPRPVQMYGPHNRAWVIPLNFIFSCAWALELIYVCATPACAGFLTRSSAPPQLAFWLYMLHQNSDKGEWFESWEYRLWYCGSIVAILGMPLVCVVARRDLMTMDAYIFLAGSSGSLSTTLVFLYVLWRFPRFIRHVKAEGADPSVVVRLTTFLYFNRARVVFRFLFTVPLLMLAIDGITGTKHPLNTNFVFGDFLQMIGGVGCFVSSAITLVVFFPRSIIKEAGYKPKPSSSVPHSPKSAHASPSSISIPFASPSSVGVPFASPPAHMQRRVSSGNWDGESSALGSFRGDESLLEGQSMYQGQGQSIRYQGQYADMTDDAPPYHAAHAYTDSVAYAYAQPGPGPHTLKQQAQLQQRHPFPHAIPGAQLLALSPAAVRVAIPPPAASPRQEWERDRVQARGEEGQHLMHHMARSASFSVRAPPTHVNHPHPHRASLPASKLHPSVLSFRGTLAAAV*
>EED82604
MGVAADIAAGGDVGGSGSGSAAAEGASNADLNKGARNIVGDLPTRHRTAAIGDDLESIPEQSFEDPWSLCAEQVWKVENALVEKWKEDIGSLLVFDGLFMTILTGFIVAFYPSLHPDPSTEVLLVIAAQLSTMTGQSKLTQQQQASLNDAAATSRPTPWILSTSTLWFSAMICGMCVASIAIAVGQWLHQHLDRPSIMSRRSVLIWESRRRGLQKWEVQFIIDMLPLLLQISMALFLVGLLQLLWSLSYIVAIVATVLVVVLLAPSVCSVFVPAFVPECPYKSRTAWWFFRLVCWLTTSLKWFRSIQLRKMWIRIRTAWAWTAAKFRSLSSRRYRGSSMSATGLRHLCSRIADEIQNLRRACMTRLSAWCEWYSKAAKAANWRELEDYSTRLPTRRYDNGLRSNLIVLAEADQIVMDDSFLASVIHPCFQQSDVDDSVHVLCRILERRAHASVVGPDAKWPTLKWFSSEQDSAATVAMGNLCVDVLAKYDFLPLYSDDVARVVDHLLYLMRAMPPTNSARAVCQFAAYIMNRDKSPWFKWMDEETRSARICDLALGASACFVEHVLPDWLEVVDIETALGVLKALPHCCSYKEDSTRIVLGNIYVDIFRRLPVHHADQNQVWWAIRRCAESMGPESTTGHGWLIDVLMHPGISVNVRVECIRTMWSYWHVCSAEALGISLSCSYYTDVKRFLEYVPVARRELGTVYFLQVLASALELLAHAPQSDLPQPKSSIEEMAGTSSCLFLERAAISQRWTPAYSLKMSLTRWIDAMDTIRQAEKGTHVIQGGWRTSVHVLHI*
>EED82605
MRKDLGKTWADVYYEKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPKRQKDRKMALSLGNYVTRFEQLASKAQLKDTEVNGTNRVENDYHTLHANFVKGLLKELYFALATRVARDRPNTMKAWYDEPSKGLSLSQTPGTMANQWISMPLPLHQPSPPHWEEGNGN*
>EED82606
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGSSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLQINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQCIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRCFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADVYYEKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPKRQKDRKMALSLGNYVTRFEQLASKAQLKDTEVNGTNRVENDYHTLHANFVKGLLKELYFALATRVARDRPNTMKAWYDEVRNADTAKQGALVVTDTRDYGKPMDIDAAAVASTFASTLGGRKWELGAVLNKADRKLHRDGNLCFYCHIKGHSAKDCRKKVAARQGGGRPNQGGSGKDDFRARIKALSADEKRELRIPPGTSTQSPNTSISPLTLFDIFDGARRLLEARHGRPDASRVDPGTSSAFGEQ*
>EED82607
MSSTLSFLDQFNAPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHNPEATNATDRAALEAYLSAHCDYDEAVKAANEAIDHHKRLLRQQDDRILTELIRLDNLKVAHRFQPLLPRNLRARHNKFIPRAIPNVYLPLPAPLPTSAFRRPRSHPLFSKQRRGALPSRLTGNPTLVGPQREAVGDADRLDTGDTYPHHLKNLRDAWGWSSTTCSSKESSTRRRRGKEKKDRRKAVPIPPPCSANPEPPTSPIAGPSHPRPDTPVVFRKVDPDWTPDVTQWTWDSSWPNQKHLSGEEWSNVGRNARKEWFDEKEDNSVDWELYGDGEQAAEASLYTGGDKGRLCALVRAQHADAAPGAAYGTAADEYTETEVSPYDGDRSVSGMGRYLRRLETPPSIFQRGALASNYLCPLWEIT*
>EED82608
MLFTSVIDPAVKSSQQLIIVRYGMTRILVPLSESYEEMQAVAARELGLNGRPDLFTDQIHGSTGEKIKIHKDAWAGISPLLGSISIETVNANARRSSAGRQSLLPSRVVVHEAGAVLPAEVMSTNRRVSRLSFAAAPSGSASAKAALPRSGVFKPASVNASLSHAPAEEDANASYVTNIDDEEEEEELEEELMLSSPKKSRRPRVYSDDEEEYDEVKEKPEEERDEVKEEPEETQKHGLVIERSPSVEFADSYVSEPLAARDERKVSPVHEPEPKASRERQPPPPSPKSFASHRSMTLSAEKRPDVFSKQERPQKEPTNSASGSVKAKDLAEVRPEKISAPKERLSQGRAPPTVVQSQSRATESFQPRGDEVGPNDRFVVIVEYDDGAGTDENQMMFKTRGRHTVSRVLLQACKTFGIEDLFPMARLVLIVDVEDGDEIVEHRFHCALEDTMARAGAKPEARFAIEIDEE*
>EED82609
MASSNGLICFTNCLLPLEDGSLVERDLWIDERRGVILDAQRTFFLQRERPDRVIDLGGNILSPGFMDIQINGAYGFDFSVYDGDDEAYRQGMQTVAEKIVETGVTSLVPTIITQERSLYPQILHLLRPFSLPHSATLLGWHAEGPFIQLAKRGAHAPQFLVPAHERFASFEAVYGAQNLADQEDWLMADGTAPDTVGVRIITAAPEIDGVMATVPEVVQRGVVFSIGHSIASTDIATQAAYHGARLITHLFNAMPQLHHRDPSIIGLLGASPHLSTPRPFYELIVDGIHSHPNSVRLAYTAYPEGCILITDAMKILDPHLKDGVHEWRDGKRFVKEGDKLYLEGTDTLAGSVVTLDKCVRNFSRFTGCSLGEAIKCATYNPARCLGIENRKGTLRAGADADLVVLSRQGDVLSTWVRGKEVWTRN*
>EED82610
MEMPSGTGKLHLKRTPAEQAERDFRKAKKATKKTAKKTAKRRRHADISDDELGNSSSSSKRQRAGSPSRSATDYPFVVDDDEYGPPPPPPASTSSHRAHKPDYDEIYARLEEERFREKMFGAMAEDERLDGLESHLNNYAHVPRRWRGGGMDRMDDELGIDPQMMEEEDYTEWVRAGMWRRKHAEEYAEHERKAAERNARRAREEAVREETARLEKNAEADRRRRRRSRERRRAGEARERYDVRWKELLSASSGGPLRFSDIPWPVLLPDGDSARGRMLALEHFTVEAISAFLLPTEGDSSGDPEVAKSKKEKLRETMLRFHPDKFEGRITGRVRENDQEKVKEAVGIVVRTVTGLMGDGK*
>EED82611
MSRMPALAMSHLIAPDALSSPPSESHTLPVPWDAHLQPACTGVFYAPQAMPVPATAYMPTIYAPQPQRVLKSSRMSTPHGDKEQTLCIPTHQVFDIPPNAPVPSPSPSPSPAPEQQLQPRLSISINPSTVPQKRPCSSAGSTSKKVRGGERTSTKDFVPPDVSGLSKREARLVKNRAAAFLSRQRKREEFETMEIGLVARKPTHIPFTRIVSLGGSADIPTRGMLFHRVAELEQENARLLALTQQTSASPKSDENLMSEVEQLRSQLAALEERERVLAEELERQAVVRSPSPAPSASSAGESDVKMEEVGPLRSTRTEKSGASLGLMVLLCALPTLLSMPSHSHHSQVPNTLSLPLSHINALPPFDMQSIFPSSLDTDWPFDASHMDLDMDKFDLDFDLDKDDSTRFASAAPMVTRKLEIEGTEVLGPLDISFDTSSAENGKIRVRIHPLSSAVSSTTSSASVSSAGSPPAVHFEDDSSISSSLTSESSVPSPKPDADADALGPFLGVPGNFALTDDEFSSLSQGHSAFDYDSFSDSGYSYGSRAGSPGASAGGRRRVRIALKNIPGRGREGGEWEIELC*
>EED82612
MSRLVRIVPAVPPILAFHKFGNSKTKLVDAESEDMILQARTSLYPFIGGECHICGVLHCAAAFSQDKNAVTHKAAQVAQHNNSSHQHTQPGGTFASSRKPLRRTWRTQDLRFLYSEKCNTGRDPMRFLSKPRGGDSDDEI*
>EED82613
MALRQGVHDSSLPAICLELKRAAINIAGNDKDKKPFHEVLHKIGTTKVATDNNATASVESAYFRDITEQDVIDSIREVVDALKDSRGPTRAEAWLKKLNNFVLQVSPVVKAAASTESGAWPASVVWAAIKVILHTTDYDAVQRGNPSALKDEIHPSSKVPSPARPAFEGIVVYLTEVCGILHSKSRAFLKGITTEDFSTAKTKLVQQFRAAEFEGIAQRFKEASQFLRDHEKSEVEYRRHQDFLGLLSRLHFVNFDDDLSRMVQPRLKDTCAWTLTNPTVRTWLDADTDSGSEGSRLWLYGGPGIGKSTLAAYLVEQSRAQRTADEVVLYFFCDAKDPRKRASAPIIKTLLAQILTMEDRCAYMDDIQVLLKAILSKSHDYPFSVIDLGSHLETMLSSFTTVRIILDGIDECDDEVTAEGGLLDLLESCNRRGTKLLYLSRTETHVSRRTKSWPSLHIGQTGTTEVDLQRYVGERLEQLQKRVPRIRNRQSLGDEIYHAAAGMFLYVRVITDHIAAMPNPTTEEVNNALHHSSAGLDQMYESYFEVLFRRNKGMDKLGDIAIRTLQWILFTAKPLQLHELNAMLATEPDYDDALLHHDIQEVLTRSLGVLIDFAQDETGVYYVRLVHQSLKEFLTRHRSSLCTSPILESLFHYLQPAIACCKLLLTCSIILASPSLLYTLHHQRLHIELAMLLEASQGRAATLAVHRRLHDTGLYAIRASSSFQTLPILDCFQRSRAFTHLLVSHDSDNIIRKYREYISQLQWSEDNVDTIFPRFLNLTSERLEALYLSLQCVESPTAPSFHSFPSPNPPKTLPAVEHCIRHLSFYISTAITSENHAVCIASLLATLYVNLDRCSQSALRVIDDVSVSCSQDSRRFDTVTHATETVDIVETLQLLVTSISTCNGLSGSPKGVLTCLQAAEDLSNLARKIIPCHDRFLDTGIHDVLEHELSSCSFTADDADFVFVLVHSLAHTRAVFRSLQRFDQHVQVLIGMSNLLEAMQNIQNDLAWLLAVKIHQCCPSAQKAKISQLVSAGRFDIPGLVSTPSLDSDTLPSGPRGPALFFVDQSILTPMFILPTCIVLLFCVFSKLPPAPSLWISIALQMLVSYGLERGCTRRLAEYYLLRRLARSVLSILILLRFVWTILFVGTNMLGLILAVSSLLLAHIQIHLAVDVLLKDSVTRRRPILQGFTARLHFVHPDLFNLTRFIFTTLAPVWNGIACAITQGQGDLGALLDYTSAIIVMSCTLDFLEDPGRWHHSVSKLQAIKTELSGLGSDVVYSGHVHRENLQHHWHQSTIDFYK*
>EED82614
MSLSYVASSIRRTPVLSSRLVGRCPTKSLDRLVKRAASTSTKFVKFAWEDPLDLESLLTEEEVAIRPVRRTHAFDETAIANENLLPRVLEGQRTENYDHGILPEMGALGLLGPTIQGYGCAGVSSVAYGLIAREVERVDSGYRSTSSVQSSLVMHPIHEFGSDVQKEKYLPRLAKGELVGCFGLTEPNHGSDPAGMETTAEETDGGFVINGAKTWITNSPIADIFIIWARAKWDGKIHGFVLEKGMEGLSAPAIKHKLALRCSATGSIFMDNVKVGHDAILPHGSGMGAPFSCLNSARYGISWGAIGALEDSIARTRAYALERHQFKRPLASFQLVQKKLVDAHTEAALGLQASLQVGRLKDAGKLAPEMISMVKRNNCGKALEHSRRLLDILGGNACSDEYHVGRHAANLQIVNTYEGTYDIHTLILGKAITDIAAFAN*
>EED82615
MPSCLYLRLDYGRNGTANDF*
>EED82616
MASNQFLNILEILSESAAWSERNEMALLEPFNHINSVRGKEIRGLMLEAFNAWLEVPKDKLMIISRVISMLHTASLLIDDIEDDAQLRRGVPASHKIYGIPQTINSANYAYFLAYQELFTLRSGVDSGDSEPHRLIPFRQLDRVVTAELLSLHRGQGLELLWRDSLQCPTEEEYVAMVNNKTGGLFRVAIKLMMACSTKNIDVDYVPLVNLFGVYYQIRDDYMNLQSSEYADNKGFAEDLTEGKFSFPIVHAVRSDTSNRRVLNVLQKRPTTPTLKVHTVAYLRDHTKSFDYTIDILRSLETQIRNEIARLGGNKGLEQIMDALHVPS*
>EED82617
MALAHGLRTIGVLGAGQMGLGITYVSALHARVPVLLYDRSTAQIQSGLKLMDKLLAKDVAKGRITAEQASEARERVTVVDQEKAIQGMRDVDMVVEAVSENLSLKQSLFRTLSAELPPTTILASNTSSISITKIAAATIPEGHSAADEQGRKSAARVVEVTTSQDVPGFVSNALLMPFINEAIMCLEKGVATRDDIDKTLKLGMNHPMGPLQLAIQQTLYVGTGDSKYRPSILLERMVDAGWYGKKSGKGFYEYPQ*
>EED82618
MRALSSLLVLVAVPAALAVKTQDFKTCTQAAFCRRGRALSARAQENPSWSSPYSIDPSTISVLPGQASFTAGVKSLLYPDVKFGLDVRVHKDGVVRVRMDEVNGLRKHYDEAASWALIAEPDISPEIKWSVGKSDVRAVYGDKKDIEVVVAFQPLKVTLLRDGKEQVVLNGRGLLHMEHFRTKESAEEKLPVEQPQGDDSQVVMQVNSRAWFEGETEDGYWEEQFGSWTDTKPKGPESLSIDISFPNHGHVYGIPQHATRLSLPTTTGENAFYSEPYRLYNADVFEYLADSTMSLYGSIPVMHAHSAASTVAIFNAVGSETWIDVAHPTPRSTETHWISESGILDVFLMPGSTPADVFGQYTRLTGTPALPAHWALAYHQCRWNYVSSDDVRDVQRRFDLEDMPVDVFWLDIEYAEEHKYFIWDKKYFPDPVDMTRDVEAVGRKMVVIVDPHLKRAASYPVYQEAQERGVLVKSPGGSNDYEGWCWSGSAAWVDGFNPESWDWWTSLFKTAPQGDKWTWTESTVDTYIWNDMNEPSVFNGPEISMPRDNVHYGGWEHRDVHNINGMLFHNMTFQALKARSDPPKRPFVLTRSFYAGSQRFGAMWTGDNLGTWEHMAVGVRMVLANNIGGFSFAGSDVGGFFGNPEPEMLVRWYEVGIFSPFFRAHAHIDTKRREPYLLDEPYKGIIRSLLRLRYSMLPVWYTAFREASVTGLPVLRPHYVAFPHDEAGFALDDQYFVGSSGLLVKPICEKGATETSVYLPDDQVYYDYFNHYAYRGAAKGKHVTVPAELEKVPLLIRGGSIISTRERPRRSSPLMKYDPFTLRVALNAAGEAHGELYLDDGVTFSHQDGQFIWREFVATSDKKARGVRISSRNLAAQKPSEAVDGVALATYDIANSFVKEMLGVRVERVVVFGLASKPNKVQAGGRDLYWEFTPGVTASEKRQGTTSVLVVKDPDLSVTSDWEIVVQT*
>EED82619
MHEKNVSTRLQTIFVRPWDGISSMVELYAIIRGLERQFGRIREYQVGRDTNVPNIYLPYFWARFADSESMDRVPRDPTVLKIEVPLVNSTRQGGVGLDDLYRLLKPQNYVDPTQESLDVLKSDEAVVEETVTVDVRVERSSATPFLPPHQTAVLIPGAVDTRSRVDIGVFQHFGAWGGFYTPRDSAIDDKTRFMRQARTKSAQIVREWQRKRGIDVQEEPEDSTVQAETLDVPDRDVQASEPLAPSLVEQTAALGEEDLISSAATASVVDTHTPAPPPPQKVGLSRRERILEQARHVARTPLPAQLTAVAEQQQEEKKREREREKEEEERIRVSMRERLWKIMGGKWF*
>EED82620
MPERKTYLLLGVTIDTGATCLKTMPYDNAHAIPSCDMLSSAREPHAEMGSLADSDDEPDTLTAYGDISLTADYEAPAPSLAKPSLRPKRRRPPAPSKLRKAQRYDSEAIRLSQTVLSQTRTKPDALISDADDLDALIRTFEQARMSRPKAPQEQENDPPWTKHASSNGKTQGPSTASVRQPFAIHNGRSNLVNSACHNGYTR*
>EED82621
MESIILPPTFTGLYRLFLRTAAASVLHKRAACSRIRRLWRPTFEVAAQVIRRHLSVSTTDPERRRLERWYCVWERRIDNTLSLLAVSAQSRGLAHRLTSNLNSLQREHEFQATRLGAYEHAHYWNPKLPVMSEYYQPKPMASGPRANRKEDKKDRWRKLDMKAWGALGEAITMAEGRDGISLGRIKNGS*
>EED82622
MSYRSFHFLVSGRVQGVYFRAFSKGIAHDTGVVGWIRNDERGNVEGVAQGSESALDRFKKALWTGPPHAKVANVEISNEGILDGLEYDVFENSSDILHRALVYSLAGLSVWGIVMMGVVHRDTLQRGKGNVGDLLTVHATNRLWQRKKQRSLRKTRQSTVNMTYQEQANEQALAEAAQAALQRSGKSW*
>EED82623
MHLHQVSLPTIPDVDSTREAGRTPSPSPSYRSDSDTLPAEDEESMLGAVSTEHEETDTAVHSRLTLRLSIARSLSPFVPNFDHSCLDALDDLLLTSPADSFADVCYAASICDAGSDDMPLSRIASPTSFASISYARPRAGKAFDRTLLSVETDHERALAQATSDAVTQDGAVDLSTPMRFYSLRALPPLPVSPPPQPETPGLSPTRVHSPLSVSSLSEPGSPPMQDFGAPFGAEPILDPNLEQEVALGSPRLRTGAFMLPSWDEIMEEEAGDHPAHADADTNHVQVTGEHFGYPLLSENAKNTVFAYPYSLDADGHPYIFSRALPDPTCSVHCFPRWVDDTGVRKNKRGPLSVLTMFRGWTATTLMNRPCAPVASCIATPPVTLFCSLTSRLPPIDLYEPPILVPCTRSTAGISHTQESQEDIVGLHAIGSPRWRPYSSILDRESKRESDAHDVRRERLRIMAEETLDAIERGHYVLDGTQHDLSAGVALSRRNVKYYSPDSLLSGWSTMRPPGRTTPAEISVLEVSTLEGARLLSAQRSDPARRVGILNFASATKPGGGFKNGAQAQEESIARASTLYPTLVTPLAQTFYALHLAGGRGGYYTHAMVYSPGVTLFRDDAGGWAAPLDVDVLTSAAVNAGVVRQRLPARLVGADDGGAVEERIAGVMRERMARVLCLFEVQGVRDVVLGSFGTGVFRNDVGLVAGIWADLLLGEGARFGASFERVVFAILGRPTFETFERVFAERRTGPVAAGRTSS*
>EED82624
MTTATVDQLDNILVLSPNARTPKPDVVIWNPKNRVIMFVYRWMSEARKRGPGCNHVGRRNYSEFLLYPVNIKALTGISSFLEYGVMGHGNPLPRVSTDVLQPGVYGAFLPDLRSCYLPMSTETTSSMLDIIEYAFECDWRDDVGRDYETFEAVMRDELNRERVDISRFKSVTNIMIMRSDLAKLFYENKFSIDVDDNYRIVFFQDTDELECSSESMDALNARDYHWTQPIPDGAFQPDKDLLRRHFRHSLRVNLCGNDIHFHLTRNQIQTYWDRFNGHSDHDDIIRPTDPEWDTPLGRCCREWQRLDKVTTELGYRQWHWLISSAEIAFSALGICPCSLPRRPLLRIAKHNMISRINADTYMRIQLTPVMHVGPASEKPFEMELVLVLTRTAGAPTAGSCCMAHGAEARKDGGGPCSPGGHVGDTVKINRRILPNTRRGRTEECLEVSCAGSILFGDCVGANEASTHLITLQEEARAVTPQTDHGIGTRKSNSGVVAACEAVVITRRGARRSPSPPILTGTRDGAHRVLASSLPHERASPSPATSLRTAHKRAGASAPARPITTRTQALTALQVPPVPMLSAHGLLPRRAPPEQHVTPADLLLRRLPLLLRLHALLPTPRALAARELQQPLDLAPRDASTRAQPRRGVLEDGQLGGELGALGLGVPALAEDVDAERREGERGDQVREVCGGEHVPGAGQYEVFNVKCLRVIISPCDTSVCRKDPDTQRIGSGAYLLMHACVKYIGGMTLVIQMVSVLFYSPLPSDNLADTLPHHARERDFEADNASPPTTWIRTVQTDADARCANNFRFFSFEHLLLTQRTRIAKQGPPTLYGADSRGKGQVTQRRPRLALGSSYQTRRRRRGPKRSGSRPTTENVVGRCTQESGADREWQGRPRYPCFLSDCIAALAVGEQGNGRVSAKLLGTIPPGGRANEARAGGVSTAPPLQLQITSGVPACPVSQPHIYTTHIFQRVEEESERRARAEAEAEARTAQEAQFSSIPAVTIQPAPPIPATSTSTSTSAAPGRRRGGSVSVSRFGQPLAPSIPDRVLLAPAETLRVTWTHVKGLTCESICRFARLDCRVTGLGAVRGLPSWFARQLPLSVDNAAEAHDMKHDMYDIKFAHGFPLRGAIADLSVPQPHSTDGSLASPTKPADAAPPVSSYVVHKQGFYQAQTHTTSADSLASLTPPDHADADTDADEEQVVLMETFAGRQSLSKAFSRRLSRSRSHSCDVFAAASSLVIGVRVEEATVEGELHGAKVAVAVAVAGGDEGVREKEQDKDRDAGREKGRWIGKAKELSQKLRRRSTFLLVLGTFEGYENVEHTETACDQCATPTTPTTTAPVVGCREKFYVNNPDPTLEQERELNVAISRLPGCAWYKDERKLQANYASSVRGTRRADGDAGACHAASGESMDVISHFGEAAHARVHAATPVGERRANGTAKLAILLSTCPDPDLAKMLEWARRCGLEPARVFAQCVAAMRSGRDGRRGRGAVADVPANPPAPDILSGAETDADSKSVYVPEDDGTDSLPPLVGDPQAAAVCCGSSSSGWAVGTGPPSTSSIDPLHVDALPSEFLMYGHQNEPNDGQSYRSASRYESECVSWLQPVSQQEAYPMQGTAFQSWYC*
>EED82625
MARDIFNACSRDRWPKRMKH*
>EED82626
MPSKLSKGTLAAIISVTVIIVVFGLIMVLILIQRRRRTFRTSGGGKQGPYFGLEDGSGPVSPVFPSSKPLLDSAHSTHDAGETSGDPPKLSQHTGYSYRGSEWDIPHRAVSPIRPNRREDFTMDDAQLANTLRQSSTPPSLHSFHPICKEPSRGLLERVASAFTQSKPPHVDPYTIGVSSPASHVGREQTPPPRQSTGELTPFLRVDTSTHLSSRLDPASEVPRSADPWAGEDAGAYSGYRKLNVLPTSPTETSASRRTGSDWRPDLLSQSSPWGKVLGPAHPPLTVVNGAESPTAQIKTHSAFHSTPEYGQVAPEVSSDLIPRPLPIPLVTRKHK*
>EED82627
MSADHQLAPPLSGFETVYAFAHSPHPTFGALPSIDGPSFPASDHDLPLPIHPIMTSVAQVRTCHWQGFCDRTISALSPGRVMQHLRQYHLHTEANPTPAGTMTLCCWVMQDGTLCGRHILGSNLGKHVAAVHLKSTARACDRCGRVVSRGDALWRHVRKCRGGGN*
>EED82628
MLFLQCFRGAAQAHKSQLSSRYRNSRAAEAALKPRSYAVQQNRHAPENDDVSDLTYSKWNDNVNVCTYTEENYDKHLGPMDLKNVSVSRSFLEQEDEPECGQNLEAGPDFCDKGPEAMSEVTRREMSRYFRARTRRAQRQVGMGHLQGTFGHKPIQTTLTGVLRGKPILLLKGRQETWYLVINLFQIPMGWKIPIGLSGLSYARDRYSSISDTKLTHSYDSQEGDKCIESAAEVECLSTIGVCKCARGYLVFGLVQAADDPYFDDVRIETLVRGAEWKFVSERARKLGRASSRGPEAPIMIYNDPAQCTYRTRLSLTGIATPVDKRAPAPFAPLFQHRVGSLPTLRLPFCATNMMVDNTGHRCRYET*
>EED82629
MANLPLPIHAADLEQAEGKIVVVRHDEEGKGSLVGEVSSGGANPCTLLAAGTRLLMRIGNYSSATFTIITEPPQLQVSQSSTLKFKGTPEQGAPGGVAPPSGLPAPHPRKAAHPRSRDAIGVWVPSGVVAYMPEEDRGTLWYSLTNEVTAHRLPPAPEERTLLASVHTLRTAAPPEAWPHRLAAEILIAASPAPYLYVSNRDDSSPEGDTIAISSLADPAKHELVNEFGEEDARWLIAGGVLGGGVKVLERVDGGKDLKQVASLELEAPTGFLWLCQDRRALDSSQLRYEHCAKLVRAKRDKNLVVLTAEPNGYTVDTPLATSFLF*
>EED82630
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRIPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNTPVEVPMATVTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGTVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGERPNQGGSGKDDFRTRIKTLSADEKRELRYIPTRTNMSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPHSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPVRRVGVVVDNVFLEEIINEAKERKEKERQTKAVPIPPPHSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDKEEDDGVDWELYGDVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRIPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLVIPAPEPFSRKAEDLRHFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYKKSAGGVQVYSTWADFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRAWYDEVRNADAAKQGALIIADTRDYGEPMDIDAAAVASTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKTAARQGGGRLNQGGSGKDDFRARIKTLSANEKRELTGGQGAPGCCLIYMRENPPGTGNGPLVPSLNDVMVQTESGFYLEKVRHQSLA*
>EED82631
MAHPPRREIYMSIPAANADKEESFEETRVLDYLHAYRTTGKPPGPCPQTPTNAAERTALGLPPLFEPHSELFTYPTDQDTMASSRQLITTVPLPVPGAMSDAHAFRATRGDPSIGDGNTFYQSITVQPEFKGFSFEVRREQLP*
>EED82632
MARRAAKGKQRATEIDEDEMEAIEILTASEAEYSDEERGAIYGSGDDSDACGEEVSEGSEEGDAYEDEARSSPVPEVIEILSDDEEIEDTLPPLYSVDQRNGVDLDEEEYYDSGTDASDGDADSVEAEDGSEDERDFPPVETYSGKVDLPDPWAAPTAYAEDLYTGGDFTPVTSSSVNPHILPMEVLNDTQAIEANFEHVHSELDLAFQSSGPEEITQTHYSERDVRESDLRTRLPSPSHLAPGGDDVGMFLTPEGATPETSHTPEAIHVPIPEFASADGEDERLSVRQDVPEKPEDVVVATDDGASRELQDLLSGGSIDDLYADLEPTQRLPEEENLDVEEHDFSHTGLGTQDQMNRPSARSPSIWRDESESPPPPKFSHHVDWNWPPAFNSGRMASRAGHLQSLHIGDGDVDEIIEISDDDEDVEPDEPADAMRDPTVHSLADDSVSGFVHNVATSPPHLYAEDAEDDTAEVSESELPDLIEVDDLYANLDTSDSAIVDAELPGLLIEPSVSLATDENIFAEFLVPPHDSEESNATLAMFTQSVSQLMGSATEQSELARTSDEAAGFVYMADSRTIKATEQRYDNIASKEYTVEAGQTVDTASKDRELSIVSVSGEAHPDLHDYEVEEPVTEGRRTEELQSRLPSPDEIVLGSEFSAITHAVVIEPDREANDDLNADNLSVAERTEEVDAISSRTDEVLHEV*
>EED82633
MSGGKEEAYGVDQIKVIISLIWGHDNRAVYVDRPIRIVSICFKRETGTVHFRMGCKAVRPAFNLPAVKLNSFCLKSPEMNGSVSSRFDPAYFLTPDPPSFPAASTANIMQGNMCSWNGTCGHNISILPPGPGLIMQHLRERHSENLPLATGDRRDCRWCMADGSLCGKQIISRDFGKHIATVHLKSSAKICARCSRIFSRGDSLRRHLKKCGGKHT*
>EED82634
MLSQPVRLQRATLDDTLAHFGVSPTVGLPAASISGLRETHGYNEFAVSAPEPLLVKFAKTIYENPLILLLCGSATVSAVMGNVDDAVSITVAVLIVLTVGFVQEQRSEKSLEALNKLVPHHCHITRDGQPLHLLANELVPGDIVTLATGDRVPADVRLLTAVDLEIDESSLTGETTARRKDAEPCQPVRGPAGSANGYANMNGMGNGHSHGEPVALADRTCIAYMGTLVRNGRGSGIVIATGTQTEFGVIFSMMQDVEEKRTPLQLSMDELAKKLSAISFAVIGVICVIGVCQHRAWLDMFTIGVSLAVAAIPEGLPIVTTVTLALGVLRMSKRKAIVKKLHSVESLGSVSVICSDKTGTLTKNEQTVMEIYVVDEPVHCDPSAAAPPVHQHTVAIRKTLAVGALCNNAIQKEDGTFVGQSTDVALLNVLSVFGLSDPRQEFARQSELAFSSERKYMAVSGIHGASASVPNGKDSGREMYYIKGSIDAILERCKFYYVSDDSTPALDANTRAVILTRAQSTASRGLRVIAVAYGYGSVESQNGVGSGPGTRAGTPVPSSLDRERPKSNLVFVGFQAMLDPPRKGVADAIALLHSGGVQVVMITGDAEETALSIARVLGLRVGARDRGACLTGQAIDRMTKSQLMESVGAVSVFARTTPKHKMAIVEAFQARGAVVAMTGDGVNDAPALKMADIGVSMGKSGTDVAKEAADVILVDDNFNTILPAVEEGKSIFHNIQNFLSFQLSTAVAALTLITMSTIFGLSNPLNAMQILFINILMDGPPSQSLGVDPIDPQVMRRPPRKKDEPIISRRLTYRILFSATVIVVGTMYIYLYALSDDNMSRREQTMTFTCFVFLDLVSAVQNRGLGCGLLQNPMLVTTVSTSFLVQLGLIYLPFMQSIFQTEALSMTDISRLLLIGAVSAALHEVRRRYERSLNADLSYATSVQEMA*
>EED82635
MTPAIPSLTIPRSELSQALFDELRTVVKGPVFRPSDPEFAERSRTFNGKLVCFSRALVSPLDAHDVSAIIRFCTEHGLSPSVKAAGYATAGWSVAGDVIIDLGMLRECDIEPPLSEAEAEAQGGKDWTRLSDMPPPGSKGKGRAGGRQGNKDGVVDVPSTTAAAEPASMAAKRPKVTTCRSWRQRSYDGASESLASFLRGPPLEPEEGEEPRKPPANRRRIDSAEDMSTPTASLDGEAHKAKVPRLGDSDDRTPSVSSGSMASTAPAVRAETSTSPAQAASSAQEPFGYMTSDAPSEPRAPMYSGSNPFATGPSSIIAPPGMLRGFPMMGSSSWTPPPSASLGSQGVRSAGVGPSMFSHTSFASGSMFPPAPSFMGMPMPMGMGMSSFGLPLGQMMPRMAPAQPVHKHAYITLGAGMRQKEVDMYTAEHPLEGISPVTGSREDGIVPYHFPSSAHPVGSSILLLAGFGFISRMHGLSIDNLVEVEMVMADGRIVVVNQDDDPDLWWAVRGAGPAFGIATRYKVRAFPVPVVFAGNLVYRFHRATAPSLIKHFRDCVKNAPRELYANVLLTAGPEDKDSLVVIQMCYVGPKEKGIEYLNAISSWDGERCLLNEVNEKSYLNQQDSVAQILRGKGTPWTLLRYSYSPVAGRQWFIRSSLIHSLPDEVVNKTVIQFANTPIGCTWLFELSGGAIGDFEDTCLPKEQRDATWTVAALHQWEMGIDDPRCITSAEDVRFCLPSSSWIDGVIGTVALGGPYPSFLARHEPPPRTMACFGKNWSRLAELKRRYDPQCLFKNNFWPVDAKGEPIELLSNEPPSPQ*
>EED82636
MAASNVHRWLPSYISLSPEDVQRYAKLTEAGAYDLGPAERRDPCRNRQKLTLASRGLRIVRLSRTLFVELGDISDVYSGFTKSLQPLPLLICGVYCCSVSFCTAESPKTTYFTNWRLVQLDTRRLTVNQGEEDGDKANYSQGACDDTTLSSGTSSLGGYGSGRCESRRRRKSKLMERNKDWSTVDNAASLIRMSPSETIPTQAIGTNAWTVEDHVQTNVKHNVIDAIRLSDGLGVSVKTTPNDSQEIAIATFVSSLNLLDDPSNHCVKLLEVLPDPLHADRSLMIMPYLRPFDDPEFGAIGEVVDFVKQTLEGICFLHKHRIAHRIDHPVRYHLIDFGISTRFPVGSSTYVTGLKGRDKDVPELSADVPYDAMKVDIFTLGNLYHKEFVQKYHGLDFLQPLIGAMTQRQPERRPAAEVALAIFEDISSRLNSSLLRWRLRSRAESQPERVLYDTVAVAREGIYHLKRLVT*
>EED82637
MTSAKQLTYCDVGPFTIYFSCWFSVAALQYRSTGGHFADVLDLQPRIPYWGCIATVIVLLSSRYTSIFANLTLSGTYDLAALVEEEWRDRQPFLESHGYMLRSRYYANWSPS*
>EED82638
MSQLLINTRLGAQIDCTKVELAEYADVYNFCRSMIPIVDGGKQLSSLQVLSLSDKACGRLDRETLYLLCQLLFNASALRVLRLGKSQKLLTEEPLLGRVIEELRELMTLEFYEGGTKTIEVLGNLKSYPVHLVHRTNGRFPRDAYDYTPFAHSKVFERLESLNLDCFAYNRRTAIDPGQLTFNKSLKSLTLVASHAPLHPFVKAFPYLQDLTLEEISCWHRCPSSQSPDCWETIPKLTVDVMSLRVWNIASQVYHLIVTRRPLVKYDGNPEADLFTPVLNAVEDMKPTKFTFSTTLDVRDQLWGRMATRMSSVMYLEVRVEDLLVESSGSITDWMVRMLKISIQAWATLDDASADHEAFTPLRHMYVEFPTSLSRMVQNNYTLRYLILEVSEGASLTHGMSRIAFARKWQVIQDPWGYRAPGLEEKNTDEAALALEACGGSMANSLSY*
>EED82639
MQKLVSSAFKSSWRPKHTLSSYSRPVTNNFSSLIRQNSALAENDARSQDQHETESLCEHPPRLPATQKSVPRVPAKGKNRQEPPTTVVVHHLDPAWDERYLYEQLKQFGDINGLWIKRARTGESRGIALVNFTSREAVRHLIHSRPRRPVFVDGHLLKLDWSRSQRRGQQAQWLVVLNLPDGMRPKEVVEAFAPFHPIQLELHHREELGAFGRIRFPTVALAQRAFLACKDSPLYIRGSKMHVAPDGWIPPLPGHTTVLVANIHHSASERLLRTVFAKYGTVVDAQLLSRTIPTQDLRARVRFDTASAALDVVRACDSPNGPSVLGMKLAGTLAPEQP*
>EED82640
MSIPFTIGKLIDFFTSSQPQIPLGLSLGQASALLLLLFTVGAAANAGRAMLMRQRIVARLRERMYTAALQQEVEYVERGEGDVISRLSVDTSIVGESVTQNLSDGLRSVIMSSVGLGAMFYISPQLTLLMLTIVPPISLGIVFYGRYLKKLSNQTQEALGEMTKVAQESLSALRTVQAFDALPQEQSKFHQKVGSVLALARKEAIASGIFFGSTGWSGNVTLLGLLGYGGTLVSQGAISVGDLTSLLLYTVYVGNGLQMLTSFFTSIMRGVGAGVRIFELLDRSPAISPDVGAEVDPARRGPVRFENVTFEYPSRPGVEILKNLDLDVKVGESVAIVGRSGSGKSSINSLLMRYYDPVKGKVTFDGQDIREFKPSSWRNIIGVVPQDPVLFTGTIASNIAYGHDHATQEQIETAAREANCEFIWGMPQGFETQIGRMSLSGGQRQRLAIARALLKKPAILALDEATSSLDASSEHRVNDAIDKILRSRQTTCLIVAHRLSTIARAEKIVVLEDGHVTEMGTYRQLVHKEGSRFRALMAAQL
>EED82641
MGKSDIQSWIASTSEIDDSENTNGESSQSQRGWRREDILSDWTRSLELARSRLLSSSTKVRTQFLREELLGLARQDELSLSQILDIYKLLTLTYSRYVDTPSREAVEEVGTELVRRDELRGRSDGDQDETPLGVTEQIIGWLSTEVSQIAHRASSHAAADVFILLSWACGLYVVCLKVNPEFPSSIAWNNLLSAVAVSVDMLLDRTARIKPSIQKGTLTRVRRALRSCPEHISTAIRTLLAKGKTSQQALHVVPLLGICVDVKLRLKNVKDETLKALDSSLKTDILSFYATSVLMSKTPVPIHANAAFHDFIEAVVTQDDLTSSVLPTMEKALLRSPEISLFVVTQFFDAYRYPVEGDIFRKVLTSALNSAKSANPLVRKNASLLFRAMISKTSSSDDLTFSVSETLSLPKSGKTTGPDHRMALYSMLGFVRPATSASTAIMQTSLPLLAKETHDGAISVLTSALVPHLVFCLRENIVLPGDAVSVITKELMGAKPVIRRAFCSLVGDAFWQLEVLHTEVSLSLAKAVLPALDTNLKTVAAAPLTSAAGPLEGYTAVAVLLGPFSRSGNFDDVIAHNATVQSLMTAGSKPSFLLWDKVYQKLNGEDDEKWLLRAAQASLAFFQRELLRNKQACAMIGMVFLHLVINSPLPQTRRETLKTLEESVAQLPEVASVAIVSALSAYVSKEKASSAKVQNGSSEENEPKVNREGRLSAFLLAAQAFSEECDSATRKTALVRCVVLAHHPALGVGSRQIWIEACQKGRVDPLNLVVERADELFKEIQGALDFRSKSYNANIADAGHRAVTTIVFVAPEVILPRIIEQLRADINPSEVNALTDLDLGIWATPEGQTFVDVLSSKKADEPVKKGKGYKDAQWEAEVRKSLASKKAASNSTLSKQDQGLVDAQLAKESQIRQRVVAIKARLERGLALVRSLVAAHVEQLRSYLSSIAVLLLNGAFGKAVALIGHAAFERYLDLAQVCSERLDTFRAWVGVATLRSLDVEGIPTDFCTEPLNSLVIRVLYRLRTLSEQVPLDAATFSYAYPLFSQVLLKGGIALDEEDDPLEQIALTVDIIKFHSGEFLDSMFPRARAMQDLLHVIRNQPKLAKNASSALVDIGQAMQANAAREEIDVLLHGTLQQEVYVRNSCLQALQPFDLTDLDWSPELWIVCHDDDEQNARLAHHLWEDNGLDVAENFMHDLPRYLEHEHGYVRSSTAAALADAVVNHWPQLASDVLDSLQAFYREKAKVIAPEFDEYGMVIAQSLDRSDPWPTRVVIGHTFELLASAFSARDVEPFFRFLIQDEALGDRSADVRKAMLSAGTAVIDLHGASHLPGLISMFEAQLESTGPATETADFIKEAVVILFGRVARHLDPSDRRVPQIVERLIEALGTPAEQVQIAVSDCLSALVKVMESPIAPLVDRLLADLFDSTKYAVRRGAAYGLAGVVKGAGISTIKDFNIIERLKSAAEDKKRYEPRQGAMLALETFSNTLGRLFEPYIIHILPVLLASFGDATPDVREATHDAARVIMANMSGYGVKTILPSLLSGLDEKQWRTKKGSIELLGMMAYCAPRQLSQSLPIVIPRLTDVLTDSHAQVRVAANKSLKQFGEVISNPEIQSLVPVFLKALVDPGKTPNALSSLLKTSFMHYIDHSSLALVVPIIERGLRERSADTKKKAAQIVGNMASLTDSKDFVPYLSQLLPLVHVVLVDPVPEARATAAKALGTLVERLGEVHFPDLVPGLLRTLKTDTSGVDRQGAAQGLSEVLAGLGMERMEGLLPDIIANAQSPRSSVREGFMSLLVFLPTTFGNRFQPHLPKIIPPILSGLSDSEDYVREAAMRAGRMIVTNHSSKAIDLLLPELERGMFDPGWRIRQASITLVGELLFKVSGISGKAEIEEDEEMVDAVVVESSRRALVEVLGAERRDRILSALYLARQDSVNVVRQSSVHIWKALVHNTPRTVREILPELISQIVSLLAGDEADQQETAGRTVAELCRKSGEKILGEIVSILKSKSASPDAKTREGVCSVLCELMEGTADSQREGNEDDIIAMVRTSLVDDETNVRTAAAKAFDTLQEHIGAKAIDQTIPTLLEALRQPGQSSGTALQALREVMAVRASTVFPVLIPTLTAIPMTIFNAHALASLVTVAGTALSKRLTVILTALAKVKESPDIEENEELHNAVGEAIRALLGSICDAEGLNTLMLLLLGWAKHETVPRRMTACELFAVFCEESELDSSLYWVDWIRQLVSLMDDTEVSVHTAALKSLDAFVKSVPKDELEPLVVPLRRTIEGTGAPGRPVPGFSLPKGVAPLVPIIISGLTTGSNEQREYAAYAISDLIERTEESAIKPFVVPFTGPLIRVATQATTYPPAVKTAILTALSTMLDRIPAFVKPFFPQLQRTFVKSASDPASLGVRNKAAQGLGMLMRSQPRVDPVITELITGAKSNEDPIAASLIYALAYVVHSAGSNVGEKAREACVSLITEAFREPHEENYCQAVAALFSALSSQAQLLKPVVDAYLSAGTPPTVLSSHCILAVVSPDDEFHSGDDDAPNVFQQLDVLRTVAQKVKESTSSDKLSVARPAREAREALKLLDDDALQGVF*
>EED82642
MPSLNFAHAEGIYSLAGAIIFAVAYLPLLLTFLYRSIRLPTFVHFILTLFCSVRTIAFILRAVLAGSSLAAQNKNLVIAEQVIYSVSFSGMLYSAYTLVLDREAMVEVATLIQNNVPGPLKIVFRLLRMRMLIRLILVLAIVLGIIGAVDSETTTSSSKYNKGIKLRSDSVYIFLTVVCALNVNTFMFSAATIMGYSQKPPGIQPVGLRRISTTYGIFILLAIAILLLTREAFYAGTESDLSKQNDEKLWYPLSALTEYVAAVLFLIPGLPHGAKGDTCAGTHQLKAGRSLEESGKDVNNSYVWKIVNVVYADEDRIHLLSSDMVFTVSDDANDRQDYGAPKAATKIRSESAQRKAVGTLTGPAQEMLGIGVSMTFCASLDCNMNEASLRTPKGCRNELQAYI*
>EED82643
MSHRLLYRGALTLPDSHLLLDGVSFTAALSTGEDEIGKDSSRNLGLDLLNHPLALALESMRGRPSLHFLGAIKLSEVWIDEKSTGVVSMDVHPQASLTRMYFETLFCLDGGITPFGRSEYGFRISLSDNDDPSASDILVYAQVSANDCAASMIDHPSTSNAPSEPIQIVAARLLPGPPPAPVPARPRPDDPTPRIPPSALASKRKRDISPAGQDKRSRTTTDRGKEKATANDIDAEALRKARETMKRMPKPSTTSTAAPVAARANGKESKRGEFKVPKVPQWTESVPSPMDDGDMFGAGYAAGTPAQSPEEQEKTNKTASHSPFVVVKQATVRCLADHAINKQHPEFNDLYQTIYRGASFALLYITSHQHI*
>EED82644
MIGRVNSPALFSTNGPAGGSNTVSSFHQAVAHTPYDAVRQDILSRPLSPSLSLTHTSASDTMFSRLTDSTGGFGNRMDDFTETWTSVSDTMTSSSSNWSSDIPDNEVDDVAEAEPEPPDVVQSYQFRGVYFDSVRTIVRPNPRFAANANIYPAMGMAESSVSLATAIDWAETAVPSGVTSMSGSSTPERPLTPPTAMDAVEAARRAHPGPGYASTLSFPSHNLSSPNNEIQGGGIALHFGQSRLYTAGLVAAYLITPDPRVLIRRAVQRAALLPSTQHPILNCAFAMGIDGPSADMDASEWEIVAPEWWRTSFRSISWAFPPTRRQRPQSRMPVFKPLIAGSGSYARPPHYHDLLHRTRRRELVQQVTEEELEPNSALPGVKCAEEAIRPYEIDVGIRSSVDTPRVDYRPLFAGLIEEEELALAQHRWNEQVQGLLGGVLKPATISIEIPSSPGPDAKLWDPLDSPSSVPDLDTANSELSVESDPLPTTPRGMHAQIPASILTGDVASPHPSKPLNAAAVSFIPSAPTPSPPNSRSPDSAIHSPSPIHEFAFPSLTADNPAASPAARKRLLLQKDPEGFYHPVEGSESRVDSHSTHSVTPRRASADLLPAFLADGANTARTRNRMPSRTREMVDRARSGRLSKKAGSKGSKDATDTFPPPSVSLTASKPKEKPKGETSRTAAEGDGWIRDVASDPCRTEDGWIAGNPVNPTQATANPPRTNGHKRQQKQGHKRSTSSTSSLTGSASGSSGSFSPVTPLSNFGTLPQTAPSFAQIQAVPFAGPPPYMQAQLEAQIAQIQAQQWQIYGRGIGATALAHYGPPWAYFPAAATAPPPSLYNGAKGVGMMGVRW*
>EED82645
MDAFAHPYAYTYPLPRARGRVHKPKPRIHHSPLAGLLTRWNHRHADKQFAAEYGTPPSSSSETPPKSVQTPGECAGSLVRKHAKMLTSKLQHFRGLLRCAQMDLREARQVSALAEDLEQTLAMVRTISPFDTETDAPVPLHECLEEAVPWAVYITDTRFASPPPPHYTQESDSEQELPSSETLSSTSSTSPRSSAVSSSSASSARSSPESQQQKNNVYTYPYAESQSVPPTTSYTQARATHSPLVLAPSPPQSQAPAQRPPHDRPTEVYWEDLLNPTPAPMRMEIPPLAPDEYFIEPEGSNLLACLRPSATLEPPATSSSRWVYYPDGKPDGSIQENNRTVRKHRASPRARGSAGANRRTRHKTRDANSSDSDAAYRGDTEEGREGQHGPVRGLSKDRCTSRAHNRSRQPRERAYSPYPSTSPRKTSR*
>EED82646
MASSSSLTTTHLPRLRDELAQWRAEQPTDSGFKSSLEDISNKLTNLNSLTVAPNKDIFVAFRTRPPVDEEANKKFALPQSAEESNSDTTNASNSGNATVQGSAFCYGITATSAEPGKMAAHVPGMKTGSGKTYTMEAIEHRVARDLFLVARAMGRRLLDAGQNFPIESSMDMTDSDFFEFSATWLDLLGKHAVDLLEPAGGLPTDAQGNVVRKDISIQENKAGDVRPGLISTQFKSSDELEKLIVTALSHRRTSATARNARSSRSHAVVTIRIKNKLLPYADEGELILVDLAGSERYEDSKLHDKQRMNESRENNKSLMNLKDCVRAKAKMANEDGFVHIPWRMNKLTMLLKPISDPEARRTPRTLVIAHVSPHIQDATRSFNTLAYAAPFRIAPPRPRGSAPYDAADPRTWDTAHTRAWLTDEFTQRTRTRTVANYKVRAKTAARRGQTLPPPGLGPAAKPAVDIARLCPESMTATHFARMYTVEFVQRCLEAASDSPEATPDILRNAAEDVMGTLTYLLLAAKTRTRNSIMKSRKKLALDSTYDAEIAEAAQSLGSSWDETLQAATVEAEQQHVGVYKTQAEVINQMMDRWKAGNANGERV*
>EED82647
MALLYAMV*
>EED82648
MIVFDESDTTVKSQQRVEDRVQALQNDGENIPPPAYSGPSSNTSALHIAAHANDSLPLLRAGYDYAAKEPTSRRFMKAFAAALLIYAFLALFARGIFMIARYEALWSKINHDEVGWPLEDDGKLLRCVRGREQWLWHGAGAPYSASFELPLSAEQVILTSRGRLSKGRVHIIQDPSWTKPNTAAVNISLLYPFEALWDRMSVCMLDLDGASVVGLYIDDNERKPKTPNRWPALFPDVFEFNVTIRLPISEDHTPLHIPSLVTNVPNFSQFVDDLAGNVTFGLLNLWGSNGPVAAQSVSAGEMSIMSRNGPIEGTFYASEFLSLVTSDSHIKADVHITAHNNNTALHMKTSNGALDSSVSLASASPGAAGDSFIVSAQTSNAPLTLAFPQSPLDARLTLRARTSNAPAAASLHRAYEGSFKLRTTNGRMAVPFDQNAHDPTGSGRTRQLSLSQSERLVTGSVSWGEADTQTGSVEVESTNGPVTLNLV*
>EED82649
MLVELKNGETFNGHLVNCDNFMNITLREVYQTNADGDRFWKLKECYIRGSTIKYLRVPDTLLDAVKEEQNKAREAGRSARGA
>EED82650
MFYLIGLGLCDEKDITVRGLETVKGSARVYLEAYTSILMIQKERLEEFYGKDLILADRDMVETESDEILRDADKVDVSLLVVGDPYGATTHTDIVLRARALNIPTRVIHNASIMNAIGACGLQLYNFGQTVSLVFFTNTWKPDSFYDRIAENTNLGMHTLVLLDIKVKEQSEENLARKIYEPPRYMSIPQAVSQLVEVEESRQTGVLHPANTLAMALSRVGGGDEQRIVCGTLDELLAQPADIYGEPLHSLVIVGKRLHHLEVEYAEAYAVDKSNWRRVAQDVYGCALDS*
>EED82651
MSTLRVMKFERPHEFARAIADYDDYSMNFALGSLLDYGDKSQVLSQARWGAPMGALLAVYREDELLLTLTKHAKNFSWVMASPSHVDPSLEGDASDIDAAATLIAETLPTVVNPHLMDKVLGPERAVNAFIESWVAFMVKRGVQLKTPDSYFHSRACCATLSTLPPSSMVHDYPVSLARLSDVDTLIPLFVEFSSHGPNPVSPETARNIIREGVEARRLWAYRIREGLAGYVLVGRETRRTIAIRNVFVLSQYRRKGIAEAMVRTVTRYYLGAETPNEMARAGESAVIAKHEICLNVGDPAVMRIYSRCGFMLDNNARNLDTEKRGWFATIWRGVEPM*
>EED82652
MSSTLSFLDQLNAPSTEGGKRISIYTPKHTHVGDSTLLTFLLSNPTEVFNKLKTHNPEATNATDRTTLEAYLSARHEYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHHFQPLLPRSIQARHNKFIPRAIPNAYLPLPTPLPTSAFRRPPIRSPFLQATPQSTTIPADWQPNPGWTPKGSCRRCGLSRQWVRDCPDVRCAGCRKEAPGHLEWECGTRPMKRHVSTPPEEPARHVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPRSANPEPPTSPIVGPSRPRPDTPVVFCKVDPDWTPDTTQWTWDSSWPRQKHLSGEEWKNLGRNACNEWFDEEEDDGIDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED82653
MSAQEAGRNGEEQASLLSFRASPDTVTNLIKRIRFLTLRLLPVEVPLDTIADPTSRVITPQVIDAYIAAAGDFLEVLPYALLRARKEFMWDANNNPADFGENSGRAVACEVLARRVIHQAPSEKVVPMMARRFRYTEVDGGMSDKASALELAIDSHCTIFLSSTEAQDVVNYLWRGQLIQRHQADHEIDLISGVILTQVGYPFLDIRTFFAYPCGSYSFSLTHKQACLITRVREPLDKLNPDHAGLDGWEVLMYVLALAFSFESEQICHLYKLFLFVSWRSLGFWDVISFLTDSLLLVAFVLRVVGVSSPEPRSVTIRILSFQCLSFVAPLIWMKIIPIFDGFKYVGTMQICVARMLKESGIFFGLLALLGIGFMQGLYALDAADGQAEHLHEVVHVLIQALLQSPDYNMFAASWNVATALILLNVLISLFSSAYNDVVEDAAAEYLTYFAGKVGGMIRAPDEYVYPAPFNIVEILLSVPLLRNTLTDTVEQINRYVMLVTFFVPLSIIALYEADLEPSKNKWIKDWLSNPDEGGEDAPEFENPDVHEDDAARGLKISKVAFEDLVKAFPDTTHSSEAVVLREVRQLKEQIEELKQLLRNGAKI*
>EED82654
MSRQPSPGPSIPHMHQPNPLSMYHPPGVANDPRFIQKHRNKTVAGITAGVEDVKYQAKYKDLKKKVKEIEMDNDRLLFKLLAAKKNIRRMNLERAILYERLAAVPPTPGRHVQDLPPEQDPIFNHQPPLPPEHVRVIDTSDPALSEYLSGRSQARVVHGPDGRVVAVEDIPPNAPGGAPSQPPPHGLPLVYGFRHDSGPGYDPNRQLPPLPPMIPLLQPLGEHTQDFAPINDHHGAPYPQVQPHGHGHAYVQSHGGSTHHSRSNSTRPDLDALPGSSTRIDSLPSTHAGHSRSPGVPGEPHAERSRRHDAAEPAHLHGHPHPHPHPHVQIPTQNLSSSPPAYSPASTRSSERSGGRVHNHQRVGPGANINRDVVAREWEAEQAWNREREREHAHAHRLRHEMRAEWAHDEREQAGPGVSHSASRSASPPSAPGNGGSRVPSRPASRQAYDAERVRPRPSRAPGPIGAERESAFDEPDDRVPAMRGPSAEMPAAGLGPVDGADEMDADEYPNEGGGIARAPSSHNSALPRGTKRRRSEDGDEEPDADERMEEDD*
>EED82655
MSASIAKTKSQKGKPTPPTTQEIQQNYNRLQNELQTLASKIGELEQEAEEHGLVLATLDEALTEEPDRKCFRLVGGVLVERTVKDVVPALQTNKEGILKVVANLAEQYKSKEEELESFKRDYNIRPASAA*
>EED82656
MSSVNGYFMPEQILVHPIPIASSELPIRSGAAIPPSFRDNLSLLPPVVVQLVFLPCVMVHALNGVSDSRIPKCVRTSHYADDAFGLVPNGTRELLHGMVHAFFSANLCQNMLLIAAQGNMQIRGPAFIIEILTVWTVVLAMRDGAASWLGRHLRRGVATTMPYRHNTLLIFCIACAACGYPVLAAPPILPSKCQNDLNILSNMLSFFAINYIAHAATAPPVIGTLDPAIYVPKLKYWLSIPQLFAIIYPYRGLLRSLCIITGMSSLSPLKLDDTTIALLSGVVLLVARDPSDWEPSSQPELIRVRLPEELELSRITDSDAMNSHVYIELEDAPFPTTRVDPKKHNIVGEIELPPGYRLRAVQADVSWFYFACQNTAIQSVLDLLMRLPIGDLPRSLVSNIQRRYRKITEDLPASQHRDDGPSRDHTPSPALYLKPLPVLERVRDFLRSSVDDTSKINLARARSVLPMVISIAQLISATIALYETRGDQITRFGYAAYGLSVIPYAIMTVVNLVCNACVGQWPCRFVLNTGVCAEAARRPDAKIDGVVGVTKKLEHSSFQSPDDAGTPDEKGGYTLAFLSKECIHIDRGDSSKRELLVVQVGDTTRRFQLLKTLPKETSQNASSAVKNAHYTFSISSINNHPYQHPPESSIEDGLGRLFKRIVGFCVPMSFAIILIPLPYLITYALTGFKAHGSTAAQRGWMMTWLVVGQCLAFVPPFQNSPALSKLRQADTKLGWFRIPAETMVGLVVLCVIVIGGVPALGGFYEVGRMFLVDRGFHRC*
>EED82657
MTA*
>EED82658
MASFSLPQINDNPDGGWGPSSSNLPAQFKFKDIPYAPYSKTDKLGRFADWNDVSGDNRQNAGTAAQQGNTRGGGPGGRGRRDGQQAFGSGTASAFAYFHAEDESSFSLVDTKTSAARRGGPLGRGRGIGRGGGANRGGAQRGGRGGFNGGRGGGAQRGGRRGWRDWEKNNRSREASVAISPDWAMLEEIEFHRLAKLRLEVDDPEDFTTSTRPMDFAAQLNVSLANGWGIVRTVTDMCMKQPEGKYVLVKDPNKPVLRLYAVPANAFTGEDDEDEGAFDGEEPDSVGAA*
>EED82659
MEELRRQGTFETEEEAKRREIVLGRLASLVKRFVTRIGIKQGLSQAAAEASGGKIFTFGSYRLGVHGPGSDIDTLCVVPKHVSREDFFEDFEEMLKATEGVDEASAVPDAYVPIIKTKISGIPIDLLMARLALSSIPDTLTLQDDNLLRNLDERCLYPNAIAGAIVSRFFIIMYQWTWPQPVLLKQIEEGPLPVRVWNPKLYPADRAHRMPIITPAYPSMCATHNVTASTQMIMTEEFKKGQLEFYSPGRYLLFLAGSEIVDRVIVGSADWSELFSKHDFFHKYRYYLQVIASTGSSELQIKWAGTVESRIRQLVMKLEYVDSLTLAHPFIKGFEQVLHCLSEEELRAAAQGDVSDAVAKRKKEEIEGKEGASSIYLTSFYIGLAIEPKQDISYPTTEFAKLVKMWDKYDGSNMGIIVRHIKAYTAIPLMANDNHARR*
>EED82660
MILDVLTRSSSLLLLAGVVFSNGAASQNTYPVVELTYGSFRGNTTGNITSFLGMPYASPPVGELRFRSPQPPRPFEGIQNAFSYGAACFQQAEVLSPLLPPNISTTQSGSLKNISEDCLFINVIKPAYAVPGTNLPVVFWVVGGGFTRGDSSLNPGGPVVERSLVLGEPMIHVSINYRLNAFGFLASKEVQEAGVGNLAIKDQRFALEWVHQHIHTFGGDPSQVTIWGESAGSICVGLQMLVNGGYNGGLFRGVVMESGSLSVSATLEDGQGYYDQIVNATGCAGEEDTLECLRHTSYDALAAAINETPSIFNYTSLNLAWQPRIDYDLFPISGPQALQEGLYTKVPFISGTDDDEGTIFSFSSINTTTNEEFKNYLQSNYFPTSSPDVVEAIAQAYPEDPIYGSPFGTGTANNLTSQFKRIAAFQGDLVFQAPRRLLLQYASQTQDAWSFVFKRYKSTHYVGSYHGADTSDFYKDIDYIGMDALINFVTKLDPNADPTLPANISYLSGFEWPQWNSSPSRPILTFWDPVPSINITSDTYRSDEVGLMMNLSLPSS*
>EED82661
MPGMAPPPNPAPFPSPPMLVTPLPPMVPLGPPQHMTHQRRRSDGNLPQPAWYPWGTYPAFVAPPYMYQQPQPQPQPAPSPQLHPMLNGEGRDGPALLFDVSVHTFQPMRLNSAGASSGPTLSLDELGQQATYPPCRRMKISCDIIPQWPIELEAKEQERTLFLSIPSNSHKDAPITVGDVLIAIHRSLQRQISHVDWARLSQAEETAVARAYTRRCKTYGSVEAFEKSQGVRRVDYLLDKYMFRGLISIEYGIEYDICPNLRTLR*
>EED82662
MPLVRRYQTGSHFAEMLSTAPSNRTDRRWRNVDWSSAAQGTISGEVFAWIDAIHSFVNDLKFALQRARAIPNYTQKRTFAYLAPLYVYVILFGAAVVALHPRSYIHRVLTSPYEHASEARQYLVGLVLSLVVGLLILRLVIWFAAELVDVILENEDQPVRISSIDTDERDELRNDSARNLWLGGILD*
>EED82663
MAIDEYYKPDSDKFIFLLTTRAGGLGINLTTADIVVLYDSDLISQADLQAMDRAHRIGQTKQVYVFRFITEGSVEERMLERTAQKLRLDQLVMQQSRMQHTKAANKEDRLEMIAHGAETMVNSRSQWEGEDFRAGNILIELGLQQRKALQFNPLALSKCERKLNYSVDSYFKEMMRAGPSKTEKAPKMPRAPTRIQIQDFQFFSSRLAELQKQELAAYKRANDIPAILREPGGPEDTPEKLEAERLAAQQSIDNDQSVVAYYVVPSLTCNTSVGPLTEEQQQEKEELAAEGFEDWRRMTELLAFEIQDKTSDEVAAYYPVFEKKWKELAKYPRIKARIEEGEAKRHKWSNLEQLLLKKIATHIYERIKKDITEFPVFHFDWFFKNRSPQKLQRRCNALLGMIEKDAEQKQAEEIKTKGPKGKKRGIEAVDKSEEKKPSRSSTPTGTATAPAPNKRAYKKRKIQTYCTT*
>EED82664
MNGVRRFLTGGGTPTSSTFPQSPPSPPSQYATITPSPPKSTAPLAISAKPSWPPSPLQSPTELSPVTSPKVTTAALFLRKDRQKPLPSASEDTQGIASFHSPQSSRESNGVGSPARSQASVQMSSPVAGPSSPRPLPSRVSELSRKLIERPGVTTLDLKRNSEMGSTRDDLLIDLLASEAIVDSRGCEILTSEEVEELKKEHQVLSSRLVAASKKLALETKIRDAALSLSKANASFKNVSKQTSEQLDTASRKVELAQKELWRISERANEHRAGVLSHEENCAIRRRFHLQMSPTPSSATSITTSSSRGRFEHFVAGHSDSIVPQVPRAPPTIAEVSFLEEKLKAATAALEAATAKQAEMVRDLSLLKLEKDQVETTLGMDLQTAEDTISMLEQEASKVGEVEAQLQELEAERDAWEADRAELEERRREVDTLERRLEVLEEQSGEAAEMSSVFAREREERLGRLAVLESELAEERADRAEERQAWAAERAALADGASGRTELDAGVNALSELMQTHGILLVSRDSSIVGLVASVGRHLEDLRAKIDAHTRAEEEWVVLRAKLEGDIRAGLDKREALFEEVEEARRTRDEARVQARELETQLRVAQMAVASLQASQAPVEYTGDAAQMVALLRPIWAVLPSPEARASKLGARNFRAGSPIMPGSPTPGRNNSSLSEMDVRSLKTLYDPRAMPPPSLAAFTVEAFVARVQALIADDRALIERLIRFAQAHDLLKKNAERAQKLAQESNGALETYQKQVKMLEDRNLTMISKMAQLLTSSHSEDEAHYLQEQVDRITAEKLEIETQAAEQAETCRQLTDANNTLSARALTLASDAADAGDSVRKQMEVQLAECNTALERAKEEIEAMRSSQQTQQMALLEELNSMQTENASLRAQLRKK*
>EED82665
MRAV*
>EED82666
MLMDLFYETLPPHVTRKRRVHFHAFMIDVHKRVHAMKAKLGVNGGDPIAPVARDLANEAYVLCFDEFQVTDIADAMILRQLFERLLSHGMVCVITSNRHPDELYKNGIQRSSFVPCIELLKTHFEVTDLDSGTGEHHTSLSPHPTHAFTCLLRPAHAREPRGADPVQRNRELQTWGRILRVPESTSTVAKFHFEQLCGQPLSAADYLEITKQFKTIFILDVPKMGLNQKDMARRFITFIDGTKTKIFITSEVPIADIFAADSDAKSGEISDHMRSMMDDLGLPGDMVISSSIFSGDEELFAFARCCSRLVQMGSKEWAETAGTS*
>EED82667
MLAVHTINVQLLGISTVHGNAGWEDTNKNAARCLYAFGAPENIHVFPGASKPLIRLARHDPEIHGIDGLGGVVGLPPADIPSVQARLEPSNEPARALKAISDAICRTWKNGDGDKVTLVSSGPMTNIALFVSLYPNLLDGIDEFVFMGGGVGIGNRSSSAAEAAQIVLDAPVKKTMIPLNVTHTAILNHAIYKRLLSPVTPTTTFDDLPAASTKLRYMLSTLVTYFADAYKSTFGFMDGPPLHDALTIAYVAHPELFKVRRYRVDIELTGALTVGETVVDMYNYRSCDDTWGSSGKNCLVAEALDVPGFFEMFLDCVARCDLVSPLNK*
>EED82668
MVNVHFPLALCLPSRLALLYKENFPPKPRWSVEEIPDLTGKVAIVTGANTGLGFESARILASHGAKVYATARSQEKGTAAVQKINDELAKLQNSSGEAVLLVLSLDDLQSLKRAVDEFTSKETRLDILLNNAGIMMPPEDTKGPMGIDPQFATNVLGPFVLTRLLLPTLLKTTRDSPDGTVRVVNVSSLAHGWCPSGGIRFDDLSKGSNYLRYAQSKLGDLVYARELARRYTDQGVISAAVSPGNIDTGLWAHQKGSLLSPNTLVAKLKYPVSYGVLTQLYACTSPEVTKEDSGRYFFPWARRGHALRSEADDPALGTKLWDWCEEQMKKAGITA*
>EED82669
MLPLIPALALAFVSFLSSAFVILRIIVPILPPHPLSRRVRPSEFGLPNFRSLSSADKSHVWLASFDVVALILFAWQVISESLGSSPDYSAAHDPAAAVRLWLVLTLRQTCLLVIAVLTLVHVRMGRSVALGKRHWMLWAPTFLLVATSTALAAVLSATAVPSFFMGLFAYSTTLAITSSVAFVCLMATFIMIRRNLATLNEIRDPWPRAQMEELPRPSFATEDIDALKDGSSWITSRASSRRESVSAFSFSTHHSAKPSTGSVRIAATNPAMASQPSVAPKSSFWFNPATPYSGVGRESPVPPVPPLPSPYRTGSSPTSAQFHDDPDPFRSEPRDRPLGSQASWLTENSSYQPTLTAWSFPTSRPSSPPPSATTPGPQTELLPSTTAARSTPIMANAQVLGGYGYDTEKHTNGLALAPAADIDVSVKRTIGWLITIWIPQAMALPYFFTVTQQAPIASSPAAILLILSVTLSSPLLALHLLLRSPLPIPSGLFDTYNDPPSAVMRAPSPQSIPSLTFSHEYKRSGSVTVVEGRRSGDVWVSNGDAVGGKTKVGRALSLLQPVPRLAVLPVENIQEAQRTPPLPIQDVPKSPLPVPATPQSEMSEELGHHRTRKESKASSYYSGGSEALATQIMTAQKHYSSLAMTLMLPPSPDRRVSLDEGVVAAAATGVEPTQADAPRHSQHLRTRSVSSITGGSTPGSPRFPMSPPPASPLPPTPPSIRELRERQARMISHSKSQSQSSSLLNYSFRPVEGDDVGAIDSLSAGLLPLLVPGLTVGSDVKVHEFMWDTPASVSSKSSRGFRFSRLSKNVPQELGGISSEFSSPEMHSTPPVRKAVTTRQRKTSAHKRNHFSLPSLSLGKDGVHSFSTWRNDLNRVLDNAAGQHLTVATSETTRRNTVYGGEIITSTVTLLNAVKEEEESARPQSPAAAAKERPASAHTFGEAPSSPEDVPTNQPDISRL*
>EED82670
MSFGLRHNSIYVFVNYALKTRLRLPNDNYEQPLISMSSPKDHPAIDSEKWKAISGPGGQYQFLNAKFSTYITSRIPLEEDGEVVGRVSGAIDSIWWFVENLSSHGPDAYVYSGFINSYLLPSNLTHFISIRPSSQPNLCWSLISGEDETPEFTPNPENIWQVVQIDIPGQAIQEHFNAGNTLRRSYSNQEDAKEDIDPDGFALVAHYIHSATVDSTKKVEMISQVMTDLEPHSSNSSNYTHTQSRESFDQSHAPEHTAGYITVLALINAFVRSSDFDADEKVKNIDTILSLKDAGVLLPPLPEAENISQYLVTTLDYVCAFIKG*
>EED82671
MNSEGYNNSGGSFGGQNQGGQGFQGQGGQGFQGQGGQGTQDMGGQGQGNQGGYGQGDQGGYGQGQQGGYGQGQDQQGGYGQDQQGGYGQDQQGGYGQGQQAGGGGGGDDNYGGGGGGGNNFGGGAQQGGLGQGGYDQNNQGAGAGPGGKPSMTHRIKGNYTLLLQTPMSDTDRVLYAGDLQEIAGKVTGNQGMVQRGQEEKSGY*
>EED82672
MRPILSSWPESKSTCHRFCGTILSDWHPAPMEEGWVTFGFCACPDEFSESELGVIYRTLLERCTFNEFWHAYDEYSLIALFDRHGLKDARLRIPNLEVVLDGSPRASVWYLKQFVVDETVCVAPRLSVCADYGFDKCNSPSLVEDLKGIYKQLLLEAHVDPVKLHEVCIAGNLFRFASGFMKFKKAEKKKFARLMKNPYPLTDFEPEVMRGWDGNLHVVIGIQVD*
>EED82673
MAVLSIKSKFRGPAPSADPSQADIIDETLDLFRANSLFRNFEIKGPADRLLIVLILFVSDCLAKIGSARTVPSQIEATKLLNTLAVDNFPVPGDANFSLNAHYASPASRADADYLRQYLTQVRQELAARLIERLYADGTGKPSKWWMSFQKRRFMSRSLGA*
>EED82674
MKKKCHIVPPEWLSIEFLQDRLTQETTEPDFSEMPFRFAEIAKTLLDVASDDIINPDKVRSLLQDIREARQAKSREGLSKLDHSELSLPNLCSMEINEIRPFFVRSMGILGQLVREPEVQPMDQT*
>EED82675
MQRRKACFDFDISYQGIEQEVGKSRFSLHRYERHVFNDHKSPMPLEEALAPYTQHGPPVGEKQERIARLLVESGIHARDFAYESTLPPIAPVRHVRQQIQPGALRRGGEFAGEEDDHEPQTFYCDAETGGTTVGLRPRKRKRPIERTPTEPVDEEPSQGPCPLSGGPLRLSQRRHWGADLAPRLLATPRKPFHPVASTPISSPGNRNTHSPSQPGVQGTSQESEWIDTPLVTPNGSFQWPVADSSDVPASQLDSASQLPVPEDVTFSQLGFSSQRTPQRTPNRGRVHDRAVLCSPIRAPVFAAADASTTSASPEALPPPPQPLPPREQSPAGSPRKRRRKDNTEAARDTPPSRYFLRERAVAPTRLPPPPRSSSRTASSRHAASSGRVSPAKNAGGTRTSPSRRQPKRNTRSSRRGEQQGSS*
>EED82676
MSVWTYICCACPVILLQLLFVPVASAPLPAITECQQDLTTVWHLILFFATNYAAHAATNPSYFDSQVYVHIWRLKAWLSWPVICALFLPYGGAYRSSLLMMAMVRMSYIGLDEVSVALVSGAMLVVARTTLWTPPIWPEEVPVQLPEEFFNITESEAEQISAKFVLDDSMAGVPTAQVEPDKCDVFGGAQLPDGYELRCLSPDLKWISAASNAKVLPPPIAFAKALLRSNVEDTAHIKLCRPRRWMKALLSIVQLVSASITLYNTRGDQLSRFGYAAYGLSVIPYALMSFINLLCNISMGEWPCRYVLRTAILEEAMRRTGSRVDGAVGTVREVAPESTGADMSAPERPHLKDGYTLASLSVELPETEKPSVDDRPKLVVSVGDFRRKFRFDPDAAGGDAGIRLFNFKIADLNDRICPSALSPESGRGWEIIGNIPSERTWIWWGYVFADGLCILLPTAAIFLPYVIINSLTGYKAQSSTVAERAWMTSWLVTYCAIFTHNALVIPVLSAPILTSSRCQKDLTIIWDLILFYITNYVAHAATTPGLIRTLDPAVYIGYKTWISIPSIFALFLPYGGLVRSICIMVGISSLSPFGLDEVTMALLSGTIVLVARDPRRWQPSQTEELIRVKLPAMFHDMTDEEASRSLAQFKVEGPNFPVVKIDSNKYNMFGETVLPPGYLFCSVRTDVSMFIQPLCEVYIKEYMLLVRGPQASTIRPDMMRLGFNNKEVSADHVGAIRNLLRSNVEDTGGIDLCRPRRIAAMIVSIFQLISAIVTLYASRGDQISRFGYAAYGLSVIPYALMSLVNLLCNLSIGDMSCRYVLHTGVCAEAAGRNGARLHGMVAVPRALAETNSVGTDSDPVDTADPADEFVFAFLSIEESEGPDVDHKVLAVRSGGTIKRFKLHSDDTPVEGAHTFHVSSINNYPLRTPRAPVAGAAETSPPKWAMTMLGSIMTLVYLPYIVLSLLTGFQANNSTLFERESMILWLVFDCERLGQAHYFGALLVSVSHLQ*
>EED82677
MAPLRAGMLLTFLVLLLAFCITPALSLPLLTSPTCRQDLSIVWKLLGFFATNFLAHAVTVPPSLDTDTYIRIGRWKTFIPWPPIVALFLPNTGLIRSCFMMLHAYYVSCLDEVSIALMSGAMIIVAREPCWEPPEGREELVHMRLPEGFDDIPDQHSAESFAQIEVEPLGDKVEEIDPSDWATYGDVQVPEGYTCCVHNALGNIEFAQGLLLSNVEDTRHIKLCRPKSWTAIILSIVQLVSAIISLYDSRGDQIDRFGYAAYGLSVAPYALMSLVNLLCAGHRGEWPCRYVLRTAILEEAERRESARFDGAVGVVKPLELANDEEKASLTESVDPDIKEGYTAAYLSVEIEPGSASKTLVVRVDGIRRRFRLSPTTSAGSSAYTFGVASINDRVSPSAPTEMEFFGTHYPFPSFKFLVLAINFVLFIALLYAGIILPYVIIFVLTRFKPGQSTVGERAWMMAWVVASQVSGFTWGFLFLARLSRTVFWVLLPVLMTPAVGGFYFVAKISVACGQYGAYFRQPQPIRPIMALAKRSELGAPQLSAQCTLFDCTWPTMRYSNCTPDGPSQKCSSLSSPRTSALRNPFEPAYTEKRTGEISPAIEPTNCTRTGAFLADARSLGSSVRDQIEPLIIELLGNSFANAEIEPDEIEEPVDERSEEASPDARKDLYSGDLYHGCKMQEAMGKAMDDHDKDQGVQQQQPPSVEAEATSATSSAEDGAPSNDRSDLLVKARAFLASPQVRHEDNIAKSRFLKEKGLDDAEIDSLLQDQPPQLPLLPPRTYPQPPPSNLPNLLLGVARIVSWIAGGSAALLLVYFRFLYPRIAKTFQARHSLRSHHKDLLSKLTESLESLKATQVASSAVLPRPEPFQDTQYSHCQTLDEVVKACAGRQDVPEVTLLRCAISDVKASSKTATTDEIFLALEANLPWLKQSDGSNEYEVYHPPNRLPHPLKARLQEKVWQTLSTSAFFRSEETGGSTVWSYITPSLPSPSPLLQSLTGLKSSLSSHSPPRESRFEHTMQAVASLTGYIATQTYATFRMPTAPFGAGLGAPGLSTEEEEVRREIRALKGLVLNRFISSSMLFSYDIQFQCEPRGPGILRAPASNDKTSTGTMKLLATLTSQFGARIIKLSFALIYVSIEDMGLDEH*
>EED82678
MSDFIFVKSSRLAQVMQLLARAGFDLYSSDPSNFTAQVSDEAEEADDDLRTPAIPPRPAMTRSQSHSPSGCDVSVLAPDLTYVGLRDDSAETWGLKIVKLVAFPELITVDTVLTTDTVRPPAAGPRERSASVSSSASSCASIISPPPVPFFSFTRTAEGSSLTAPVELLAALFPPSERHMVICSDELDVLDSRAVSPTADPGAEEDEEDDDEGAREAQGPMRCLQIDLRKFGLGQCTPIKP*
>EED82679
MRLKLRAILSLQLALSTLKPSRVTNDLITESSASPPDLETFSERNDVLLQYQLDRRSLIIDSANPALAPHIVITPPDSEDAWDLYWASWQNRIGIQDTSFLGVPLIDEWPSSLASLPPLSGVEPEPILPAPQSLPAAVVVQAAASVALSFHVRWRDPAFRLRFERPFHWTDAAEPLLSFFSQTLGATVLDTVTPCTTPHIVIEEPAPEQCWALYHNSVPNPQDVGFGHYLTVPSSFVNYVNAQPDPFEEAVDAGMDVDDSSSAQDSEGPPTPNSVGPNDVDLVMSSEHAVVTREDESEDVCHADVVADTMPHPYSGTGTDGYSMGDDDDEGLPPFDDWYQSIASRQA*
>EED82680
MSNPWEDPNAPRQGPPSPDELMRTPNRSPQRPQSRRSPPRLAQPQPSYPQVPVNPRPAPPVPPPNALAIALSQIATLLQNQQQGGGRKPVVNKPKDFDGNKDEYEKWKMEMRMFLADHQINDDNRRTNIIVSYIRGPKVDAFIRILYNTNCPGGYWQISSAELWGILDDHYVDASLREKAQQKIEYVRQGNRSADDYIVEFEDLASQAGYNLGDEHVVRMVHPYPRH*
>EED82681
MSSSIPGISIPHGHICDGVHVKPISVELPIELVLEILEIAAASSHLSAAAISRVSSWARKLALPCLFETLLYPVITLNEINWTSGQYFTKPYIPRHLPGPSIPLPHHVGHHVRNLWVERGNRGSPMDEINLFDICPDVEHLAIQSSSIGALYTTCRAGSRFPCSVRRITMITPSPRYEIHVLDGLRLANGSLFLHNITHLHMLDLRMSTYVPYDRLPNLTHLAVPYLDLGANIAQEPLRTPNGVLDHPPLKMLVLTVDEAKYMGNSWYHTPRLYANGAPMAEFTSPRASFSFFMRQACEKDKRVHVVLYPRQGETHRADWKAAARGGESIWQKASQAMADEQYPTLLPEIYHEKVLRRIG*
>EED82682
MNAVPPAHGSGRLPAYRAVYMQRYHPFPTCERFNVYRSYFDEYIGERVYYFSTISLLRAAKVRKAYGGNCRWSYDRGSCFTFWVGTLLSEASPALEHNDSCSWVSVTYTRGYLTSTNPFLSYILANSQETEMYGGMQQPPHTKTRSPQSWYLCTAPAGWKTRTYHKPNQLTFAAGTLPHAPWLRAAWNRLTLTDRSARGFIMSQRRAGSILLWCLRLNPSIQYRCKAYLDKEADERVAPSPALSHVSHPALVEKWRVGI*
>EED82683
MPEPHSRPPSELSRSRSTPIPLPHDETSRRDEMATPPILSPRTPSVRPVSPSGLHPTDPKRGSERHGSPCMNITTSNDGGDNAADTDQAISTDGSRALPVSPPATRSHRRTKRISKVKKSESPRSPGGRKPQRYLRSRGRDTYADDGVNDGGTSISKSRPSARKRKARAQRTLSESPNSAYADDEPKSGKRGRKKPRTVKTTKRYRCSHPMCDKSFTRSFDLRRHMDICAGPGGEKAPAQHFCSSCGRGFNRKDALKRHCTERPAACTKYLRGLEKRAALQSGKEVPTHSASSESRSGGARQHEEWRDLDEDDQEDSGAGSEDENLARPEDEEEQMIQGSHLAILAIKKLSLIKLAGCGGRYSGFTGGREWVSSGLLRRSIQLGEYGTYEVRTTCSSMTGTGRFSEELSSDKRAAFTTWALGFLRYEQWLQANNFNLCALPPHLCNVAWF*
>EED82684
MCSTEAFLDKFDVSLASLTAPSGDEQPRMCAEAESMDTVSPMDTLLDPSVVYGGGESYTVRETSGVLRDPRRFQIHPFVPGLSQIVPVKQAMIMQGEDSTTELDTERMSVHGEDIICVVYDIDRPSGPILPTDSSALEEAADGPRHRTHAYHVLAKGRGQPQIVKRGHMTVNTSRITHSRRWGVVCTEYATQVPMDGHLLGLVTVLPDGK*
>EED82685
MFDPPRDFFPLVVTSNMGAPKLKILGNLVDSLLESRSELDSTVSAVSMLTHDAVYVLPRTCDPSFPDGAAKLGYRFTVKATSTAVQRILNMPGYLRIPVLDKFSDLEMARLHSAALVRQEQVLVMWSEKEHDIIDDFQDLEHRLRHFVQMCTCGDVSTSPLHGGKEGPGIPTSFRSPNFESSLAVLNVGSSRRTEYEDLLGAPAATGFSREEHVQPSAPVEVAPVTIHPIQTLLNPELPYWDGSYRHWRNANASTMSMDQSLPLTDSDGATEVPSSDAEVGGPAMVEPHLSTPSKSASPIATSPPLAGSPTGVLKRKHGQPRASGSSPTARELRSRIPAPPTSALSYRPLAGPSKLRNPFLAATVVAGASIEAASVSTSTAHPSCMPPMFPAHLFLSDKEQEDGRHDSSNLLGEDTDDNSGSSDDNDDAVEKNYIKIAKKSRTKRAWTAQPAGPSVTRSRRPTLQDSESRKQAHPPELCQVRPSKGDQTADSLDDDGSTYGGEPDCFPSKSISRAQPPRGRKRNASDDEYLDDAHPTKKSTRVYQKPRSTKATKSCGKGFLRKDAMMRHVRSENGCDKHRHTPKKLASKGKQAGLRKDEEVGTSDVTSGGRGGAGRKVVDWDETEDNDSRTDTNTDTSTGTEDED*
>EED82686
MSNTATATLTETFSRLSVRASTDPLKPTGALDKYEFAELTPVIGREYSNVQLTDLLKAENADELIRELAIIVSQRNVVFFRNQDIDLEQQKVLGTKLGVLSGRPKESGLHIHPTVATQEKDEFGPDTQVITSAHHKQIELEEDLNVSQFATKEWHSDITFEPVPSDFAILKIHTLPETGGDTLWASGYEAYDRLSPALAKHLEGLTAVHEATFFKSYVERQGQTLHEGPRGNPENVGTDLRTVHPVIRTNPVTGWKALFVNKNFTRRILELTKDESDATLDYLFRLVSDNHDLQVRFKWNKNDVAIWANSASFHNVTRDYDDHREGDRVVSLGEKPYFDPNSKSRREALGQKKRIFGFKVTQ*
>EED82687
MPSLVPVPPVPATPEPHSHPLAEPSTLCSTHIPSPHDDGKSCHNETTTPPMSIPGTTSIRSASPVDVHATDPTRGCSDNNGNPSDANKAIGTDGSRTEYSPPASPRITRSHGRAQETPNTKRSTPPRSPRRKPQRYLRSRGKVTYADDDNVDGDASVHRPRPSSRKRKAQAQHARGRLSDSPNGVCADDGPKRSRRGRKKPRAVKKYCCSQPMCEKSFSRSFDLRRHMDICAGPGEKKAPAQHFCDSCGRGFNRKDALRRHCMEMPAACTKYLRGLEKRAALQSGKDDSTNSVSSGSPSGEDLQQEEWCSLGENDQEDREADSEDEGLDRSEDGVD*
>EED82688
MSKSVEGEIEVLGFERHVAGAKRWSERGYKQGRLSLIFPIPSFLHRYLAVLPRTPSASTMSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVALGAIRDKETELRVAAAVKQLTERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARHVEEAAKRAKAAEDRRLEDERCRKDEEDRRRQAEDECRAQEATDEELARIAAAEGLLPDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTVERPFAMTEVDMAAAAIVKRQAGQKVRAVPTGLLLRQGVRAWEDEENARRRINHKKSAAFADNLVVRPTSPVPSVADSSGSKKHRVDEPLRPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIICVIREERAFIARRRALLHDMDLDLQKMEKAALAKGGIGRNLAGTKRKEMKISVFEAQFVITA*
>EED82689
MQNGISSQLTQASAYGATFLDLYDDVLAMIAPDLTTKESLQLVAKRQELSSVTIESVQAVIRICKYMLEDVVGRLQWIPRLSVSVWIPDKGSGRYEWLVKRNGQSEAPMAMGLLVSLLEQAHGLQYLHVGDVDNNLEFDHALLDAICALPNLVELELLRGDQFETMKLLDGLQNRLRILRLGVQVFNADKDAMISGIGRFQELRVLGLGGMYTQRSRFYKNTTRFMHDLHHTWPDVNHLTIAHCDLELPAVLHAFPNLRALTFTEWDIISRSMLHDRSAYSVKLQGPNCLEYVEGSGHLFEDWPAERPVYHILIQSVLAIPSQTAMGGETRCGDPEIPILLEMARNAEPVILTFRIMAFESLHESFWKRLAAEATRLRCLEVGLFEFRETKGLTSLFQGWMTNVPSSLSPITSLLYLEIAIDVSTSWFISCVRNETPEPINLDYSEAYAERLASLVIMQIPSLRYLSLGFGSMASHLPTPHIQPFAGRMWMWKAEGTGGERALCPVPSTIARRLKVLLKSPDYDPLQESDDASTGFW*
>EED82690
MPFHILRELEAHLKDASFGAKDTAVFVNTNEAVATSNNRDLPFAATIAKFGYSVAIKARTQAVELVLSHLFVARELDADDISTPIVESFNTLHEAFAYGAALVREDKVLVVWSDKKEDIINEFRHREHRLQHLTGLCTCGAIPRRPLLDVANCGEPVAWSSAHPASGVYDGLFDKALQERLSDKTPSSSKLAVRESTTYRALSWNAPPNAHRRIMGEDDPEIVPSRTSRKNAARSTHPSKRKRGQVEVLEATSAVSSSPRAMGKNQITAIHSEAAPDEDTNSGSGNQKIKMHACPVHDAGPSHARSDLAPRVQTERLSSPKPGTLEKEKEREVSLAGVPPQPTEGRKPSPPRLTATDKGKGRAVDSPDGGGGAEPGPSTNMRERTQSTRKSKRKVNDHDDDPYADAADQRRLKRQKQASMTSASGSTVRCICTHPGCQKTYGREKDMVRHRKTHGAPTNFCEWCGKGFGRLDVLRRHCRERHEDHQGEQDEAVVEGDDENKDDGGEECSWMKSEEI*
>EED82691
MDAREPCQATIRTRSLPKMTPTALVNHSCEQQAVAAMQNANVSESTQIVPQNRTTFLDLNDDVLTTIVSDFTTKDSLQLSLVARRVHLLAKRQALSSVTMKSMQAILRICTYMLDDVAGRLQWIRRLSVSVGLPYQGSGIFTQHNCPQKLEEALRALGLLTSLFKHASGLRHLHISPLEDLLVFHPPLLDAIYAHANLAELELLQCGGCKTIKLLDELQSQPRSLVLEVRTQDADKDAIISRIGRFHELRALSLYGLYTPRRSVRMDTEHFMRSLHHSWPKVTDLTLAHCEIQLPAILHAFPNLRALSFTERGSLHDAALNRLGYSVPLQGTAGLEYVKGSAHLFENWPTARPVYHILIQSILATPSRSAMVGPTRCGDSEIPVLLRMVHNAEPIILTFRIMAFVSLRESFWKSLAAEATRLRCLEVELCLFRQTENLTAAFLQWMTNIPSSLSGVTSLTYLDIGINVGISRFISCVITMRPKPVDLETSQEYAEQLASLIIAQISSLRYISLGFSSPGPRSSWMWKVDDDEGERTLRAVPPNIAQRLKVVLKSPNYDLQRESEVMATHSEPGQASACYRALNIDELYTRIVSHVDSHNPRSLANLALTCRSYSEIPLRTLWYELRDLAPLLKLLPCHKWREVSDVHGTKRLDITASGLEDDCFTRMDYYSHFVKKLSLPFKREAGLSPSVMEVLALIKHRCLLPQGRSFQWQPRSSVFVECTAPLLGPSLETLMINSATVGLDLSAVLQTLPERAPRLTKLYIREIGRHETTATSVEELLLAQTASLTTFSFTGTLTSNSILALAKMPNLVAAVVGTSADQLSKVSFPVGSFPALETLDIKLDKLDRSISPLLNAIDSTSFTHFRLTVADPHSSGLLTSHMRALSRFHLVFLQVLLGRIDATGSSYLGSSEDVVSLGTLRPLLDIQSLQYLGVRAPRLEVDEHMLDAISRSLPHIKALSLENMSGELSPGLGVLLPLAQQCRELCHVRMDFNVSIVPPIPTGICSNNTMCTLSVAKTQPVV*
>EED82692
MDVGRLTSESSLPPPKHFTILAKRMSLTAQEALLLLDIDRALQRTDTGNFYDFTGVYLSTNDRGHHIYPPGCNPSFGVALATLGYFVAVKARSLAIWGVCETLPANTHVDVDASLRVPMVDTYEDLVGAAYFHNAALVLQKKEKVLVVWANSADYIAETFRSIEHRLRHFSRLCSCGWTTGMLERVHALESHSDYAPDLSDGTQPDWAYSLRSECSDVSRPEDKSLSDLTLVIAEFEETFVGGNMFSEKIQSRVEHTHSHSPICSVLPGLDPGRDTQKLQLAAKGSITQDKAAEAFNAAHPSLQELPMSSIKSSTIHAEHPGSENGQPREDNTPKAAVDMHRREKSLSPTPTTRRSFRPSPIQQPHITTAMSALEISTPTLNPPPGRNITPNDGVAPSFSFSFNGTEHVPRATTSGLRLISRPPVSTEHGNTCQRYSDENKGDMDEVMSGSSLGEEDDEDDGEYDDDDDYVEREKATQQKSKERQGRAVSAATSTELRTATATNLQPHVCSVCGHRLSRYDALQRHMRTRHRGWQGIDSGDEDWDD*
>EED82693
MCSIEPFLDKLDVPLASLMATSGDEQPVGIAVDESCWKPGIIRMCAEAESMDTVSPMDTLLDPSIAYWDNASYSPASHASDCDSPVMGMDVAIDASDAHGILPWPGCKKLPAQPQRHSTNQTPETRKNQQLLSLLVKATTAACLSYLIPLYCDARNQSTKISHQRMVIPNLLRKQATHGAKREPPEEDDYVERPPKKTNAHQTRLMSDCVAPVVDESEFYHTNTTRYYTTGTET*
>EED82694
MDELTDYQRELMPAAHDRLDEVMDEPSRWTAELALLKQATDGHLRLLDDSRAGTSPMTPADSAREPVVDLPPFREMLRHARPTDFWDTATPSTSSNEAHTEYEDNDAVDELATMTGDRRQAPLGPDTPLFGSRIPPGTSTQSHNSSISPSTLFDTFDVTRSQAREAASCSAGENLNSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSNYDTSTPDLFERSGSSPEPEDPFPSTSNLVLPTPSSVRAHAQPPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNLAPPAPTIPSTTTTSSSSPAPTTTTNMSQTTNTPLMPPHGHSTAPSFDPSEVRSLRRYFQDLEALFTRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTMPVVTRNAEASRSGTSKGVAAPSSTSTSTAPPPTIPAASPAPSSSQPTQSTSQPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRTKYRDTVTPKRVSTEPVASAHIVEIGADQVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTIARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLI*
>EED82695
MEDEHQELHFPQEQQSVRLRVQSAEQNLVRASDYTGVDGAIAEFHQESSRQHEQETGHSIAHREVDATADGPLPAAIDPRVRWTDRSHNNRRAQPTRNCKSANAVAERKERGADTREGRAVVDAGSSRGTVGRPAPPREPAHLSTSRSVEQWGPLPADTPGSSTSTHACAICQHVQPPRGCKRKAPACHNAEDGTEGEEPRAKRVKTAVAKKPMVVQVKAAAAKKPRKKQVKVASAKKPRAKQVKAATAKKSQLFCPHPACKATFGRDGDLRWHHRETRSCPLYTGEGLRSWRIDLFVSFSCPLYLRSSAF*
>EED82696
MANKGRKVVKPYHCGTSAAIRRALSHHSVSEDALLELWRESSPYCVEAIKADQPSYFSFIDQCIFFAAITPLPSQTALDTAEEKDRSEDIASIHANLARLAAITFPTLPSQTALDTAEEKDRSEDTASIQANLARLALDEGRTPTENDNHVDADPGPHISSLINHTSPYSVSRDDLWSEPPPSGEGWWIESQNLAGASSCTFEDQTTRYPRDIFDHRREPMPRGAGSPTLGFVFSVPTDMPGEVSIGIALRKPWRRRGFATRALTLFLPIIFEELGYHRAQALLLDAPELSAARTLFISLGFTIEGTHRRALMTPALPGWRNVTYLGMLDTEWVMRPRGGAQDKMAALWDEMFARQQHEREQLLQLEARRQAPRKTASMETIRDKDAAISSEADAVAALFSGILGDGRSEVASCCSSADPFASPPSSPKSTYSWSNAESGSELSAPNSPLLKGKGKARESMPSDLAGVSGEEYASDSGSGWDMI*
>EED82697
MSALEQKILGDIVDSLLDSCSELDSTVSAASMLTHDAVYVLPRTCDPTFPDGAARLGYRFTVKATSTAVRRILNMPGYLRVPVLDTFADLKMARFHSAALVRQEQVLVMWSEREHDIIDDFRDVEHQLRHFARTCTCGDVSTSPSHGIKGAASTPTGLQSPELGSSFMSFDVESSKQTEYKGLLGAPDATSFLLEDYMQPSTLPATICPIQTLLDPGLPFWDGTTWRRYTDPSSATTSTLGLTLDWTGTPALTNASTASTARSLPEVDSDGVAEVLSSDAEVGEPAPLEPHQSTSPKSITSVTSVTTTPPLANSPIEVLKGKRSRPQVPGSSPTTRELCSLMPAAPTPASRSRPFAGPSRLRNPSPASTVAEGSDTEAASVSTSRARPSRVPPVFPAHLLLADKKQECRLHEDGGLFDEDIDGSKGDDDDGDDGADEGCVSGAKRSRTKRARSTSPSATRSRGPTSRDTKARKTAHPQGPGTSRSHRHARLSDDDHAADSLDDDGSTYGGESSRSPRKSNPRAQPPREQKRKASDDEYLDDALPAKKSKRGHKKTRSTKATKKYACTRPGCTRTYTRRGDMKRHRDGCGKKPKPAYFCDTCGKGFLRKDAMMRHSRSENGCNKYRRRLKKQASKRKRAGLRDDDEVGTSSVASGSRGGSSRQVVDWDEIEDDDSETDSETDSDTDTEDED*
>EED82698
MGLLYSGGKQFRKLRAPLRYPAASDCVSNPVESASRRALRIDEILRMVVAFLHGHPKKPDTCSVLQFAVTCSTFLEPSLSILWETQHGLDNILRLLPCDTWRDTATPDGKKILELVTDVPLNAHLPRFVAYTSFVKNLFWRKEDEERLGIASLTQFLNPPGRFNLTTFSSTMEIDGEGVLLLAQMHNLKALELSLNCKSLAGVKFQSDSFPSLEVLNLSLDEMDESTLRLFKSIPSTVLVGIQLLVDSDYTIDTVYAHLVVLARFPLKFFKLSLDSQSFDDDDEFPGDKIVPCHILKTLLKTPTIMFIQLDAPCLWLDEDTLQDMHDAWPRLLFLSLIADLEVTVYTSISDPSTPLAQATVSLQCVALHVDYRNLRRLLNEKSFPNGHILELHTHSQTEVARAVAVAQHMHATFPQLRLVDPNNPNTGPWNEISRTLPGHCDSYLVKFGGLSLADVLPTPEDDDRAYDEETGIIRIMYNPRLSVSG*
>EED82699
MSNLENEIHTLRNLQRLFHEAFPEHLYDSTAVYLRGATSSVLAALGPRIAVMTRTRATESILSSIDTSAETIAVDGSTNIHIVRRLEDLTTVAYLGNAARVREEAALVVWADTELSVVDTFCGIELKIKNALQGQWLCDLPGPTELWDTLGYLGAEYQQPRYRVKSVLSNLYWPSALSLEDIWSQSTLADARLPKHLVPSLWELTQPPIRDIITIRTLTTTFHHEHEGDDLDLAGHIPFGRPPEGKQPTRHKEMNEKKRYRCTLCHTHRTFTRRGDARRHIRKSCKRSIHKVDQCPRCGDTLSRNDSVQRHAPICTGIPSKKRGHDKRDSGVGDDSFDARGRSDDELDRFIDLIG*
>EED82700
MSHIISHLTTRLRNALLCSDPHLDQQTLFVIIDRPASLKASYDVDNHPSMPSVPPIRIRAPAIASALSNSKNCTHIYLDPTTYIPVVNTLGQVATITDPLSPVLVRKDRSLVFWQYEHHSIAQDVAETYHKIRHYARTYDCDKVTPRSATSPYTLFIGEEYAASSNTRAARRQRRDVTAPLQPQRAKYASGTTPVRNIQFAGNMATAVPFTVWAPSVAVTAQPARERKHQRGQLSISTTDAPAAPPLANRDMLPLPRRVSHATGSGPPMVGQNTATIRYGSGYSSKNTTSVSSSASTQHITRLDEATAVDDIDGYSPRPIKRARSSGSTAPSSASRYSTSLSPTSTPSTSPPSTPASAPHPATRRNKGKQRALPYDGDSDSSSSGTSAIAGPQFIQPSAGISLAPPAEGGVCCKGCGQSFARSDSIPRHLRESTHTRETSLTRHFNTCRVLKAQQEAAGQT*
>EED82701
MSGTYTRAWMSIRSSSCSSNMARSPSSTFSSTRAAR*
>EED82702
MSKRGSGASGNKFRLTLGLPTGAVLNCADNSGAKSLFVIEAFGTGSHLNRLPDAGVGDMVVASVKKGKPELRKKTMPVVVVRQRKAWRRREGLVLYFEDNAGVIVNPKGEMKGSAITGPVAKECADLWPRIASNAGTVV*
>EED82703
MSDGVGFTSDKQHCTTVRRVSKSNSRSNVEPQVNMGQLVLVHSDEMLEFQLHILVSLTKKYPQHLHVERVEISRESVTRPRQAKARYVTRHLRDRDPTRRGMPLDVFRPSPLTAHHTHSVPLRSPSLHSMPPKRKSDADSSAPAKKARASTAHASAAALVHTILADPDAFPIPDDAVAVRASFAALAEYARSLEGAGAAAISNAGSSTVRAKTQEELEAAAEKIRKAAHSGIRKQMTDSMQWKLSCKTGAAKWAYDGICPDPEVFGALLGLGGPPKFKQKKMSREEFEGHIGSCEASVRYDTLGITSADVNIRWSDTGEFKFSGSYESFGGSANARITHTTRALLCSDGNGLVTMVKLHSTPAP*
>EED82704
MSRSSCNARTRARSPGRRCTAACTRRASRRRSQRSAPAAP*
>EED82705
MRVSLKVQYKGEKAREFNQHRNDASNKQEALDAVPFLRKDLEHFRFPEPDSIVSIWASAFAFCNWNTEQGMELYDNVRAAFNTVMKEKQSLHEMSMTQTLTTKFKPRMSAVFPENLPYGESPSGGKAARPPTSIKQARELSSPMAGNRAPHGALQRSATYTDPVHIKPEPMEVTLVSDSPPPQQVLPAMQLSETAMQAVQQLSQSSAVLSLLQKMKVETTLLNLAPNIARALSRRLQDSSFDSDVSRGGYRHRESGTDKESARAAYRHQEGRPQQSGAASPSTSRPKANTPPQSNSSHTRPAPQRRVSDPTDAFASNAPLANIPRAPSRGAAASPAPTSASLTRSRPGAVHPDSHALNRELWDVRRQLTALHAREEAIAQKLRQLGALPQSQSDASVPSAKELPRELGALEDELIATRAQLQRESEARQVAEATLEGERLRRVYTEGVLDDARRECMKPFIVPSLLDAFKQLAQLTEDALMGTGTVGSGW*
>EED82706
MYLTTSKGLWTAPGWVLVVQGIEQKDKCFIDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWVDAYYEKSAGGVQKNRKTALSLGNYVTCFKQLASKAQLKDAEVNGTNCVENNYHTLHANFVKGLPKELYFALTTRVARDRPNTMKAWYNEVRNADAAKQGALIVTDTRDYGKPMDIDAAAVASTFASTSGGRKWELGAGREPVLLLPHQGPQRQGLPQESGCTTRGWETEPGRIWEG*
>EED82707
MPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVATAVKQLAERASGSWVEWARGDWPELATAIDAEVERRRAKAAEDRRLEDERRRKDEEDRLRQAAEDECRAQEAADEELARIAAAEGLLDKGKGRARVDEDVAELSDDPSVKTPRTLERPFAMAEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARHRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED82708
MSSTLLFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTFLLSNPTDVFNKLKAHNPKATNATNCAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPCAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKQHVSAPPEEPVRRVGVVVDNVFLEEIINEAKERKEKERQMKAVPIPPPRSANPEPPTSPIAGPSRPRPDTPIVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNVGRNVRKEWFDEEEDNGVDWELYGDGEHDPLNASTSLLLQQAAGANAGSARSQCVVTGRCRKRDADINSVSRLFIW*
>EED82709
MTFPSLLLLLFVAGATFHASRAQGANVTVTADNALINYVGSWIVQDDGGHKYASGPGCSLSLTFQGTAIYWNSAHNPNGGIGRVSVDGEDSTDVDESVGTEVGEASVEATLWGKTGLDGSVNHTFELVYTADDTTESSVSSSSSSPATSPATSPAASPASNGIVPSPSSSSASDAIAPSSPGGGTSTFETSGTSPAPSPSSTVVGANSHSASGSSNKTALVGGVVGGVLGGLLLALVCTILAFWISRRRRRIREEKKRIDEEHRANPYRKHRDMSAPSLRPSSDAYTSPHTLVNSHVPTASYQSSSEPVSSTPPLSVIQIPAPQRAWDTLAPLPASSVLDSSDAQSAITTADASLIGSSFSPRTPPPMYVERG*
>EED82710
MANLNDSLGALFIGVITETHLACELWLIDCLHLAFVTHAVYTYAVIRFGDESGLLKLTWRSFLQRAPAMSSYGVYSVTGYGNVRLLLIWEMLIKLMSNLLHIDIDQHSNICLFVDSFHAFTQGVFPPILYVGLSNAAAGDVLIAVSLCIILSGHRGGLPSRTDNVVRMLMLYGIETGILTSVCATSCLILYAIMPDNYIYIALYIVLSKVALNSLLATLNARRGLREATGLISSTIYPAQSRTIVNQLEEDKAPSVHSICDFLDAGVCPRDLDEGPLQMVEEGQGVAFARIRL*
>EED82711
MSSTGHRHSTSVSQNHKAQLANAYNELGKELSSQKIRVVGNYTLGKVIGEGTYGKVRLGVHRLSGTRVAIKQIPKAMSASLTREIHHHRQLHHPHVTQLFEVIATEANIWLVTELCSGGELFDYLAEKGRLNEEETRIIFGQLCLAVAYVHSKGIVHRDLKLENVLLDERCRVKLGDFGFTQVDVWSLGIILYTLLTGMLPFDDDDESIMRAKVIEGVFEDPEWLSEDSRDLIKNILQTDPEKRPSIAQILAHPWFTAFTTIPVLPASSRSSISFSSRPNSPMMLRPPSADADSQPQSALSESTYHSASSEFPTSVATTPDQGIPDDVFGIGSVGVKVDATPDERPQTPNQTPSRSSFSRSGSNANPKVPPAYPTRTPARTKRRSVSSTLSDPSTPTFEKTNGVLPVQDFSSLLQTPAPIIFSTPLERELLNSLSMLGFDTGQIVHSVLSDACDATGALWWMLKRRAEKRALEEVPAGAEGATAKSQAEDKGKHRARDKDSKKIPAFVHAAVDASQSMLLPAMTQAHSAPELQLIPPTPTASVTTRPRTPPRTKSPTSQLLSPTPSTADISIRSHPSTPGGSMKDKDGSKGRKARSGSVSIMQRATTALEAAGLVRKKSAEGVREEKEKERATDKRSGNGSGDEPRVSHGSGSSKLAKSPPLKSNEIAVPTTPPSSAELNHVAMSSPWVLTNSRASPPPTDSPRDTLSALPNITGNKGLGNRNRASLLSAFRMWLIDCLHLAFVTHAVYTYAVIRFGDESGLLKLTWRSFLQRNVRLLLIWEMLIKLMSNLLHIDIDQHSNICLFVDSFHAFTQGVFPPILYVGLSNAAAGDVLIAVSLCIILSGHRGGLPSRTDNVVRMLMLYGIETGILTSVCATSCLILYAIMPDNYIYIALYIVLSKVALNSLLATLNARRGLREATGLISSTIYPAQSRTIVNQLEEDKAPSVHSICDFLDAGVCPRDLDEGPLQMVEEGQGVAFARIRL*
>EED82712
MSSTGHRHSTSVSQNHKAQLANAYNELGKELSSQKIRVVGNYTLGKVIGEGTYGKVRLGVHRLSGTRVAIKQIPKAMSASLTREIHHHRQLHHPHVTQLFEVIATESNIWLVTELCSGGELFDYLAEKGRLNEEETRIIFGQLCLAVAYVHSKGIVHRDLKLENVLLDERCRVKLGDFGFTQVDVWSLGIILYTLLTGMLPFDDDDESIMRAKVIEGVFEDPEWLSEDSRDLIKNILQTDPEKRPSIAQILAHPWFTAFTTIPVLPASSRSSISFSSRPNSPMMLRPPSADADSQPQSALSESTYHSASSEFPTSVATTPDQGIPDDVFGIGSVGVKVDATPDERPQTPDQTPSRSSFSRSGSNANPKVPPAYPTRTPARTKRRSVSSTLSDPSTPTFEKTNGVLPVQDFSSLLQTPAPIIFSTPLERELLNSLSMLGFDTGQIVHSVLSDACDATGALWWMLKRRAEKRALEEVPAGAEGATAKSQAEDKGKHRARDKDSKKIPAFVHAAVDASQSMLLPAMTQAHSAPELQLIPPTPTASVTTRPRTPPRTKSPTSQLLSPTPSTADISIRSHPSTPGGSMKDKDGSKGRKARSGSVSIMQRATTALEAAGLVRKKSAEGVREEKEKERATDKRSGNGSGDEPRVSHGSGSSKLAKSPPLKSNEIAVPTTPPSSAELNHVAMSSPWVLTNSRASPPPTDSPRDTLSALPNITGNKGLGNRNRASLLSAFRMWFKEDPKGKRKEHSPTHAHLYTAALNNPGPSGGSGRSRPTVKRRASGNRAGRQARSKRTSVSSRRSSSVNSRRSSVHSTHFPLLESPGLASTSVSRRRSDASRRSFGSHTPNSEREDLVSRPSSMQSFYGQQRHRKSPSASSAGSMHPGRTSSPLPSKYHRRAGSGSSTRVMRQMQPPNLAHLRSNSASSAQSRGSSHPGSSYDLSESDSRRNSSPHKPQVRRSLEETPRRAHTPTAFAAHKRQTPFANPSGSGGYLNSLGRSSWKKSWGLEPPGWQTRQAYSAIEVLAISPPVDGQGIRDVFSGRQSLSMGDESDWVDEDDDSPGYAGGLGQMPMAACGTAGPSYSHPPETPILSPAPRGNASRASSSKRTPASLAPVNVSSRGSRGKAGRSPVGRSSPLPSESSFEPPEPRGGRRQLPAGRSGPAAIQEEDEDEE*
>EED82713
MAPKKTKAPKEEAVSLGPQVAEGELVFGVAHIYASFNDTFVHVTDLSGKETISRVTGGMKVKADRDESSPYAAMLAAQDVATRCREVGITALHVKLRATGGTGTKTPGPGAQSALRALARSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL*
>EED82714
MRNAIASKSTQVVPRHRTTFLDLNDDVLAMIVSDFTTKDCLQLSLVARRVHLLAKRQALSSVTMGSVQAVKRVCRYMLEDVVGRLQWIRRLSVSALIPDQGSGRSSDFLRRVWPRKEPIAMGLLVSLLKQANGLQYLHVGYVDNNLEFDHALLDAMCALPNLVELELLGGGGSETLKLLDGIGSRPRSLMLEVQSNNADRDAIISQIGRLQELRTLCLDGIYTPQDSDSTNTVPFIRNLRYTWPNITDLTLTHCEIHLPAVLRAFPNLRALTFAEWGNLRFAVPDRPEYSVQPRGPASLEYVEGSGHLFENWPTGRPVYHILIQSMLAIPSRWAMDGPTRCGDPEIPILLQMVRHAEPVILTFRIMAFESLRETFWKSLAAGATRLRCLEIELFLFSETQGLMSVFLQWMTKVPSSLSPITSLLYLEVALDCSTWRCFSCGETPEPILDQSQECAERFASLVVAQIPSIRYVSLGFGHMASHIHPVHTQPFTGKLWMWKVENTGGRRSLYLVSPNAAQRLKALLKSPLPLYPSARE*
>EED82715
MPFHILRELEAHLKDASFGAKDTAVFVNTNEVVATSNNRDLPFAAAIAKFGYSVAIKARTQAVEIVLSHLFVARELGPCDISTPIVESFDTLHEAFAYGAAVVREDKVLVVWSDKKEDVVKEFRHTEHRLQHRTGLCTCGAIPRRPLLDVANCGEPVASSSAHPASGVYDGLFDIALQKRLSDKTPSPSKLAVCESTTYPALPWSAPSNISERIMGEDDLEIVPSRTSGKNPARTTHSSKRKRTHVEALGATTVPLRQSSTPNPRPLVSSRQFAGPKNPQRKSTASLSSTSAGVFSGPHVMDKDQITATHNEAAPDEDVDSDNGIDDNDEYIDGPTTRKRTKRTCPTGRMLSSKPGTPGKGNQHEVPLAGVSPQTTEEGWTSPPRFTATEKGKGRAVDSPDDDGGAEPGPSPHKRMERTQSTRKAKRKVNDHDNDPYADAADQRRLKKQKQASMTSASGSMTRYTCTYPGCQKTYGREKDMVRHRKTHGAPTNFCEWCGKGFGRVDVLRRHCREIHEDHQGEQDAAVTKDGDDDKVDEKEGSSWEKSEEDSPEDQDTDGWDDGN*
>EED82716
MSSTATTTLTGTFSKLSVRASTEPLNPTGALDKYDFVDVTPVIGREYPNVQLTDLLNAENADELIRELAITVSQRNVVFFRNQNIDIEQQKKACPLGNKLGILSGRPKESGLHIHPTVTTQAKVDSGPEIHVITSAKNKRLEHQNDFDVSQLASREWHSDITFEPVPSDFAILKIHTLPETGGDTVWASGYEAYDRLSPALAKHLEGLTAIHEASKFKRYVESHGKTLYDGERGNPENVGADLRAVHPVIRTNPITGWKALFVNKNFTRRILELTKDESDATLDYLFKLVNDNHDLQVRFKWNKNDVAIWANSATFHNVTRDYDDLREGDRVVSLGERPYYDPNSKSRRDALGQKKRIFGFQVTQ*
>EED82717
MLTRPRGPAPYQLVTLSTIELKVQVALSLLNGDTRAWATPIFAQLAAVQVGTQGATTPFANETAFLMAFKARFGNLDDAATAQVELTKLCADKTMREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSHVYRKIELETFATWQAADKRATEVEQILDVSQARRPELNNFFSARGRGRASVRPSEKVTSLVVASAAGSKGTDVSSAPTSHPARHFDSLTQHFDFAFDALRHLRRSHHFWSPPRGDLRQEFAAFGFPKTSPVLTRSQAREAASRSAAENLDSSSQTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTLSPELLGLTTSDYATSTPDLFDQSGSSPEPEDPFPSTSNLILPTPSSFRAHTQPSIASSSRLSVVPASDLAPPPLLAPSNTASNSNPAPPAPTNPSTTTASSSSPAPTNTTNMSQNTNTPLMPPRGHSMAPSFDPSEVRSLRRYFQDLEALFTRCQITDDAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYHDFMAITKHLIAQHRLSTIEQSRAFLRGFRPALLTQLETQLHLKHPDHYADDPYTMAEIHTAATFILHGTLSTPTTAANQAIASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTMHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIQDRIYEWHRRNPTAPAAPTMLFEIDDRATVQTFTLNTSSRIEALEQEILQLRKRREVFDGIEILQRKKPTTPVVTKSAEVSGSGTSKGVAAPSSTSTSTAPPPTIPAAAPVPSSSPPTQSTSQPTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSITPDVRTKYHDAVTPKRVSTEPIASAHIVEIGADEVQRWNPVLQSSPTPMKHI*
>EED82718
MNKKVIPLIVLLKMESIGRRARLSMAVAKMGVHQGSTLEQPQFKSTLLDFNDDVHTMIVSHITPEDALKLSLVARRVHLVAQRQALSYVIMSSMQSVSRICTYMLADISGRLKWVRGLCMYAALPYKGSNPDANYPQMVDKAAAAMRLFVSLYQHTTTLRYLYFAVIEKLLSFQPLLLDVLSSLINIVEIQVVRCGGSETPKLLNGMRSRPRHLVLNIDPVRPLPLETGASMSSIARLRDLRTMEIVGLKDQNANRPALLMRNLRHSLPNVIDLTLSACQMDIPAVLPLKSLCDTFWRCLRMEATNLRCLEIDLCLYRETKDLGAQFLRWMTTVPSTLSPIMSLSYLAVCIDAHMSSRRRCIMGAPMTPIDLDESEDFKQFGVDVEDRQHRWSMCATSHSAAPRAAGHRLPDIARLRFLAGNRRDLSFDLLSLGYAHLPVLPPSIVAACQGQVHAFDSQDLVDVYIPDGPDTLILTCEQQPCPNRTPRLIEQDYPRYKAIRRAQHPSGPRSTLASRSALRHSRPVSPSSRLPQTVAGPSQAQGDLPPDPAPEPEPEESASEEGVSESESADPARPTSPTALASTSAVPDSQQLPSSAGSPSSPASPIMSSPAAAPDKETLKLLLPLRYDGKTVVKCNRFVSQLLIYWAVNTTLSVAT*
>EED82719
MCSTDAFLDKFDISLASLTAASGDEQPRICAETGAMNTVSPMDTLLDPSVVYGGGESISSTSPPLTPHQHSPDSPASGYDSPAMVMGAIMDASDAQQHTPVAGLQGTASATCNKTNTKDEGEGSARRNCDHRSLEHKHGSISLSETTESQCQDYRLVSQETQPTRGAKRKSLGDDEYVERPPKKIKCASKTTKCASKKTKRAPKQRQPAKFPCARPGCNRSFTRPLDLLRHQLGTKSCTTNGEAIPKKYFCGRCHRGFHRRDALARHVGSKKGCTKFLNGKRR*
>EED82720
MDVHVIDPTRGCERHDSPGMDRSTGSDNDDKAPDADKAIGTYGSRTERSPSASPRITRSHGRAQETPNTKRSTPPRSPRRKPVRYLRSRGKVTYADADDDDGDTSVPRPRPSARKRKAQAQHARGRLSDSPNGVCADDGPKRSRRGRKKPRAVKKYCCSQPMCEKSFSRSFDLRRHMDICAGPGEKKAPAQHFCDSCGRGFNRKDALRRHCMEMPAACTKYLRGLEKRAALQSGKEDTTNGVSSGSPSGGALQQEWCSLGGNDQEDSGTESGDEDGGMIH*
>EED82721
MDELADYQRELMPAAHDRLDEVMDEPSRWTAEVTDGHLRLLDDSRAGRNPITPADSAREPIVDLPPFRDMLRHARPTDFWDTATPSTSSNEGNTQYEDNNAVDELATMTGNVGRLHPQYTELGSTSSSSHPAEMEDEHQELHFPQEQQSVRQRVQSAEQNLVRASDYTGVDGAIAEFHQETSRQHEQETGHSIAHREVDATADGPLPAAIDPRVRWTDRSHNNRRAQPTRNCKSANAVVERKERGANTRKGRTVIDAGSSRGTVGRPAPREPAQPSTSMGPLPADTPGSSTSTHACAIRQHVQPPRGCKRKAPAGDDAVDGAEGELPRAKRVKAVVAKKPVVVQVKAATAKKPRKKQVKVASAKKPRAKQVKAATAKKSQLFCPHPACKATFGRDGDLRRHHRETRSCPLYTGEYESICTGCRKGFAREYAWRRHIENPGACEKEKARTEKAEREKTKKEKAKKGKHQSE*
>EED82722
MEQRVQLVTTWGIEHGENVIEIGCGQGDCTVVLATAVGDAGHVIAIDPASLDYGSPYTLGQAQAHLRASPVGKRIDFVQADPREFLRGTTDKYTTAVLAQCIWYFSSPQTLSAILAALAPRVKRICLSEYALTASDPRAAPHVLAALTQASLECRKPTSESNIRTVLSPAALKAAASTAGLTLLKEETVVPPEGMLDGRWEVGAVLADDFETEVQESIQDERERAVVFAMRDAVRAARDALTVKEERVHTMDIWIATY
>EED82723
MAPVTNARVLFNEIPSGLPIPGQTTVYDASQTIDPDAVPLDGGFLVKTLVLSIDPYMRGRMRDTSIKSYAPAYITGEPIQNYGVGLVFRSENDGFKPKITFTGTRSYWVARDTSEMRVLKNTENLPWSTYVGVCEMTGQTAHHGWAEYAHPKKGDVVFVSAAAGPVGATVIQLAKADGCKVIASAGTDEKVEFIRSIGADIAFNYRPSYWDGVSGEILEAAIGAAAVGARFIECGMISGYNSEPYHIKNMMLIHIDELYGTIPKKVACGEIKYKEDAKHGLEAVGEAIVDVQIGKNKGKSVIVVAEE*
>EED82724
MWDDSKQKQLEDRLIRLTASAGLPLTWVENPEFLAFLDKFIPGAKAPSRKVLTQRLLPQTLSVLRAQAKKSADGHAATVQCDGWTAENFHHFIAFMITADRKVHTVRVHDASNERKTAESLLRLMEDVIQELETKWHVEVVAFTTDASGESRKARAMLVARYPKLVTPDCYGHQKKRASSSPGYKAKHMFLL*
>EED82725
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVKTPRTLERPFAMTEVDMAAAAIVKRQAGQKCDRCAGYRLAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLNALDLDDKSRGIIRVIREERSYTTWTSTSKRWRRPRLQREESEYRGDSRIENVAFGLDET*
>EED82726
MMLHEACEVKASRHQDGLGSGALLRCSRAWPDRSWNVGTDGLSAQITEGGSQHVLWQGPWLSYLTDCTLQWPSNPLTDPQFPDPGTPHPQYAAQCSEGQDCTVVLSDAPDYTEKAVGVPFFGLSDTQISEWAVLLVPSIPKIIIGVVNVGVLQKTMLESRSLTPDDATFSLIYVLGAQTADQDTSIDRSGPYHQWCHGKTDVARRTSLYPKGYRSPKSIHDLPVEILLLIFYQVFYEPQVPKRRSPRSAPSHPPDHNDSLLSTSFPEAVAAVCPRWRSILMMESVFSTSIVAFIDQELTPLEIVTQYLTSSKNRKLHVIISRRPHNYDAADPGERERVAAVLALLLPHRARLKTFQLHVLHENSFPSPCLNDWLGGKYPNLVQLHLTSRTLESPSTRYGEHTSSLEFDAPELHSLTLPGLSFMETYKADAKVSTPNLTSLCIAGYGGPHPPLSVYDFVACLQDYIDIDMDALRLRDLDLTVADEPEDLAWLVNTFVLEFRGMKGVVIEALLSYLRAPSSQYLIVERCSQFQSHHRMAWTHTLQLTGMERPEDANAVLLTTGLVSEHCERLYVDNCAGFNDSVLAILCRVYDRPRSWVCSYLQTVEIKNTPDVSSDALAMLCYVVEDMYFSARSPDSCVMPLTKSRQIETTASVAFTKALVTCDFKAHGMYGVIVNKVCLHFKLQ*
>EED82727
MASRTSLGRLFVIAGAALCALVLAPTAHATQVMQRPADYKEPPREFATGDPTPNVVRKRADDGYIQAAYFTNWGIYGANFQPSNITPSDLTHILYAFADVSPDTGNISLTDTYADEQKHFPGDSWDETGNNLFGCLKQMYLLKLANRNLKVLLSVGGYTYSQDGHFSFVTDSSLRATFVTSAVSYIENFGLDGIDIDFEYPDTPALAQGFADLLTELRTAFDALATSKGDTVPYQLTAAVSAGWDNYQYMLVPQMDAALSYWNLMAYDYAGSWLNYMGTSANLYDASLTNVSTDKAIKWYLGQGATPSKINMGIPLYGRAFEETLGIGDSYDGVGPGTTQAGIYSYSDLPLSGAQVYENYTDVASYSYDSSKEELVSYDTPDIVAKKAQYVISNGLAGSMFWDLSTDKTGSESLVYTTSQTYGSLDQTQNHIDYPDSEWNNIANNMGESSSTTTTTTATATATATSSAASATGSSAACGTASAWSASATYVDGDDVSYNGDLWTAKWWTNGDTPGGSAGVWTDDGAC*
>EED82728
MSHIIAHLTFRLRNALLCSDPHLDQQTLFVVIDRPASLKASYDVGNRASMPSVPPIRIRAPAIASALSNSKGCTHIYLDPTTYIPVVNTLGQVATIADPLSPVLVRKDRSLVFWQYEHHSIAQDVAETYHKLRHYARTYDCDKVTPRSTSPYTPLDVGERYATGSHTRAARRQQHGPGYTSGTTRGYNPSFAGNSATTVPLTVWTLPVAVTPGPARGRKRQHSQLSISTIDAPTAPPLADRGMLPLPRRSAYSSARLPIQTTHSLAETVMSSHVAGSGPHIVEQNTTPNRYGIGYSSNNTTSVSSSVSAQHITQFDNETVAVDDGSPHRTKRSRTSASVTPFSTLFRSATLLATSPTSTSPPTIPSGAWKPAPRPDKGKQRAMPREDESNTSSLSPSLRRKPQHVQPSRGRKRKTRHDDDADTSGPSHKRIAVSLSYTESSASPTPSQESAASPAASQGSAASSTSSQGSIADDLMCSACSKTFGRKDTKTRHLKRVLSCPLSQKLTVPCPHCGTHLTRDLPRHHCDEKKAYMEHQLQHKRA*
>EED82729
MLSPIREYCHDNPELQVSGELQKGLVQFYLNFLNARDATTIAGREIVPPELPNIEYILLSSVPSAPEAVINLSPHFPKRSGNPLAPLSKFFRRLFSPASLRHTRSTNIDSSIIRAAVLYTDWMLHLGTPSPSILEKWIPLLSEESLSYQNDLSSSLLGNCLSTLAKTYVFLDRRSQAEWYFLFASRLHEARGDLREMGNDISALGVLCLRTAVEARAEKFFQEALQLYTMCGDERGCAGALRSLAEVSLMKGDVDDAEQKLFKALRIDHNAQSCLGLASDLVYLGEVSLRRGRLDDAMQSFQSSLTFFQASGSVLGEASVHQRLGSLWQRMDTVDGAITDGLTRGRTPADLAMQSFKTALSLHKAVRDRLGEADVHVEIGYLHRSEGRLNRAEIAFLKAGKLHHQAQKRLDEAHDIRNLAQIYMDMGSYDTRAEWLFLEARQMYRTIRISRTDADTSTRSRLDIRRGYLFSAETCFQVSASRRRILEDRLGEIRTLFCLGEYYTREGRFDEAEENLSEAIALCGSVNSRHAEMRGQQLIYNLWVKDVMAAQENDPDRATEIILQFENSYSSNDDGDAHFLDYGRLSHTISIAGTSLAERADVSGGRAPSCRNFGTSASSRACSAVSCLRTSQSSRLSAWLSGWTAPGRERARDADVGAGDTAAPREGARGILANGALEANTSIDWGGVFVLGRDIRASRNRFGEADSLAHLGKLNLRRDDLNGAEHSFLDALALHRIVQNYIGYANNLSNLGKVYLRKDDVYGAERAFLDALPLHRAIKDRLGEVNDLRNLGELHLRQGNLDKADLALNDVSSLYRILEDRFGQAKN*
>EED82730
MLTRPRGPAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWANFVAALEEAFPEHRTRIKAHQILMKLPERQKDRKTALSLGNYVTRFKQLALKAQLKDTEVNGTNCVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNTDAAKQGALIVTDTRDYGKPMDIDAAAIASTFTSTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFCARIKTLSADEKQELMSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDCVLTELIRLDNLKVAHRFQPLLPCNIWARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTKPMKRHVSAPPEEPARRVGVVVDNVFLEEIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNAHKEWFDEEEDNGVDWELYGDGEQ*
>EED82731
MTDVSFTSLFIDGKQRPANPPVTFDVRNPYSNAVVTKAASASAQDCKDAVEAAARAFTTWETSSYAERRDYFFKAAELVTTDKYFKKFKEAFQEETAGVDTLVYLNVYGTAAFLRNTATFVAQLKGETFPSVVPGGQVLVQRRPQGVILAVAPWNVPLVLTLRAVGIPIVCGNTVVLKTSEVSPRTQFVIAELFEEAGFPAGVLNVIHTSAKDAPARTAELIAHSAVKKINFTGSDRVGRILAQEAGKYLKPCVFELGGKAPAVVLDDADVERAARAITSSSLLHSGQTCMSTQRIIVQRNVAPRFKQVLAETFSKFKSGQGEALSAQYSEAAAESIVAALGEAKAAGATFLVGDGTRKGACVQPHLVTGVEPGTRLWDRETFGPVAVIKEVDTVDEAVELANASEYSLAASVWTQDVNNAIDVSARIRAGSVSVNGPTFHLEQARELGGLSGASGYGNFSVEEFTDQRIIVIHPAKAPEYMLTG*
>EED82732
MATLAKLTSLSTQTLSLLLERQRLQTFSNPSASSLHVPQITRNMQQLHAGVLDLEEKEGSSEAVRLLRSQYERMRGMLGQEADVVGIPSLQVEESQTANAYSSSSSSSLNSPVPPPVPKNTEPPYTPYAPYTDDPEAALPSSTEMLHAQRQMMDDQDVHLDRLSHSINRQRDISLQINDELDVHTGLLEDLDHELDNTGDRLSGARRRLDRVARGAKENGSTVLIALLILVLLILIIIFKT*
>EED82733
MSTLLGKRKEREADEPAAKHHGSTLFVSNLPYTATSIDLNTLFSDIAPVRSAFVVLDQASGVSKGVGYVSFAIREDAQLAYEQINQDGLILDSRNLRVQWAESKLVTTQYKEKTQKEPMAKSEPMPKPPRPAQTNAPRDPLAIRTVAISGLPSSVDSKQLWKKIRKLDGAEKVDWPITSANGDEDRSTAHALFTTPAAAADAVNKLHAHVFKGSLLSATLKKRLDGLAKAPKTAKAANPKGPAPNRASRLIVRNLPFNISEQDLRALFLPYGPIHSVDIPSAVDDAKEEDGEATLQAKRGKGFAFVWMLSRKDAERAMEECNGMKVQAGMAARLVADKQKRKKQRREEAKAEKATTQRGEDEGGEEDESENEEEDGDAGAKPQANERVIAVDWALSKDNEDELDESAPTLSEETPVKEESSKTPGRKGKGGRATGVKQTKIVRQADRVDPVTGKGRSKGYGFLEMIKHADALRVLRWANNNTEAGRLFEEWWKAEVEDLIKIEKKKPTKDDARIKRLKEELERGAPVKSRGTLIVEFSIENVQVVQRRTAQQREKQQGVGEKKVTRKVSLPDIKSEPAEERSPKKRRMSAPKLLKQSLQKQSSKDAAPKSGHKVGSLIGRKRKERKSKKGAS*
>EED82734
MSACAIEYAAHSMPALPGVLAVANSGVRAAGREASRSVCVGESGDGPAIAAPGAEDEPCPWEIGNGGKRLRYARSGQVGKIRVQKRAGAPSHRLSGFGWSGVGGGRDRDPAWGEGRIRIAVNEREVVERCAEGKLVKREKGEMECMERRREEKEKEECADGSYWQARDPRAMHYGPGGCARIQHEKTKEIPGAGARAQLRRMQYGHRGGFSGEQRMLGHIHHRLRTISRAVATARRSGVRWGSSDAVP*
>EED82735
MTRLLPPHAFSNVPRVFCPPVPARSATSAQTSMAPAASHTPFPATPSCPFCRVPFTSDGVRLIRVDFPSAGAGAAHSGYSTPTSAARRALSPMPTPAVDADDDVLLYSPGRDREHPLSLSARSEAKLLEHRVAKVATKKCSVEEVVTLQRELQDWLRADGKHDDQAALKLSAALLRAIILNHTAHTQATEHAKSVEAALKEKLDEVQAAKDQLEAELRREREREREKEKERLRERERAHHQLIQRWMPTIDAAASSPPHSKLAAWPPALRQKTPAPSPRA*
>EED82736
MSGESITSQRHNDYVGGDASQGRIPGANKATTGHQYASVFPKENRPEEHLPPPTGRDAPPAADVPPQGRNIRQIIPGDQQQNVTSDDRSHLERLTAARTIDAPYPLPPAPGSHTVIDRDPFATPTTAGDTLTGATSADVYNGLGHPGSGMSSAEAHHDGREHRKRQGQGVSTLGSGEIPRE*
>EED82737
MSNHDYGPCAISHVSIPHGLACDLFAPLDRKCADVIVMCSGWRYVPLRLHAEDVTSINPGADSEESPTARKLELLRREDHIVMGLILSLVPKTFRSLGPPGATASEWVVTISGTRETIALATSLPLKSIDHLSHSSNTVTACHYSNDARSATGRYYNQCSSTDDPYGIHGYAFIQSQRPATIDTLSVSEGSSYTSTVAHGASLGGAEHGSSPPTVITSTYTETTVFSGTLTSTGLSGTYTHSYIETSTSIITTMYTSTPAGGAGPSSNGTSPTSAANANRTRTPQILGAVFGVLGSLFLLLVALACWRRSRRRGQSQRRYDEWIRRKTSQRSTSGELSTVILGVGLSRASMDSFHTAEAYMSETSHGSTYRYGAVARSMSVSPPLVITPSPEPDAQSVTTQHDQCPVSASVSREQSLSSAPEMSPTTHAPMLDPFDAPFLWATNTISAQPKRLSRVSSESAQFLERLSTACMVGEPYPMPPAPSIVGRTPSGIRAARGPAEAHGDCWRGWRNWRMLHVRRPDLSKLRQQLPFTVAAPGRGAWA*
>EED82738
MKTPQVLGAVFGILGGLLLLLNVFAYWRRSRRRNRSKRKYDEWIERRTFQTPASTILAANPQSASTDSLYTAEAYMSESVNSSPYRYGAVARSVSPVLVIGHSPELSAPPVTPQPNPFTITAPVLPEPSLSGAPETSPAMGALRPSSPNEPFPWTTNIVFARPPQRISYASSQSAQTVYAL*
>EED82739
MGNQASHTRYLALGSFVVNFGVQSYGMLTSPNMKDVADANHYAFSPNPWFIAAFFSGQVVLQVAWIRKLFKLDQSGYQQIGASSEDTNVTADEVQTAVSYVPIYALGNLCIAGWLLFWLRGEFTGSQVLVTINTLMQLFAVARLPPLTSTSSSLMLLTHMVAKTFAGIGVLDFIDNGGVVMGYRAPPSALVAGLTYGLFPLATAVSTPFFGSILLYDVLGIMVGQRGVLGAGRWTTGLGWTAVAMGGIIGAKTFLTERKI*
>EED82740
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHNPEATNATDRAALEAYLSACRDYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRNIRAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWSPKGSCRRCGSSRHWVRDCPDVRCAGCRKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVIDNVFLEGIINEAKERKEREKQTKAVPIPPPRSANPEPPTSPVAGPSRLRPDTPVVFRKVDPKWTPDTTQWTWDSSWPRQKHLSGEEWKNLGRNARNEWFDEEEDDGIDWELYGDSEHLHNGVRAHFVLGIVPLRFFLH*
>EED82741
MLVFTKLLSTRSTGWT*
>EED82742
MASAPLSDLLSVPFGPFRDEDVLTDACKSVPSNVLGLHDFMLEFRGKPIGLTQSEAYQPEYRKESAERVRFRGVLEIKSPSWLTPVARRSIEEEIHRRDRGLAERGGRRVPSKSPDLEWIYRQRGYNANVIKVLIQGMKYLPAVFLMSKEVPNGLRIHSKHNQLIPLFQYLSRYRTLRLLSDLIKVHAQTLSSTGDRTAPREDRTAAWFADLYEEHMSRSYFPRTRRLIYDSDDSDIEPRSGGKLKPGHEHILRHIPRAEVLSLLAANAKWVLEEQSARQGNTRFLDIEVWNTWVQESRAARQDAARQGAKWGRDLVASSGDDSSEDERPPRMMRNKPKSKASTGSKAVARRSPSISERMVVDNVDLSVLRSYDPDFSPPSTPDASDSESEHSLPSRPSTPPNPSIYALIHPAFFNPPRLPTDDFTWVCPVDDCHYRIAMLNLTEENCAKLDPDDAQRLKAGNWRFREIWVQGCFRWMVSCHFEDHLDKEGIVVGEDGRPEWKNPRHHENTPWPPSRIVQQRARANPVKEESD*
>EED82743
MLARRLPRLARPLARLASSSSSTPVPAQEASSEATVVPQAPNYPATWSTSQQPRPQGQSGPRFEQTIMELQPSPLSAMELISKEPIRVVHGRKAVCDGGGGPLGHPKIFINLDKPGPRPCGYCGLRFEQAPHHGHEH*
>EED82744
MPEESLTISLEDLIDQAPESPETENTPGQESATENATQIIAAPVQAEESADPPVPEEHSTFRDCEDYLEWRPRGRWAPPTVARPIPKPKPGKKRDDDKDKDKDKKKRKDDKDKDKGKGKTQAGRG*
>EED82745
MASTFLSWLRSPKAREYFFSTHFWGPVANWGLPLAALADLQKDEDVISGAMTTALTAYSLVFMRFAWRVQPRNYLLFACHATNATAQTIQGGRFINYWYMGGKERAKQEQPLKA*
>EED82746
MADIQIEKAYQKQFLFQNAKARGGKKVSTKEKRWYKDVGLGFKTPSEAISGTYIDKKCPFTGNVSIRGRILTGRVVSTKMTRTIVIRRDYLHYIPKYNRYEKRHKNLAAHVSPAFRVEVGDIVTVGQCRPLSKTVRFNVVRVSKNKAAAKSFGKF*
>EED82747
MPTPRILSLCTFSHGILQCVRNLPS*
>EED82748
MAETERQIHQPIHPDIADKLDPEYAAFHNANNLHVVPLHLKPWDPAVRNGPPVAGGAEPLKVGDVKDLSLSKCSMRVFTPEGSAPEPGWPVLLYFHGGGWTLGNINTEGSFCTNMCKHASSVVISVDYRLAPENPYPAAVEDAVEALHWVYQNGKAQLNVDVSKIAVGGSGGNLAAILAHKAALAEPPIPLTLQLLVVPVTDNTATTSGVPYKSWAENQNTVALSPGRMLWFRDCYLPNEQDRLAWESSPIFAPEELFKKAPKAWIGVCELDVLRDEGIAYGEKLQKAGVDVEIKVYKGAPHPVMAMDGASTCDLNNHYRVH*
>EED82749
MAGLLSNKVVCITGASRGIGRACAVESANQGATGLVLHYLGDKETETEAHELKTEIETRFPNARVAIVPGDIADPATATKTVEVGIGAFGRIDVLVSNAGICPFAEFLTMPLATWERTRSVNLDGSFLIVQAVANQMKNQIPQGGSIIGVSSISALVGGEMQCHYTPTKAGILSLMQSCAVALGKYNIRANAVLPGTIETDINKQDLSDAVKRENMIKRTALGRLGRPDDLAGPIVFLASDLAKYVTGASLLVDGGLFVNLQ*
>EED82750
MASSRHAGQSLNYFQQSPVRGQLEVPDGYSRSGWRYLQCTDTPNELRVLLPPRTAQIYGNLLRAELVELAKDRNASWDRILQIRHLKDRMIRKCTRLARASAPVPAGKQSSRSMFFTVHAPPDFRLKEMERWFRMQGAEIPQDAGSPGTSSSPPYCCSKCNPAGAQASTSQTPHRRATSVSHHGASQMSRRGTVSHPEVSQPVRRGTAAPPRHSSVRRSPERVPLRRGDSSSQATTLLNPSPPPLDGKPAHAHKQQLLNAFNKAGRVPSVMREADAQLRVRSVERKRSAASDASAISHAARLKAKSPDPLPIPFRTHDPDAAPPTDSPSTLLDELPEPEPMFTASPEPMASPPNGQMLPTIHEGSERGEGDGDEAHRPLPRRRSSLKKCGSISRLSIASNTKSVAWAMDRDWIEQMSNYMKTANEAEVLGHELDGLRVGYQQEVETMKSLCSNVRDASERIRQEMEKLQRDEELVRKQEDRLLHTIEQLEQKENHFRDKVMSVLEETKRVVNLCDKKRELNEL*
>EED82751
MEHIHLLLRRFRHRHAAAQLGHSGRAQELA*
>EED82752
MGFKHNNVLHQNHFRKDWQSRVRTWFDQPGRKLRRRQARKTKAASLGVRPLTLLRPLTLLRPAVRAQTVRYNRKVREGRGFTLAELKEAGIGRKEARGVGIVVDHRRRNLSEEGKALNVQRLKVYKERLIVFPRNAKKPKTGDSTGEELTAPTVRTGLPLTDSYEHETPRKITEEEREFEAYRTLRTERAVARHEGKRKQREAKKAEEEANKKK*
>EED82753
MVKYIKNRERTRRELLQLWKDEKKAQRAPRSLPSSLPRSESFSSISASSSSKLRDKRLLPRSHYAGGLHKPIKVLNWPSPQKRKLSPRLGSTDNDEILQRSRHVLNTFHSSEEERLSLLTSFKERVVHTVDEYLDDKVLLNTVRGRPKTPVTPHRTPEHKRRQKLLQRSIVGRILQWSPTPSPRVVQGSPLMQSERSKVPARSGDGPMSTSGKIPSASGVISFHEKPGTRPQPSCQRTGAANSPKVKSTLRASIKPVVPETLLDVKRHSSAPLLLPPVKQAVRTTVPARDIQDSPRRKISMASGVHGSSRAVSTADSPAKVTPVQRLPAVPATKHALSSVSAARQLPPICGATRSHNKLDNRGAALELCLTPTSMLRTSARAALSRTNVNNLSDMQKKESAKCIVSLESRRVDPSYMSGTRPIRHLAVVATPLQATVQTASPGTPAPRAVKALPSSRILNHMKNGSFRPILPTPFLILMGAHASLGNPEGRIRAVVMRHGMIVRNVSLTEPRIRPPRLFKLRRGHCNVALFLKASESVPAFVPMPPVASRRASPRSVLKPTRLLGVDKANPYAMVRPETYHGRPQLGTVEDLSRSPFIDRSNVTCMDVEAQMLAVDVGGASTDDIKATFMAPLRSGDISIDWESPLESLEDGTEAVHVGEIGDMHDRPPARIDQVYAGNFTFDYGDASQCDDFSVSWSGGTAPYQLTLIPVFYGRTLNYTIPSSSYSNGQGSYKVQLPFPENQTVIAVMSDANGFATGGVSDVITTGPSISHSHISTLVNQKTQAHDAAGREYSFSNYTGAMQPATITGIIPGGETFVLNPPTGPPDFEWIANIKASTSMLFLMVDSKGRQGGSTPIDQVGVTNDATCLTGSYPSSLAVHPSATFTSSSASATSTSTPSGSSSKSSVSGGAIAGGVIGGIVGLAAIALLAYYFLRRNRRTRGRFYDDGVYQAYRAGRSKKQNVDLVHETSEDAPPAIVQPYPLFNPSEFGDGSDLGAVSSVSNLIQPGSHPGYMPYTHSTHSRQMSDGTSAFPESMPGLRDSFSSSSNAQSISSGARRKAAMAGMPAYQPPARFILHTDAEDVIELPPQYSAFRAPSATAQSADEASTSGDDVLPPPPPFTSGGSTTMPLIYHVLAHFRGRSDGVGLTVITLVQHTNDS*
>EED82754
MSIHDKDYLQDILDGMTLSQQARAIGQDDEEDATQVGLEEDNYCISRYNKKRDHDDEDYEPGSSPPDSSQPNSAQPDASHAIEPPPYELEAYRTRSYSRLLSDVPTEPIADLEDDEDEDGTYIPSPTSSASEGSHTSTSDFETGVESASTFPGDKLNGKRLWLFSQLLREVDPARHMEVKELVRNLWHLSRKDATKMYNFWANPKPEGSCLFNKLGYVKRVAKEEWDEL*
>EED82755
MSNSRRMPYFYNVQSGDSVWDPPTELTQEQGSGGRPAQVRASHLLVKHRGSRRPSSWKEQNITRSKEEAIEILRGYEAEIDGSPERFGELARQHSDCSSHAKDGDLGSFGPGQMQKPFEDATYALNVGQISDIVDTDSGVHLILR
>EED82756
MAVPTNRRAILLATFIAFGGNILHLVSLASRKPGCLIMPDFAARFGQIGSDGQPYLSSSRQSIITSLLGVGTIVGAIAQAFTSDRFGRRGSVIIWSAVFTVGVAIQTATGRVLAQIVFGRFVAGLGVGALSAIVPLYNGETAPKALRGALIVLYQVNIFSGIFISDCIELGTQRLKGSPSWRIPIGLQMLWGLILLSGVFFLPESPRHLLGIGKETEAQAVVAELNGVPQDDPLVQDIIEDLAFGIKAENEGGKVTWLECFSTRNQLWKRTINGMMLMFIQQLNGQNFYFYYGDTFFQTILGAVSVAGVIPALYLIDAWGRRRSLLIGALAQAACAIIAALVGHLLLAPTGTPANLLTARNRQGACSGVPRHGESSAYSQAK*
>EED82757
MSVVFAGGAAYPERTGIREAADKEDQVGELTGNHSQSGNSVATLKARCAGASEKPENAYARPEARGPPFAVVGILGPRPVERLVSCTIVARSQHMLLRNSCFSCPVLGPRRSARVKVDKHWIAAASVGIETGARCLSWRVSSDRRPM*
>EED82758
MKLSAATLLALAFACVALSSLYNHSPHQSASSMAPEIRFNTWGIPYLVEFGNVGFRPRPRPVSPALSLNPSYTTCGSTATLLNTVGSSATASSETLQCLEGDSDESKGLYIRFASHRYRPPHKLSNSRLLRLKHRIAKAVMAAGMDSSPAGEKEDPIRVHQLSRASYGSIQSTDSLPEQGSGSLFRGTYGQVMSALQSVGLVTTPCKESGVDEATRLGALFVANHTEDGIIEFTKLTLWA*
>EED82759
MPSNDMNAQNASGLTPNETKSLKERIPEHHEEKIIQGIKEETYKIYTSDATFHDPVGIAEGIKAIRAQFNGLVKVFPRADLEQFRLLENPPSVPKGTILIDQDVSYYRDPSGSPTKVHRASSFVGRRHALTRFISKTHKDGQLVAHLADK*
>EED82760
MMGRDSSHAWGRRR*
>EED82761
MPGLNALSSRHTVIIQHDTASDYLALSYPTLRRHEASANIVLAHALKRVSAEAALSGHQFISDADVHEYLSTLETSHLSPHTTSDSFWLTMWSLAPSAPPTLDLVLTCVNWTLGEYPIFLWTPHHPSTLTSEWLIPRITQLVGHLRSCVPPERVYSVFGMTPLVKAFARQWTDVTGFAVEPEPFYAAYFSFCTRHIFQGSAAELPEGHVLRRATLHDLEPVAQLCKEFADDTVFFPLSIENARIEARELITKGQIWVYDANGVITTICAVTRNSQRVSAITKVYTTPAWRRRRCAEFLVRDVTARLLFDCGKERVVLYVGHDNNAQRVYDRVGFAGLCGKDKPQGVEDSIELGFVGTNRGHW*
>EED82762
MARGLSIDISPPVHRGMKELDKSAFCTSVTVLAAKVPPAKAGKLLAANELKTLVLLGCQEQGASLSSLSRSGRPGELPPEAQEYLKQESAEIVPHKLDLDYDYWIADDILASILPEELVEEAPSGFASVGHIAHLNLKAEYLPYKHIIGQVILDKNAGHIRSVVNKTSTIDTKYRVFKMELLAGESDFIVCHHEQNCQFTFDFSEVYWNSRLHTEHGRLVDSFKPEGVVADVFAGVGPFAIPAAKRGCGVFANDLNPASYKYLKQNVKDNKVAELVRPFCEDGRSFIRSVFNRAFDGPFRDPPPKKNSAQLRQERRKNSPPPAPRRRRITQFAMNLPESAIEFLDEFRGVLASANGGERALSGLYGAEDSEAMPMIHCYCFTRELEPEKAEIDIRQVRSIPFLTIVGVANAGTSAICCHREWRTSWDIRSGRRHHTTGCEMYCISFRLPHAVAFAA*
>EED82763
MHSPLEPSIGFNKMQFILGSDGTRQSAHRAYLPRDFTQSHRGNLHICTKTIATRVGTEKTADGDLRARNLELQNIDNGNTPVLVHGIIFKIWVLTLSNTYQALENMLQPLTLLKQVYNYLLYGTGWFLGTFVEVEIFAKSSLIQADGKPLSLQDEFLDSYNPSNLPDFGVLVTPITDPNEPGANKSKGFFGLIAALLLSKSYGTIRLASTDPQAYPVCDMNYLSSPDDCAALRAALRVTVAIANEMRAEGYPLDDISVPDVSSDEALDDLIRRRVETMYHYSSSCRMAPEDDTYPGVVDDELRVHGFSNLRIADASIFPDVPAAHPQALIYAVAEKCADMVTISNPAEVAKTRLQLQGELARDGGVKVYKNTLDVVTKTWKNEGIRGVQRGLGPASLGLTLKGVCVSSAAILESDWPTSNQFYSVERSLDVSLELAKALGCASNSSTVFDESMAVCVRDLPTEEIVATSYDLNISWEIIVDGDLVLTDIATSIKDGMYGRVPTIWATNECEYCFFIPSSIPPNSPPSAFPDNLSIWFNSTDAQAIMNVSSTLYPYETAPQSHKLSGAVFTLAQLMTDYYLHCPMLYLSSLETNTTNPGNSYKVMFAVGLGSTITANPVTCPGQVCHADELYWVFATAETDNLYQPLTPSEVATTQEVNKRWTSLAWTGTPNYEGALVEWPPYTGDNEVIINATATESIQPYRVAQCDFIESQLGLVFGQS*
>EED82764
MFTGNGIKIFSGTSHPELAEIITRRLGIPLSKAEVMKSGIGETSVRIAESVREDDVYIINTGCNQVNTALMELCIMVHACKIASAKRITAIIPLFPYARQDKKDKSRAPITAKLVANMIQKSGCDHVVVRASF*
>EED82765
MDPSTDTPDDMTIVPPSYADDGLLQATTAVKNQRYDTDTIVYPTEIRIPASTHSATCLPECVLPCVFESEALTSARPAYVPQGWTPHINPEGAPYWVNTLPTICIVTDAPMHKRDVYEKVLASTEMIQDFAERLDFKWPKNSELYVRPQEGNDGCAYYIVDHDYQTELWLQDMDTQVLDIPDASSMDHLNHLTSESSHFPYNADMCEKFVKILDGKYDTDPYTTWIAGMCFAGVKWSCFTEPSPSAPLECNWFTQFHGEDHARLHRFQRRCEVPLVVNNAMMRLCSRLLFGMPTDIQESLGRLYIDRVTYDMHWRTFISAQICGWRESAYLRGISLHYKIRKCRISVHRIDLCGPRTGFLDCKYHPDAPVLQGGGVQRKHRRFWPVALAYSLPKALLYWSVASLAVHFLVAVT*
>EED82766
MDVDSVDVPLQIELPDYCQGGMLQPTTAPRNDRYNVTEMPKYLPMSWAHHVNPEGANYYVNNAGAVCVVTDAPLHKQDIREKIHASVEKIHILVEHLGFKLPADCEFQTEFWLQNVDSEELDIPDVSSIEHLKYILQEHYWTHVEYFPHRSVSTKHRDELVNMLRHAQVDQLTSDSSTFPYDAEHCTKFLALIEGSAQQRFTQFYAEDHARLCRFQRRFELSPVVQDVKAQICSALLFGMPSSIQKDLEQLFVDRIVYGMHWSKFMLTQERSWRESAYLSAGLITATAIALRFSRSLLCLTTGAVSLMLSLGALGSSIALLQRYVKGGEMNAATAAQHITEVEHDKYGFGPIAAIHSLPRALIYWTLVALAFHTLSYALTTQSITMIATTITMMILAGTISFKSRSLLSNK*
>EED82767
MPSSSTQLSALSATIERGPPPYSSGRLATPSKVKS*
>EED82768
MP*
>EED82769
MASSAKAVQTFGKKKTATAVAHAKEGRGLIHINGSPINLLQPEILRLKVYEPVLVAGEENFGVMDIRVRVKGGGHTSQVYAIRQAIAKALVAYYAKFIDAYSAMELKKKLVAYDRTLLIADPRRMEPKKFGGGGARARRQKSYR*
>EED82770
MPRSLHLNPPFRAEHIGSFLRPATLVQKRFDYEAQKCSAEELRALEDESIPAVVALQREVGVKTITDGEMRRGAFYEGMFEKLEGMTPVPNRPLSEFKQYVPYVAIFNMMGLTGYSSIYCSSKIQRKRGIHTEDFAFLKGLVSPEEVKRIKVTVCGPTWMHLRHGTEYTYDKSVYKTDEEYFADLIRVYREELAALYELGCRHIQFDDPTFAFFCADSMIVGMEQAGVDHEALLSQYIDVYNEILKDQPADLTLGLHTCRGNFKGMHYSGGSLDRIAVKLFNDLNIDCYYLEYDTERAGGLEPLRHLPLNKTVVLGLVTTKTGKMESVDDIRARVESAVEIISQGSPKRSREDALNQICISPQCGFASVFEGNPISEEDERSKLGLVVEVARQVWG*
>EED82771
MSRYLHLNPPFRAEHIGSLLRSAKVLQKRIDHGAQKCTAEELHAVEDESISAVVRLQQDLGLKTITDGEMRRGLFYEGMFEKLEGMSIIPNPFKAMGFTGYTSVYCNEVKHLKITICGLTWMHSRYGSEYTYDQAVYKSDGADYPALTCSLESDHSGRADDYLSEGSFDRIAVKFFTNLNFDCYYLGYDSERAGSLEPLQYLPLHKTVVLGLVTSKSAQMENLGDIRERVEKAVDIISQGNPKRSREDALNHPQCGFASVFEGNPLSEEDERRKLGLVVEAAKQIWGPPTGSPQAPGQALEKH*
>EED82772
MGVPAEHPVWTREQVAARILAGETLVILDNQVLRIPQSWLMAHPGGTLSILHFVGRDASDEVHAFHSDATLKKMKGYMVGRVEVGEDGWDPLMPPIMNGWVRKIGPSGQREWHNEAAPLKLADESPSALPSEILLVKRAETTSCPSPSTLQHPPTALTTKLQAQHSAAYKVLHQRVVNAGLYKTRFLTGYGPEFVRYSLLAICSAVAYSKGWLFVSALSLGLLWQQLTFFAHDLGHLGVTHDWVKDRLIAIFVADFIGGLSIGWWVNVSNSYSCDPDIQHLPFFAISPVFLKSLWSSYYKRVMVFDAFARVFLPFQHKLFYVVLSLARFNLYVQSYSYLAKTAFEPKRAVGGRWWWWAEIGSLGLFFCWYLSILKGCGTWGNILMYLLVSHIAASPVHIVLSHFSRSTEDLGVTESFFARQLRTTVDVICSPSIEFIHGGLHLQVTHHMFPRLPRHNLRDASLIVKEFAKEQELEYAEFGFVEGNGDVRSVLKQVADQVKIVGMVADAEIQEAIKSS*
>EED82773
MYDEKLNSRSFAKRASPRKDRLPTMYGSGLFCHTCRMNQTLLANLLSSYLPPPEDPEYEQRAQTLPEYRRSIEARYPPVCANCAPTIEEEIQRRDHMARTSALNGFLRASKPVKRKVERTQRDKDRLAREITMWKVRGALWAGCLLFTLVGHAATSISLIWMAWDPTYASFKRAQFQGRMILQSLAWLTRLITSFTLGVSWFGEPWDKLQLWNDIESRRTRMFSSVCLLVEVLPMLAPPAKITLSKPVFGKPSLVTSLSQPHDADPSNAMDIEDDDESPTGPRDPDAMDWSPIRPPPSRDRPHVLNGRSHFGQDEDGDLLRPQRFFAPEEPTGLESLFANTIHLADDEQENAKRQAAKAKGRHQHQWPWVVALSVIPLLGVAYKLWADRRESAQTIS*
>EED82774
MGKLNIAHHKSYHPYRRDNIERVRRDEEEAREKDAIQEGRMMLADSEARIDLLRQRAGGTRTKDRHEDDSDETALQPGPSSIASGGHINLFEDLERSMFSDPRSTKKAPPETEKGIPLAPAAKDLNPWYSDGASGKERETNEDRKIRDLARKSVNDPLTSIKHQLAARPSSSTSTSRRPRPAAPAASSGGQQRAADVRLVRESSERQRALELIRRKKREAAGSETPSTVHGGVSERVGYGDVFNRREVDEARRHRDRRWGGDSRPDDGRSRARWRVDTYIGEVDLLGANQGQQVPGNLGDYSGPFLATGSQQGVSKQGYHQDGPKSTSRAPLTAPSKPVKASSS*
>EED82775
MNATSTILYSTPPTTNILDAQQRHRLIRSARKLGAVLGSTPQLREPASEPIPIALPLGPTTSSKSARRHARLFSPDPSRPGTPSSSLYTSSTNSSCASLAAPFPLPPKAQKAKSPRTPRACKELPRPLVLRLHAVPMPPSDPRLPAAPVTPMPTTPATPLSPSAPEARRRRMAKLTRTLGENVPLDLVFPSRQERERRQKEARREECRDPQENESESRRRSASLDLGASHVWAAGGNGWTGEWNRRDIREVQQQLRALRVRDVILLKSPDVSPTLAVKLKPNGDADIRLSSALEWLLVAGAQLSVRELPAVVGWTELTRAENSQQREVDDDHALTKWGPKLDVMRIG*
>EED82776
MLRQQLLCVADTGLITYHWVEGSDGPFPDFNTLHKCKDISKIKEWNRQNGVRIP
>EED82777
MSSTSSGNTHLSPFLVS*
>EED82778
MKKVEGDNLEWAWQHLDAQQRSNIVLQLRSFVLQLRALRSPHGPAVCGLNGVTCIDSRISSQPVGPFPNESAFNDGLIVAAKLYLCDEILADIRSRMRNDHRIAFTHGDLAPRNIFVRGGTVTAVIDWEESGWYPEHWEFVKA
>EED82779
MNQIDYGTLGLRLWSLAAHIRGARKVVVGKVVILGIGGSSFLSEACEWDTEQGEAARRAGHLLRGSLTSGHGTQLDASMGGKSTRVCYVNTNETTELVWRQATRDKIGVPKQAQSREREGKGKEERQDTNEGGQGTIENLGYVSKEGGVVDAARRKDDDGSPMRDSYRWRVLPKATVEPPFYQGECSFADEAELPLRHPWAASSPVPTVAIADRAFNLRDCVQEATRGMGGVWGKGWERSIKQAQDLSFCAFVAAQRFTSSHRLWALLCSSSLPSRLYIMAAGMKRKRGHATQTLPAKRRPSSPEEDADSVLSDADMLAAGDTHAPHSNDLSDESEEDEEADTDEEQWAGLGGEATTAGSAGEHPGTHKNQPPKGQELRNIKDASELYRSTSFKLQIDALLPNVRPKYSRAAPLDRFLLQLHAFLNALPSSAAQHPLEAARDLQKKGISVPFALPAPTAETNWKVAFDKPAEIILVGSWALKSAVKERDGHRYQADVAVAMPDALFQEKDYLHSRFFHKRAYYLSVIAAAISDESGMNVEVFFESPTGDPRLTTLILRPRTGDSDTGFSGLNAEIRIIPVLSPSSPIPLQRLSPARSNIRTSGDASDTPTPLYNSAIALCTTYKRHFLGTHNLKESVPAFADALALLRVWANQRGYGAGDRLCVRGFERRGMFWVSVLELLVHGEESAAGGFGKAVKRKPLGKGLSSYQLFKAALDFLARHDFSKDRVFVKSADGHRFPPETYASHEAVFVDSSSTVNVLAGVPLSSLEMLRYDAQKTLQMLDNHAASDDLFSSVFLKEQRDIASRFDVVLRSMADFGIRVDLSSAKLHKPSQHAILEHGSAYNALIATMLSALRTGLGNRAKAVAVLHPSPQARPLSQANPANPSIVYVGLILDTEHAFRLVDHGPAAAKQESEAARQFKEFWGDKAELRRFKDGSIVESVVWVVGNQDERAQIPIFIVRHVLERHCGIADDAIHAWQGQFDSVLRLPESVSAIYQTAGVPAGFKAAMTAFDNLVRAMKALDDKLPLAILNVSPVAEALRYTSVHSPVSVPASLASALPPSARYLAPMHIVVEFEKSARWPDDLRAIQKIKLAFFEALATALMATQKGLRAAVSIHDGGAPSEIRDQASLEIVTAEGWAFHARIWHDREATLLERAINDKPHISKRLQRQSGGDPRERQAALDAQEVYRCRFIHAPRHHRAVAALNHRFPAFSGTVRLVKRWFASHWLLRGHVSEEAVELLCAGIFLRHSPVASEDGVADRKAGVPGSKERGFALAIELLKDWDWSTAMFVPLYGSDDAAGSSEAAAGVTAGAKAGVWTLPTERDPDGHMWTARSPDAIVARRVRALAKATWECLGGIESRKLDVAALFAHPTEHYDFIVELDPAVLPRYHQNIQADASVWARKGKYANARADDETARLLPGFDPARLLYGAVWDPALKSPRPFRVLGGFSSIPAHREPEKPKEKSKDKDRSAVVLNERAVLSELERMGTGLAKRIVLQS*
>EED82780
MSTTRVGLLRLAVVCRLPACEGLHDAQHYNLPSTPITMASILAFPMDRQCKIAVVQYERADYGVGTEEHLHWAILVQTERLNQDLPCFQVFDRHYSDSRGVQWHLFDRDISLLKMRKCLGGISIGSVKYSRIKVLREVISGKGPVPKFPEWSCRDWVIEVIQLFTEQGWISRGILGQATLLPSMRNTSVTTKAGYTGSLRLIPVIVDLTV*
>EED82781
MSFWLFSVCSIFAVTMLMPINLKNNVDIGDGRTDSNNTDWSTTANPTPTNSTGYDWLDLISDANSYLSVHLLFTYIFTLLALYFIQDNYQKFIRARQLYSLELVHSIPARTVMVTHLPEYLRGERALAEYFESMGLSVESVSVCREVGALKRFLDMRTAALLRLESAWTRYVRNPSTVDVQPPLQQQQDRSPLIDVNVDDRDAEASPQVTTVPNRPRPTLRTKWFGRKVDALEYLQQEFEKADEQVKTRRKNGRFRATHSAFVTFENMSSAQMAAQVAYASNPQQCLTSLAPEPRDIVWSNVTHSPMTLRVREWMVMCAMGLLLFFWLVPTSALATLLSFKEIKKIWPQLGELIDANPRVRAIVQNSLPSVAIMSLNAVLPFVLEGLLLTICVGHWRGVSASKITVTTENRDPPGIDLGMAAVWRTVKPMIAR*
>EED82782
MSTTRVGLLRLAVVCRLPACEGLHDAQHYNLPSTPITMASILAFPMDRQCKIAVVQYERADYGVGTEEHLHWAILVQTERLNQDLPCFQVFDRHYSDSRGVQWHLFDRDISLLKMRKCLGGISIGSVKYSRIKVLREVISGKGPVPKFPEWSCRDWVIEVIQLFTEQGWISRGILGQATLLPSMRNTSVTTKAGYTGSLRLIPVIVDLTV*
>EED82783
MPTWPRGPVVQRSRVRAREKKKIKKRLGAVPSRDRDCSSLNRLYRPCCLGDSFDVFSILPVDFMHEVELGVWKALFTHLIRILYAVAPSGQMVVELNHRFSENVSEMKKMAARDYEDLLQVSINLFPEEMIYSSLQCSIAAFEGLLPTEFDNVIMSLLYRFAEWHALAKLRMHTDSTLDRLRQAFNLCTYKHHAFGDYAHLIPCLGTTDSYTTQTGKLEHRQTKRRYALTNKNDPASQLTRLERRETQLRQLGDEEKLRCRPQHVSFVDSEPLPYTDIHMHHHMSDSTSYPRHLFAFVHELGTDPAVKNFIPQLKNHLLSRLLGLDFDGDEHEYTAAQRDSVIILGNKIYHHKVLRVNYTTYDIRRNQDSMNSHAHCDIMIHSCESGPGVHPYWYARVIGIFHASVVHADATATNRSLQCIEFLWVRWFGIDPDHRYGSHRAHLPKIGFVPESDPCAFGFLDPSLVIRGCHLIPTFADGRTLELLSCPDSIARTAGEVDDWASYYVNM*
>EED82784
MSAEIAEKLGKIRETVTPGYMLTVNSLASRMKALLETTHPNSEKRVIGLGRIAYTIAWNLVEQYYLDVTSYVANDPRIVEGLPTVVSVNGEPDRDDLALWNAQQGGIAMLDDLDRALSQAVTRWRKESRGTPKVIEDKEDILEEVEEEIRELTGFGGQAAHGNEIFIRSKTAFRKWVNNEPIPKDSQKFFYGI*
>EED82785
MSTRRRVGFANSAVEASRRQLMQPVPCWEKVWAAPDGAPPGSTLRIYKWLDAYTRKAEAQQTFSDEEEAETDQPLVPLPEPDEVEVVDGDEEMDQDEVATSAAPETTPASRDVSEPVQFDVKEESLKPASPKPHPLSISFQPPSPTPVTDDALDDALKPAGDLQVVVGAADLDPDMSLDMSQMGPDGEAFEGAQDLSQLQSSDELLGGPLMDQSMEDPFAPPQA*
>EED82786
MSNAPARWCPRCQAARCALALLALAPALPALAAPAAPSLHEHARRDAGPAAVAIWETAQGAWDFYPSTRSSSITECIPAIRMTVKSGYEACSLHLLPMRDEVYGRTSAAPTPVLLDCTEASHSLALREVPERTTRQGIYAQVPSECITVVLTPSVQIPILGAIVVVIAGSAIYYRLRHPRPQPAATSARTAQAQTTTTTSAPTLATRLRTWSSRPVMVPGAGQQPRELTAEQLAGGAIPGRRRRGPGSATASMRSTRSLPLYMKEPGEQEVVVFRAEAEIGADGSVRASMLLPPVAEGRERTLDADMDMRRTSVSSFAGPDTPLLDGLSEQQSPSATPSPQPPHQQPRMRPSLETMGGGSSEAEEPLMEGARAQEEREREQEEHERGPAPPYVEAVSRDSGVAFDSTEDGHASPATTSSPPASARRSTSGRRPGILGRAESAVSVLDPHNGRLSHLLHIFQPGQRQAAASEPTALPMPAPATTPESPSPRSTSGSGSESVGHDGMPVSSNEPGSGPSPQAAPASDETSPPADTEHAPPESEAQSSALESPTAPESSSPAAPAPEPLTAEDVKKILRSAVPPTSYKPKTPISPLSTASSRATFATAEESLHGPGSPDTVTEMGAKPAIVVVGEEDAVESAVTPTDAVGIGEKTIPHLVATDAADEHANASKDVPSSSGSTGPPQDTPPSGAEGSSHDTTKSETAIATPFSPTLPSHTTDENDMSTSLTLAASEVPPV*
>EED82787
MQPRAFVLVALSGVLLPAANVAANPTVHLASPGIDERRLVSLISSAHCAFAPTQADTAALQARGACDGATEIDIKFDVNLDGETFAKCNNVNYQTWKASTTPGDFAWCRTEWTKCSGTHSSGVCNRANVFCEAARQYCSTLKGDFICY*
>EED82788
MSNVRLLELVFTIAFSLLPWFTSPGPTLLKTARAKSARYYNAKYVGVRSPQSQVPTYPGASPGVTLSGTCCIPDGRDSCWRLLLSYILPGAASVDLLFSDHPAALDVLKKLLEIAQNMARSAWEQLDDAFRLVTKFVQGSDEAERSLVASEYYKDKVWAETAGWDEKCADMQAEAERLPKERQTVRKDQQDIEKGIDAIPYLRMLVEPVFSPDLKDLLPEARVWSVTNELVDRLTPGLLHNKDDVARFTETIVKLYGTDRLNFI*
>EED82789
MASGGFFSAPDNKDAPVRLCGPAGAHHFELKCVTDATANPYLVLASVIAAGLEGVRDGAELTSGDCTKPAAFMSEDERRAVGLDASIHWPFCTAGLLSAVATAFIVASQYIALGKHKFNNSMQKSVALMRFSN*
>EED82790
MLSAFSRLFQTSSASEPEPDSFTEKGQGRTSTSTAQDSGIHLERKPSSHLSIPMERIRAPTPSHVSWKVPSPNPSQPTSVAEPPWSMQYDSHSVSAPSLLLLNPGSQRQRAESLRRHSSQSLHAHSLLASASEDSERRVNFEVQPTRQILPLDERVHFPAVRRPSIDSVPLTPDSTRVHDGHKLSLSAYPGAFINRPLNRSASQASTSTFRSTASVAPPIIPPLDLRPDFQSTLGIPPRRSRLAAPSLPTVVSSPKYSVIYEDGSSARTSSFITAPSEHTPEHDSDDERAVDISVSVRTPDLNVSVRMHDYAYAASSRTGTEGTPAPRTSGLPEGLYDVDLAADHEPRHRAQASSAQASSLQPLLGGPSAVPRRPHSVSSGDSESYILKRWLKGVSYSSDRFAIPIVKRKRIPVSLACVLFWVGFVGPWCWLIGGWMLSNGGDVMLESRRSETVLPLWRRRGKQREGLSDRDRHKLLALRLWYPLVAPSVESLCPSVHSNTSAASTRKIKQPAARTIDIWVTRCRIAAITSGVVIVAVFVVALIVVGSRSS*
>EED82791
MRIISTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPGTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFPH*
>EED82792
MQFRAFVLAALASALLSAANVAANPTVHLVDGEIQAEAVALEARSACDDATEIDIKFDINLDGDTFAKCNNVNYQTWKASSTPSDFNWCRTELFACSGTHFSGVCNRASAYCEAARQYCATLKGDFVCY*
>EED82793
MLLLLRDWLFPKVPMVPLERDSEGYYVGFGRCRRHKTLRMDDEEYRFRSHYRMQDPFNCVGRGSSAFPKPHIPNSFHMEQDELFKVIQGRMCYVCNGKEGVAHTGAVVELPKGAVHTACTTWAALSFFQFWCDPTSEEDVIVEFTARPGRGMDERNINSAYGIFNSYFVAQKPVPFLQDMVIWYEAASAPGHFPKPFAIMAAYMFGGVIGRLAGYRGIYPLYTEQEAGKE*
>EED82794
MPPTDYHGPVPDPTYIATQWLEGLAAAANDADIDAFAGSLVAAGWMRANHLQPDLLCFSWDYRCLNGNENITTFLSESIRGQTRLNAAGLHSFQVQATSTLGPPALVSSPLDPSAQAIQAVFEYSISSPPGRGRGFVRLVEEQRHEWKALTILFTLHEIKGHEEPRVRPTGLFPDFVTWDEIERERKGAIEGDPTVLIANVKLVVGGSLTGLICAARLTKLNVRALVIEKDARIGDVWRNRKLFPKYIPRVKLADFLEAYATGEDLVVWTSCELLPIPKYDSASKHWSVTIHRGGERQTLRPKHIIMATGNGKAYKPEFPGIEKFIGLVYHSDDHRGATPFKGKRAVVVGACNAAADMCIDFFAKGAHSVTMIQRSATCVISANAADTLVYDRVYNERFAVEDADFGSLSMPTRLGLKLAAAGSTKVAKAFDKELFDGLERAGFALTWELTPGGGEVGAVGFVAERAGAGSMMDLGCGRLIIDGKVEVKRGSIARLEADSVVFEDESRVGADVLVLATGYKPVIDNIKELFGPEITDTIGFRLGGIDEEGEHMRAYRPSGHAGLWFALGLLPQIRAFSKYLILAEELGLKETEPV*
>EED82795
MMESLPIDTSHPAVRDYLALIRLQVLTPLSLLINIATVIVCAFVLHPSLRDISREYPSTIAPSNSMIAVFITLIYIAQVGYCVLLVLARKPETKASLVKGVGYPLVVANWVMAAWAITWVLQAFFVSTVLLGILLVLLIYANLNLLIYHTCCTPDFYGALKASADGFLGSVTLGWTFSPGEPQHYSPHQWAGFGVVLGVNILGLLVVIVRQDIVWCLSASWLSASLWSRTPKPMPIWLTAVLFTIAHPLALVVSWLWMRFRAHRQGAIQLAPDNEEGVVQGQGRQGGPREVDVDALWG*
>EED82796
MAPQSMSHAGVFLESGWIGSGKKFTKDLPAYVKTALEAELLLPPAILSLVLPVIRGESGFVAANEVNLNDPDLLKMLATSPPSSRAGNSQRPRSDVQEDSELIIAIWKGRSRVPKNGEFVPPVGRWMVPRILGVVPVGHHTKPRKNSKFKDDRRYLSDRQVADNPSCAVWDRFFPSALLGSGTFGKVYLLFDVERRHKVAIKVIRYAERLTKTECLGLINELKILQQLAESPAPFLLRPYLGYNMWAWYSMTGNTARVEICIIQGFDGEDGLVQHDKSAELVNVQVKEQISTKTKARKAELMTESKARNRSPEERMKLRKEATDLLIRTHVAQTLSSRHFYDATVTVWLLLYSCIPLSPTPSMLFDSEIIAIWKGRSRVPQNGEFVPPVGRWMVPRILGVVPVGHHTKPRKNSKFKDDRRYLSDRQVADNPSCAVWDRFFPSALLGSGTFGKVYLLFDVERRHKVAIKVIRYAERLTKTECLGLINELKILQQLAESPAPFLLRPYLGYNMWAWYSMTGNTARVEICINFGACKSMRDGRLSCAEGAEVIVTREYAAPELLVCPSGNHADEAYYDETADIWSLGVVLGELLIGTSKFDGRVGLSRKDFYQRLNELITSTLTLIEGEGGRHGKFCDLIALMLCVDSRARTRTTGLKAHSGFRDMNIVFTKLPYVNRPAIKYVRRPMKLDQQPRGRPVSSVPVHSFDFIDQLGKHGLELGVNEEFNAAVVDLGLRRNARRRS*
>EED82797
MSVLWETMAGLAPFIRTLPPNHVYDYEDDKYDDYESDDPGYHKSTFVIGVNRHHLASNVRFALNTQRLDHYARFIKHVTVHDKDAYYATLHDLSIHMPSGYRLLPEVRTLDIHLDAVGGPEGMDMLFGPRVDAISVRIERTDTGFRWTTVFLNKMFAICRNLRTLKIDFDLCDPEEICVILDMMRAARPSLRALHLTFKAWIYGFKVMGTPRDSMERLLRVISGTVHDFSSTIRIEPLAMQAFGLFRNLQNLMIHLSPQAMSHLTSKGPNFLFPSLRSLEIIADNIFLDTPPFMRHLQAMHLDTLALLFIRSPSASCEDFMSDFNQSFLTCSFSGTLSVVAMHPRQRDRNMWRPPYSMMATLLALPKLSALYLPRQWARDDVLETIAKNRPEIQLLEHCPLHPRAFPDIPDVPLFHSQLL*
>EED82798
MSVLWETMSGLAPLICTLPPDHVDEYEEVPENHESTTIIGVSGHRLDSNARLALKARRLDHHGRFIKHVTIHNEDAYYATLHDLSIHKVSGYRLLPEVRTLNLHLDAVGGPEGIYMLLGPQVDTIYIFAICRNLRTLKVDFDDCDEEGIDSMERLLRVISGTVRDFCSTILVDHLAVPTLRHFRNFQHLVIH*
>EED82799
MSARSATPVSTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEKRLAEEEARRIEEAAKRAKAAEERRLEDERRRKEEEERRLEDERRAQEAADEELAQIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMTEVDMAAAAIEKRQSGQKVRAVPAGMLFRQGVCAWEDQENARRGIYHQEARGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRWPLDGASRLGLEQDDLDALDIDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED82800
MPHCQFFLLYSLGLPTGKLFDLDYFCHMQITDILLWVFSLSMLSLTIVTQYYQSSRSQGIGIVLGVGMLLLPTISLQAHNWTNHHALVMGTILAGPSSSTRALAWAVRATTFLTLDVLYIRHAFLNDVFQLWSNSRGISSTFSFYATAILNVDSTFGRLLLSRLADSFGKINMICTVSVVGTALQFALFRVKSEAVVIVFAILYAFFFGAGGHLHRV*
>EED82801
MDHHKSKCKPLGERHIALVSNPPPLTSTNDVLNEDVLLMIASYLAAPDIRSLSATSRQLSYVARPRVLAKLEIKRFHEFDKAYELYMSDGARRLEYLRELKMWLGDRFVKRIAVSFADLLEKAPNLQYIVLNNAETWLWVSPRIGMAIQNLDNIQDLSLYQVGKRTLHVLRCMRSRPSRQYIVDQRRPITWTESDWTPDYEEVMKADWKLPRYDLDSDSRSLENPPVTFQAILDSLSPHQSTSTFYITITRELPAAPDPITVPQWPHARHLEVSFGKNRASSLISLVHAFPNLRTLRITGPKDGAGLLNVNDVCWPHLDYVYLWFPTMGYWGITCPVHHLRLWHIRHEDILGYRPYIAKDSVATLASLQRMSPVILTLEGWVAYLYFGTDSTHWKALVDVAPRLRVLAIDFEYLKSRDYDDWTVQVPRALMRSNIVCLLLYQSGRTGSFWKPLVGVLPSLRYLATTEGETNEYQWHGEDRETPGRFDKHLRWRWRRVVEVNGIRKAIPMSAEAGARIASYLYSPECDYKLDFDGEHP*
>EED82802
MGSIDAFEYDPTCFDYDTLRYTLARHSEWRQSDEKSVLEPYTYLISHSGKDVRGQMINAFNEWLHVPKDQLAIIGRIVGMLHNASLLVDDVEDDSQLRRGNPVAHKIYGIPQVINTANYVYFQAFQEVSALQASCDKYDLHTIVIDELLNLHRGQGLDLLWRDTLTCPSEQEYIAMVNNKTGGLFRLAVRLMMANATTSVGVDFIPLVNLIGIYFQIRDDYCNLRSKNYAANKGYAEDLTEGKFSFPIVHGVNTDPNDRSLLSILQKRPSTPTLKDHAVSFLENRTRSFDYTRDVLQKLERQVRDELARLGGNAGLEVIVNALSKTE*
>EED82803
MASHTLLTLPKLQDELLAHPIMTSLGSLALSTFISRSIYGIIDWRPVLICVSSDVITIGLDHYNDQASALADAKKTSNSAVMSVFTCARVLLITNAILLVFALLSSPPSTWFITACFITPALLWDTPLFRRKAGPKVKKTKAEKAQESKNGFVIKRIPGMKAVFIGIIRGCGTFAVVHSILSRSFPPDGIASGPWTPTQIIVWSTINRTCHAVMADVRDFTEDWELQVPTIPVLLKSVHRTKVLLTAIHLLTLAVFFNNIYIIFASLYAIALVWMLDENSPRKLYRLSFHSQTLVALVYGAIQYYNQFINNH*
>EED82804
MASIFKLFTTDRQCKIAVVQYERADYGVGTEEHLHWAIVVQTERLDQDLPCFQVFDRHYNDSRGVQWALFDRDISLLKTRKCLGGVYIGSVKYSQIKALREVASGNGPVPKFPEWNCRDWVIEVIQLFAEQGWISTSIPDQATLLPSMRIASVATKAAYTGRSLHPIPVIVDLTV*
>EED82805
MSLMMLGIAGVSCVPRVGVFRRFGLTLRSAEACRSPFELWTADAVLIPVLQHVEKLMAERTIVDKAYIRQIVGKTAHSLTFEDACSLSQYSSPRLHAWLMHTNKCSYPASPNSLLVNSASNSGDDDVPSALLGRDTSLEGRRDRADCKIAVLQYERDGRGVGKEEDLHWAIVIQTVSRPDTKSRLPCFQVYDLNFNDEWGKHWQLYDRDVSLLGTRK*
>EED82806
MLRQQLLCVADTGLITYHWVEGRDAPFPDFNTLHKCKDVNKIKEWNRQNGVRIP
>EED82807
MDIPVDWHSEDDALMLDMSPSTSNVPLIFVTADSAHTASAPSIIVYALQEVTLADVRKDVARFHKLSMPAHFICLTWLEAFCAGAPVFSELPFIPGVSADGDRGVPFVPLSNAEAGAGGA*
>EED82808
MFLKKQVDLQSRHREHPDDRERVHFVHGIPGASGVVFRGRIDSERPAAALALPSTGSAEMAAAGALNQAHFICPACITPRALFGPPEAFRTGASVLGELPLVFGMSAACDRGVPFAPLSKADAGAGDAQEWRDMMRAAAQQVWRTLTVFARETDGDEPVPRGLVGSSQREDLRHMIGEVAAYIMQLSALIDGFSPHLRHPSVIMKSILQALGVDKDCKIAVVQYRRDVFGVGREEELHWSIVLQTESKVNSKSRFPCFQVFDRTFNDERGKQWELHDRDVSLAKTRKCLGGVYIGVVKESQIALLREVVHSNPPTDRSAEWNCRDWVMEVIELFILKGWVGASIHSQSALLPSLRRASVATETLFSESSSALPVIVDLGESAHI*
>EED82809
MPNPTQRAPATPTSTATLVHAGQATHTRLFWLFPTICTAGILEIVGWSGRLWSSKNILAKSPYLMQIVTTIIAPTFLVAANFVILGQMIRRLGQCYSRISAKWYTIIFCSFDAIALIIQAVGGATAATAVDQNKNPNKGGHIMLIGIVIQMFGITVYMALAAEFILRYLYDRPVRASGDKPLAAFSFDRKHKLMLAGLTFSSICIYIRAALTTLAGRGAGLSTELANGWTGYIIHTQRYFDWLDGGMITLAMFTVNFLHPGFLLGPARTWATGKEVDIPLKQMDSDIA*
>EED82810
MTMMHAVRPAAAVRRCTRALALAHGADVPQPLPPPSPPNPHLYAPAAFACPTPPRLGPARRDAANAPSGNESRSSGNEPDELKSSENGNGNGNGNGNGNGSGSGDISDQEWEIRTGAHSTYAFSPVSGLIHQHTIDSIEPAPHQAVFDALRGALCKLGLADGPGRESPGAARSQPHAHTHARQG*
>EED82811
MFGEHESESPRVPSPWDHFLPNESSVNSAKQISRSVPKLVPEAEEGNVEYKLKLTNISPARFARLVTQLKWRLLEGGGQAYYELGVADSGALIGLSRADLEQSLETLEMMAGEIGASVIVVKEIEVPPAMYTLADDAARLLDPVTGGWTCKMKRRMVSPGAGGSGEEDATTTATETETDFTDGDFDDTPSVGTPADDPHVSQHNVRCQPFAHRVTSHPGRLSTQSSPFISPLDDDLALFSMEPEPPHILTSTAADDAPALVPAFSVDLEIASVYKPRPMRRRTHALPAATPAAVLHGKHARRQKYKEKEKKTQPWHTPPEGEGNIRWGGSARHSSGSADTSSLTHWPPGPHQHAVHGPAAHGPVNVGLVTNNWVKGHKTPYPHFSNWHRCRDVEKIWEWNEKHSVHLLWERLTRFGDEVDLEQSDGAIVS*
>EED82812
MLLAAVLSIYVDALEWYYYWKGTLASSVQFLPFGLVLKIQSELTIAHEGHIINFVRKHTCIPVPRVIAATAWYDRRFVLMKRVHGDNLQAVWRHLDTEQRANIVEQLRSFVLQLRALKSPHGQAVCGLNGAATLDSRVSSHPIGPFANEGAFKDRLIDAAEPYSCEEILADVRSRMRDDHRIVFTHADLAPRNILVRGGTVVAVIDWEESGWYPEHWEFVRAMYFPMEHPRDEAWMRAIRDFIPGDYEKDWLVDRELSDRMVGAF*
>EED82813
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVSDSTLLTLLLSNPTDIFNKLKAHNPEATNATDRAALEAYLSARCEYDEAVKAADEAIDHHKRLLRQQDNRVLTELIRLDNLKVAHRFLPLLPRSIRARHNKFIPRAIPNVYLPLPAPLPTSAFRRPPIPSPFLQATPQSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCEKEAPGHLERECGTRPMKRHVSAPPEEPARHVGVVVDNVFLEGIINEAKERKQKERQTKAVPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVNSDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED82814
MRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVATTFASTSGGRKWELGAVLNEADQKLHRDGNLCFYCHIKGHSAKDAARRRPHDKGNQGTLR*
>EED82815
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFTNKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAMSANTARIEEIANIEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED82816
MPKAKPFIITAKHEPTGLLERIAIHNTHKFDDVGKPRQIVRPTIKPLIRRPFNPERAKKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRINMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVGRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED82817
MWPFSNYREVSIDSLSASYDFIVVGGGTAGCVLANRLSADSSKTVLLVERGPRADTWAARVPLFSSDFASDGSRTLKRDSEVQPEAGRSFMLVSGGALGGSTRVNQMLYTRGLPAEYDMWEEAGRKGWGWEDVKPYFLKSERALAGRVEGVHNDTGEWKTRRMGDEYYFAGFKKAMVAAQDIGLPYIDDINSPTHPPFGCAGLHFTIDEHARRHSTYHAFLPTSLVRQRVNLHVCTNALVERIDIERQEDGHCVARGVVLLPVKGGSLEKRMYVQAVREIVLSAGPFGSPHVLMLRRLNPLLFSGIGPSEHLKEHDIPIVKDLPAVGSNLQDHFGVSVGFSIPLQDSLLSSEKRPWRFFVELFRYLIWGTGLLLGPVLQLAIFTHSGLLDKTGRPTKLEKAFSESVPDIEIMPLAYSSFDNPSPVPNGSFSFLNVLLHPRSKGTVRLVSRDPRVPLAIDPRYLSDKADFAPLRASLRLSMRMRDTMRERGYEMDDWVVPAGERDEDLDAHIRTYSRTTFHYTSTCRMAPEGDSEGGGVVDDELRVHGVAALRIADTRLQS*
>EED82818
MPSVTQLGIPHYVPAPPTKADHLAVIDLSKTDTVEGRAQLATQVRDAMTGQGFFYVINHGYTAPQAERIFDVADIPFAGVSDEEKRTYAGNIKATGSYQGYKLRQYWVLTATFKH*
>EED82819
MQLRAFILVALAGVLLPAANVAANPTVRLVDGETHADQADIAALEARRKCSDVDYIDIKFDYSGKTFAICANVFYQTWLDASTPSFFSWCGTESFLCDRAKYSGVCNMANVLSCEVASQYCASLGGNMYCFPVVEDGAAPVEVGHSRSE*
>EED82820
MQFRAFVVMALASTLLSAANVGANPTVRIVDTTLAARSACDDADEIDIKFDIGLDGDTFATCKNVNWNTWEASSTPGDFNWCRTEWTRCSGTHRSGVCNRGSKFCEAARQYCAKLGGSFSCN*
>EED82821
MSDALSLQARSPVTNTDYVYARQLFPCAKGLPLYWPEPNGNATIAVGDVGFFYDRKFVRLFNALRNANDPVNGLIQENCEYKPFDRGNDVKKEKIRSVKVEEELSRDGETWEHLKFRSMGDEGAFILLADPATEEYLVPSPRLYEYIHKQIKIWMPSIKKENSCPIDDVIFVLGDVKTSAWAFNVFLPNSEEATISINSACAETEPSSWAFTRVRPVDPKNECDQSIFMHYCRMKRRAPPPSDAPNNEQNWDIKEHEVVILSPSDAPDDEKNWDMEKEEVAIVSDLPPDSEVRNSCWNNGANGAGARLSTGVAMPVRDNRVRGLRPPATRAPELASSFLTARDFGVKVAIASDIDIICVYKVGIHLIMC*
>EED82822
MTIRTSSGTTTKSRRATSSTLHLSSARHH*
>EED82823
MSDALSLQARSPVTNTDYVYARQLFPCAKGLPLYWPEPNGNATIAVGDVGFFYDRKFVRLFNALRNANDPVNGLIQENCEYKPFDRGNDVKKEKIRSVKVEEVLSPDGETWEHLKFRSMGDEGAFILLADPATEEYLVPSPRLYEYIHEQIKIWMPSIKEENSCPIEDVIFVLGDVKTSAWAFKVFLPNSEEATVSINSACAETEPSSWAFTRVRPVDPKNECDQSIFMHYCRMKRRALPPSDAPNNEQNWDIKDHEVVILSPSDAPDDEKNWDIENDEVAIVSQSDAPDDEQNLDIENDEVVSPSPSDASDDEQNWDMEKEEVAIVSDLPPDSETVIMKAIFYDPVEHVLDYILENAGVEIAIASDIDIIWVYKNHDIPEDLATLPKLLREYKIRVVKGGRQ*
>EED82824
MQFRAFVVMALASTLLSAANVGANPTVRIVDTTLAARSACDDADEIDIKFDIGLDGDTFATCTNVNWNTWEASSTPGDFNWCRTEWTRCSGTHRSGVCNRGSKFCEAARQYCAKLGGSFSCN*
>EED82825
MSKELGALETNIQNDAHPELHALRSHLQHILEDMSRYAPVIFKPPILDSSYDSAKESGQESVPGIRALRDAIKQDLEVLEKFLADPKSVSLPPLSTNAPYLIAVWHEVLLAPPPITAIWRTYNDNAPQGDPIGANSRMLAEFREIDSYLTDSSEGSDDPDTPPTLAQTEFDNSLLKIGRALLSAAAQNPLPGTNVPPIVNLRLTRLDPAPENSKEHDPRIAQTIDTLRAMGIDVQLGERDAIAIPQVAAPPPPHRLEPTLRINLDLSILIALVSDITHAPPPHSAAEADARYEPPPEYREWKKKRNGVLKGPDFDGSEEGIGKHARALATQALQEAKRGLIQEMHDRLCALVPQPVDASGRHACPPVEFWTTPDARDRFLRIVLSKIGGPAEQRRALALFPDHASPPLSIEEAEEAYWRGSRYPRGFLPLLPVRMFPATEPDAALEPPADADGCLLSPFFHHLACACRRVLALETIPDLREASGAGGDGEADGQGDTDGQGDADADVIPRAAVTRANPRLTAHTMQSVLWGAVRRWTTLTANKTSVKAILRETGSAGGSNEYGSGPTDGLEDASGMLAEKAALWVVDPRSLAEGRKRTVPTDRGRRVETVVIPA*
>EED82826
MDPFNTAAAAIDLVQLTAEIGKRIFEYAQSVANADKAYITLQEKLKGIENSLKLGSDALRSVSNGDLCGPTQQLLSSLMSPGGALYDCNVMLTRLETELDISYKTPTPQTTRARLKWPLKENKLKDHHILLSITMDYCKPIFHGSVDDKGGMLRWYTILPGVSWSWFITNSTQPHLMALGKSKLLDWLSPLQSLEGKLDTAIQKRDSNTVKWFFVHKSYTDWTRREGSLLWLHGIPGSGKTILASTIIQKLQGLSGNARLAYFFCDFSDPSSKDPAVLMRTLTHQLVRRMSDQECTETFTEAFIRIRDGLPPPSHIHALHETLLVKAIGTQQVVIVIDALDECDSREGLLPILCELAKNPTLHLCVTSRYDRDIATAFTKFSTISLHDVTSLMGEDFRRYVSKAMHIQLDRLDACRTAKAFNDALNSLPKGLDATYERILDGVSQKDDMTLLQNVLKLLVSAHRPLPLSEIADAIIAEEDESTWESSDIWSASIEVSGESILKTCGALVHHNVRTDALTLSHYSVKVGDIHSDSHRQYLKCIGFYALEDEFLCEDGTDASWYGIDEEDDGTDASWCGIDEEDDGTDTSLYGLHEEDDVTLAEPNMANEGAERPSLARRSFKFTYTSGNDCITHPFVTYAFTHWLDHVREIEIARPELAQDVLTFLPDSRFNQIRSKCLLDFVSRHSLYYIPLSCGPIALLLRHGLVHTIRKLGDTHPHLLEELDSDISNYGSFLMLAVFSANLTMAESLLNLGVDCDKVTSKHHIAGDPISALWLAIEYGHDEMFTLLVRAGARLELPETHIDGYHSIVGLDNVCVHFEVLTNYQYKRIKIARGPCNISRAPQGSWSPGQVLNLDLSLSQGSKVARGFQKWRGWGCLVGHACTLEVYKHTMSSSQGIALLVFPRNRVVQNHSE*
>EED82827
MSFTSLGSGFIRVQVPLLILRALTGIGAALNIPSAMHIIVHMYPEPKVQSRAIAAFAGSAAVGNVIGLIIGAALVTYISWPWVFYFMAIVCFAMAAMVTILLPSWRNPYTEGESSYRKLGRLDPIGVTSVTGSIEGWRNARTIAPIIISGALIAFFFLWEPRLPENAAAVPPKMWKYENFTLLMIISLQPFMWWASVQLTFSLYYQDVLQWSTIITAIHFLPLGIIAFVAMALSTVLRDKFPLKWIIIGGELLAIAGTILLPFAETKEDYWRFAFPGFILGTAGMALVFATNKCVRMCIWFGFRAAANVLSTSAFHNSIALFAVTPPEVAAMVGAMFSSALQLGSAAGTAIVTSIQSTVTQSHGGERGWSGRAAGLWFLLAVAVAETLGVIVFMRRLEPPSAAKPQSTNQLSDDALDVKGVSNDSEKPGAASC*
>EED82828
MPALQSNSLIVVTGITGYIASHVGLAALQAGHRVRGTVRDQKRAEELKNAYAKQGVDTTKLQFVVVDDITSQVQLANAIKGADGVAHVALPGDILESSDDMPQQAVKAAVGLLQASVNEPSIKRIVFTSSSLASYQPPALLPEPVTDKDWNDATLQAWENATAEDKTKPVWGFVRYTAAKILSEKVAWTWVEENKPPFDIVTILPNANFGPVLYGEPRSTAVWIHSFLKGDSEWAQSVAAQWFIDVRDDGRLHVTALTNPSLSGRRIWGVAEPTGWNKILAILRKNFPRANVAPDIVGEQGEPTEQKIENTVATEALGGWISLEQSLVDTGKSVGF*
>EED82829
MSASDNVNLLAGPQLLGILFDWGLQGVLTVQILYGMAIFATVQTGLITAYAFDIYVYNNGNVASMMATHDAWFAVPVMSAMVSCTAQIYFAWRIWVLARSHILAGIIIVSMPSTALSSPGGSWFRNGDQGSFQENNAADLHALVGNGALILVDVKPYLILLSAQLFKMKTGAPRSDALINKVIRLVVETGTLTALFSIVLLTLCLALPDNLVYEAAYANTFLTNVINRAFLPAPTSVESNRSVWLSFQAAQPSTLATTPSTSLSADVPGRIGTSHGDESGDITLYELGSHKKKDISIIKGTECEVVASTV*
>EED82830
MFHDRRSFTSLLGLHVFLLVLLVVVEFAISATTFLVYDTVINFADEGKTWDSRQCIGWTLLQLVSNQVITIVVEGILVFRICAMYNRNKWILIVISILYAGVAVTMAVACFLSVPNAKWTPQCLVTDVPPIYGSYWCYISSQNLGQYSILFSLMRDGTWAYVMMFG*
>EED82831
MVKMRRGEAKAVNYWLRARVLTQGLTIAAIVGGSYAYGQTKQQK
>EED82832
MIQIDTSRLEHYSCFLRELSIIEDYIELSTLEYLAPHLPSDFCFLPRTRVLKLRLSGDMEGFDMLIGPELVDVHIEIDSDWTSMRCGITFLARMCCVCTNLEVLRLDIRFEEEAEAELSSHVGTFVQMVQSTYPRLRILHLLAIHDYDYRCDPEFTSRPIIDLLRTTCARAQEFSSVHIPVPENGVIKLARNPNLRDVWICLDETPLERRIFEGIHRPFSALHAMRFSVKEMDESSLSFLGSVSSAVLTRLIIDVEDDSENLDSTMLCAHIQCVQQSPFRDTLTLFGLAFDTRSNLSKKVTYEILGPLFDLPQFSRLFLRRPSDELVLTIAGKHPHIELITEPYFHYFISPSISSSQAQESKDEIGKTSVGVGFEQASAKVYGSERRGKRSNTKRFVSSSGRGGKRAKYEGTRYYRAAARHVGEPVVGHVLARLFQHFCLLSLVPAVVRPPTEDRLLREQFGEEWDAWARRVPYRLIPYVY*
>EED82833
MGRFFTFEIAMRNGHRLVTTGPYAYVRHPSYTGWLVAMVGPGICCASPGSWFRECRIYETAWGKFGAALYVFFCLLSLVPAVVRPPTEDRLLREQFCEEWDAWARRVPYRLIPYVY
>EED82834
MCSKAIRDTLRYNNPAAIQLDTSRLEYYSRFVKELSTAQEDFEPTTLEYLAPHLPSDFLLLPQTRVLKIPRCPDHMQGYEMLLGPALVEIDIEIYFEEFEAFFQWKPLRYGMSLLTRMCTMCPNLEVLRMNMENGRSIHVGSFIQVVQSRYTYLRVLHLSAYNCFIGGRRDAKFTSKPITDLLRTTCSRVQEFSSVEIPVPADGVIKLATNPNLRDVWICLDATALEPRLFEGIHRPFSALRSMRFSVKHLDERSLSFLDSVSSGVLTRLVIDLEGFQLTSTMLRVHTKKLQQSPFRNTLTLFGLTFYESLAEHVTYKALKPSFDLPRISRLFLQYPSDKVAQTIAQKKPHIEVVEKENW*
>EED82835
MSQNTNTPLMPPRGHSTAPSFDPAEVRSLWRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIKQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYRFDVEHFDNGAPRNDQNRRHLDDHRKPVEDDRNTHPADDTRYAQPRPRTETTSCRPRPREQRS*
>EED82836
MPLKYLSRSSRSPYPERSAGPLNSAENSAASLASSTWATASSLRLPKRALKAMVKAASFAKGVVTPWTPSCTDTSWAKYGVAQMRSCDRNLSHSMTVLPSYWRGRRSFRVSLSGGDAGEDITGDEGDRGLPAGEDGCCDCPPGELREERVLVRPRGGDGGEGDGGSPGAGLHKIGTEGEAVTTDDELAQECAPGRAGGSLKGSLEAVQLPQFFKGDRDLLALDLYGQQRIEGLARLSITDEEGSLIPVAFGVVVGDVDLLLGVEGVLNSRAVEEFVSHGDGGEEGEFTWGPVLLLASMQQEPKPRVREDKYRPLKKGERSSDRGKPNKALGVFDLVLTEAMNAAECRGARSWEAFPAAAPGRTGGSLEGSLKAVRLPQFLEGDGDLLALDLYWGQRIGVQGRGGVGLVGLVAVAVWVVARDVDLLLGVEGVLKLRAVEELVSHGDEGEEGERIAGVRTTQPVGEER*
>EED82837
MSSPATVPDKETLKLLLPLQYDGKLVVKCNRFISQLLIYWTINMALSSLKLKIQVALSLLDGDLASVQIGIQGATTPFADKAAFLQAFKARFGNLDDAAAAQVELTKLCADKTMREKRTAAEFSALFKGPADRSGYGNLELRDKYLSGIPSRVYQKIKLRTFTTWREADTRATAVEQILDVSQARRPELNNFFSAQGRGHGGARGGAPSSHGASASLNAAIGKGVTSWYGV*
>EED82838
MPLKYLSRSSRSPYPERSAGPLNSAENSAASLASSTWATASSLRLPKRALKAMVKAASFAKGVVTPWTPSCTDTSWAKYGVAQMRSCDRNLSHSMTVLPSYWRGRRSFRVSLSGGDAGEDITGDEGDRGLPAGEDGCCDCPPGELREERVLVRPRGGDGGEGDGGSPGAGLHKIGTEGEAVTTDDELAQECGGVSLGLVLGGLSNRSPREGWGIA*
>EED82839
MPVRDNRVRGLRPPVTRAPELASSFLNACFNKAWIGMRHSQLVTYITPAARWNRKRCRKNVDDQIQYSKEVTVFWAKTTARFGKSSSMEVSTQSLEPRSARRRPFSKPPEALKIALEIALDVNIVLQSELGISRFDMIATVEGAGCSPDPITLTDHIIPTEILTYILEEGYQDSDDLERMRFVLRAASVSRTWRTTALNTSALWSTVVITRLRAPPLTVLTTLLERSRQSQLDIFVDWHYPGAGAGLVYIKDVMQILRQHMQQWKSVDITWDVYGTDELEDTFAPLLTGSADALRNLCLCCSCYFVWPEDLERFDFTKGFSAPNLRSMVLDGLPSAMDLGRLTWKESGDGERNWIYESMDFMRMLGPLRDLRHLMLDKIDIEGVRNELTENDEALICLPALETLTFCDTNFETIGDMLSVVTAPNLCDLTIHNLEHEGKTVSFHAFWQQPRRFPLLRTLYLEVNIAGLDIDELIELWRPFRFFRSARIIHTFARDRNYFSMDDVLEALSHAQEDGGWLFPFLTSLAIYSTSDIVTDGLRRLVENRRETETEVPDAGVMGLQMLKIYAPATIDPTDSDYLGQKLRHFDWIQGKPPSGCDKQHLSTASWALQLVCIVPWYRLRQMLMLSRMLTTRHDHLELVACGIDGFNMVVLASNQ*
>EED82840
MAIDLHVYSNGPPGTHQEPPDEDNMSDSSSELVEIDNAEFPSFFEERGDRLFHSHGRSPYPLPIDADEQHRLNRQHALLRGFLDNHYVGPVTEVLRPGARRHVIDLCTGTGRWVMDMAAIFPHVHFDGCDIDNVTFEMHDIADRFRHRNGTVDFVHARDISLCVRNYPALIREVARVLRPGGLFVSCEWDSYPCMIDGTGLGSRAPRTHHYFSLINSALHTLGVRSIAGNVPRFLEQSHVFTDIQCARFTVPVGPWQGGLERTGERLMTNVLVYAQSILPLLLEGEFVSRRDAVGLIEGIGDEIDNVPGIVLVYNTVHARRI*
>EED82841
MSIFETLNDDVLGLILLLIYPRDALHLSMTCRAAYTYALPRALSEVVLFGPTHLAAFCCFLSAKLTGRAQHLRALTIHARRPKSSDDCVVLNDTSDSDSMCRVLSHAVNLRLVAIHDAEDLALSTPALFDGLSSLSGLIDVSFYKAGIRTLEFMTKWSSRPRRVKIHTSSGSANVELLTLDRIPWDYLPRSVEALSLVDGHSLSLKLGMSPRDWPLTRSLELGGIMHIYALSLAFPNVAHLRILLGFQFVPSGIPGYVWRELDHVEYRVPEKRHLGTLITYQPAFDHLPVRRLTLERQPSRNGDSGPLLPLGGISPVVLVLYIRSEPCRLLAGEMEDLRFLQLMLVHEGQIRLEATQCHVMGFLSDTQLSYVSVFSGAPLIGLAISVNGYAINVMWELRPKPGPTHGTSHRYVTNGSVWSLLQNLKYPSTDRRSSRSQKEGGFIVNCSTPLDPDDAVGSFLVEQLPNGHDQFQGPVIHTRRDQ*
>EED82842
MTGVSEDPSGSSKDGRTRSDSLALVSPSSSWQDSLQRLLLPRASATAFSHNDTATEMTGTSTPDPRARRASFPLMSNNVTFPAKAPSISPMVSLSSLASFPSENGSERVGWESLEGLERIDSESADSEDLEVRMPPKIDDVYVDQDGQLRMASRISGLQLLHQCQSILTGRREGHFEYPWRSETPGAGVNAAATSLCATPTEQQQRSMIQLYSEYVHPMFPIVSRSTFATLYSQKLSSRSANLYVTFIPSLYYPDVGAPQIQFTDDPTRSFVKLYYCLGITALAWICLAADIDNALGMTVRMAEALGIHRTAEELAPSGHGVLTIEDQQMRQQIWSGCLVADRYMAVLLGRPSAIQLSAFDVAPVDISQSREEGVFYSSLHVDHRVQLGNESTALLCFNASRSLSCIIGSVMDELYPITQPPKSHLERRAKHLEYRLSQWRQLLPSALLFDQSRIGVLPPPCVLELHLQYWRTVILLHRALGFYSGPDSMQSKALATCRDAAGQISSRFSSAFVPGYLLCVGVVDVLTLTILHHDEAASARLRSTLAALQRIETTWPMACVVRSSLDRAMSNTASASIDTLRSPMTLRHKRSAREVFADDETVGGAKKMSDRQSPTSDYMKNPENGFDTLGRMVGLDTGLGFITSQPYSGYLYRGPQLGSSAVSSFPGMTYSPDSFSTVAPPATSFGLCLDARRIERITFCSPDWPVTPNNDLFNHYYGGIASIIGYLIFAALVLRLCLQPGDAMTLPLQYRLVSSGDLPYSFVAFVSLVMAEGEGVVVVVVVVGEGEGGQRRGRTVARAMRAGDEGDEGGRRRVRAARAGDEGDEGGRRGRRGRTAARESGEGRRRRARAARADGGKGDEGGRRGRGQMAARATRAGDEGDEGGRRQGRRGWATRAMRATTAGWARV*
>EED82843
MSLKRYSTVHDLSALRLHPDGSRVQNRDANRSLRKANYVALDTRGNWIARDAGGIGAVKVRRTVRTEEDENSGNGQCDHGEEFELKDDSSSDGSEYEAGPSRKSRAKGKRKAGHEPKGTRAHKRRRFHEDYSFLGNTTSAQVPTDDTNALSRSGSYGQYDGLAELPVPSSDLLKCVHYFATTYYTAMGQLYDASREARQQKRLRRLQRLRGRPEGNRDQSEEPGTANTEHGHASDDVENDVDVEDEDREESGGDEDEDEDEDADGNATRRWGHSRSRRDRPTKVDMYKIFDGSALMALGMLVQEHIAQMFSGDVPEEWEAAMEAEAAQEHTQGGKRRRRSRGHKSEDKSEDGVFGSDEEDETTDEEEGASEAADDRIEMNAEANRRRTRGVVLPLDVVPYGDEDSDDEDFVPESD*
>EED82844
MSGESSKRSDLIDITYQQLHSFLSGHLEGNTPSELADFVKPRISHIQNVSTPFGAPSSGSRKRLESGSVTLGDGVAIRVEPADRDCAFAISTRFEIDEVAALVLLRSFLYNEGLPDSAGGEGANIIDEVVEAITPFYYSERLFLLRTLIPLFRANENAADPIHGIAGDLLSRILTDGHAFARALLAEYASKTKAPLPERVSSDPRQAVLWARQNAKEQLVLLEVLFWTMWSYASCDGPSVVRIFETAYETNLGSTQQNSTLLLDEEGVQLQRDSAALWVLLTLEVLELERAAEPGAIELMPKSEDTKLYWASTESMKRIHELVLGHTDSHYACTYMAWAFVLSRVTQAASEAIDLPQAHHDFIALLMPHSGRAYSREREPIFTLMARTCLDPDVGLFKLMFTLLTTSPLFVTSIAWRTASTLTDPNAVAYRSVFKGLVIAIVESVPIELIPDFDDFVEIWIALFGRSESRSVSGICCQFWQSDWVRGNARRAILDVARARFPVQPRPLIRLLRALSATGFLDTDPLVTANYSPHTGILDEEREMCTRYVFDFLAQLPTYTQVVPANASNGAQALYEKMPERHGSSSVAGGLIYTNLRALKLPGGTTLPPRTIGRLLSGDGGDAIAVSWQHEHSGWMLLLEFLTDYVNRKRRFTGTSNPHGDISFSQRGSHRPIPLRLEDIGAEMDREGDDAIVMDILDLIRSVVQDNPGLAAELLDTFESSDPVVAHTMVEAQPPDLVQLTTAVLEEALSRSSSQPRGAPRAPLITSAISVLAALLAQPHYSTRVWLYIRSTASLFGSEKSNGATSAVLTAERLTGQYTMTLALLHLVQQLFNEASTTVISVLQHTPKLQQVKEEVLLRAVRFVHAEIWVEHVGWKYAQLGDRFEIARRMSSLYTEVMLHAPPTLKEGPFTALSQILSEAFLAKATTSTITPLVSSLTGAGSVLGMLYAARRYGDARRLVYLMESHLRLTRVLLNFKPHLVSSTEPSLLEQALCAKVGGSVASFDGGPSKVDPVDALAGYVKDRSTGASVPIEATRVLFALCSSLASTQGSPPTIIGHLSDPEATVASLIRIVQHPYDEPQLRHALWNFITLAVDKEPALARLFVTGHFREPMPKVSEKGKEKDTGGNDASKSRSTSAVTLACDMLQQWEELWDPNPELLASLLRFMDVIWEHAHEHKQHLEPICQDARLFEHLAAILGKELGPSPDYRTADYAEVDGAQRSDLHAAVSSYAYRTAVKCHAAHILSLDIRMHLQSHPDDKQSAKPASYKSIEAMFKSEDQLTKLVLEAATSSYDPSLHDELAKQINSDFPTLTLDHLRVHDPIVEREYGDNFAFSTALLQIRLQPYSFGDTAQGAVAAHKKLMSVNLNLSLTYVQTTLTESWQFLLLQLVTYLRGTASVRSTLLALAASISGLLSVEKRSGDMMGTIHHARLSLLLALLEVIWFSTSDTKEQVASFISLVASVRGIILSASQPPGKSLLGQATVPFHRPLLQTIYFCARHSRSLVRRPKALTAEQRLSVSMMLETTLLLVVDSLRFKFDTASLGLDIDLDQDLELLVAVFQQCTRLDLNPSPSLWLARCQETDVIRTSLHLFSRLDLVGLADVALLRMRKQPLYAPHVLAFHMALASVPLAAERLASEGILAAYSQNPITTAIRVGMIDVVLPEMPGERSPAHRAYCSMLAIIAGVVASLGRHGHFFYAEAAGLVQLYGDQIHRTLSWTVGEPLSSPLIEEMEQVVNLFNAIAQNAPGDIGSDAVKRALRSFTDDALLLLQQLNYSLTHPNHLASLFEPITAHERSQFDAENNNASVNTPAEVVDPLKRPFLARLVHRLFRLSGSILSTLSIIGGAETVLIGEKDDWPIHEALIVPHSKVVLGESASMGTLLELGNCSLDVLRHLVDRPAAQALTPATPTQNALDVRESVTTIRRHLEAVTLYAVSQLAMWLSKPEFEAPAHNADADDMVVEHHPAEVLKERRSTRRQSSMTLAERLRRGMTGEMAADLLSLMAKAKPMIAKTEAILGSKDVDLTDILSRFVHERISVSS*
>EED82845
MKSEHDSQTPTLSAAQWGNSQIPIDPQLQQQSQQSTPNYYQAHQQYYQQPNYYTYQTSQSSQQPQLSQTQSQIQPAASTSRQATQANTIDTADIATLNDALGSAGVDLRAEEDHLHRSNPYDQYQSYRSYEDRARKQPAKPNFDTRFLGTTMRAIGSQHKVTKISEDTVNYLALSLRARLQDLITAMIDASAHRTDAQFDRPASLYEDGSPMWSVVVRSDVAKQLAAIERVEREEEMRVRRERKERAEAAAAAQSAALAAQNAAGTSVDGEDGESGPKKKKKKDGPGVTARNMSEDTRKKMSNAVAAQAAGLSTGKYAWMTQANAAAPPPKPKPIATSGSATTPATTTAPSPSATAAGGWARPYVPTKQTQSQMQDEKDMRRAITMRDALFVIEKERGHGDCHHRAEMSKGLQPTALYFSNARLSADLRKRCPRSQASIHLPNSAHSMLMKARLRLLVSQQVFFQITQISDNTYTAIARGSIGDHRQSSCGNDPSGLIPTRIILAPGPPRWLPTEILWEIFLFAAAKYREKNHCIGVSAPASTLAPLLKCATSLRHLVINQSHNIPIPTEFTRALRSMQDLETLSLIGIFILPQEGMGAIHLSRLRSIRLACSPSLSAEHLTMLSPSSDVRVKFHWHTYDCISGKIRNMQSLQVVLSPIISPCTTVSIRKPTNEIPHIIVSYRDASQEPLRTLIDLELASLVIWIRGPENHQQEALGWLCRLLPLEQIRTLELATGNVHVMRAKGAWKKRLKNVTVVRTTAYTIERHRILEDLWAVPRMGFLSRDQVTDDALFFIPRLEALQIVDSDDKSKECDHMEEILRQRRCVLDEWY*
>EED82846
MPSAGYLIYCGIMPLIKTYIGIGIGYFLARKGIFSPEASKGASQISMNVSLPALIFANVVPAFTPSNISALGPLFLTAFTYQSMGFSFGLIIREFFYVPRNFWQGIVVLCANAIVSSIMQEAPFNPDTDPALGVSYVSIFIVSYHLVFWVGGAARSLSWDYRPGIPQGEEAEQHYSWKEKPIGGLIARHVLRLRPAVESSVASDKTDVESDTEKGLEKKADLAGDAIDPQDQTPIDDLAYSNDPDIQLARRTSRLSIAPRAPSTQQPAPPTALASGPPQASPPEPARSTFASRLKHTLKPLTAAVTPITCALALALPIALIDDLKALFVDVRSEGGPAWHGPDGNPPLAFVMDTAEFLGGICVPLSLIILGGSFARLKIPRPLSRLPVTAMVAAMAAKMVILPVIGIFMVQAMVKGRLIERAALAERFVAMFLSGTPAAVNQLIVSSLYSPDGTADTLSAFLLVQSVFMKDVARVKHKEILAERPPTENTDTSTTTERIVFIFAALDSPCRSAGTGTKIIVNFQYFNFNIFGTPSKAKLFLLANTTSATRGMGIS*
>EED82847
MPAPVAVCIVSAVGLLAAVYVFKEVRTLVKDRGSVAEFVIAPMLEDLAVSYLERRRKQQASSATTSPGSTPVELNQLTPREDTRSQSTRRHRQTTGVMEESSLSFVASLTCRLSLYCTAVDDPTVVLRVGLSCLSTLAIDMP*
>EED82848
MLLDVNRKLFSRSDRVKGVDFHPTEPWLLTGLYNGTVNIYNHETGAIVKTFEVSEVPNWFVAGSDDFQLRIFNYNTHEKVVAFEAHPDYIRCLTVHPTASIVLTGSDDMTIRAWDWDKQWRCIQTYEGHTHYIMNIAVNPKDPNTFASSCLDRTVKMWSLGSSTANFTLEAHDKGVNYVDFYPGADKPYLVTASDDRTVKIWDYMSKSCVQTLESHTNNVLFVVFHPNLPLIISGGEDGTVKLWNSGTYRLENTLSYALERAWCVALRKSSNEVAVGYDEGVVVVKLGRDEPTYSMDPSGKLIYTRNNEVLSANLQTVQDEVFADGNRIPLSIKELGTTEIYATSLYHSPNGRFVTVVGDGEYIVYTSLAWRNKAFGSGNAFAWATDSNTYAVLEGRMKVRVFKNFRERAGAGMKGAGSWSVDGLHGGPLLAARGNGFVVFWDWESGEIVRRIEADAKNVFWSGTGTLVAITTDESFYVLRFDRDAYLAKLEEGGDFSDEGVEEAFELVTEVSDSVRTAKWIGDCFIYTTAANRLNYFVGTESYTITPFDTPLYLLGYIPSHNHTYLADKDMNVYSYTLSLSLVEYQTAVLRDDMAAAAEILPSIPKEQRNKVAAFLESKGFKELALEVTTDLDHKFDLSLQLDDLDAAVDIARSVPELEAEAKWKAIGDCSLAVWRFDLARESFEKAGDLSALMLLLLSIGDRDGLAKLAATAEQKGQNNLAFASLLQLGDPRPCVDLLIKTHRASEAAAFARAYAPSKVPDAVQAWRTELKAKPKLAAAIAHPVDNPELFEEGWEAALARERGEAPRPEPLVNGTSEPAAVDPPEE*
>EED82849
MSDGKHFTLYSHVGGPNGWKVVLALEILGLTYETVYLDFKTGEQKAPGHTKFNPNGRIPTIIDHKNDDFVLWESNAILLYLVEKYDTDHKISVTDGNDKFAQLQWLYFQASGQGPYYGQLGWFTDYHPEKVPSAIERYTNETLRILGVLDSVLSKRTWLVADKLTIADLSFVPWNELALTRVLTEEHGHNIDKDFPALYKWHSAMMAMEPVRKTFETRKALFAASKRKE*
>EED82850
MAHETGCDTVLWFNLREVMLAGSLEATVSCGAIGDPAFDRRVMLYAFMSLLNNLTLALARRLTSVRPLRPTEVNILFVQVMSPADTYEITLGSNESPVSRLPKLAEDGSNWVLFKAQFKATVSSKGLLRFLEGRDKIPIEPTAPGVDSDADEKEA*
>EED82851
MSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFNRDKEDEIDQELQDNFDEEPIPSTAEEHTSSPELLGLTTSDYDILTPDLFERSSSSPEPEDPIPATSNLVLPTPSSFRAHAQPPIASSSRLSVIPSSDLAPPPLLAPSNAASNSNPAPPAPTIPSTTTSSSSSPAPINTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLKALFTRCQITDKAAKKQWAIWYPSIDVADLWETIESFIDVAKSYNDWKADVQALYPGADDTRKWSLADMDQLIGERARIGIHNVADLGCYYRNFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHVVATFILHGTSSTPTTVANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPPRQQAAVHVHENSGVEQTCHYCGNRGCRARSSFQTEASAPALPGLMIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTSVVTRSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAATPAPSSSSPTQSTSHPITTSAPAPPVHPFANARNATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSMEPVVSAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPYGEHPAEFTVARDSNTIRSIIVLVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQLANGEVDRSLGLIRNVPFRIGKIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTILSGVANRIF*
>EED82852
MGPDTPLFGSRIPPGTLTQSPNTSILPSTLFNTFDGFRRSYHFWSPPRGDLRQEFAAFGFPKTSPVVTRLQAREAASRSAAENLDSSSRTQSTPSPTIPGDFNHDKEDKIDQELQDNFDKEPIPSAAEERTLSPELLGLTTTDYDTSTPDLFERSGSSPEPEDPIPSTSNLELPTPSSFRAHAQPPIASSSQLSVIPSSNLAPPPPLAPSNAASNSNPAPPAPTIPLTTTVSSSSPAPTNTTNMSQNTNTPLMTPCGHSTAPSFNPSEVRLLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKANVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRNFMAITKHLIAQHRLSTIEQSRAFLPGFQPALLTRLETRLHLKHPDHYANDPYTMAEIHAAATFILHGTSSTPTTAANQTIASTSNTSTTVPPGMIKTKDISMIIKSLSRTITTLIQPTTHATHNHALAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFTEIDIWDGKCKRNTKGKIVLPNGTPAAPTMLFEIDDRSTVQTFTLNTSSRIEALERELLQLRNRHEKRGSLGIWYIERSGGTPEHFDKHGPASDDTSSIAFAAYAIHVSTYCYIRSPSTTKISADEVTAVNQLSCSGAMLEPGATIVPDPYETYLKHIPHGEYPAEFTVTCDLNVIRSIITLIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRLLGLIQNVPFCIGEIVLYLQAHVIRNAAYNILLG*
>EED82853
MPFSPCDEIALRTKFSPVAALPCLMLQAVLLTAVFLYSIWRALSKHIPSANAPPLVDLTILNLHYFLAIFPSWGADLEDTVQNGINARLENVVEQIFPIFVKRTEDIMHRHQGQPIDMFEHAHASIAEAMTVLILGEGYVNEINIALVTAVAAEIAILTGIYQNTSIFARFRVMMYSVSYRFLGHLGPVVWRELRGKTWRPDPTIQKEDDWDNGNVTLLAYFACKHADPDTGEVTVLSVVKVIVILLGIVFASVHQSASVVVWVVFKLATSPEYLDSIRQEMKEYVNPETGNISLSCIYRVLKGANHLNAFIREVMRTKGDTLMPYRSTTHNMLLANYAIPHGQLVTAFFGNVVQ*
>EED82854
MHSSKAPSSELLL*
>EED82855
MVATVEGVGCSPEPIAALADHIAPPEILAHILEEGFKDSNCLERVRFVQRAASVSRTWRTTALNTSALWGTVVITRPRAPPLTVFTTLLERSRGAQLDIFLDWYHTGPPRRNVQRVEDPAYIKDAMQILRQHMQRWRSIDLTWGVSGSDELDETFAPLLTGSAAALTSLRLRCVCRLIWYEDLEKYDFTKGFLAPNLRSMVLDGLPSAMDLGRVTARFPTISELTWKESGDGESKWIYESMDFMPMLVPLHDLRHLTLINVYADDVRNEPPDNNEALICLPALETLTFDDTDFGTIGDMLSVVTAPNLCGLIIHNPQHEDQTVSFHAFWQQPKRFPLLRTLYLEYNVDGLTIDELIAFWRPFQFFRSARIIHTFARDSNDFSMDDVLEALSHAQEDGRWLFSHLTSLAIYSKSYIATDGLRQLVDNRRETGIEVPDVGAVGLQTLKIYAPATIDSTDSDYFGQNLRNFDWIQGKPPPGCDKQHLSMASWAMQLVRIVP*
>EED82856
MVCRVSTETNNQTLSPSVNELTLFGEFEGLSFLHRQCGRGDVEFYKVKHWINIYLQTFLTIPTFAFVVDVMTAREGGVSLAGHKTFATRIPSEILANVLEEGFRDSNCFARMRFVLRAASVSHAWRATALNISALWGTIVITRPRAPPIAVLGLLLDRSRHSQLDVFVDWFHAGSRRPNAQWEDDPTYINLMMEMLRQRVKRWRSIDLTWNLPRSNERDHTFEPLLTGSADALRSLRLSCVCDAVYQDNVPWCDFTRGLSAPNLRSIDLDIPSGDDMTLSAVTARFSSIRELRWRESSGQRWFYDSMDFMRMLEPLKNVQHLTLDNFYIESISLDPPGGDEALICLPALKALTFCDTDLETIGEVLFALTAPNLRDLIIHNLVHDDETASFRAFWKQPKRLQLPHTLYLEFNVDGLDIDGLIELWRQFQFFRSARIIHTFAQDSNKFSMDDVLEALSHAQEDGGWLFPHLTSLAIYSESDIATDGLRQLVENRRETGIEVSDVGAVGLQTLKIYASEIIDSTDLDYFGQNLRQFDWIQGKPPPGCDKQHLSMADWALQLPLGKTIEKCELHVEGDLLEFGSLEAA*
>EED82857
MASRGKRRAEPAETEKKSRSKKAKRAADSEDEAAPSDDSDEGVDDAVSSDELGALDTSNIITGGRRTRGIRVDYTKVEGFNQLDDDDDEEEEKPKKKSRKGKEIAPASSSSSKKKVEPPKPTKGKAPTSKTSRRVVSDEEEEEEEADNDDEDPDEGGGDEDEE*
>EED82858
MAEVTIATPAVSDKATLKADPPHPEEVKDATVKVEQPAASNSETEAQSAPKGEEDAKAVVEGGDNKEKMLDAMRQIEFYFADSNLPFDKFMWTLHTANAEHWVPIKQVSSFKRMREYQPLGLEWITNALRLSEELEVSEDGTQVRRRTEVQEPKGQFERSVYAKGFGAETEGIQKRLEAFFNQYGKTNAVRMRRIDTTKEFKGSVFAEFADFKAVEKFLNADPKPSWNGEELLGSLLRDEDQGEGSHRQGGEPAEAEHRRHGRRGFNAFKEMEREAQNKGKGGKGKEKGKPEIFLEFMGSKIRVQENGGEDGSVNEEDVPFVRGASLKFEGSGESVSFDEIKGTLKERFARVPFVKNTRGESSGLVGFDKALTEDEISYVKEHLKTLSGKEVTWSVPDEETEKAFQLERAHSQIGDAEAGAADVEAVDVVGEADVVAAVVGVAADAARETVATTTAALRTPQQHLRPTGSRLAKSENGPWSLTVGRTSACAGKQCL*
>EED82859
MSSPDPEIIDLTVSPIPEWIVLDSDGEVAQTEESKTNGTPAGRKPRKRRSTRRKRAAMEDGEIGDGSPGTSADHSRENTSIGGREGGVGEVSTGTTAGSSRTKDTPRSNRSLLDRLTSPRGDTRNGYSRTQRERREGGDTARGPERTPRRDRNQDEDRRRRSRSPRRSRRNDDANTTAQTETPVFFVDVQKTEVHIPAQPNGIPEEKTNGEPEPPVLLLPVHVSVFGEDGVEPVEILAPAPHESDDESYIEYLDYDDDRRAPWMVRYFDQVDEEDKVSKPKTIVCKNCGAEGEHKTYECPVLITCPNRHSSRGAASSYEDCDRCGSHTHNTNECPTLWRLYQFVDDAERQNILQEREGKRRLALGQGGEGYIATDEWCYNCGGCGHLGDDCRSMPRAADAPREYSAFGSYNLLSGPFADASARTPSHLSTKRAPRDWEVAGAFADGYGFQAPMDVGKQGRRKERAKMEKRAREIEEADLDPDDWFGNRNKTRRGEERRGGGGRDRGSGRDRGERSGKMHLELSLGRNGARDDQQRGGRKRVVYDDLPGPSRETDSIQDNNGINILYSIK*
>EED82860
MDDVDSLFDDTPSPHSAVEGSRRTAPPIPGLYFDPALRLPPELAEDAMWTCIRMYFQKSAVDQVMLFERASSPGRGLPRVLTALLATLADLLRPLLPPEKHTLLFPSEPTGCARQAILNLYWPGGGIIPHVDLLDRYGDGIIGRVDSGPHGEGQQHGLYLPPGSVIVLTEEARYGWTHGIEERMEDEVECEDKPGTAGLLPRDIRLSITFRWLLPGADVVGGPILQTVQDNRAH*
>EED82861
MHIKHSCRTSRIRHVRSGQRENAPSSTLLRVLILPDQNMLPAHAHAPDAHLGELQVRECIMSKYVAAGVVCYVPCEDARPETLHLERHVAFYLRRIIVGRRGRREFACSGDDVHGLGTGGAPDSGRCWQADGQAVPAGIMLIRLPSWTLTVSRETPYLLANDEYIDFVAQPYAERLPTESDTRKVAQALRPERGPHPRPRFPLVRTPVCRTSISDHFSAILPNFTGLILCKGRMRLRLLKVLGTGAYGVVYLAHDMSSPAHSPAHYAVNLGALLARVFATVPEQRINLNGLRKAIIELDTFFPEAKPSEPAPPIAHAVSVDITDFDRSDAISNESEELELVEIRPLPSAITVTLSPPVLRVVNNPSARSTYPSTAVSDGSDRTAFSESLFSEASESSSSSESEGPITPEVHPVEVVTSVPSIALEAIKGLPECDLQLGLTGIVDAAGSASSLGLKKRKMPLSWERFVERIRLRA*
>EED82862
MSGSKSIFVTGNANKLKEVRAILAKSGVEIDSQELDIPEIQGSTQEVALAKCHRAAELLGGPCITEDTALCFEALNGLPGPYIKYFLKELGHVGLNTLLDGFPTRAAWALCTFAYSAGPGTEPVLFEGRTDGQIVSARGEGKFGWDPVFEPSGTGKTYAEMSAEQKNTLSHRYKALEKLQTYLSTMNV*
>EED82863
MSQAIGNTALAYARVWHHADASERVLGKLAERIAIVLMGKHKPIFDPSADCGDYVVVTNARKVKVTGNKAQQLVYRHHTMYPGGLKEIQYKDMMAKKPDEIIRQAVSGMLPKNKLRERRLQRLRIFEGEDMGIFQHNILKRWEDGTLH*
>EED82864
MMISAAIFLIHLLLAASVASKAVDLNLFSKHTTLEQRATKPVAAAYYPDWASDTNPPSDLDFSKFDILLFDSGITYDSGSTSTLQTLVSSAHNSGHGTKVVLSIGGWSGSQWFSQSVGSSSNRKKFVDACVSAVNTYNLDGIDIDWEYPNQSGAGNPYSSSDAANLLSFFTELRSALGSSKIISAAVTDLPWIGSNGNPLTDVSAYAKQMTYANIMNYDIFGASTTAWAKRTP*
>EED82865
MSLSRVLRISQSSGIVARPSTRSSRRFLHSSPPVGAAPGKDPQLSQGGVSQKGHRHPGDAHDQAARSGQSTADKSPYDAASPHPDKQASRQGLSGNPEGVGFAEQVGSASSSADHGLGPSEGKGGHEESTPPGFLDAVKSKLGFKTTSGEVKQNRGGGEGVTGTGTFPGTKRSEGRMQMHTSAITRMVDPTKGQPPDSSRKPKDSTHAEQSDHLKHRSETDSDISKGKGNAAAQPSLPSHKVHKFGKDTSVPQQRRGFHTSPLRLKQEHTAESYFKDVDNSPPKSSKTHQVDPSGTGAKVTRPHELVPGERSDAGSETKEYQTVSKDKPYDTPPTQGKESEKKLRYGGPLSKSCNRGMEAGSASGLPLPPPDTTADTGRTIEMQDMRHLPVSIHAARRLNAYKPSRTLVDPESAPLVIQISYCVTDEYLENVLEWLCRLLPFEKIQTLELVAKSDMNPFWRARGAWKKRLKNVTVVRTTMHIIEGNRILGELWADPQPGSRSRDQVPDDELFFIPRLEALQVVGSEDKSKESDYMEEILRRRRQVLDERKKMST*
>EED82866
MSDSFADLWNSTGAATTKPSESSRKSGSLTPVVPPSRNAQNDVFSMLASAGTSSPASRTASNSANGARMTIAERAAQAERERQLQIRQQQAKAKQQASAWAGLDSLGEFTSRPSSTSQPAVSADSDWGFGLEATTASTSSGTANAAPVSQNDWGLDDFISQPAPSKPVAAPQTSSLLDLDEFTSPSTVQPQRYTPDVRSNTPGDFDFGDREDRLLSDHSDEDDILGELSKPVTERPAQPIVEMGFSIQQARVALAATDTGLDVQAALETLLSNGAASDSQPSERPREDQRNAQREPGWERYYESDEDQPRSTSSGRRTAPTSRSTAPNRASEPTARDGPSPSGDSQRHLQEQADKLIAQASEIGLSMFNRANAFWKEGKEKVQRAYEERAATAARTGEGGSRNGRPKWMQEVPEGEGGEGERTKADRNGFRDDDEVLPPKPSRPATQPRSKQTDARPPESQPSTSERAKVGNLFSDDPPVVYTSPFRRKAPARNPALMPAAPAKVTSSVRPTPPRAPSPIPLVQRKTVSASPAAITSSAQHKAKGTEMFKLGRYAEAETAYSAAISGLPSAHLLLIPLYNNRALARIKTGDHNGAIEDCTTVIELIGPEYHPAREAKVTKEDEGASVDLAEGMVKALWRRAEAYEGREKWDAARKDWEAVASVEWAGKARMEAVRGAGRCKQMLNADANGGAPSAPKSRPAAKPKPRPSRPPVAVPTEALNRVREANQAAEAEDQARHELKDVVDARLVAWKNGKETNIRALIASLETVLWPELGWKKVGMHELVTPAQVKIRYTKAIAKLHPDKARFQPLSRRVLTRRHLL*
>EED82867
MYSLSTTHIALSLHQNLIAFFEQHAADGGLTILNDQGSPLVYTQIAIEVINDSIVCWRTWVLWGRRYSVIAIPATCILGGAASGIGLIRAFAVSPGGEEVYNADITRWFEVFGALTLAANIYAVVAISYRAWQSMRALRELGPNVVIHGGKYYGALLVVIESGVLYSVALVHTIVPSDLLPSYLLIRCICWAILRMMQPTRLAWRRGGRRGNGSRTSVDLESTKSYPLQPTTISAGPAQNAWYNQDISGTIGNSSLQLEGSAKAAKHPDAYVRLARPSEVPAITRVLTRAFAKDPAMNWYGCVPELVPDCESQTPSAQRSMRNLSWFQSAILKSTVLVKGLVTVVVVPSVEDGEDAEQESNRDTVEAREEVVAVALWLPPGKTLDMGPVTFLRSGIMKVIQRWGLTGAKRVLWDFSPAVERTLEKAFKARGLDRLDSWHLFEMVVDPSHQGRGYSSLLMEEGFRRTSPKPVHLEATTAKNRDIYAHFGFEVDEEHQFGVGAVDKDGIRARGKAATGYPEWVMTKWSS*
>EED82868
MSSREHLGEGEVRAGGSRPDMARTPSFVQFTPKSAMASFENLVALANYEEHLREARKIVWRDRGELPVEIRDLTECLKHAGKGGLRSGALAFAIRAGVNLILLMTRIKRIPRNYRFALIRHAVFGLDSFRFAAMLGSFVTIYKFILNALPILPQPPTRRASSLGRRSRSLLRSAPPPDSPFTDASDEDDDIEMARPEVRRGSRHARLSISAQAHQTWARKRTRRWYAVLAGTVAGGVSILFERRERRVGIAQQMFVSTVQVYPKTESCGQIMYAWFLRPETLPRSYDHWIATASRVHPETLAIQRDLIVHGKFNVRDMEALLAKETTPANRSDMLARIALATAQPPSQSFGPPFVPCSALHPWLDSCPQVQIDRFFKVVRWMLPIYGALHVIPMLLFRRNRVWKEPGKMFLRAGFGTARSSAFLGVFVFIYQSFFCLNHNMYNDLTALRAVPSPTLLARLAKILPQPLVDALVGRKSYYAMGILAGLSLFVEDKRRREELAMYVLPKGMESAWLTARGRGWVGKTGQWGEVLLTAVSMGMVMDVCDVTKLGLCIHVNISATPDF*
>EED82869
MSHGKHFRLYTNELGPNGWKVVVLEAFGLNYETVTLDFSKQEQKSPEYTRYNPNGRIPTLIDHKNGDFVVWYATFLLKFVRDPKRERSTKIYRVCLSSVKRVRNVQDGSRKVCKASGQGSYYGQGGWFRRSHPEKVPSALERYQNEALRILSMLESVLSKQEWLVRNKCTIADLSFVAYVSSLAVLWVQADGADIRWNIAGFALMIHDYKEFNLEKDFPAVHRWHTAMLTMEPVREAHAKYHAIIARAADALKKAEQEA*
>EED82870
MSSPLTTPDKETLKLLLPLQYDGKTVIECNRFLSQLCIYWLVNVSLTTIELKVQVALSLLDSDAHAWATPYFSQLTSVQMGVQEVTTPFKNEAVFTAAFKAYFGNLDDKAAAQVELTKLCMNKLICKKRTTAEFSALFKGPADRSRYGDLELRDKYLSGIPFCVYQKIELETFTMWQEAEKRTTEVEQILDISRARQPELNNFFSARGQGRGGARGGAPQSHTASASINVAIRKGDFPGSCYGCGKQGY*
>EED82871
MRLPRRVPWANIGELDQLCAWIYDDEHDLEAKVHAVNRDRSQPPSSSYLALRHAYATALIRLVNGLVDPLQLGTYARSISSIAAQLGLPAWLVELRHAATHEDLPSVHVLRDAAREALTWLLHNYFLPTLNPAAPPPASAPRAPLRPLAPLLKRYKALQKAAARDVSLAPQLAPEITRVLRDAERWVADARLAAPGAGWDDGDAADTRERWALDRLCDELLARGALVPVSKKKRAPARGVLVPAPALLSLWTPLLTQLRALHTGLPAALVARITTHLLAPATPADATAEHIAVGDAAVGRDVSYDRCVAAWASWLVNMWGTDTGADAADEDGDAADLRRADVVVGLATALGPTSDASSDEFKVAHDLLKALCKDHPPLQRASALVTGLPAASVHDAWQDNDIARMRERLAALRALATPSPSLDAPHASAGSPTSSVGVMTALPRGWRRVSEHDGWRPSPIGVFIPCATSA*
>EED82872
MADARSRRFRRRGTLSYSVPAFNGCSRLRPLRPCITTITMWGNARI*
>EED82873
MAHCYLYPTRNAQRNNVQLPRQPRPQYLRQRLVVRLIALGSP*
>EED82874
MSRQDKATTEKHAKTLRELLKRPENKVCADCKRNDPRWASWNIGVFLCIRCSGIHRSMGTHISKVKSVDLDVWTPEQMASIQKWGNRLANLYWEAHLRSGHIPADHKMDSFIRSKYESKRWAMEGPPPADPSTLDTQSAAAPVPAATIPAQTTQATSRSQTPVESLVTVSASRASITNRQPQPHQLLSTSIANRNQQGAAASTISAPQAQQHTPQLQATPTPSNDLFSLDFNAPASTPSAPAASAPRKDVKQDILSLFSTPAAQLAAPQTAPAPMAFGQFGQLTQAQTAWSPWDSQATQPAAQSTNVVGHAASGSMGWGTTPQAPAQPNIWGAPAAQPAVQQQSLFTTSDVWGSSGGASTGGNEIFGSPLPGMQKKDDAFGDIWGGFK*
>EED82875
MDSFIRSKYESKRWAMEGPPPADPSTLDTQSAAAPVPAATIPAQTTQATSRSQTPVESLVTVSASRASITNRQPQPHQLLSTSIANRNQQGAAASTISAPQAQQHTPQLQATPTPSNDLFSLDFNAPASTPSAPAASAPRKDVKQDILSLFSTPAAQLAAPQTAPAPMAFGQFGQLTQAQTAWSPWDSQATQPAAQSTNVVGHAASGSMGWGTTPQAPAQPNIWGAPAAQPAVQQQSLFTTSDVWGSSGGASTGGNEIFGSPLPGMQKKDDAFGDIWGGFK*
>EED82876
MARVARAPKLDLASVLNSQNPQIDLRLDAFEVSTQNFLRAVSNYTQRALTEIANRKNVHLTTRKKLAEKAQAVEVETNQCKLKEIELIKVIDSELEERKEAETLVATFRRQLSAARDRCAALEGEVEQHRTLAADMSRERERERLLLESHAAKIAPEVVHCADRLQCQIEGVDKDKILIRFLQLDTHNSNREFSLVLDVSSRSYKVSTMTPILPNLPIMLDDLNKNRDIYAFIKRIRVAYLVLIG*
>EED82877
MAKGRWLGREILEVVSTEFRDRSMEYYRYALESGVTTINGTIAKPDTIVQNGDRIENVVHRHEPPVTSTPVRIIHYDREREFIIIDKPGSIPVHASGRFFRNSLVEILKNDFGFKKVYTINRLDRLTSGLMIIPLSSSMANTLTQEFAAGTIRKEYIARCRGQFPKEELACEVPLLTIDRQMGLNVVHPEGKPAKTIFKRMHYDANTDTSVVHCKPLTGRSHQIRVHLQYLGHPVANDPIYSETKIWGERLGDGGIDLTPSEERAAPAPPEQLGFDPSQNPESETGHDIGMGSPVPLSAEMVGIITRLRNMKDEDEDWSRWRDVVFRAKGALSPYGVKVPLPPQNQRKRGGPTDLSNMIDKNPHTDPSPDGGALYCSECYLPLHPDPKPERLYIFLHALRYTTSLGSFETEIPEWAAKGW
>EED82878
MSMLRNAFASTAPAVRGTAYATSRAFHASPIAGKSATERVNKSVGQGLASAIEKGEEATEATKKTIGKGSFRSWPLNWEPTQHHTAPAVDKAKEASGQAKQKANQTSAGVQEGAQDFKQDVKKETRK*
>EED82879
MHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDTSTPDLFEQSGSSPEPEDPIPSTSNLELPTPSSFRAHAQPSIASSSRLSVIPTPDLAPPPPLAPSNAASNSNLAPPAPTIPSTTTTSSSSPAPTTTTNMNQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNVADLGCYYRDFMAITKHLIAQNRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAAMFILHGTSSTPTTAANQTIASTSNTSTTVPPGMIKTEDISMIIESLQRRMLHTTTPPHRDNQLPSTSTRTAELNRRATTAAIVAAG*
>EED82880
MSPATTPKATGIKLPSSSVIDNCALIVLHGPSLPTKGATCQSDESSTPAARHFDTLAPRDPHLATSLPSLPDLPPQAPTFPNLSLVQSSLGSRLERNPKPEPSDSPAVTWASSSSAISSSTPVPVVRHPATGLPPSPPPPSPLRGRSSTCSSRSSPGGQSQQPSSPVGSPPSPSSPVMSSPASPPDKDTLKLLLPLRYNGKTVIKCDRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDARTWATPFFAQLVSVQLGVQGVMTPFANEVAFATAFKARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFATWEDADKRAMEVEQILDISRA*
>EED82881
MTPRHNVTDKAKVWCKLCYAIRVEEEKRLDAQQVAFGVWAALRDEPLIVNMFLVWAHDANDPNRGWLRAATHTLLNHLRNCLLQLREISARAAQELPPVGPQARITAPAMTYSYSAPQPSHSTMEWGPQFSDFVGHQAKKSADGHAATVQCDGWTAENFHHFIAFMITADRKVHTVRVHDASNERKTAENLLRLMEDVIQELETKWHMEVVAFTTDASGESRKARAMLVTKYPKLVTPDCYGHQINLCVGDYMRVEVSTFLCYAEKACKQPLTVIRAVLSRWTAHYLAFRRLLQLQPTLNLLVRRDQQRNERDQQLVPYSATVQARNTARKHIKMISSPIFWQSLARIKNHLEPLAVAANITQTAFCRLDEVLLTFGSLTMRYKALPDASSPEICAILNSIEKRWSKADQEVFIAAIILNPFLKTTPFHHQRFTTVAGIHMLFSRLWTRFFSTTAPISLLTNIQDYLNNKGDFDDLESFCDTVELHAHSQNQSPDPLSIYQGMLHTNDPNPPPVHVLARRILSICANSASCERLFSVFGTNLTKLRNRMRTETLVSLAELKMHIRDEHRAQNAKARLKRKFGREGPNPVTEQPMTSATSFQAISDIASVDAHTPADPDDNATTNHTVAADLREMAHSFTQMVSNDEDAEPVRFPSKISISIIELFDFTMSSWVERYERNAHRSLEDELEFYELIDLDAEGEEDDTNFSSIDETLDTILDT*
>EED82882
MAPYLIRKSSQRARKTPLKAGIKEFDHGDLQDLHPSSPFTSGTQSLSSSSDTLPSSPPSSAGSPDYCPPSPSLSSCSSDYEPSAPPRRSRKLSPRKKASARNRQDSHKNPASGRVRRPPNAFIIFRSNVCKRTKVKGPATKNNQTTTSCAAAILWNSLSDAEKAPWATRAAEAKAQHKLQHPDYKYTPGKSHKARSGKRGPRPTKLEISRSETMAKLLGQGFEGAELNRRLELIYGEANSPLPSSPRTRGPHVSQSTSKRATKSRTTKVSDFEGDFQPEVAFENMHISDMATPELVASSPDSDDGQLLQEVSTPSSSVSHEVQGQSGKEVGGSLVDAAEESMLVDGQSLIFYDGFAQGSPLLTSLPISIAPIDFENMNSQSDLFSMESEFYDDSHLEELVRPLIDEHAANAVMDIDFSEWINDPAI*
>EED82883
MVLLYRHGSDRRDGGLYRRERSRIR*
>EED82884
MHASIAFKSALALIALARAVAGACDNSGQPIALCCTSFGPASDFAYVLENVCGVTVPDQSLDTGAGCESGVPCAGSEYQGDYSVCCAETISCQGNEDGVYGYNCTGSVVEG*
>EED82885
MPPKPIRRPSFSAKDTPMIAPGNGSRPTLNAAMLPPPDPAPPQAILEPEMTALTTCLRNRAIAVLQRDLRREEERLKAEAAAAAAAAAAKLPTPILDPSGPLTSSPPASPTQSIGASTDLSAPDTQKPPLPTPARRQSTVSLSSLQRQPFPHKLDLSPTSLHAHPDDVLQSGLSSPVTLAPRSSHRSFPQELGMVALSDAANRSVDIDLTVGDTEMDMSLNIPDLQQAQAAVAGGSVDPSIGSSADKPIELDLDMDMDMFKASANAAMGEHSNPFFDGSAASVATNNQVSNGKTKQEETFFNHMGAPSGHSGDLFGPFGSSLQASPLGSHAGPQHLHPPLLDAAHTAPSPGSILASFSDPQHGNVDMSSIDFSSLGSGFFDEHGGGSSISAEVDRFLNLTGGDGAKDGKT*
>EED82886
MASLSVQSPSRERPSPFSLEEFSDLVCSAFNLGTQQPALSLAPTSSSRDLPATVQSASVETSLSCFDTDTDDDHISAYLPSRAHSTTDASRLSVTYTKSRASALNMLKQVRVRASALVLRPANTNIDFSNPEPLAPQSLPRISVSSQNTVTSLTNSSFAFLSRPHTPFETPRPSVPFPFNSTTDLALSRSRTRTRSFPGPMSILKFSHRQVTPATISPAVSACTTEPPSARVDLPSFFEDTGYTERRPVPPTYSRPTTPVTPATTPLRVHARLPPLRKAKSASTGIFRGRGTKSKGPCPESAAVAAATIDGQWTFDEGEFMRPRDPPPVPPIPVRLRGLVGGWEGEGEDNDALLEVPDYVFARRGSATSTCTTSTMTSTKTTSSLGERIASLLPLALPSKAKFRARSKLSLATTVTADSSPSTDRTYSPVTPTNYAFPPSSTEIYSKTEHCHGQDLESNDYVHGLERVFTLESDPFKKAEIEVERGDSTPTPRSGTPLVGRTRRPRGLGIDMDGADGIQGIDIHSWREGARGFSRPPARRIPTVAAIFDSPSPRSPAYTFPSSCSVYTDQAGRDEEDVPDTPSTIASFGDRAFPVSRFSTPSSSRSFRSSCSSMSDAEEARERAFGGDVNADIDATFVFGSPAPAPVMIEGQTMESAFNRRATVEECPSPAIQGSPQSLARRARVAARASMPPSPPSVPAKQTQERKSLPPSPPISMTRYIQRSPASPTRRKVSVRTVASEPAPGESRGVMRPGSPFPLMRGLSDGSPRGREGRRRKLQTRYEAKRASDDNKIAVADDQSKYEEDLDFVDSGVMIDIGVVVNPPEQTEDDEDALEDSFVLPAVEAAKLFLRSDKDSMDIDYENKAVLHPPSPSGSCIRLGVANGLHSTNPSTDSDATMTPERYQSALRRESLREAEREVEWDRCTALSSATTQSSSCSAGTFYSARSSVKSTP*
>EED82887
MATRNKKKAEEAIAELNERTGRLAIYLELDLSSLSSVKRAAEEFMSRSIDSKEKKLHILFNNAGVMWSPREMLTAEGYDMQFGTNVLGRLLTASSHACPAFEGHFYLTALLMPALLAGRDSSPDGYVRVITTSSSAAYRYTLNWDSFKAGAARRKMSPRTLYCQSKFADVVFARQLAKRYGEKGIVSISCNPGQHVVTQNLIAHPASKGALTQLWAGTMPETVQYNGEFLIPWARVGRCRREAYDNALGERLWAWLEEQVEGRRG*
>EED82888
MTGRKVVAGTGEVQFARAVSSRGQSRGASGRISLRMRPFKPKSAAQLPQRDVDGGQIPDGDRILQLGCVSWHKQKPPMIHLPAERVARQKPVRAGRLCWVWSAWKWRAVSVATAGLGYRRHDGGGRGACSTGRTEMDGVRYGEGQSVSQLWMSGKELLDPRYVFDRWSNPRASSNRTEGEACGPCMIFRDDQYRALAATLRPQSRGAAPRLLKTARMQTNLPSALYPPRLTTPPRTVYQCQPYGILPPFSVGSPALGHTSYQGRQPSASTSATGPSYPPSGYAYAFTSSAPAPGFPGHSAWHYAPSDKSRPPSARFPPQLSSRNMAIPYSQSGASATSPVASSSHDIQEMDVPREIPCSESPPPPQDVPQAAGGTFACDTCGRRFSVQSNLNRHAKKCPSRPVNAASAAAPASTAIASTSAAASAGASPVYAGVASAASAQSAAAPAGAGPSGAPIIQEAGVPQLATARGRKRKEVPTSDDAADQMSQTIIGTTPGTASKGKRVRRAPSPSHWIPESLKMFDLAPTTKSTPVPLSPVRPFSDPNGRVWEERDSYDENVAPAPYHPSGWKGVLPGPGLMGKDVANTSGGRLLIFGR*
>EED82889
MRSAFVSALVLPLLASAHGPRQHHGRADASSVVASAASSAVASSVSGSAASSVSASASVTGSGSVSGSAATSVVASTTQTLTFSLVSTNPTAVPLSLIVSGAPVQSTSALTTTWAAGAKPTVFPGAPGLPNAAALSPKNYPTLDKTPPLDSPEVQQWIQEVMNSGIDIPGFAPTNLGGCPNNTAAAANASRCWWTCGGCTRQSDITTCPAKNTWGLTYDDGPSPYTPDLMAYLEQHDMRSTFFVVGSRAISRPAMLQDEYMMGNQIAVHTWSHPYMTTKTNEEIIAELGWTKKIIKDALGVTPLYWRPPYGDIDDRVRAIGMAMNLIPIMWTRISATQTFDTGDYDIAGGLTTSTQVLNNWDSIMNNSTKIDTGFIVLEHDLFQQTVDIAVGYILPEALAHQPALNITPVITCQNMPMSNAYIETNDNSTNPLPAPATSGNSSTSGSASATGSAAGASKNGASGTAFVNIGLVSAAVAFVASAGAMFL*
>EED82890
MRSPVIAFTLFAAAAVSPSLIAAAPTSPNPGSSVRNSPRQVPPLSGLSTTQMKHLSDDGPLPLPNLPADIDNKNSGDSGNQNKGGQTPDGRRAAEQQLHNPASASLDDYYSGHDKRASDEYSAGGNSYTGAAGDTSGGSAASASHGAGFNGEDGEGDGEPGVDNVGNVAGGNGGDGFSGFSYGGDGDGKGAGGNSYSGAVGPSEGGDVVAASEDSQSTENEGTGASIMYCLFHCAY*
>EED82891
MRELGFCCILANSSTGPHVNCKPQGLLGRCQVHAFDSQDLINVYIPDGPETIIYHCEQQPCPNRTPQSIAEDYPCYKVIRQVQHPLSPRSTLASQSALRYSCPVSPSSRLPQTVAESSQVQGDLPPDPAPEPESEESAGEKGVSEPKSADSARSASPTALAPASAVPDVRDPSPELSLAPSLPTPPRGHSSTRSSRSSTSGRPPQPPPPPQCPPSPSTPIMSSPSTAPNKETLKLLLLLQYDGKTVIECDRFLSQLRIYWLVNTSLTTIELEVQVALSLLDGDAHAWATPYFAQLASVQMGVQGVTTPFRNEAAFTATFTARFGNLDDAAAAQVELAKLCSDKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELKTFTTWRAAEK*
>EED82892
MAVLLSPGLVPIPSPWIKQVYSKQNVMVVAVHPPPCSSFRPTEWLMQERLDKIMNTVPDGFLTAEELNLMVYIVDICQEAIAWTDAEREVETEVPRVAEAGLYTWGDKGRLCALVRAQLVRAQPADAAPGAVDNDASISGK*
>EED82893
MSSPAAVPNKKTLKLLLPLQYDGKSVVECNHFISQLLIYWTINTALSFLELKIQLAFVQIGIQGATTPFADKAAFLKAFKACFGNLDDAAAAQVELTKLCADKTMHEKRTTAEFSALFKGPADHSGYGNLELRNKYLSGIPSRVYWKIELETFAMWREADTRATAVKQILDVSRARRPELNNFFSA*
>EED82894
MTRGLRLMGLLGIVALALTIAGGVKAGTATTEANMNSGTNLRHIGVILFAVLFGLVFLMHLFCWSNRERLMRYRRTLLAGISGALPFLAVRVAYTVLSAFAPATRSISPSGQSTLVTSSSPLVKFNSTQGSWVVYLCMSVLPEFLTVLVYLVVGTRIPLQQDTDYARGLQTDAWEDEETARLKPMSLGSEYTH*
>EED82895
MARDSAEALRLEEEGSALSSNRNYPAAYKKYTNAIQYDDKNAVPYANRAACSQNMARPRKSIPDTRKLGHVSPRHSALGSIQQSFDSWKKALDALPTVNPTENAIKQKQQYTAELQVTVTSSKLPWTRAEAMKQELQSSPALKPSSEWKGGMELMKQLQRRLVGGHVIYDGPTGKRQCAEGWASVRLALAVTVHAWLMRGFYMAHATNKSDVALEYYAYVLTVLEWGEQTCRNVSTEDKGSIFLPAFRIGVERLSLEAMMSVKMYGGTPFKILLRRNSRESSGFIQGNGQASLLSETPALYHHSSSIRKVSHCRQLDTIMITWLGDGPALGMIPTLSKNTREGQRRDILTRRMSILQMTNCMPLLSRYVGNLKCGLEALHCSDISAKGLLPVMKRIRLTIPEMKKIWENSSLSKERDLALQGVLQSEEEIVKGIKGGRFMMDSKLMMMPQKE*
>EED82896
MLPSTSHKMHPIPFPSPSRSSTSTACLRLPCASSSVRHSSCPSPSIPTSSVCAAPGQMDTSSTSPCVS*
>EED82897
MSAAARAEARRKAILSRGGDRLARLTSSARGEDASAYMHDDPPLAPLPDRPTLERLVGEQTDLPTPTATAAAPRDSRQRTRPQQVRPPPARPPNGGVPETSSPDETMRQLREALAAAGPLPSLPGASSEGDPLAAMMANFAQAGNMPGMTSEKAVMTKPKTRLQKLLPFLHLIAGWALLAYFILWQEPQAYEAQTHGSGSDRFWRRWAELGWRGPEDWGVQPVPFFWAFTTLALILHSWRIFSKLDVPQPPMLLALALPHLPPPLPSLVTNGLAYLKIGSVFLDDIAGLLCMAETIYGKTAAAPVFGRSLPKNGSSNVVFLKAFGVGGSTTGSPAAARSFFHRSHGDPPPRSMSSWKMADDHFWSMLRIRDVPSPAMHMPRIPRISRQTVDKGYERTELDHHLWLPLVLRGPRQLIHSNLHVRVDEAHEDVHLVPQKHMSAPRPEVVIPLCIPLRARGVLWLSAAWSVSLTASVFSSGWMFFGSGALSLGLSASDVLWSHRPSALIHSPLLSILPNFASQNLPVPGTLKRPLLQHNVANVQDDHMLGVASNIYVVSLPHRTDRRAAMERLKQALELDWTYVDAVPSGDPSIVDVLERVRRTRFPPGELQDDLPQQFAWPDILEIDALVHSSMPLDLAGSDIWAKSPDDTNFFSDSSVWPPNKRGLEQSLDDLDGILEAHLPETAFGPDIAPLTLLLRTRSPLPRVEMPWLLHTILCRAALASC*
>EED82898
MSAAARAEARRKAILSRGGDRLARLTSSARGEDASAYMHDDPPLAPLPDRPTLERFVGEQTDLPTPTATAAAPRDSRQRTRPQQVRPPPARPPSGGVSETSSPDETMRQLREALAAAGPLPSLPGASSEGDPLAAMMANFAQAGNMPGMTSEKAVMTKPKTRLQKLLPFLHLIAGWALLAYFILWQEPQAYEAQTHGSGSDRFWRRWAELGWRGPEDWGVQPVISLTFSEAVLLGIYDSSISLTFMAHILEAYIPTAFQDVPQPPMLLALALPHLPPPLPSLVTNGLAYLKIGSVFLDDIAGLLVGIGLLVWIASWTRIPPGELQDDLPQQFAWPDILEIDALVHSLDLAGSDIWAKSPDDTNFFPDSSVWPPNKRGLEQSLDDLDGILEAHLPETAFGPDIAPLTCATRNNITGPEFTPSLPPYMILTPAKVACWMSHLEVIRRIANSVAPDTLASAPSGNAVVTSDNPLSRGVGIVLEDDIDMERDIQARLQDVWTALPSEWDVVFLGHCWSDESYYPALPPSPSATFKKTSTNLHPSFAPKCTHAYALTRAGARRLLLHLRHPPFAYSRAIDQAMSWLIQSGRLRAFSAVPSLIVQRKVAESDIDSGNSGIGSLWREHLENGVLGS*
>EED82899
MSKLPPHLVLAAREIFDALRTSRTEGILIGGAAAMIHGSTRETKDLDINTTSLNFQEPLGAKPVQIREGSSATRLKLTYMGPDKAVSCDIASDHAQRMPMLLQYTSEYQGIRYASAPLLIVDKLLAFTERGSSNYKKRGNDLIDILFLANKMVNNKECVSQELRELFLRNETLHAFYTRLEIDGEVDAREAAEVFFPQLGLGSE*
>EED82900
MDFATLGRAPMHLSLGRRRITSRDLQIVEATDELLGEEVPEPDGVAQNVSLLRGFNATIPSAEKSRIRRRQTRNVDAPRLGLKRLGMSTRGMLGGEEDHDGESVASEEDVVYISNSEMRGRKSKGKRRGRQSLSAGKTFGREELLRQAQEIERDKENLHVRRTLINSEIVEITNKIEALDAMRAKLEHDLLKLQEDELELDDELEGVKERLELEESTSRTRGVVHVDHIQSSRRRKGPAFLPSEHDELPPAGHSTPITALDFSEPYGTLVSASQEDSQPRVWDLLTGEEVGRLRGHQGTVKCVQVEDQVCLTGGEDGTVHVWDLRRVDDDDEWEGGMLSLSDVAEEDESQDGGAVKTNGIRSGSSKPSSVSERDGPCIRVLEGHTKTVSTLYFEDECLVTGASDKTMRQWDLNTGQCVMTMDILWAISHPTAAIPGNALPNHLFSGAAAAAGTFAVPTPPYADGTWDMYEDFVGAVQFWGYALVSGSGDGAVRMWDMRTGQPHRTLLGHTGPVTCLQFDELHVISGSLDKSIRIWDLRTGGIFETLKYDHAVSALQFDTRKIVAATGENGVKIYNRTSMQHSTLMTNGHMKPVERLRYMDRYLVSGARDSTVKIWAL*
>EED82901
MDPAKLSDIRFWILDVGRRPSAYDHTYAKCNMCSRPTSRRHMASSISRATTQVCSWMPTRKLPALVSKYGIDLLLTALR*
>EED82902
MSSRSTTPASTPSLVNRCLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLTYRKALGAIRDEETELHVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDECRRKDEEDRRRQAEDERRAQEAADEELARIAAAEGLLPDSATAGVNKGKGRARVDDEVTELSDDPSVKTPRTLERPFAMTEVDMAAAVIVKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLNALDLDDESRGIIRVIREERAFIARRRALLHDMDLDLQKMEKAALAKGGIRFVRGAVDED*
>EED82903
MALQRLTEIATENSGSQYTCVVIAREAGDYTVATSMAPPEACQMHENPIPIRAISDPLQRAIIHHALNTKERIYYEDVSTEPRFSSEAQQSAYRSVICLPIFSNRGQTFGAVYLASKYAFSPNTVAILTLLCQQASIGIANALLFRSVQAGTRENLKMIAAQRDALEAARKSREDALKATKIKSNFLASMSHELRTPFSSFYGLLDILSGTELNPGQSEIVQTAKQSCELLLKIIDSILDYSKLEASALKLEYSGFAMENMIADCMELLLPMAAKKLDLSYNIEPDVPPSRVDELTHVLHSLSNEVLKDTKVIHLFTPTTDNLAGTPMLRNDAVAGIVRITKPPRQARLLHTLANLKNLPSQAPLAPLLSLSAIKEEEALARRSLYGNVLVAEDNPVAQKLLIAQLQRYQINVVATSNGEEAIAEWEKHEPGYFSVALFDHHMPICDGVEASKRLRIMENKRRVPMVLPIIALSADCQESTKQLCLSAGMNAFFSKPLKKGDLLTLLSSFGESLTRTNPDPETPSPSPPP*
>EED82904
MTHDAVWFSRPRKYGKGSRQCRLCAHQAGLIRKYGLDLCRQCFREKSAAIGFHKTR*
>EED82905
MRGSMSMNPPEGGLDVPNPRRIRHITSIQVRNLTPFPIRDAFASALKQPSEQPQFTPQGHFSDDVDVTIGRKRARKMSSTSSLALSGSKIADDPPHENGSPGDFRRRDSSRVSASNTSQSTVSSSPTSARRTSTVRPPYRQRTISVASSSFSYDGDLREVSAPGRAPVPDLLVDTSQTGLEKVLQSRLVEAFLTITLPDTASPGSNLDTSHNAQQEGSAIQPPRASTSQANMKSLPRERALTAVRKPDSTVNTTPRRSTVTSSAKPASPRPTTPSRLTSNPTPSRSEHSTMHNKSLSKSPSFTNGRTLKSPSTPPAHKQRLPIPSSMNSPPLSPSSLKSEQLTASGSLSPRLSSTVDLPSTSTDEQLHVPDHISPIHRPSTNPTFRIDARFGGEFVPNADLSGSRMRLEVWGHVKRGLGWASVRETDAKGKGKQRELNEPRELEWRVLEGWDVDLGDLVPLPADLASHPSHLPSNTLLISLSPPGKTYYLPVPASHLHSPLPPSFNSGYSSDPESGPRKAKVSGEVIPGENTRQASERLEDKADAEELDEAEEYASSMRKGKRRTASWQDLLRLINLQTCIIDTQQSLSEVVREIDKTVTHPGAGTLHREVSERAAWVGQLHDETSSVLAESETLRAQISARKEDLRRRRELLALARDANESDCRAEAEREEDLVEERQRLDLLRSQIGPMRSVLITTLSFIFPIELVSPPDLLFTVLDVPLPIPLAQTDPAPPLSLPAHKEVTEDAVATALGYAAQVVQLLAAYMGKGLVYPVTCVGSRSLIKDGISAMVGPRMFPLFTRGVDTYRFEYGVFLLNKDIEILMSDRNLRALDIRHTLPNLKNLLLTLTDSEGKRPPQRFSMASSVSISSLRSPILTASSLPTPIPDTAGSSSNVKTSTALQPDGLPATGSDAHESDSPPRSGATTPTASTPDPAARKARAFLDLSPLAGFLRVRYPSSSRPSVRSVPEVPENAAARDAGAAGANGDAVQSTSGGDAGEDEEDRRTIRGRSDTLRGRSDTLLGAPEEEGKVGVDADVNANANGDGNVHAAHGNGAAEKLSAEDGREHDAPPPGVFVGGVN*
>EED82906
MATCLETTIPKLLGAMTASVGVMAWTATYSIATAAIETRWNGVSGSVVPCAPPTPTHAFLPCPEAVETTSGLSHALDELTSVAASEVASGSTVDQEPETQAFFHADPSVCLRRKRNATLPINQLPLEILEGIFKLVPELFRANEGNTSDLEFSIWESQFADIKDVLVLTHICSHWREVAIHIPELWTSLPDIRTAGAVDAIAARSGTCPLKISMRDQASPGMQRILRAHGQRVIELLWDQCDIKLASSLRTLNFNAPVLRTLALSGIPLPSYFRMVTILRNTAPQLRNLSISDMDWVPGVPLPAVTNIYISRLYGRHALLDLLNFPAWCSRLENVVITHQDFVRMDSSDMPGPVTLPNLRRLAFVGVHCEVVTTVLTQWSINSDTALRIVCPEIPEENTDAFFSRLAGIPTVQDITRLSIYNWADSPTVVAVGGSSGISFEVIEGERYRHGQEMVSLALPRFLPMNKVRELWLLDADAPKSIEDCAGCESHTMRDVLRAFPALEVLTVSDGCAAAIAELPDSEEFCVSCPALFTLHIVCTGTILHHDEAWVAAMLVKLAQRHDYVPFKRVLIGYMPGYKQTRLYRTDADSLFGSVEYRDLALDEVPYMPVPELCKDAHKYWTGFEAKSSRSSSGLPHR*
>EED82907
MGVHTAVYNYFTSQSEQAAPGPPTGLRPDASEKAKASGTDLYEQIDRFYADAARDLFLGAPTDDTTLVHYLVPCFNRYAAGAQSVSRLLNYVNRHYVKRAVDEDRGWLRLADVLDAVARTIQEDDTREKIQKRLRERRTEELKQWGYTEGAATAVLSQAEAYAEAASPPDRVVPLASMAYRRFRIEVLEPLLMVPKMKGKGKRKKPPANGDKPPMPRGRLARAVKELLESDGGDDEERKKLAGELAMVLKTCGVKMDHPLRKKLDKYIPPKE
>EED82908
MATPHAASPARGPTPADLQSHLYQSFLTRKTADVALRVRGSWHAIYKLHRVILIQAGFFQSLFTSGFVESKAKLASSRRAEPDVIDMIVFDDPNITRADNTRVCIARLYGGGPPLHVASSLIPTPSQPLTPAFPYPSSARSSSTPAGHHRATPRFLLSLLATSLFLSMPAVASEALQMILSTVGPYTAVRYLHFALGHGIGPPDNDEPGDGHEPAVGLESVAELALAMRAEPDSGSSQEDELCKEGPASATSDDSGSDMDVGTDDYEAESRRAPEPAYVYGAVSNKIGEAAACWLARWGADILHCEEDVTRGHAQSKSEDQGGGRKRTSTAPSRFAERARNGSAVGGADTREMAVPVVWRCGGLDARWVRGLLSSDALFVRGERERYEMAKTVVEMRRKEGIDEEEEKEWEALFRTGIYYENMILDDVIAISRDASPSTGQHFVPLSVLQASHWAQDVLRHRITARPASGPLSPPLSPSQRDKELGIALSASDVASLVAQERDTSLYPVPGDSSIRIGDTAGIDGASMDQLFDLGSPSKMDAPKKTVPTSEANFFGLQQQRFPASTIASFSGSPVSPVTPGTSKWSPHPPYRFSVEFWDVDSLKEKSRLHSHTVWYAGSLYNVYVQVVRKKGVQLGVYLHRQSSVDPLPASSAPSAPAARAPGQTQGQGLQVQNRPPSASVSTPRPPSLHSPSQGYSGSSSSSTTLGAGNTLPATAPAVSPQQPYRDQRASVSAYFAIACASATGASLTRFTSAPDVFSVSQSWGWKSSSLRTEEYIEVGPDGQPREGRGAPAGREVSLRATVVLGVV*
>EED82909
MARQIKARKHIERDQRHVSRIVSTAWKELDDDAKKYWYDRAAVVKKCHEAKHPGYKFSPRARSEKPKKRNVKRNGPQDKERSRTLGKILARGADMEQLETEALKFDATMTNTSDVGVVETTYDCIPPSQSFATGVFDSMSWQPSSIISPIDTEPWLSLPALQDPLLPPLSDGVFVPQDGLSATDLETAFSQLGFNNTLSAGDGSAPLMLAQDIRPEAEMQRIMDSFNNFSPASDLPLMASNALASQSMHQQIVDMASACRHTSRLLDSPLDISPLETNALGTNLPQFDALQWERLGFGQTNESNVASFAETMFSFDSSTLFGEPSFAPEPLIDISTWN*
>EED82910
MVMWVMSDRAIPRSLRMIQGFGVNTYTLMNAQGERFFVKFHWLPELGVHSLVWDEALKIAGQDPDFHRKDLQEAIENGAYPKWKFAIQTIPEANEHDFDFDILDATKVWPEELVPLEVIGEMVLNKTVDEFFPETEQVAFCTSHVVPGIGFSDDPLLQGRNFSYFDTQITRLGVNWEELPINRPVCPVMNHQRDGQMRHKITKGAINYWPNRKAIGAPVPTSEGGYADVAQKVAGIKQRVRTPKFQEHYNQAQLFYNSLTDYEKTHLVNAVSFELSHCDDPVVFQTYTKILNNIDFNLAKQVAINVGGTILEKPARENHGKSTPTLSQLYYAPKEPTIVSRRIAILVADGFNFAEVEGLRAALKLGQATTWIIGPRRGKVYPAGQVVGTGEGIWADHHHEGQRSTLFDSIIIPSGAEHAQRLAQNGRAIHWVREAFGHCKPIGALGEGVAFLREAVQLPGVQLASSLKSDSVTTSYGVVTAGKYDAGSAVADTLKIAQGEKGFISNFAYEVSKHRCYEREAEGLTSKVAY
>EED82911
MSGYGVGLEAALGDPIGGLYTSNASAPLQQQAGVRQIYDFGLYSYCGYVNATAGRCSNISAANRFEPLQIITADMLTNYSGYTDSIFTSPNTFTDSKYLGDFSNGAYYPLLIGTVCAALALFVFMLLIGAAIWTVIIKKAETINDLMVGKASSPTPLGIVVSMGNGVYLAWAAFACLIASVLPYMIRIYCFTRPAPACRTMSSLVWGREKEGKKEGEKGKTTSPPRGSNSQPSDAPAYGLVVLTLSSPEPELNLVALGDPKLYPDRARLPLGVVHSPLVCSIMFCKALAATVIVALFSSAHCAVQKVSRNGRYLYTADGNRFYIKGVAYQEQGAVIATANNPFLEPSTFIDPLANSTSCERDLPYLKQLNVNAVRIYSVNSSLNHDDCMNNLSSAGIYTIEWCGDADPSVYDGTNGDFAGYNIPAYFSEYGCVTSPPRLWTEVQALLSAPMTEIWSGGVAFSYFPASSAAGQFGMVTINPNNTVTISSDFTRLQEQYANVTPPDAPSQSSAGSTAYPGCPSENSTFSASTTLPPTPNDAACSCLENALSCQFTPTTSNTSAIVGTLINTACSLLGAQGGNCDDIAGNGTTGVYGRASACNPSVQLSFVMSEYYDATNRNAQSCDFSGNATINSQAPSSVSAAAVATSCVSNPSATFTPSAPATASGAAGSGTSHGNASGSAHMLNSPRALLGFGVAAIISIAGGLLTVM*
>EED82912
MRCLGRARLAFTDTTRVMLFLREFLHGHHGTSGAMSRLLHHDLFDHTSGRWPLFESILPLFLLAGVPERLQNYGNSAPSRRLDGPVVFDADDKRGTRKLDELQEKSDGAFEVWQNIFGLQPDGWVPAQDYEEAVALCAQAKEKALAEAASEEERAEIMAHWPWDDMGEGKSYIRDIGYKYNVPFTPSLV*
>EED82913
MVVCRLGSRCSAGSAF*
>EED82914
MQTSGLTLEPPGVASPATLAVAAVTLFIAFVLYELSMYYRRRSLPPGPFRWPFIGNTPDPASPSVAHIFTLGTGDILHLDALGQHIIVINSAKVARELLDKRSAIYSGRPHLVMAGDLAGQDRLLILQPHGDEFRQQRRFISQDLSVAAVRRYYDIQEAAARRLVLGVINDPGSLESQIKVNIASIIMLVTYGYTVKGTDDPFITRPFEVMDNFNASMTPGVWIVDMVPQLKYLPSWTPGATFLKTAKENGTARVPNLCASVLAEMEGKMTPQLEESLMWAAATVLGGGLDTNISTILSFILAMLRFPDVQRKAQVEIDAVVGSERLPEISDRPSLPYIRSVVTEVYRWLPAIPLCIPHALTEDDVYNGVFLPKGSVVMPNVWHMLHDPAIYADPDAFKPERYGGLDSEMKKVTDLAFGFGRRACPGYQFAQGTIFSIVATMLATCDIVPVVDEHGQNSIPDVRYTTGTIIFPVDVKCTFRPRTEQAKAALVDSAATLL*
>EED82915
MSARSATPASTPSLVNRRLASLLMVLEAPLTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGVVDED*
>EED82916
MPDLQPSARLYTRFHLSYYDYFVLGFVSTWLWRASSSIQLPFFKKYIGENAHMDVGVGTGYYTAASAPQLAKTKNVTLLDVNPNTLELAEARLLAAGYRGTTETVRQSVFDPLPVTLRGRFDSISLLYTLHVLPGTFPIKASHVFANLSAGLASDGVLYGTTVLGKDAGHSWLGRLFLRRLNQTGIFGNATDTLENLEKALRGSFEEVEIWQTGVVAFFVARKPFRK*
>EED82917
MFATQSLLPRTPGPAYIPDGRPSLRRRRTASLEHPKNNFAIQHSSNIQARQFALAPSRMSGSSCRVTSELSMIKSPAKRYSASAAAPISIVVTSFKTGKPGRSLRYYTIEQEQAARRTGRGTCLGYTEAVGTHATASGCGKPQKELGAGARMDGSVAEFRETVAGTYDGAPQPLKVP*
>EED82918
MSSTLLFLDQFNAPSTEGRKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHNPEATNATDRAALGAYLSARHEYDEAVKAADKAIDHHKRLLRQQDDRVLTKLIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRTIPNAYLPLPAPLPTSAFRCPPIPSPFLQATLQSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHISAPPEEPARRVGVVVDNMFLEGIINEVKERKEKERQTKAVSIPPPRSANPEPPASPVAGSSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHFSGEEWKNEVRNQGKIKVVQEMWDFTDVRDSASNRTVGHGKGNYIE*
>EED82919
MSGSVATYALLALPVLYVLVTLFVPPQRNLRHIPTVGGPSNPFLAWIGALRYVSHSAEILREGYAKWSSFRALRRDARRTAHHLGSVYKGGVFKHAEPGGWCVVVTGSRKIEELHRAADDELSFIAATNETLQVPYTLGPNVASNLYHVPIVRSQLTRALPVQFPELRDEIVCAFGDAVGMPEEWKAVPAMEVVMDVVARTSNRLFVGLPLCRNADYCELNKQFTIDVFTGAAIINLFPSVLKPIARRLLTKVPQRIARGMKHLQPMIEERFHLIEAHGNDWPDKPNDLLQWLMDAAQGEERTMRALVLRVLTVNIAAIHTSSLCLQTFINALYLLAAYPQYIAPLRAEVEAVVESEGWTKAAMNKMRKVDSFLKESNRYTGLGAKSMTRLALKDFTFSDGTFIPAGTTISAPAHAVHFDEDIWPNADVFDPFRFSSLREEEGEGAKHQMVSTSLDYIPFGHGRHACPGRFFAANELKAMLAHVAVTYDVKMEKDGVLPPSMWFGTALVPNRKAQSRFREDTKSKVFPKLQSTPAVVHTSGQATSLSSLAMAAMVDLVVSLIQIHYLWTSRTGTDHLINTLLMYIVYDGIELGVVELDQKGH*
>EED82920
MSSVSSVELAGAQAFVQQGVRPYIIGLYRFTELGIIVIAAIRIYAINDNTLIMPSIILSLYAPLVAIAIEISKYNKHKVTDHKAFATRCAILVVNGISISLLITNIVNSVGLIADVLNTILLSRLLLNLRQVRLNPDADISSLPIVSSLGFASQVIGPLGQPVDYHSPEVDLTASQEDAEDVQHSQSTQDIGVDEDDLEHS*
>EED82921
MRSFNFYAVFAALLVAPALVGVRVPVTRTSMRETAANPAAVGLAPLALAHRTPLTNAQRLARGLTPNRPRFNRAARENLAPRASAMPDPTPCPAVTGTIQVTGAGTGTPAFISRVPNAFGEYAVTTDTADALVVQYTDCADASVIDLATLNGIADVPYLGAITGFATALQDLRRGSSAYAYIGGTDQTAPGATPQAVSNSFTAATGDQEEAESAMWTLNRTTGALSAQWVNADGSKPETIIVYSPENFLVITGDPTIFGASFGTSPVVTLTFVAA*
>EED82922
MAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAIHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTRSVDVTSWYGA*
>EED82923
MLPKRALKAVAKCRLICEGGHYSLNTKLHGYELGKVWSGPWGGAGEDITNDEGDGGLPAGGDGCCDCPPGELREERMLEWPRGGDGGGGDGGSPVAGLHTTGTGVEEGTADDELAQEYDGVSRGSALGGAWRGRSGWDGSNMARGGSRGASVSKGWAAGVLDSSDWHVAPFVGSDGPRSTIRARLSVTDEEGSSIPIAFGVVVGDVDLLLGVEGVLDSRAVKEFVSHGDGGRKGSMQQEPSPRIREDKYRPLKCSKGRENLEDLLVLHLGRRRQFEEVGSWGRQGANAARRSGMQGCFGDRAGVEPV*
>EED82924
MTAYVLFQVRQIRRSSAQVAQDEQQNAAARRQAQQTCQEAIKKIAHLQNQSQRKDTDEQTARALPPQLAHKSVLDVYTALAGSAAKPESDTDGNENPINSYISDNEEEGQYIEESSDRVTSQGVAQIVQANADDAIRTEAAQTIPHVDKPTEKKARPTLEDLPVGCSQKRWKMVFMPTWLEFIGGLPNPYNLQDINIVNVLQAFFDDVLMDVQYSISVHDAVYKLVMQKVYDWRSMINKGAISALIKYWEDEQLTDATARAEYVKKALTGPTPPFLYQHIKYDADGLLIERYGRFQHPIIAETLASHIQVTEEAINGCDKYCWGALVLALVTNVWAPRIAFYGKSIEELNNDTWDAILTKAEMVMRKQGLVFSVDPDSDSAILGNPAELASVLYSDVESDEDIAA*
>EED82925
MRSASILDATLDADHIGGILTVLRNALGIPPAHQCSPAPPLPTIPTIEIYGPRGLRRFVRLQMRLTHSHTATRYAVHELLAPGETPSVLAGAGTRTEDGDGDDIPLENEALGQDVLCGPDGFWRGIASDAVAGGLKSGGRVVVDAGPIQHRDPCNFSRVPHLPFHPRAPQPRTLVILGDTYDPSAIVPLIESPDVLSSQPTDMEIDTNVPAAAPPTVSLLVHEATDSYIPSSIDPQGRTGRNRSEASVFKKTLERGHSTPEMAGAFARRIGALRVVLNHIGARFPAPDHSGSYADKFRRATMREIERQATEAWQPPQDVYAQAAFDFMRVVLPPHFRKIAHVSESHSSYADVAIAEVETTWQQRTESGGEVKKAQGGMSMEEVKDRVVLGIGATHDRGESMIMEGDL*
>EED82926
MAAGEDIIGVGGDGGRCRGGGGCGGPPLVELREERVLERPLSGVGGEGARESSVGGSHDSLRAIWDVDVDEVLRVECVNLALTGNHDGWGEDGEGAHHPTYRKGRGNKGRLCALVRAQLVRAQHADAAPGAGASERLYEGGVEYVYSDDVYGDDLLMVMEEHVDDDEWKQTLTRWMEENIDKMGGREH*
>EED82927
MPATPLQSPEASSTGGTIRAPSGPRFPPSRMEHIPPLALPSNLQEHVDAVLDGDLPGSPSAVKKPPRSSPRSARRMPPHRVFSFPLRAGPPPEIRTKTIRLSDTSAEPTPRLPSLAFSSPTTMLRVSSLPASVPAGLAHIASTQLPSDGSPPTLSTPRVGTARPDSERGCPIMERTRTVYGLPARQARKEVAVPTSRTPSLLGVFRQQIDAGSEPEPPKMERTSLEGNGHLGNHAISPDIFIGSDACRPSKRRSGAKAHSQPILFSTAKAHTLCVWIRLDCGLPFAHCSEIPRVPKYHATFEHAFIKINGRIAEIATSGSLVIILGYEAGYLPPRPPFVSSTFSVVNGTGNFTVQAGLNPNHAISFAQPITYPPGATPEAGWPLVIAYDALSIPVPDGIAAMVYTNGNIAQQNGPSSRGIGLFYDLYGANATASAMTAWVWGVSRIIDALEITPEANINTAKIAVTGCSRDGKGALMAGAFKPRIALTIPQESGSGGDTCWRLSKYEQDSGDIVQQATEIVTENVWFSVNFDNYVYNISELPYDHHELAAMVAPRPTISYENTEFEWLSPLSGFGCMTTAHTVYESLGIAENHGFVQVGNHSHCYFPDILNASLFAFFEKFLLDREDVSTDYFYTNYMFNGTVWDPSHWINWTIPQLN*
>EED82928
MLLIAFCTLLASFASAQLSGSVGPTTPLSDKSVLCNILDYGGKIGSSDIGPAIQKAFDDCVLTNSSPSTLYVPTGDYDMQTWVTLTGGSSWAFRLDGLITRTATTGGNMIAVENAYDFEFYSKNSAGGIQGAGYQCRNDGPRLIRMVTSERWSLHDLILVDSPEFHLVIQQGSGGEVYNLAIRGADIGGSDGVDVWGENYWIHDVEVTNRDECVTVKSPASNILVERIWCNQSGGSAMGSLGANTSIANILYQNVYTVGGNQAYMIKSNGGSGTVKDVVFQNFISRDTAYGLDVNQYWASESTQPGDGIQLYNITFKNWDGNVANGVQRSPIQILCADGAPCYDINLDDVYMWSLTDEATWKCESAYGTGACLKSGSSHKSYAVETTTYTQPSGYTTPTTMSGDLTAGFGSTTLIPTPTIPTMFYPELPQISPLMKNK*
>EED82929
MPNYSRHVGQALKFLPANVNPPIPWQRVISSSGVISSRGPGTSGADVQRHALEAEGVEVTVTRGGEIRVDLRQYGWFPA
>EED82930
MSSPAAVPDKETLKLLLLLRYDGKSVIECNRFISQLLIYWTINTALSSLELKIQVALSLLDGDARAWATPIFFQLASVQIGIQGATTPFADEKAFLQAFKARFGNLDDAAAAQVELTKLCADKTMREKRTAAEFSALFKGPADRSGYGNLELRDKYLSGIPPRVYRKLELETFAX
>EED82931
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDIGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLSKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKACRLTNRHWRVIKRDLKRIGHVSFEDLSSCLPEICNELASLNITFKYEV*
>EED82932
MSPTDTYEFQLNLSETATSRIPRLLEDGSNCILYKEQFRAATLAKGLVRFLEGRDKAPTPTTAPGVDPDADERYESKNDLWIAKHQSIGTMLFQTLPESLKLRIAPLQRASEAWQVVVDECDNQGEFVQVELLHEMHALRCDKNIDPRPMLNKLEKLCSQYATAGASCQNLPMFMRILDSGASRHFDPQKENFITFREIKPKPITSTDGCVLHATGEGNVKVTTCRDLGSSDWGKPNKGRWGRLTGSYQSDGDVTS*
>EED82933
MQTVIGDVKGSDLSIPRPDLTLEDIDKHSIGPPHITPVDGGLWAWLSVLGGFCVLTSTFGYCMSFGVYQDYYVLLGSSSSSGISWIGSLQARLKALTSPTTFLMLFVGLPAGKLFDLGHFHYTLAAGSALHVFSMFMLSLADPHQYYQLILSQGVGMGIGSGLMLVPAMSVQTHHWSKHRSLAMGIVMTGQTPHTSYFMGESNNPCAGSGVGGVIFPIMLNNLIYGRAGFAWAVRATAFLTLGLVIIANSVMTAPLPGVKRRPSGSVSEVKSIMTDRAFLLFLSGLVAILNAASVPGRIVPNLLADRIGTFNVFVPVVIVTGGLVFAMFGVVNTGTVIVFTILYGFFSGAVMALILPTAASVSNNVQEIGMRLGLTYFVTSFGILTGTPIDGALYDTHHQWFKPTVFSSVVMLAGSMILIVSRLLYSARKRTQIV*
>EED82934
MLQVPGYGNPWRADGRRNVQAISLIQILSPEVAVQSGYTESKWIAERLVQTAAQSLSLNASVIRVGLLSGSVNGSWDTNHWFPALVQSAEYLGCLPEGHEIVSWIPVDLAAATIVDMCDIAADTLHLVHPQPVGWNAIMEPLASKLNVPLVPYVEWLARLESLAEDGDVHATHAGKTDKAALRLLYVYRKAFATPERLEESMGLMPRVAMDKAEGSTQQLGPEDVERWLSYWRVTGFMRSS*
>EED82935
MTMGIVVETYRTHTARTATYRLLCLICLKGVAVVAVQRILSKVMTELSEHAQGYPYEVQRDRLEIGHMDNFWDSNNKIPSQSRHDVPRQLAPPLASERMSQTLEAWQVLIRLQDEDKVKPNGVSSTYDVRTLELLEKATGRRFQMCRIGGTRAIDGTVRSAAPDVHPTSVIISPYSASTRRTQ*
>EED82936
MSHVASMVATIEKMVPWANWYPGHARRPNPNARAGFGDTLILQNYGEEFRYQRKLVSHSFSPSVIHRYYDLQEAAARRLVLAIIEDPDSLENSTRLHIASIILRMTYGYTVKGVDDPLFTTGIAVINGFSEATRPGAWPVDFVPILQYVPHWVPGFVFTRKAREWRGVLERAMWAPYHWCKENYARDAAHGLCLCGSILAAEGSQLSSDQEWLFVNAAVTVMGGGLDTNISTILSFVLAMLRFPEVQKKAQAEIDAVIGPNRLPLISDRHSLPYVRSVVTEVYRWIPAVPLGELTSNFAAGIPHALRQDDHYDGLFLSKGSVVVPNVWFALDSPENVKCAFRPRSGRVKDILVEAVETEQE*
>EED82937
MFWLLMWFLLLLILSREGSARWDPDFFNMVLTSGQKMRFNTVTHSGDSNVSGLDRRRYDIVGMRAGEYRSAKGTLDPNTPHTPHCSQETSVARHQRLAKLLRRRPGMIHYNCRGIINPLLPHLGATIVPSAECNGAYVFAARERRRQGCQRDEFQHDDHAWEQEERGGDKRGKGYANSQRPATTGRIFSTADVSDGVSQATSNSSPRTVDGGQLEPFTIRRHAQPDEQQNIRFAGQRPK*
>EED82938
MSQNTNAPLMPPCGHSTAPTFDPSEVRSLWRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERACIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLARLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTMVPPRMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAIHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKFPKTSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRNEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFKRSSSSPKPEDPIPAPSNLVLPTPSSFRAHAQPPIASSSRLSVIPTSDLAPPPPLTPSNAASNSNPAPPAPTIPSTTTTSSSSPAPTTTTNMSQNTNAPLMPPCGHSTAPTFDPSEVRSLWRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERACIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLARLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTMVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAIHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIWDRIYEWHRRNPTAPATPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSRGVAAPLSTSTSTAPPPTIPAAAPASSSSPPAQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVVSAHIVEIGADEVTAVNQLSVTSWYEGTVADHR*
>EED82939
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLKGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGKEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED82940
MPSSTDKKLILVIGATGAQGLAVIDKLLAPSEDGSPSPYTIRALTRDPENPRAKELIAKGVECVKVTAFLINFAQGRFDHFENVAAALKGVYGAWVNIDGFTIGEPWEMYTGMRIFELAKQAGVKHYVWSNLDYAFKLGNYNETYRCQHYDAKGRVAEWMQAQPSAVSGTDMTWSVVTSGPYMDMLHNMMFGPLKKRENGTIVFATPVGNGHVPMIALSDMGFFARYTFDNRATTSGQDLAIATEMVGWEHLKTTFEKVTGQKAEVVSQTIDDWLEDRLNFRGWWALWRDDIVKRDMEWIRKVNPKGHTLESWMREQNYGDHLWQKIDILKITEDGHMGILPGKERLQKL*
>EED82941
MSKVTQGVFQNIRVYWLAFIVYWGIVLFGYDTGVGGGVVSATYFKEHFGLINADGSVNAQKSDAVSSNVVSVLQAGAFFGAIGSAPLSVRKGSTYVWDTAAIGRKYTLLMFSIIFSVGAILQTISGGSRGIGYIYGGRVVAGLGIGAISAVAPAFVSECCPKEVRGRITGFFQIMVAVGVMISYFINLGISLHIKTGPEVWRIPFGFQLVPAGIMTLGLLTVKESPRWLASKDRTEEALANLAYLRRLPRDDERVRTEMAEIEAAIHEEREARASLGLKEAFFGKGNFIRFVIAVVIFILQQWSGQNSVNYYAPQIFQSIGYTGTSNSLLASGIYGIVKVVATTLFVFFLADTWGRKLSLFVSAMGMGVLFFIIGALLKTFPPPADAATPPPASKAMAAMLYIYVCFYSLGWGPLPWVYVADIFPTRTRHYGLATASASQWLFNFVLSKVTPTMVTDLGYKIFLMFATINIGGMAVFTLLIPETKGRSLEEMDVIFGSVKAEKREADIRKQERAMDHEANETKSERSLESKGASLSTPKFEAEEEPEIWQRSSSVEIVIKLLDAPETDTVDQI*
>EED82942
MSAVEELKLLKAQVQDVARVCNAVARGDLSQKITVPVQGVVMVQLKEVINAMVDKLGQFAKEVTRVSQEVGTEGKLGGQALVLDVEGTWRELTGVVNKLAANLTNQVRSIAKVTKAVALGDLSKQIEVDARGEILELKNTVNGMVVRLRTLAAEVTRVTLEVGSKGKLGGQVHVPDVEGVWLELTRNVNRMCSSLTDQVRSIAKVTTAVAKGDLTQKIEIEVEGEMLTLKRTVNSMVDQLSAFASEVTRVALEVGTQGILGGQAKVEGVQGTWADLTRNVNKMATNLTDQVRSISEVTKAVANGDLSRTVNVDVQGEMLELKTTVNQMVARLSTLANEVTRVSLEVGTEGIMGGQAYVPDVQGMWKVLSDNVNLMAMNLTNQVRSIAEVTKAVAGGDLTKRITVDVRGEMLDLKKTVNGMTESLSVFADEVTRVAKEVGTEGKLGGQARVTGVGGTWKDLTDNVNVMAANRAVARGDLTRKVIGVSVSGEMLDLVNTINSMIDQLAIFAAEVKKVAREVGTEGKLGGQAEVGNVEGIWQDITMSVNTMASNLTTQVRGFAQISAAAMDGDFSRFITVEASGEMDSLKTQINQMVFNLRDSIQKNTAAREAAELANRSKSEFLANMSHEIRTPMNGIIGMTELTLDSDLNRSQRESLLLVHSLARSLLLIIDDILDISKIEAGRMTMEQVSYSLRQSVFGVLKTLVVRASQNQLDLTYDVDPEIPDQLIGDSLRLRQVITNLVGNAIKFTPSKVSRKGHVALSCRLLALDDLSVTLEFCVSDTGIGIAKDKLTMIFDTFCQADGSTTREYGGTGLGLSISKRLVTLMQGNMWVESEVSNGSKFFFTISSQISPMSMEATLSKMQPFQKRNILFVDTLYDRTGVVQRIMDVGLRPYVVHEVCEVADKATCPHIDTIVVDSLGVTEGLREYEHLRYIPIVLLTPVCHRRMTSRISLIVMPVSAIHTASELISSQVTTPVTAQDLASALISALESNTVTPVVAENDVPYDILIAEDNLVNQKLAVKILEKYGHQVEIAENGSLAVDAFKARIQRNRPFDIILMDVSMPFMGGMEATELIRAYETSHGLDPVPIIALTAHAMIGDRERCLQAGMDDHITKPLRRSDLINAINKLAGERKAHMARSRLDWYYLCPSQ*
>EED82943
MAFRRLAAHSGCSKLCFPYVLYTGGEYNDENVSTGYSHSIGGSRDAGWDRRPTDDTMPAYDTNFPCCYYGQHAVSRQLSSAYMRHKELTSPLFLQTEKEVSVVDEELTVGDVMFALKVIPSNQIVIEICLSSLETTIRGTCAVDVGAKRRTDASAIHSRTVIASPGKSNAMEMRRRIQPRGDDECAGLPRIQAFHCYCLSGVYDRIERRSAKHPIGFISSRTTTGHKYAISVNRVLGIRAAPSLQAVPCPISAVAAQRPSYELHNYPANVKRLTVYDSSPFPWYQGFPNESARITEAS*
>EED82944
MQFFQWCNAFFAAGILPLLITSDASVVTLPYGSFQGSTSRQVTSFLGMPYAQPPLFQQRLGNLRFAPPQAPNVFDGVRPATAYGAACLAQHVAPPQINTSASAVSTSASIVHVSEDCLFVNVVTPATVNTSEKLPVLFVGSFVEGASGGNPGNIIVERSITLGEPIIFVSFNYRLNAFGFLAGEEVEKARLTNIGLRDQRFAMEWVHQYISSFGGDPDKVTIWGESAGSFSVGLQMLINNGDAQGLFRAAVMESGSAYALRNVSEGQGYYEFLVAHTGCSGQADTLACLREAPAEQIVAAVNKTPTMFSYTAHNLPWAPRVDGDLFVRNPQQSLLMGLHAKVPIISGDCEDEGTLFSLGNLNITYIPVATPAEIAAVGSAYPDDPSLGSPFDTGNDYTLSPQYKRIAAFTGDWQFQAPRRLTLSVISKTHDAWAYLFRRPKATPQKYLGVAHASDLSEFFAHVDYIGMDALVNFVTQLNPNAPPGLPANVSHLSGVQWPRWRAHNATASLLTFQDPVPDFDFTPDTFRAAPMALLTNLSLKL*
>EED82945
MSAGISVVEANLTTLWLGTMLYAIFLLLAVSSGFFIMHRQVYLVPRRAGSKTLSVLQSPMIIGILVLLLGNTAHWIITVVRSYQAFVYYGGGTKPLQFYLDLPRPSMVTLTGVMVLCMVVGDAMLIYRTWVVWGYNTYVIIFPAIFLLGFTTVITWKIWGVHTKSREFYRVPNLSGVLVVMIESAALYTTWALFYFASFQAKSELQNVASETMPAIAGISLMLINVRALIGWDAGSPPMPVRVEVRRTTTTGNTLNDREPSRMSMGGFESI*
>EED82946
MDKLAPLQEVSGKTFDFVIIGKYNPLTAGLVLANRLSENSSVTVAVLEAGKAHFEDPLVDKIDGWLQQMMKPDYDWEFFTVPQPHAHDKPARWSRLVQPRDVNNTIGTDGPISLAYAPTSTGAEASFQKAVEQLGVATVTNTAYEVGPQTNGAYKILSSIDPERGTRASSVTGYLLPAIHRPNLKVLTEAYVNEFVTRHEGDKLTATGVEFEHGGNVYQVHATKEVILSAGAIKSPNILELSGIGDRRVLEPLGIPVLKDIPGVGANVQEHYTLTGLVLGRFGGRSVDNRWADGTKEMRKASEAAPSLQTGASRVTAKSDEAAADENSANATVWTGITYLPLQLVSERASYIIERQTAKIQGEAATYPPGLKEQYDIQLDILRNSQIPDLEIMLLPVSFAAPFIGKPYISLPIVVSHPFARGTIHISSADPKATPLIDPRYFEEETERDILLEGFKFARKISNTSPLRISSSPKFFQDLRSQKTDRLLVYSAGSLSMMPQDKGGVVGPALRPRISLGKNTAFDSGPPKAIPVGSIKVALSNSQVAQRPNISTRYSTQPLLFIPVQVPTRYFRMLISKNLLQKWHVEVDEVKECKYWSGILQLVLLAEVFHSTSARHAIAVVDGLEGSRKWQKVWTRPDPSELRQQSPFAVAAPVAAPGAAIGRSFANNNNCTTSLHTLSTDVRPGSLFRPGPSNDTGGSHVGDLGSPPPGHYDCITLPIDTLAPLRASSPVYYSILLLPYPVDYSHDRGTATRHSWAGGARRVLAPERLLVASCNICLAEL*
>EED82947
MASIAEDVLGIFVIAIFIAIFASRIVETIHTAFCVQILYQYLVTGHNQAEEIASIAWQVAQAHPTPHSPAHDRLSLLSSCKGDAWYILTLLRAETPINRFFIRRIWVLSHGSLVMTAIPVRPSVASQLQYAKSLAPTRLHFGWTLGQWNRFREDTKSKTTFLSSLAMAAMVDLVISLIQIYYLWTSRTGFRRLISVMILVLFEIPALKNNIMFVGLVGIQSKLYANSFLATLNARVHIKKRAFGSNGMDIDTVELNQRGRGGPHEEHRPSKAHFWPDDATGSLRQECNPGASLSSSRSPSTLLFPAYVRAAKQRYGPTMLDSLAMYALLVLCARDPSAQQTSERKAIPVMGLTMGIIARANNRLFICFPSMNCACTRGAVDCTNTDTSCLSRADMLSGCNVDGLHLELGIMIRVDLHPDVQIVTHTEPEPPSIKHTPPCSIIMQQAHIKGLKLIKIYTFPFLQVANIYISNIIGNVMEMLLYLIGGVIAAIRIYAINGHKWALPMAIICLYAPLIGISLYAAVVATYFLQPFGCNMSYDYSIVVSPGFSDLLVLLETWYATYRIHDTVPHAATNVTISTLLRRNCSIHSWYQTCCLSNITVDL*
>EED82948
MAGKTSCQCSPVDVVVLCFGKGRVSAPVNTVAIYPHCDNENSCGILVIEYRAQWPTFHTSTVVVLARVMIYDKQVSMYWLLPFQISVHGTEPTHIIGMKPPIVLERIGTPIAFDEERLSEHPKLECLHPSKRVVSSTTLYRGGNADGSQFDKTRPLDLKPENRKQKPGTCGISAYSQLTEIANSS*
>EED82949
MSSLAPWNISELLLLIISFLDQQSLARAARVCRSWSHVALDALWRDVDDLSRLLALLCPYAKPSKLTTKYGGTISTYVSILTPHLTRLTLRLSTPIHLIEDDVVPFLLSLRKLQFFSISPYGLSTKVVEALSRLGYLKDVSLLPPGERGKGNRADVLGFQPLLKEGAFPATQRICFSADILHATRLISSPFFPSQLSRLYIHVLSIAHADVLQQLFATIRDSCPMITDLRVDFMIHPHVPLSVPGWPLSERPNIATFRPLFDCRRITTFEFRWDYALNLTDGDMEEFAVAWPRLEHFLLNCEPIIEFNPPLATLAALLPFARHCPRLHTLGLYMDADSAPSDVPALPFVALRELMVGASNINDIDSVTLFLSKLCPLDCKLVAGVRWPDAYGTALDCAGILDERRPRMCEYWVLWTRVVEMLPVVIRARMQERSLRAEMQREVDRLALEKSEEAGRYRELQKELLAIRVQRTSANVASTS*
>EED82950
MSSNSISTSEEDALIEQSIDVTYLYASLLVNFVWRRSLTSATALYIALHTVMVCYVTVQLVNPFVPGGCKMTYAMSMWLYCLEITLYMIIGIINALRLWAINRQNWIIPGVVLILYCPLIVTIMYETVKTTYTSNSAGCFVYQNMSMNRMANLAKMKVSIVTLLLRDGKYDYITHKESSYLKSYAQEPYIGAKYHSAALVLNVTHIALLLTGAFDNGGAFVDVLFLNLRQVYSSDATASETQVQDSSVRFVSRVIGPLGAPLDFGSFETQSEVHRDSEEVEEIHIPEIEEIPRFVPEPFADGIEKSQQLVYLGWLRLLQSTDVCIHLAMDTKDKRLTSPDRLPVRSRARQGKRRKLHALFDEATKSLLDVSDNYVARFQQGRNN*
>EED82951
MTA*
>EED82952
MTGMRTVSVRGVAICLAKVWSMLQTRSTAAVGHGQARDIKPRVLVASVATPVSFSAFSAVHRAELKETWEIHPKIPSLASRKAWAEARGIDPGQVHAWFSRRKYRATKKGERVLYGEYDLPISLKDQKTSSCTGTKRKQAAVKRERSPTPTLDYPRRKRQRATPAADAGSSFISKSTQKNAGSQPSDPAMEAPAHIPHTRAHDKRAHPLCLICSGVQLPSVSRLPSSGPVLSPPSSFYSVPELSFDFPSSDFDFDGPSTPRGLEHDNDSAIVLPIDENIDDDAYTHSSAAKNQNIDYLKQMPTAHTLSEDISSPKAVTSYLPPRQRRKRITFDDKTGPKGGYGSSGSSAPLNPSVTNFGHDVPTAPEEYSHVHAKNQTKPTADRYGARHDAACSSTTDDHGTLRNSVLGSNPTSIPAVLNAETNNTRLREGSTAQGYQSGASNTATINTQKTTAPPMSVKREDIEEINLRSKGDNYDSDTRLRSWRKPVIVTATMKMTQKDNRRKTKGTQPTRAAQAGAPPTKRKAAKIKGKDNPPINTAHLQEGPSSSVHPSTSQVRAIAGEAGQGDEAVTGLCERFESLRVGPKRRRKKTSGGTAKAAVKTEPQPMPIPVATGQPVTAKKPKKGKRQQGVTQKSQAVGQGLQKAKMQVDKMRAGHKHEDASEPEIRKYSRLPKTAGEGHWRTKAIEPIDVSIIPAQVDRRPTTPSPTANASSNIMFRNSPFRPPRPYTYSQEALAAFNEIVWIEDGFNAMELSALVKLAAGSAQDEASSKLVTRSCSAQEGPFAPPDLSHLQGLAWRNNPAVYLYTDVDMPQEVRSLFGIEEKVAIEWIEASDRVNREVGLVV*
>EED82953
MRTFKLSAVLAALLAAPALVGAVLPIPHTSAPTRTDRTMAPNPATGDLSTLALAQRVPMTNAQRLARGLAPNRPRFNHAGAGTSASTFVSRVPNVFGEYGVTTDAANALLVQYANCAGAASADLVTLNGIADFTYLGGITGFSSPSGDLGPGSSMYAYLGGTSQTAPGATPQAVPNSFTFTTGIQEDVESAIWTLDRTTGVLTAHWSNTDRSTPATSIVYYAPENFLLLTGNPAAFRTTYGAGSVVTLTFVEA*
>EED82954
MSARSATPASTPSLINRRLASLLAVLEAPPTADAALDMVEEWAQDLSPLILAYRKALGAIRNEETELRVAAAVKQLAERASELWVEWARRDWPELATAIDAEVERRVEEQKRLAEEEARRIEEAAKRAKAAEDRRLEDERRRKDEEEWRLEDERRAQEAADEELAQIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTVERPFAMTEADMAAAAIEKRQAGQKVLRSLRRLPLGPGRLRVGRERYDL*
>EED82955
MALTKPFTNDFPWVDIHEMLSGDLLHQVIKGAFKDNLITWIGEFLVITHGHVHASEILDEIDRLAVIPPFSGLRHFHQGHNFKQWTGDDSKGLMKANISACYKRTCPASNIHTKTTISNVTSTLQTYHQERKIFRDAEVWPNGYSTPRQHSLGHFPQHIRNYGAPNGLCTSITESKHIKAVKEPWRRSNRFDALGQMLLTNQRLDKLAAARADFTAHGMLNATCLSAAIDSQANDNGAHNNNVLPFPHDLPAVMSWYSV*
>EED82956
MPTWPWGPIVMSPYLVSRLEVKRQGNIWKRGASDWFSPKRCMPTRPRGPSSERGVSSKVSRGSSEHEKHPWKRLRTKGKDATTIESGTLVQRGFALFLKKLPHRGAHTKSIAVVKACREVQYLIEKDRLRWCGMERSACLAERHQVALLFVKCGRCPKIYVRGIGATVPSFEQASRTVEDVEECRRRNQSVGRLSRSAGRDATAKKRCIGAQRGLAPISVHIRHVVQRSQCSRWFRNRSGLTQHLNSKHVRFPSPAQAEINALADGVDAGQQEEEVVENTMSRRFKQKHPYLTAQPCDADGKLLPPNTAPLPWSLRPADDWTLYTSHAAFELTEFLFRHVQMSKSDINTLMDLWAASMLPYGSQPPFDSVEDMYSTIDATKSGDAPWESFVGEYTGPQPDDDVPSWMSTKYHIWKRDVCTVLHHLLGNPTFDGEIDLVPYRDFNAYGERQFVNVMSADWAYWQADKIAEDPTTHGAMFAPILLGSDKTTVSVATGQNEYYPLYLSLGNITNRTQRAHWNAVVIIAFLTIPKCTC*
>EED82957
MLVSKVGVHRLRGVALMAVITSVCSAGFCLFGYDQGVMSGVVVSQYWLKTMGNPSTIMVSTITAVYDIGAVVGAIAAAFTSEPLGRKRTLIFGTIVLLVGTILMGTCAERIQMMIARVVTGIGIGYITSVTPVYQSEITLPEHRGWLTPRWLIRHDPTPTRGVTVLAKLRDLPEEHPIVQREAQDIINAIELESKEEGSWGDLFRSNDIMAHKRFYLALGIQFMQQMTGICIVTYYTPTLFQVSLHMSQQMSLFLGCWVQTWYFIASLVTADGVVRRLRIEKWAQAEVAAREQGSVKGVAERSETTSLEKEKASEERVESAVRH*
>EED82958
MVSNYCVVIPSVSKGLYDKARTVPGLGRSRFLRLHTKTPPVLRLHAKIGGVRFDLTLRGKPDRNSLPAVSRLALTVVTALSLIAVDIIWDGRRLSITVDVVLLTVTAFTLTMSASSLTVKKYYDETKDHMSK*
>EED82959
MDVGQLLAESEAPLWRGMDERREERA*
>EED82960
MSTTRNERPILPLPRRPPVPQERAQQERASHDIADVWLVENAAILGNILAASPSNIPAMLNARPLVPPPLSSSGLPSPSTPLLPVTTPDSCDIENLKIPGLLYPQDSTDADVRAVSPLKTNGLRAEPVQDEDEDMPSLVDWEADAGDKTSDVEILDNDMSASDTDEECKPAHVAIERATPEPTTLDHLEVLDFVLDNIVPQDFYDAREARRGIARETKYVLQRAHHDGIREARQHEDECEGGIVDRAQKRKGFEDLLSPRKGNPFLWPNERVSLAYAREFFEEHGTWNPTNQDYDMRDMVSALRENSINGARWVNSGSRDTSPRHTDPFQPKQAMASLPHTRARSGTDLVASITDFMSLHTLYYTAMFVYDHLRKTLDENPSPWCDWLLNTFAKDFLTMQAKRRTTSALYWSPSGPSEMQRVNTLRPSMSDFEDFGYLEKAANLAMESTHMCCLIELENGETSEDVNAPLPWLYSSELEEDDEEIYAFTDFNIDVSNQFLVYFLKMHEWNVDSQKTHCYHYQNCHLTRPTLYPERDLPETV*
>EED82961
MEMPKAKGFKYIIAARDDLSRASEGRALHKKTAKLVAAFFFEQILCRYGHFILRESIIKACQGKWEKWPNQVHHAFFTDRITVSHATGYSPYYLLYGVHPVLPFDLLETSFMIENFRAGMSTSDLLALRIRQLQKKPEDITRAAEVLKRSRLQSKQVFERRYAKRLQKEVYNPGDLVLVRNSPIEKSADRKHQPRYLGPYQILRRTKGGSYALAELDG
>EED82962
MDEGPVLQWLKYSLIETYTGIMAMMCHHVNYVGSSGFVSDLLALSTLCVTYLAQAAFVSIRVYAIDGRRWTKAVIVMMLGLVPVALNIYGSSQTMMTYTAQFCILEYSLSTSKTNISNLLVVVSTWQATRANQGVTALHSRGSLTAVLFRDGTIHFVLVVGLNATDIVVALLLGESFDISTPVELISTVVLCRFFLNLRQFSSMEVNDSTILSRGSSFSSFASRIIGNLGEAIEDDTQAPDDDDFRIELGELNDAGDIDGTVFLDDSANAPSDAHKSQTLTATTAVFEQETDGGGAAERESPESPDECLDQRMMDIV*
>EED82963
MSTGLPHLANRLRPLMKAIHHISSSYCSVFVSSEPPAVRIIQSNMLQPESSAHYVSWLDFHRSGTPHFVISSASIDFWRLRSYLLSEFQSTTNITPEQMGAEPLQKHRYGPNSGYDTQRVIHDRCRHRDRDPETFTHLTASAPAEALPDCVKRARHCTSSRRSDAAPSFRAKILRIRAYSGSLYRKFLEQYHIRDTTFDTHIYVSCGPPTVHLVLIAMSESSMDEEQFVQLFQYGLTNNYITVMAMSLFVYDFIISFDLEWRAVWSHKVTRATALYLALRYVTLANIITTIILFTISSCEGYFISSLWQVGTSCGIYLAEAAFASIRVYAIDGRQWTKAIIVMMLGLFPVAINIYGASKTFMYSTAGICSIQYTFSTSKSNTLLLVTRACILISNLLVVVSTWQAAHSNQTVGAWNSRGSLMAVLSRDGTIHFTLVVALNAADIAMSISSSNMGNIAVPVEYISAVVLCRFFLNLRSFSGSPEQNDSTVSSNGSSFSGFSSTIIGNLGEMFEEDPQAFDDEFDHELDERPGAGKSDSINCPPNSAKAPTTSRMATTASCRLDTHENPAADCEPLAAPDPSSNYYSMSIVAFRPYHVERPTVDGFCHQSKHGFNLAMLELTIDCTQRLNVIAARTYLAGKEKEKTLPLTLGGSHNIFLAKQSTSEEEIIGMTSRRMLVEIRARQHEKSKSASESEEMGEQCKTTQSSIRQLPVTEDTTPKERSVREVAALSSRQWALIFDMFFSRQTRTRTGRLQQEAGSGTAP*
>EED82964
MSVSTLDEVQFAQFERYIEFYFPSLTQTPGLFVYDSIICFNLEWRAVWSRKITGATTLYLALRYVTLLNVIMVVIYYTVPSFKGLFIPEFVQTGTLCGTYLSQAAFASIRVYVLDGRRWMKAVIVIMLGLVPVALNIYGGSKIVVTYTAEVYTIKYPFSTFEYNISWQAVWSSRTVTPLNSRGSLTSVLFRDGAHTVVWRHVLRIQTHRISTMVLCRFFLNLRHFSNPDVNGSTISSRGSSFSSFASRIIGNLGEMLEDEPEAPEDDLEGELDEPNNAIDVDRAVDLEDSADAPSDARAPTAAVHKREAYERNAADYQATATCAGFKWRTRDTCIINHQENGLMLPSIYLLLVYGTVFRILVLVVIYTSPRIHLLVKLPLLRHGQIPSLRAFVISCHCQWGSQLTECTQEYLKMPVSPARLSFGNCKLAADLLQLPFKSRRARDKLGLAWRYILERDSSFFFGFETTFVFPRAQPPKADSDENKCTVSENITFLQHLRLTFQKL*
>EED82965
MAVWKREEGRGSERTRTYSSVVESESWAGLSDLTGSKRLESPREAAIGRRARIGRGDETSNLILSDAREADRLLSPARGPKSNHKMLRAGHYGTMRVERNRHETKKGKMRKANAAENMKA*
>EED82966
MTQRTSSTSTSPTVPLLLLLPASSSCVPTEHLDRSNRITSVTRPSEGLNICSVLIALSCHDPHLDIPALSPPAPAYPNRSPVQVKHEETPISLQTLHQSQSLLRVKKGSQSLSLQFSVRPHCRLHSPSRQQSLTYVTPPSGFLQRLHCHRRREAARALAPLKVRPMDSHNHCLRPRAVLCLPLPIMTTPAPIDKEVLKLLLPLRYNGKIVIECNQFLSQLQIYWQVNTALTTIELKVHVALSLLDGDARTWATPIFTQLVAVQIGTRGATTPFANEAALAATFKARFSNLDNAAAAQVELAKLCADKSMCERHTAAEFSALFKGPVDQSKYGDLELHDKYLSGIPSHIY*
>EED82967
MSPGSCGLISNRLVSQNHRSECNIRGPSDYGLWKLHIIYMDLYGSIVQTFAAIRVYAINGRRWEMAAVVMVLGLVPVVQNMHIASSMFVVYTPDSGCTMSSRVSITTIIDLQACNLLSNLLVVVTTWYATRSREPIASSNGTGSLMAILRRNGYFASRVIGNMGEMLENDTLAFEGDLDHQETDLNGTQNTECSNHRYIGEEPSPGADERGLVALAGETSNEHGAYDNVVDEEEIPVISNSTSN*
>EED82968
MAVSMPLTHRTSSMSTLQKVPLLSSLPASSSCAPTALPDPLRRITNNTKLSEGPNTRLALAAPSHPDQPLDIPVLSPLALAYPGRLPPVPSLSSLPRGCSRTRSPRSLPSRQPQPPPPPLGCPPSPPLAVVSSSMTLDKETLKHLLPLQYDRKTVIKCNCFLSQLLIYWHVNTALSTIELKVQVTLSLLDGDAHTWATPIFAQLASVSVGVQGAVTLFTNVKVFLTAFKGRFDNLNDTTSVQVELTKVCADKSMCEKCTATEFSTLFKGPVDHSGYSDPALHDKYLSGIPSCVYRKIELETFATWTAADKHTMEVEQQLDISWAHWPELNSFFSAQEGEHSGACGGAPRSQGTSASINAVVGKGDFPAVVSAAGSKGTIILSAPTLVCAQHADVALGAVDNNMSVQAYSTEVMGLSL*
>EED82969
MLSVLPLVPQSIGAHPTLELKTSDGVDAGQQEEEVVENTTSRRFKQKHPYLTAQPCDADGKLLPPNTAPLPWSLRPADDWTPYTSRVAFELAEFLFRHVQMSKSDINTLMDLWAASMLPYGSQPPFDSVENMYSTIDATELGDAPWESFVGEYTGPQPDDDVPSWMSTKYHIWKRDVRTVLHHLLGNPTFDGEIDLVPYRDFDADGEHQFVNVMSADWAYRQADKIAEDPTTHGAMFAPILLGSDKTTVSVATGQNEYYPLYLSLGNITNRTRRAHRNAVIIIAFLAIPKCDRHHNKDSIYRRFRRQLYHASLATILAPIRPAMTTPDIVRCPDAHFRRVIWGLGPYMANYPEQALASCTVQGWCCTCPGDRKDLDNGLNEGRRSREQMEMLIAALEPTTLWNDYGIISDVIPFTNDFPRADIHEMLSGDLLHQVIKGAFKDNLITWIGEFLVITHGDARASEILDEIDSVMKLSLPFAFRLAVIPPFSGLRHFHQGRNFKQWTGDDSKGLMKASIYLPAISGLVPPQIVRATSSLLEFTYLVWRSVHTETTISNVTSALQTYHRERKIFRDAGVRPNGYSTPRQHSLGHFPQHIHNYGAPNGLCTSITESKHIKAVKEPWRRSNRFNVLGQMLLTNQRLDKLAAARTDFTAHGMLNATCLSAAIDSQANDNGVHNNDVLPFPHDLPAEPEQAVDNDDDEDTIDGPSVDAFTALACRPARGYCRHLAALGEQLQIPELVDLVASFLLQQLNDNTAPMQDASQYRSPRLRTSVFHSAVATFYAPSDLSGRGGMKLLVNKDPTLDGFRGMYAARIRLLFSFWYSVEWFLPLGDEPDEDTGMWIVEPELDEDSRQPSEVIHLDSVARAVHLIPVFGGDFIPVDLWAYDSLDTFQAYYVNRFADYHSHEVLAVLPSRVAPHCIGAALFLICPDAHAISIVSIITRYGSILCSSERALRTVLCYRSTLVPPPLLLHF*
>EED82970
MYTTTHGTFLQFKAFAVIGILHHRRMRVDWLLSLARWDVANRRQRCRTFPVRQYPRTPLMRPKSPNYGSNKYALAMCIAMFVTATGEILIDLVNDLLVPRLVSDSYSVSGTLFACEGTADSERTRQSNIYQRVDNVSFIFFITKLWLGDSILVYRCYMIWAKQRWVAMVPAFLLFVTIVFGYAQVGMSYQYYYAQLRSQATPSPASTADVISLGLWLYRLNTVSTATSVALNIIVTCLIVYRIWRDTFKFANSFGLRTSKKYSTVISMVVESGAIYTAALLAELISNNFKGDPAYVANDISDAFTAMLVVIAPSLIIIRVGLNQGYVESSGHSTTLATDPSGGSRPAHSLVFAPRNLGKSTGNETSLSATDSLAMLDFNVQASPAMEERS*
>EED82971
MSGSSSAQLQLLEYSNISDYIETAATCLLLYDCLLTFEQEHVAVWMRRLSGANIICIFLWFITILYVLAVIVDSLVPTCEGFYVVALVCFSAECCMYLSQAMFGAICVYALEGCQFVTAPIVLLLGLVPFATNVHATHCRIPLLIHSTNAKYGMYTTRGLSFDLLSLGQLQIKRKETPISLKQLQQSQSLQCPLKPHSPIPPWGHRWKLSRSPSLATIQGLVGPARRLQSPPRLQSLTYVTPPPGFLQHLHRHHCSPPNKEVMKHLILLCYNGKTVIKCNCFISQLLIYWQINTALTTIELKVQIVLSLLDGDAHTWATPIFAQLVAVQVRVQGAVTPFADVRTFITAFKNCFGNLNDTAAAQVELSKFCTNKSMREKRMTMEFSALFKGPADCSGYGNLELHDKYLSGIPSHVYWKIELEMFVTWTDTDKHCHGPKVFGT*
>EED82972
MRAKATLASTPSCAAELVVLAPAAPALEAELDLETDVCGEVDEGIEEESEVEEDVMDDDEEGKDVVAKLRDVDGDATAQNWFASDSAEERLAGQLFAMQDSSEFANAVELWSETRLVSGRLERYGRKHTDFATEQKQATSTTLSQPACATASSRQSSTDMKHSSAHSQSSLLKLGYDAVIVDEPALPDPLTVCVMTTLELILMLPDAEDDAADAKDTVDGTWDDVCADTWDTTSRSSEKGRMGSMLGQRLRVDGEKMVVVERKTANDAQRVKNGHFEMATALPPDNGECGLVHFPLVIRTGSGSMY*
>EED82973
MTSTASYTTASPPFNKPNADVILQSSSNVRFHVHTVVLSLASEFFESMFTLPQPTQKDVDTERGIPVIPVTEDADTLDHLLRFCYPVDNPIIPDVASAAAVAKAAMKYQMESAITLMTHLLQDFVKRHPLHVYVVACSIASDQLALEAALEWSRTSNIDSYISEMDHLSAAQYFQLLKSRHSGALASPGISFEEEPISDSRSSWDTRRTTAVAPFNESAIGTDLILRSEDGVHFYVSRALLLLACTRNPSILDSSRTFMGGKPVVQLPEHSSILLKLLKLTYPLSLPALGNLEETTAIIDAAEKYGLTRASEIAKDHWRDYIESSPFQCYFIATARGWIKSAEMAMKHAAVVCQSDRYEDYMEGSSAEAYHQFLQYRQRCRQAICDVMIQYDSASARVGSSTALYWSTTVATKKGRLTPVDHWTIDGMHLLIHPKVNANHRWRWHEKLHSILAKQPQYDSSMPGKLVTQVRNIEDKIRSAFDKVS*
>EED82974
MEQEKMHCTTGQASRPGACNGHGCLFNSAEIKDESRYSPLKMSPGRKVLNLVHGTVKHQLWCKLLALLHVLKCAPVLLQSHAREIAELLAALQLERCLMSIVIVWKQRMLVWVDGRIVHWEHTVDMESVLLHDVLVLFSLLVSLVLLSVRIVDIEGM*
>EED82975
MAADIRRGVVVTLQALYTMFLFTKSDIKTTVIPLTCLAVATAPRPVPPNIPKAAFWIWIHLLQFTTANQLVSPEEDRCNKSDRPIPSKRISLESTFLLRWILVPFCWAWSCWYSVETLYASIAMIALTIMYNELCLCSGYWMVRYAMNAAGFVALEVGGTFVASIDRHHLDRTAVLSVCLSGAIYATTTHTQDFKDVVGDRLVGRRTLPLLYPVLSRYSVLLGLCSWTLVLAHVWDLDYATAVSLMALALYISYRFLALSNVASDQVSFYWYNRFRCPDAPVLFLRDILSRPPHMSGAHSCPRIQEQLTPFIKCTPHPVLHVPSRSGDSLGKRQWANTRES*
>EED82976
MADW*
>EED82977
MTNRETLNSPKHITSKDPLAHPEIDPHVFEEQYDLQTLVELVKFCRTLAMTEPLKDILGDEIWPAANTETDEQIEDQLRNVVSTSYHTVGSCSMLPLEDGGVVDSRLKVYHTTGVRVVDLSIVPLHIGSHTQAMAYAIGEQGPVKLLNQSGLHTMETFLSLHPTNIISVVAYAHNDISEGELYDQISTFVLTGQEATTTTLGFTIWQLAHHPEVQERLYEEIEAYPHEPPHDDFVSRLPYLDAILRETRLSFKTGVLVVPIIAIQRQDAIWKDADSFMPERWLGSLPPQEQLHAGWSHMSAFSEGPRNCIGAKFAIFQYKVILSGLISRFRFEPVSGELTSKIASSLQPWIVGMEDKGPQIPVVAHLR*
>EED82978
MAGASEGQPPLPPRGILRQPPSLSSASSSRPGDAVVPPSPTTRSNTPRHSETMGTTKRASLPMLSAGNSRFDKRFSLPATQLSAVGDTHSAHLEDDRDDHMSAPLTPLLHPKEKEKEAEVRQQRLERIARGEVEHRRDEDAKAKVRPRVFGAPLLDALDRSSTPIVIGGQQHEIPTVVHACIEELYRTGIYQNDLFRALPDRARLVELVRTFDDMPLGSAASPASVLRNASMADVCALLSSFVNSLPNALLDRTIHNALWTWCVRPAVARDDAKREQEMKAEMERYAKAPPKMWRKRSQSEPKTPPSASATPQPHKTPDELRREMIEKERPQVAIARLVLQLIPVENLSLLAYLCAFFTQIPLCPDNGLTFEDIARIFGNRLLGGPSKNAARVLMVWLLNRWSRISAGLFDIEDGVSTKAQKNRETSKPMYSARRPPTPAELDPPKDEDCVAPDEDALYGGYMRDFHLESPSQSRMGRPYSSSVSSQGSSSTYASTAQSELADAYPFGGCLPSDSGMAFPRRTEHGDRPRASSIPQDRLLRVIEEHEDHQGGRPRGFLIPRTPFTDEQEFLQKNDFFRADPMHRTKTSDSVYSSEETDEGGYFGDLETSILCRKPSLSMSRPEFQNDVDPSAGYAKPRALTPSLPLVKDDLTSALERISELERELRVRSQDIEDYALEPPHSNADTDSSPVGPPVTSERPRTSQGDAGTPDIEQDVVSVKRELNVALSERDDARRLVHELRKFLDDVLQK*
>EED82979
MSLSWKPRC*
>EED82980
MGTTKRASLPMLSAGNSRFDKRFSLPATQLSAVGDTHSAHLEDDRDDHMSAPLTPLLHPKVRSVRIADNESDRLARACGQLPASLTSTRPRSTSCTVPSSAQRDGGDELSNRGREKQRRASTGLARPRSRSLSRCPLDRFEKPPPPPYQPPEPFEVLRELNVLLQRENQRLEDYIEVEDFLQEKEKEAEVRQQRLERIARGEVEHRRDEDAKAKVRPRVFGAPLLDALDRSSTPIVIGGQQHEIPTVVHACIEELYRTGIYQNDLFRALPDRARLVELVRTFDDMPLGSAASPASVLRNASMADVCALLSSFVNSLPNALLDRTIHNALWTWCVRPAVARDDAKREQEMKAEMERYAKAPPKMWRKRSQSEPKTPPSASATPQPHKTPDELRREMIEKERPQVAIARLVLQLIPVENLSLLAYLCAFFTQIPLRPPTPAELDPPKDEDCVAPDEDALYGGYMRDFHLESPSQSRMGRPYSSSVSSQGSSSTYASTAQSELADAYPFGGCLPSDSGMAFPRRTEHGDRPRASSIPQDRLLRVIEEHEDHQGGRPRGFLIPRTPFTDEQEFLQKNDFFRADPMHRTKTSDSVYSSEETDEGGYFGDLETSILCRKPSLSMSRPEFQNDVDPSAGYAKPRALTPSLPLVKDDLTSALERISELERELRVRSQDIEDYALEPPHSNADTDSSPVVILAHKSAHSLLFFTTMLSNVNIFGLVALVLVAGSNADTSETTTLTRTRYAYTTTTETVPTTMTSTTTSYSTTVTEFVTDTETDTVFTTTGTSTTSSPTGYAPYAPYSPYQ*
>EED82981
MPHTFRSIALAAFFLAGLTHAVVTVTVTRTLIEQTYTTTTSTVERTALTPTTTVATLTDATTTTATEFDATTTVTIPTYAVVTAAQSRRRAYGEGQGEGQGIGAGVDFGAGQGEGQGLGQVGEGEGEEGYDSTHTPDVTGADGTPDTAQPYPGYAGYPKFKRE*
>EED82982
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTCFEQLASKAQLKDAEVNGVNRTKNDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKVAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED82983
MSSTLPFLDQFNAPSTKGRKRTSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPETTNATDRAALEAYLSARREYDEAVKVADKAIDHHKRLLCQQDDRVLTEAHPARQSERCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVDTTYETLTPNLGAT*
>EED82984
MPPVYAPSGMYSKVLKERTQDRCDSGVLLYAKGTRHAPLPPGPSGIPFFGNLFQVDAMRPYPQIVRKNVHGLLGPGSSKQMRKMQDLESRVILHDLLCHGETSISEDFVEGPHGEVPERHWFSIIRRYTTSLMMTLMYGRRVDRIVDNPELYVGIKPTTYCQNFTHVAQPGRYLRTTLLNGSGLNGFVQSYLRAHAEAGLEDLPGKGVTDDGAGWMRDKLVTYTAVSLIEAGSDTTSTAIFSFVLLMLSNPDALRHAKEEMDAVVGSSRMPDWEDEDRLPWLKACVKETLRRAPPLPLGVPHKTEEDDIYNGHLIPKGSIVIGNIWAIHMDPVRYPNPTSFKPERFYHPDEKLDWGSGPDTNNHDQRFCSGKHIAEASLFIVLSRLIWGFDLYAASDAKTGKVRLPDVDDGATFTDGLVAAPKIYPVGFKPRSEKHAEIIKASYRDFQNDWQSMGLAGDERQFPELWKFIITERQGRREETSHPVRVQRRDDG*
>EED82985
MYRIGRLAQTICLKTKEFHKFTFTAYSITVHQESAIKPPIALTNGLRVLIRNYPLKSLLYKSQVSDGQSAHCLILRVLDYMPPAITDMTTLALARRLTSVHPLRPTEVIGKQAIPPSAPLQWTGQVINISRRQ*
>EED82986
MATERALAIPEIVSHIFSQFTFRPPNEARWRHNRTLARSARVSRSFMDPALDALWNHLDWLHPLLRLLSACKPVLFTDEARRGPMPFVHPMLEPKHVWIFDGNICAPEWEKFETYARRVRSVSLKLDGSVGPSVFAALARQNGGRPLFPLLRKLSWMQWMPAFDGTHHMLASPHLRELHIDILIYPKRETQQEFLDIQLKRLFEEFSRISPNIKTVYLNGGFRRCPSIGGFKYLRCLQVSAETSCIVNDASLKSLSGLEDLAELSLSTATTLRIPPSSQGFVALTSLCLVFCNSSAMLFLFTTTFPRLRSIAISYRPRSFMKLDGCRKFIEDIARACARLPSLRKLCIEFDFDDGFGRAPPFLNIVRPLLSLTALEDVEIKCEHCSMHVKNGDLREVAQAWPRLTRFSLAISALDYPLLPTVDCLVAFARACPGLKQLVLPSMAHSLPRARDMMMVPAISAHGLQSLEFMTKPTVLVDLDVDFAHCLYRLFPKVSLSCSAVDFEREYFENGHMDPTVLKWDALVNRVAILQSTRRCEIQQGTNDPYDNPEYGRLQTGCIGDVEQQR*
>EED82987
MATERALAIPEIVSHIFFQFTIHPPHEARWQHNRTLARSARVSKSFMDPALDALWNHLDWLHPLLRLLSACKPVLFTDEARRGPIHFVKPISGSEPKHVWIFDGNICAPEWEKFETYARRVRSMSLELDGSVGPSVFAALARQNGGRPLFPLLRKLSWMQWVPAFDGTHQMLASPHLRELHIDILVYPERKTHQEFLAGILERLLKEMSRISPDIKTIYLNGGFTRCPSVSGFKRLRCLQVSPETSCRIDGAFMRSLSDLEDLMELSVPSAPQIKIPPSSQGFDSLTSLCLMSLDDSAMPFLSATTFPRLRSIAIKYHPKRPVKLDGCQNFIEDVTRACAKLPSLRKLCIEFDFDDGCGRAPPFLNFVRPLLSLTALEDVEIKCAYCTMHVKNGDLREVAQAWPRLTRFSLAMSKRLDYPLLPTVDCLVAFARACPGLKQLVLPSMAHSLPRARDMMMVPAISAHGLQSLEFMTKPKVLADLDVDFAHCLYRLFPKVSLSCSAVEFRRVAYEDGHMAPSVLKWDALVNEVARLQSTSQRDTQQGTKDPDDNPEYGCLQTGVIGDVEHQG*
>EED82988
MAVCTTYDVYLERIVQEDGFKKVYDVMAIKLERLKDEMEALCASAGLPHGQEPAVDALCASNVSKHRSEDTGHSAQEGRTTLRACADNSGSATHAVQAEQDVSDTRTAVDKHTSAARVSARDPGKQTMSKPRNISRALLTGAGQLAFGDFVSNSFKDKTYKGGTVLHGSVSPIPAFNLAIDGLEEIEEGEHHGTGPLPGQKRAHIGSESDTDEDESEESVSSMDEDDEDEDGDVTAVRSVYSCGKAKPSRGKKKDDSNRKKKRSKGDPNGKKCTSSSRYKRPSRPKKPLLGLVHAQNAGNFRANTAKCMSLVSAIIRRTRTVVRTVDSKDFDNETRKPALGNLAMHTNQIHADKIAEGLMASGEAGQGDGAGAGSVAGPSHRFTLASARIMDKFLKEGKLHPKTEPTRKGFLTLFAACIIEEDLPWTTGEAPGLHRLFEYLNAKFVLPSDTTVHNQLGRLFADMHGEVVKELTIGLAFEAVKSKIAYSTDTWSTPQMVFSFAGTISNFIDDNWALIEHLIDMHPLGDDETFIFPFYFLYY*
>EED82989
MRKDLGKTWVDAYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYITRFEQLTSKAQLKDTEVNGTNRIENDYHTLHANFVKGLPKELYFALTTRVARDRPNTMKAWGLSSSQTPGTMVNQWISTPLPSHQPSPPHREEGNGN*
>EED82990
MDNVLSNDVLARTLEGLLMQRYGIHFSPENGQIRCLAHVVNLVVQKVLSSVLDADDPDINDYYLANKFLPFHYDLGSDEDLQVLESEETVKADFGEAKEHPNEDAGDVIPSGLTPVQKLRMTVWKIVSSPQQRSSFRKHAHHFYTGKTSPNGGDLSTLMPVRDVVTRWNCTHAMIEWALLLHNAINAWVADRDDLQALMLSPDEWSLLQQLSSLLGEFVLVTRTMSLAHTPTLSWVLPMYEHMKKALVRTIGDTTLASIRSAAHAGLIKLSEYCVKALACQYNRIAPRSAPVRSELDRWKDGEGGKGDAYYPLVWWKFNAPSTEGGKRILIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSACHEYDEAVKAADEAINHHKRLLCQQDDRVLTELIRLNNLKVAHRFQPLLPRSIRAQHNKFIPRAIPTRTYPYPHPYRHLPSGTPRSRPLFSKQRRKALPSWLTGNPTLVGPQREAVDDPDRLDTGYGTVRTHDVQDAEKKPQDTWSESAEPGR*
>EED82991
MDSSMPLLPPLGIIILTREGLTSLLPSILSVLVCLIATVIALSFLLPYFNDPYKLRAYPGPFLAKFTSTWASWVISHNQWLETVDLLHHQYSPIVCLGPDSVSIADPVAFAVIHQKNMKNACWHFIRVLEDTARVHFQILVHQWDTMCAHAQKAGRGSAKGAIGDLTFGHPFGMLEMGKDTAQIVKSNARGMKAIAQATSNLEKTKLDLVDIPAIEVLAACLAVTAVANKFASKTDHADMHSKLLEGRDVNGNPYDPEELSAEVWLLIIAGGDMTVNTSCATTYYLMCNLQIQAKLQAELNTALDGINSDVASYNAVKDLLYLGTIINEGLCLHATVGVGLPSVVPPGGLTVLGHHLKEGTVRSEAVWGKNACEFYPERWLEASVDTKKEMM*
>EED82992
MASDQSILRVALAPDAARVRAQHELHGDAACDTGVATVAIRVTVDVGALQPVEPEGAYVGRMTAPGYTGGDAGTCRHEFGLNGAMRTDTDQRISWHGQDDGRGTPHTRESINDVRGRLLQLGRLGEASSQRTSHSTSGPTGVRVLRAMMDTSDAGADGRSWRGRLRDVWLCSREGRVQRGIGNSLGRLGQLMVGMQHRHLTAESACAAALLSNEKSERGRSTRARILMYGQLHMERMESVTRYGTDWIIPPVRRKVCDQRSMMAQALRGPSTAIFANPCGLRLSGSGAVDRGADAIRAGDAAAVRRAANAGRGGPINTFQGREADAMVLSTVRANARGAIGFVDDGRRLNVAWTRVRRGSVVVGSXCGSAL*
>EED82993
MSRDLCARHWDDRAELRVSVAHRRRCRRASKAKSKRWETELKCRAGCDCRKEVNRGPEGLGAYHRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFKNEAAFAAAFKARFGNLNNEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVY*
>EED82994
MSARSATPASTPSLVNHRLTSLLVVLEALPTADAALDVVEEWAQDLSPLVLAYHKALGAIRDEETELCVAAAVKQLAERASESWVEWARGDWPELVTAIDAEVERRLEEQKRLAEEEARRVEEAAKRVKAAEERRLEDERRRKDEEDRRKQAEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRTRVDEEVFELSDDPSIKTPWPVKRPFAVTEADMAAAAIEKRQAGQKCDRCAGYRSALVDCVWVENAMTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPVPSVAESSGSKKRRVDEPPRPLLRWPLDGASRLGLEQDDLDALDLDDKSRGIIRVIREEHAHIARRRALLHDMDFDLQKMEKAALAKGGIGFVRGAVDDE*
>EED82995
MTSDDVDNVANSEDRAKDSEDKPYFKQAYSVNSSLHWFTHSGLTLEEEYVYSLKFSPPIAIHARLHTPTGDGTDIKIVEADADSSPPEDITRPLKRRRLADIRADELRAERVLPPDILSDATKADYDTSFCLEHIRIGDCTSAGDVKPDSDAVHPSLEHVLRPLKRKRLVDMSTDDACAKRAQTSDISSGAANIEDSASFDLGHIPIHDCTKIRVAKPDGVHPISPHSYLHAPLKAIARPPKRKRCADTTADEVCVKRRRLSDSPSNASKLTDGGPYGPHPRCMITGCVSAEVEACYILPPDMPQSLVYKYYVVAEDEHSPDLGTPQDYTIIPLATTAACSYRSLGWHELSANLHLMTTRVGREFMKRPLHYEHYLSKDALAHIPTITPVYPDMIEPVFSHITRDSPVEVIPRLPKRKRSPEIEIDEVSAKRMRTSGSARNTSMIEDSVPFGPHPRCMITGCVSAEVEACYILPPDMPQLLVNWKMDYITFNMRTNYNFLRCYAPGNIIFLRRDLRELWETNRLLIIPHPDHLQKLKHCNVYKYCIIAEEEHPPDSYATMNNIITPSVMTAPCSYRSLGWHELNAHLHLMIFRAGQKLSKRPLHYQHVLRDLLPYKEVNHAYSIIKWHGTWTAPLTCELVPDRRLWATGELSPCPKNYYRPPRTQYCSPLLDDDTDRFRRQFRPILSGIKRRRFGDTSGSGQDYIGDAEREVSIRQWCLECDQARDEWTMGPPAEPEDAEILAYRQEEAGDVQPVVQKPWSVESYFSSSRAIGCITESSSGGREHCVVFVRLHSVYRASSHITLLPIKTCVTSGNPGRPGMLEKNGLCCITIDSNSMMYNANFYDNIPVCASGRNSMLVTDRKVFQVQLLGIGFAYGNLPVFNQQEAAVDLNSGHCGPAFSTISGALYVSAYAAPADPKTDRCSWSDLQFVLITGVKTVLYKGNAPTASSLHECIAALSRSASHFKELTYLVPEWLTSNTSEATARSMCCNCRLDPTKEDLRDSH*
>EED82996
MTFYDLGGTPEYRCETDASKSVQTVWLAREGGGMEGPDFAQQSWEAVSAILLRFPGSPTFGTSLFRVQPVLRASLTSHGRTLTLHAIDIRAPGSPGRVQLWALDLQLPAFPERRKERNARTTSVGTNTLNHRESASMSHGQQILLMSVFDPSPHKE*
>EED82997
MCSLFPLVPDLSNPASQIYDRTLSLLAFLFQSLRSRQARTSLVAAMQRLAQLDPSISYLADLLEALNAYSTKRIDEPDFDRRLSAFASLNDTLHSSLSPRHWTPILRNMLNFIQDPTELTVRNNASLTMKRFIDRVAGSDDLEYEAVFVKLLYPGLKNGLRSKNEMVRAEIMAVISYAVSTCDRIKSLQEMRVLLAGGDDEANFFNNVLHVQLHRRTRAIRRLAERCDEGHLRSSTLAEVFVPLIGNFIVSSSSVDHLLVNEAINATGRMARQLNWGAYLALTQQYLRLSRNKDASEKIYVRVLVAILDNFHFPMEDVIEEPEAPQDADDVDVQEEQPIIEGEALIPSTPAVQVVSVQQTARIADACCEMAAELIKSLFARLADAQRNIIMSHVHLWAIQQAQPQLARVSLQVCGIIVDLMGKDVGPYVSSILEDLNGALEYSAQILESDAIEVEKDLAEQDVEWQISYHALLVFAKLLRVIPDLTVQQDKVDWPVVVEHLVYPHAWTRTASCRILGLLFAATPVAAPNPKLPDNSPFSRVGMEDVTKKLCLQLRSPNLDAALSLQIVKNLFYIGKCFCTLDAPLHKQELSGSEEEEADREDESEAEGDAEQYPLAWLLSKLSYQARHALVARRNKPSSPENWIHQPTSVLKWFAAMVSHMDASQTEHFLMHILSPVYRITEDDTIRDPQFGELKTLAVELQDLVQNKVGTTKFADVYNRIRQTVLGVRRERRNVRAVRIWLDSDNARAQATTNPEASMKRKLQHNAVKKESRKRKNQAFAESKGRIKRRRET*
>EED82998
MASHEDERPAKRFRHQSYKKSLQEVHLPSALSQSQFDRDIGDNDSHFHEALEHWKELNLSPAFITFANGAGGLSASMPLLVHNWESIVDLWLGALAEADDEALKALLDLFQKLAHDLRTTLAPKYPAVLRRLIQLLPRSLSAPTLTALLATFSSLFKYVLVPAVDEELLRQAWAIFRETLPKCDPEVQRATAEVWGATIRRLKTDAREQCVTLVAGSADGLPDACAWIFVFACKSVSQTLHTATASLVAPLVRYHLTCEGAEATHTLIRRVITALVHHCKGAEQFAPVADVLVQRFGECMQSLQDEEPLRRMLEVVTVACSIRQGSRMNPSHLFSLLSHFGSLPLNDLLHPAVLKFSTATLTAGDMALWMGPGRKVLERVWERPTLALELCAALSDLGWGGWKSLALPHVLKHTPALLQSHARETAELLAALQRERRLASVDIVWKQRVQAWVDSRFACWEHAADADAESVLLLRDVLALSPLLASLAPLCVRIVESALERADGREEYEETCANTAWVLGACLGCLADRPSAEWAEKVDVARWTQKVVQNWSWSSFVINGLVSLLRAGYDVLSDQRS*
>EED82999
MSSPVSPPDKETLKLLLPLRYDGKTVIECDWFLSQLRIYWLVNTALTTIELKVQVALSLLDGDARTWATPFFAQLVQVQLGVQGITTPFANEAAFAAMLKARFGNLNNEAAAQVELAKLCANKSVHEKCTAAEFSTLFKGLVDHSGYGDLELHNKCLSGIPSRIYHKIELKMFTMWEDADKRATSRRRTWWSAWWSTPITRSISQHQCCRRKRKLSRQLLRLWEARVPSF*
>EED83000
MPVRDNRVRGLRPPATRTPELSSSFLTARTLVIPIWGGESITNESKSLWLQEGAGSYNGFEQECRFFEYTSQDLWCVQDGLSLMKVDYGTARELPSWSIHARSSHHVGLGRATSFDTIRRCLPNMSRPDLYRSGNTTSPKLDNVRKGMDIKSVSLGTGLTGKNDHGTHWLIALTSAMIFDEYVSLLRALISKCKKAGTRAAKEGAAEAFTAHIPLPAANSASKTIHVVFNALSTVYRDMPEIAGWDENDYAYVGVLACALDSGEFSLESTAWSGEGVKSTKAQRFIAQVVAVHMQMEKQRLVSVEDESDEVVNMNNDHAVLTSVLKLDNPQNPLTVFV*
>EED83001
MLTNTLIRRSSAQVAQDEQQKAAARRQAQQTRQEAIKKIAHLQNQSQKKDTDEQTARTLPPQLAHKSVSDDLQPNQTVAVTAVGLLPAAKRKAEDDNRTTKPDTKRMKPVHPAGVISGWTARKSDTDGDENPINSYISDNEEEGQYIEESSDRVTSQGVVQIAQANADDAIRTEAAQTIPHVDKPTEKKARPTLEDLPAGCSQKRWKTVFVPTWLEFIGGLPNPYDLQDINIVNVLQALFDDVFVDVQYSISVHDAVYKLAMQKVYDWCSMINKGTISALIKYWEDEQLTDATARAEYVKKALTGPTPPFLYRRIKYDADGLLIHPIIAETLASHIQVTEEAINGCDKYCRGALVLALVAAERAHSLAKSGNIVKTNADRFNENAWAPRIVFYGKSIEELDNDAWDAILTKAEMVVRKRGLVFGVDPDSDSAILGNPAELASVLYSDVESDEDITA*
>EED83002
MAPSSQQSTKSTRAKGSTDIKVKKLKDASQKTQKKPPPTDAERLKRLFTSLCAQIDGAHFANAIKTCDKILRIAPRDPDALQTKMFLLLQTEQYMPALSLIDSLTLQGDVSSSNYEFEKAYSLYRVHREADATGVLEEIKNRDGNENRGVMHLEAQLAYREGGYQTAFDLYNTLLDTAEPHSDEHADILTNLSASQAHLDFLTSGFLSALSSLPPTLADTLQSAPPPAAAPASIFSTTVAPAHVLEKPIHQKKPRARRIPPGVTPGVTPPPDPERWLKKSERSSTMHGKRRARGGATQGAMELPTGIANAQGSGGGKAKKGRK*
>EED83003
MSDDDAAAVNGELSSAAVMDYYWIAVSVVNVEVPNQGLLLFDCLISLGQEVHVVWGRKLTGASILYLLLRYSAVLNAIFVIVALSNSACQAALCLVMTIAQTTLSAFRVYAIQGGRWEVALLVMTIGLVPVATNIWGLYEQKPTIVFGFCTTYWSAAIHIDTSNALVLLATWKATYGIKRLAERAKVKVSISTLLFRDERLGPGTIQFTILLALNALVMTLFLINNNHDITGSIDMFMSLLLCRFFLNLRQIHLSESSTGSMNLSGRSTPSFVSRVVGNLGAPLNDPFTPDADSTGTIEWARNESWDSTHETYLRLHVDQEVDQSDEMRLADESEHDGIEEVPRVARLPLQEGLGLPEQAIDYVELGV*
>EED83004
MSTWQATRNNRGLTALNSRGSLTSMLFRDGTVHFALVVGLNATNITSTLLTDVGAFDTAFYPDLAASVQNSLDFSGPVEYISTVVLCRFFLKLRQFSGTPDVNGSTLSSHKTSFSRFASRIIGNLGEMLEDDHQALEVNLDRELDELSDAEEFDSEGDLYGIPNNPSDTNVGRARLSTMVDEVLPKRYTHDRSTPGHDLSVTSSEDQGMVVIV*
>EED83005
MPDVLHAIPPTSQKGNKMTRAQGTIACRAHSSPDDLRRARATLPLSSCVSKVNMSHLPESEAQQRPIQDINATNLPAHSQDPGDVAQTLDNLVTHSQTHADVRHGIHAPMHRLLELPLVHKLIPGIEKLASEYHVGNFVIDRTNGERFFESMPIYTRLGMHLLFYGGEQRKVLHSKSVEAVLRDLSVRQGKIYDAHDSVKLAPADYHRFHSPIDGTVGEVRDFPGEYYTVNPQAVNEQGFDVFTANKRAVLSMTHTSGQPVAFVAIGAMLVGSIVWTAGGQPGAQVQRGDELGYFAYGGSTVVVLFPPGLVAFDEDLQKNSEVPVETLVKVGMSIGRAVV*
>EED83006
MTGFWLELNIRLGANAGAISTDIVVIAVDEGYTPSGTEEKHPLRFSADLESNNRYSAQSLIMGQITKPGYHADGIDSSANSLNSSRDLWTSKAPQGLVELKVKPITPFAPRYVTRTRHGASRIFSPVKHARGAADRLYGLTTLQTYQYFRTSSADPYLFKATEHSHAPRNSSRILDTVHEVLIVQSLWTYVIVDFGNTTAINTPTKPLLGFMEYILKRSCFPRLSLVRLTSMMFNWSLNITGERSRCDRGNLNSFAALRHLSIDLYVGIGSAAAADIVIAGSQVFLLLKHRTGFRRCGLQLSVRLHTLISRGSVVAVACFVTFAAMPNTFVYLALYNQLPKCTSCSSYQSGRYRSAYDFTEVLINAYLATLNAREDLRQISNGGSDMISIPLSGLNTTSDNIFTSRNQGRIRSETLAVSVETSKTVVGDV*
>EED83007
MVIENLTVPPFEPCCSAQEYLPPLFTHKTSKTFKATR*
>EED83008
MTLVYGRRIERIVDNPGLEMAFWGKLFSDSRTALLNGAGFNGFVQSYLRARAEAGLEDLPGKGATEDAAGWMRDKLVTYTAVSIIEAGSDTTSTAVFSFVLLMLSNPDALQRAKEEMDVVVGSSRMPEWEDEDRLPWLTACIKETLRRAPPFPLXEYDGS*
>EED83009
MSASIDEEKHSSQDLMVAADSGSAGEPIEAPKSGPPDNSDAQQQVMTIPDGGLQACLVVVGGACVQFCTFGYSSSFGVYEDYYVLHGGLTSSNASWIGSLQFFLLFSLGLPTGKLFDLGYFRHMQIAGILLYVFSLFMLSLADVTQYYQLILSQGIGMGLGGGLLLVPTISLQAHYWKKHRALVMGIILAASSCGGIVYPIMLNQLIHSSVGFPWAVRATAFLTLGMLCIAACLTKPRLPSAKHRPPTPMPGIKGIFQDPAYLMTLFGIFMVFWGLMFPCYFVASFALLTGSPIDGALLGPEHHWPKAIVFSGVVMFVGSAAFISARHLFARTRKLDLLGKL*
>EED83010
MSEGQHSSRNWHQGQNRERPAPASDRRPSKKQFRLRAPTPRAHVQIRVLSSCPTRPSLFCIHLVVLYEFDMVIYNYTWPTGASIWRYTRVIARLPVRESPGLHARTAPQESPPTQRLFLPTQRPLSCSASESWLTPGTTNSIQLVYLAVVLTASFPRANEDGGLMCPQQHISTEGRRHTASRLHRSGVDMSGLHQGAAILRLHMPIQASCAAAAHSTSAAPAPTPASATSTPTTVPRSRRTAAPALLLVDAPDDAAEVAEDAPDDAAEAAEDAPDAEAAEDAPDNAAEAADDALDNAAKVAEDTSAEAAEAVEDTLDDAAEAAEDAPDNVAGASEDAPDDAEAAEVALDDAAEVAENAPDNPAEAAENVPDDAVEAAGDVPDDAAETAEDTSDDALEAAEVAAEVAEAAPEEADAVHTSAAMHYERSDLWGIAARSEGRGAGGVEDGDKGVPAAHSADWRARAACCSAVVHLLARHSAAASWNAVDEHTQDMSVLAVAERGRGSSGERENALAGAAARGNGALEAGEDARVDAAGLGGGGAKEGGEDKRPDEHRVLFSRSGIIARPNGHVAGPTSTPTLLPAWGLGVPRAAKPPSRTLHIAYQAPKTSPTIAFFAPTSERPT*
>EED83011
MEVSVDPLAEPSWIAIRRENALSYTRPLAGSEMLMYTLHDRDDGMGDVCFGTTFSTNLEPDTLGQRVRSALCYLRFVAPLLGATIEKDGCDPVQYCWKYTPASSVQDVERWAVHSLEILHDKVDPDVFVTEIVSKRLPYIHSDGVQQHWRLYLLTNSRQGKMSVFIHGVHAIFDGNSNTNFLRILFEELTLCDIAHGPDRLSWGEEWRNLPPGPVTATGGRLLNWESEGTPMLQRWQTLRTNDIPSRQEVQNVGVIMRICDVLDAETSSRILKGLKTVGHTITRLLEAAFILAMFELNPVLSVPAKDAHVTLDLTFIALTKYLVPPYNRPSHITSTSVVVPLMVPFSTFDTQDGPRAKLCCIMDRLQEEYSHYLSNPHLPHLTANIFASKPLRVSPSETWKNPAALMITNVGLIDGRLPLRYPCNDSDAESHIDIEDVAFSNRWSHSARPLVHVWTMRGKVHFQVIGTDVWDETYMREYLRRAVDLVYTFANNR*
>EED83012
MTEQITALSPPQKGLPSTLKAAPGVVQPVQTRRSLPIKNLLTTTRDTHLLTQKTYSPSYESTSNPYKQQKAHSHPPANNHLNSLKFTLMRKDLGKTWVDAYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYITRFEQLTSKAQLKDTEVNGTNRIENDYHTLHANFVKGLPKELYFALTTRVARDRPNTMKAWYDECHDLGSSDRGQTNAKAGGV*
>EED83013
MSALCMALQTNDIVLHIFQCLSPPQDDEPDRSNQRALARSARVCKSFSNAALNVLWEKMDSMVPSLCLFAAFKQVRGDMGGYNTMHIAHMLVEGEDIRAPSVWTLCGQPTPAEWSRFKQYATRVREITSPKDDCVDASSLMLLSQENNCEPLFPHLRAAIWYRSPSIEAGLLAVMSLSVRRLRLVYNDLICVPHTQWPITKRDYGLQAILDSVGAYLPSLETFEFEGLAHSFSLTSISNMKALRSVDLAQLTYASDGEGRLLEALSTMANLDSLHITSTLRADLVPKGSGFINLRSLTIHRSWENVVPLLLAVSSSPLEEFHLLRLKLPPPEAFYSIGHALRQLSSTLRVFDMQLTGTDAAWSDNDHASSTTAVIRPLLRLEFLESFSWDWQCTTHRPFATTDKDLYEIGIAWPNLHILSLLGPDHRDTFPTVRGLQSLLQSCPKLSQLFLPGMDPSLYPDFSDVSYDLQTLVVEQQRHTLGDTHRLAKELNRLLPKLDVVRSSRPFVDKMGDPILNHPWNGVMEMVASRKQPPGACSSGSS*
>EED83014
MSEHRADDNGAPSIRDQLARLISLTRQNVEQLCECRSLTESRWKKRRSWKVGGQTPISYAFGWXRRFCQGSYVAEASLFVAISRIVWGFNLNAPKNNVTGQPEIPNSMDEEASWMEGFISGPRKFNVTFEARSGKHAKIIQRAFDEAQMEWQAIGMKIDER*
>EED83015
MATVVSKGSWTSDEDDRLCSAVEELGPKWALVANRVRTRNSGQCAKRWNDALNPTIDRSGWSREEDELLLDAVEKYGHSWASIARTSLPGRTGLAAKNRYNHLMRGSCRDNGKRTRRVSTAYPAPRRRTSRSDSMSSFVSGTPSPTWSASQSSGSEPGTVPSTPKLVAEGDEIHPPLLCTGADDAMPIDFAPRLHTRLPSPLPLVPSVGSEKRLSDAYITSTPLHNFPEASSSALAFHDFSPDFNPYMYPSPSDVLGDAEQMDFFNMWSPGLSGPTTPAEAAFFDPAFSLSQHAFETHETLAASGELSPRSSHGDSISTFAQSLAAFAPQEHRLSSPLSQSNLDISNAVLDAHLTVGCIPAFPDKASVHLTQPIADSPERRVAVAVAICDQQDILSTVHSLSQSLSTMLGQGQGINADSFLLAEHERLSPIGFSKDQEISWTP*
>EED83016
MEKELDSAPYECQGKVGQITDNGTTVDGHVQYAYDRLVRAVFILGPSSSGKTTLCNALVEDLGLDRSRYVTEVARNVMKTHGFTRHDTDTFEMQHTIMMAQLRAEEAVLKRYSENIGFPLDILSDRSAIDPAVYAGTSTAAGAAERQERLLNDPAFRAVLPLYQKSTFVQEWFEDDGVRSLEDPRVYNTHLFAVMEELEIPFVCIGEERKDIRDRVDFVRELLGQQRA*
>EED83017
MPASYCDVARTSAHDTETPDMNITGVGVYGKLAATVVQMMSLLLPDCLTDEFLRRFDKTLHPFSTNSINSYDWGDDVLGQQVFAPNALKDEVAHLLLTKPHASVHRYIELAIVHCAEGHIFLLFNILLHREDIPLDNIDACMQQHPPLAYSVLKHCLPTGPARLPDAMAPLATFIIRNVICSATHFGITALAAVDWLANDLTRINLAAYLNLLWSVTLGVRAADLVQELLLVLHEQRSAGESADADTARHYVYQTALGIAFDCAEETADMCPCDKLGHPQRQRTTPTFARLIPSEAKENTGKTKEDAGNAKGKAREDTGKAKEETGTAKEDADAPAPMQAAPLMHVTAHVSVDGPMLIWIHLHVRLRVVLPEERMSPTARVCAGVLDTVVLRTGMGKLYFELQHPLPDVLEGGGICRGLVVEQAERDDRGVGGDHVEPAVRGTSARARSARGVRRGHLNGATFSTPSAETLETNAIGRGTTPPTISWYCAQPLVSSGRCKHTAGTHDAAAECVSGGHGQAQAGDVLGVCVVRMDHLPALLLPCEPRAALGRGRQRLSECPAGGRRLSVRRRGRVVKGREVFLGALDRHCRRWPGGHGWTAAAERDASLSRA*
>EED83018
MTGENHLQPLSRPWQLYKTHYRRLKGALRDVMTEDLREITGNQSIKMLWTAVDFHQQIELPYGVALVGWPTDIARTNLSKIGGCDILDNLLTKWQMGKMRFELLDLAKRPVRLELRRHTRSDAGHSHVFADKREPMKRKRRGNKVPKSALIIENSDIGSDADAAAGGNIGSRTESADEDESRICKRRRLDLGSVDGDQYGNSSAPETIEDFSSDAWSLAGSFPPLWEL*
>EED83019
MDPAAPAVTLASGEVVRGDLVVGADGIKSFVQTVVLGHAQPARATGDAVYRMLIPSEKLLADPELRPLVETPEMTIWMAPGRHAVGYNIRSKQLYNLVLAHPDDGSVESWTATGSADKMRAEFADFEPRVRTLLSFVESTLKWRLMDRLPLAAWTHPSWRVTLLGDACHPMLPYRAQGAAMALEDAALLGRLLAHLSARVQLPTLLRAYGALRGPRTAATQAAARANQGVFHMADGPAQAARDAEMRRAMEAVLRDDDDGMAGNPNQWADRAKTDAQFGYDAEAEAETWWRE
>EED83020
MVKSGNGTQHTLRFAGHPRPSMNSSYTLSTTSIAISSSSAIPVAATDEPGSPHHASPVVAFIIGLAIILLASILNAAGLNLTKLDHVRTSAIPKEQRRRDWLRPLWLLGMVLYITLALEYMRAEYVAPLGSTSLIFNFLFARFLVNSPVANYDIYGTIIVIIGVIGIVAFGSINSGLGVETDAAHLTYLWTRSNWLLFFFFMAFALINLYIFTALLEQVLNARSDMNAAPFAGMSTRKASETFPPGFKGFLRRIAAGMETATMWIREKLELWTAPQDDKRIAWTLGIGWACCGGGLAGGCLVFAKAAVKLISGSLSHENTGNQFGHASSIFTFILLAITAVSQIICLNRGLKVYDSTLVVPVFYGVYTAAGFLNSLIFNDEVDAYQPWALFLIFVAMIILICGVVLLTYKKPEKKPTTAGASRHVALTPRARRGAARKGSPDNSDEQEALRDIEEGEEDAEQLWQIGDTSDDEDGIDRPRSPRPVRHRSGSARSRGEGEQERMIGGEADDDEHRESTSSDATLARPDSAQGYADDEFGGWKQGKIQP*
>EED83021
MATTTIRRKPLHTYKSPSFGTSTAIMAPVVRASPKAAPVTVHTQSATFTNAAHPKIVYNEVVTRRKLPKGPPLPLYHPFGPLALSLPKLDPAEFGLPSPLTVDVSVEESQQAENGRRSSSRARRPAAKVRDAAEEEEQPATPVAVSAPVTATRPTSPRKRRAAGGSGGAKRKRKQDAEDADGVFPPPAKRTRLPRGAANAPAVGSPLAAEAVAAPVEEDEVMAPPAEEAKAEDQPEDRAEDDKPEEKAEEPTPPAPEPKRPARVRKPRASTGKRRNSSASASTNTSASVSIANTRQTRSRKRAASGGSNEEKKDGEGQSQSASPPDGKASSPPAETTEAVDAKEPESEKTLVAEDEKMDVAPLEPEPEREPALTPIPASEPISIPTSPPAEQEKEEKEEGELSDELPERRTPPAPRKR*
>EED83022
MSSPQVWFITGSSSGFGRAVAEHVLRKGDIAVATLRTPSALADLAARYPPSRLLVLPLDVTDAAQIQAAFARALEVFGRIDVVWNNAGYTMLGEAEGTPDEVARKMFEVNFWGAANVSREAVRVFRDVNSPRGGRLLQNSSVAGLQGYLLIAYYSATKFALEGLTESLVAEIPPEWNIKITLVEPGSFNTSALDNGVKLPQHPAYATPDSASTAFRKAVETFEMPGDPEKGAERIYELAALPNPPLHLVLGADAVLTARKKAADLAREVEEYASWSDGTATVH*
>EED83023
MSQRQVNYIVYIPTIVNIHAPHTEDILRARIRTIGVEEHRLVMESPPTEKGREWVFYDVGGDRSQRVNAVMFLCPISGFDQVLSEDKNVNRLAIQIDSLKLWRTICSSKLMQGATFVLLLNKCDILRAKLKAGVRFADHVEQYGDQPNEFESVTKYIAKVMVAIHKDLSPKKRKVHVHTTCAIDTDMMSVVLESIRDVILLNTLEETQLIV*
>EED83024
MESKTKVIIAGAGIAGPVLAIFLKLKGYEPVVYERTLSVPNAGLSLPNGLRVLSLIPGFVDKLVGKQISKVVHYSLLPEEETVLAESGLVSKLPETVGFGLFGIRRPKFHRQIIEEAEAHGIRIVWEHQAVGFEQSEDHVKVTFANGNTDTASFVIGCDGLHSNTRISLFGKEPVSFTGLTQTGGVSPAPDARRDYGMRNFYADGAHMILYPVNDSEISWAITQREPETKETWGHMDEEAQRAFKEGPWSQWSFGAGELVRSCDRIVKFGLYDRPELSVWHKGRVVLLGDAAHPTSPHLGQGANQAFEDVYHLVRLLKKHNPSASAPSTELLSTIFTEYEGLRMTRTSALVTGARKQGEARVVEGVQACKARNNSIREAWRDGDAITAGYMELYSHPFLEKSDI*
>EED83025
MNDITTKGKKTNRKAKGKTQAASMSVPQSATKEQILRSALNVAVTGGSFIDTRIFCFSRRTHDGIVDRVRPVYANSDTLKIASGFFLSSLGGGWKEQIDSQPSTDCYEYDSDSDLELEDCEDAVPNDDLGELAAPEEPFPEHINDAAESEPRDGVKTEDTSTLAPESSSILQVDRGTGSGRSRTQTLFIKNVAYATLRALTFYVMTGALEFSALSSEGKAPPVVGKGDLYDPPLCSPKSMYRFAEMCGITELQELALKDITAKLSSKNILAELFSTFTSWYPDVQKSELDFFSKKFRSSPEVLNGLSRWTNKMARGDLPHGGDILASLFQQMAVPVDLEPPKPKCPKGHIRTSKDVQVYCNSCGTYYYTLEAWGTSAQMETFREEEREGRMTVVLGGKVLVIDVELSVNRSDPDCPMVTVAGLKTSYAIPNTASGSTTQGSLSLDGFLADRLQAFLTEVQKEPEEQDCLRAERFGRLFSEDLIYLMQLDQLALNEGDGGLRWFSDIDALAQETEKFAIMEAEAIVGCISRAFTHVAPSLFNWRIHIVFDTCLAASLSSPTTNMPPPFTCVSLTTGDVDDGGHPYLGPRFHRRWATLWHNYEPVANAGDRACGQSSEWDVTYRQHANDGIRVPELARSIERYTTLYTSPTRAHPQLYLRLTAPDEPGFILEKVPVHTLKEIWGILEIVKEQCWLNEIISAYTWTPEGLNIGEGLGEDIDDPEATQDDLQAILKGMVEISVAFDPSRPRGVALDVSGAMGADLNSDVLEEYLWWPDTALAADFGGESFSRALARFRASSHPDIEKGLQELASVWELALGDLAKDHAKRLVDFKVMRA*
>EED83026
MPRLGFGVYQNYTTTESVREALRVGYRLAYRNEAHVGAAWKGSDLPREEVFLTTKCINKTHGYESTLKGVDVSLERFGVEYIDLFLIHDPMSGRDRRLQTYKALQDARRAGKIRSIGVSNYGVHHLEEIREAGYTMPSVNQIEECHLHPFCQQKAIVSYCSAHSIVVQAYCPILRGKMDHPVILELAERYHKEPAQILLRWSLQKGFVPLPKSATPERIRSNIQLYYFSLDNDAMTRLNALDKGKEGALSWNPVDHP*
>EED83027
MPAGEDRGRALRDHRARGKPSRPGPEKEGREIITNSDGKLPVDEGAVDLVNTVPLQIFVQCDIPTRLLPSHPLSMDDIQIVFEAPQAGQPKKKRARLVTSCDHCRLKKIKCVQSRNSSKCEACTTSRVPCRFRDREQYFAERGRLVSRNSPTSSDQDVSATEINAQIPLSVTNPQNTRLSHSPPLDTVDSSAALHRHSNSLAQSWSSTQQVYQQPSYVQAPIPPSPPQNSWHASYPVPQPSVSPASSLSDSFSYGATSERHTTTQSSILPLLDPQWPDRPNPTLMMHFIQTYFDRYGASFPCLAYDETIRQFLDQSLSPLIGASIAALAVWYSDLPEVLTRGAAEVSAVYCSLAERLVARGPQAYSVEAVHGLILLAWAEYKRAHMPDFCSHVKKAKYIAERVGLTNGSLIQSAVSEYERNILQSTWTILRQLISTVDGWAA*
>EED83028
MASDSDLVTQLLPLDELIQVVYQGSSRFVVLSSVESDWTVHVGLSGPDGRWWRGAWGSKHIRGAVGLKASSDAIEAYADRLADAFAKGEMCIGNWSSQKGAKVNLVLGTTTDAPAHVALAELPAEEAAAFATKVFTNIAIQAQSRGSRLHPSPFAAVGTIATLPSKPSGLNTRSRDPPSKPSTSEAERKAEAEITALQTELAKTKSAPSGAETPSSHTTGKRRADADADGATSRTAKTSRPLTKALSNDASKLRSIAKSGRGPVAAAARGASLANPTKKARKYQALEFGSDDDD*
>EED83029
MRTPRVSSSSPGHITRRISQRPHLSHLRREGMVASTPNAEEGRQTRRRVTKRAGACPTPRRIWCLLDTPMLRHRRSAAALPETGAQTRTVCGRTAHDARAASCMIYALGMRTANCRPAVAAGAGASWEQSPVSGTSEDGYVLTGYGSRRAAGGGLYAQDRSQQAYQPSIGIVLWASSHMATAHRWMQDTRARRTHKQMMLSRSGEMRGNNLRSGPSDMSGTSEHDGHETQHTRVAAPNITRIRARADRPRWAHVWLRSAVLTKTQEEIARCWSPALETQLVALVGGGRTRRGRPMGAPHTLPAQAAATATEGCSRACVAAKASMTVYLGAQNARLAMRGRRRGLWPGDDGWVVREPMGLPDAPLSRHPLPLPMYGCRARTGLAFTLVFAGVTELTGGRATCGWTRERQARGYAVGCVKDVLLQRRERRRRMERGATYQSAVSSACGPKRLAGIEQTQYASRRRERNVHENVVRGRFETARAQMRTMATHGVVHPCSILAPTRNAGLGRVVQTGNSAWFCDAPATWRDALRGICARKMASAGGRTMAGKERAMGEVAPDEIVWVALLPLDELIQVVYQGSSRFVVLSSVESDWTVHVGLSGPDGRWWRGAWGSKHIRGAVGLKASSDAIEAYADRLADAFAKGEMCIGNWSSQKGAKVNLVLGTTTDAPAHVALAELPAEEAAAFATKVFTNIAIQAQSRGSRLHPSPFAAVGTIATLPSKPSGLDTLSARHRPHAALQRTHDTRMFDRERPWPCRGGCQGCLAREPQEEGTQGHGTFRDIRARVCLQITGHRGDRNMISRGGDIARCTSRVELKRAVAIAARGAYDSAIPTVQAPRHATRFSGVNVAYFPSTLNQRSFASRCFALLHASPSRLSPLHTLQFLVPRPVATQAIYRRCLPSPASAHELHTLLQPQRSASARKAYLRLISACASHDSRFRETARLHIIPRGGSLIRMCGAPLIVPSTHGHSVRTHPSPPPAAYTALQNGPGARQARGHPECVGPLRDDSLDSGRLPPCAEIREARVSRQQHHVAGQPRRAARQPQTPARQNVLQNMLCMTGARSTKSALPKRAEITTQRRAKRGDMRREGMLASTPNAEEGQQTRRRVTKRAGACPTPRRIWCLLDTPMLRHRRSAAALPETGAQTRTVCGRTAHDARAASCMIYALGMRTANCRPAVAAGAGASWEQSLVSWTSEDGHVLTGYGSRRAAGGGLYAQDRSQQAYQPSIGIVLWASSHMATAHCWMQDTCARRTHKQMMLSRSGEMRGNNLRSGPSDMSGTSEHDGHETQHTRVAAPNITQIHARADRPRWAHVWLRSAVLTKTQEEIVRRNKDKQAVNDGE*
>EED83030
MRKTARCWSPALETQLVALVGRGRTRRGHPMGAPHTLPAQAMATATEGCSRSWVCRRGVCEAHVKSTDRKAIAHCLRPPKRTPQERCVWATQQPACVAAKASMTVYLGAQNARLAMRGRRRGLWPGDDGWVVSALTITRGWSRIERGSWTLCGQSGQRTQGLPGLGQQGHVTGRARWTFAGSAIDRCTRRGQCWSSAQRLDAGASGVSSSEEWSMARPTKVRYPARADQSGSLGWVAASLHRRATLDSVMSCRPGTAQDSLRGICARKMASAGGRTTAGKERAMGEVAPDEIVWVALLPLDELIQVVYQGSSRFVVLSSVESDWTVHVGLSGPDGRWWRGAWGSKHIRGAVGLKASSDAIEAYADRLADAFAKGEMCIGNWSSQKGAKVNLVLGTTTDAPAHVALAELPAEEAAAFATKVFTNIAIQAQSRGSRLHPSPFAAVSTIATLPSKPSGLDTRSRDPPSKPSTSEAERKAEAEITALQTELAKAKSAPSGAETPSSRTTGKRRADADADGATSRTAKTSRPLTKAPSNDASKLRSIAKSGRGPVAAAARGASLANPTKKARKYQALEFGSDDDD*
>EED83031
MASDSDLVTQLLPLDELIQVVYQGSSRFVVLSSVESDWTVHVGLSGPDGRWWRGAWGSKHIRGAVGLKASSDAIEAYADRLADAFAKGEMCIGNWSSQKGAKVNLVLGTNTDAPAHVVLVELPAEEAAAFATKVFTNGQPPAPVAVRSRSRDPPSKPSTSEAERKAEAEITALQTELAKAKSAPSGAGTSSSRTTGKRRADADADGATSRTAKTSRPLTKAPSNDASKLRSIAKSGRGPVAAAARGASLANPTKKARKYQALEFGSDDDD*
>EED83032
MPPEIVDEIIDHNHDDPTTLRACALTARSWQPRSHRNLFSTITVTSSASLSRFIRFLNGSPHIASLVEELCFDAYANYRDATLMPWIHELATIPMGDLTRIRSLRFSKVQWSCIEKNEALLSTLRSYSTVQEVHFCGCSFPTFIDFEEFVAALPALTRFSVDLITWSFTDKFHIDRMMADPPKLQLSGVEFTRMPVPPTLQTVAFHWVMAEEAQMVGKFLASLGPRLRSLRIGCRFDKDPAMAHRLIEYIDLSQNIEMHSLHLVIVDLQDYLMPWVPAILSQVKHVPLQRLTLEIWLHTGWQLRSSVWNEIVALLDNEWVKTMHEVVVMHRGDLPMDYTNACWAWRFPGLVERHCVHPMTALTRVPEFYVCKALAPSSDPSRKKRRDVRDAQYMSPRELRKPVLHRPRRDVHAEVAAVHNQHLPHARKARLVEQRGDRVPPRAGELPPVVQPHLERQPLQRGARRLAQERGHPRHQVVPQVRDDEVQRAQRRVMRQIDRRRLLELAAEPQVAQLRPERREGAAHRGRLERLHPVEGDRGQGRRGGQRRRSSALKPQETRSCERGRRGGERLEGAERREGERAHAGEAPERGGEGRAVEDLLERDALEAQGFQALARVRMSPNVPGEPVGALVEAELADEAGGLGDALNEAREDRRTRYDNGVEEVALAACATAGMRGSMHAVSLHRQEDSRL*
>EED83033
MPFYQMLCITAHYPEYKHIKELVQQTAMHVMNKGGVVRRLNSWGTLPLPQRMRRHQAYHQIGDYWTMHFDASPRTLKELDSLMKRDPRVVRWTMLKLGDKVEDVVTPPSKTTHRY*
>EED83034
MLDVLFVRCTDQEQDHRTHRLGKVFTGLAIDPAQDLLVLWEAHHLDTQEEGLPMTCLSLCHGTPHEQTSLHSVTIPLHDEFDEDAVHILGDWITVSSINTKQATIEWKSDTVNSVSDQRGCMISANCFLVPRLRETGTLSLDAYAYVKTKKSGSEFRLAAIFELPCPRETRGVKTTCQAFASGCFQPKPSPLFPPRPCISAPDPLMVLLEIAFEDYGQTYEVFVRTQPIIAVIRAWKPARARKQNFVTVAWDDWGPDSDLPPDVHKDNARRRRFSIAETHYGTAAEDSEAYFQHAVATNAPYCRREVPEESWLAMTCRYWMLDEEVLAVLDRNGNEWQPHRRETLYIDNLAVLVPGPETPRNVAHCWD*
>EED83035
MSALIDDLRGFGSLRSLLRSNSVWSVWWPTMKGGNTRKTQPESGSDRNVQDPRHSSLAAAYVERAEGSPEGWRKFGLHVRSMWSTSRSSRNIAHSADAVRPDARWPCAMIGCGETPRCTHLDARSSLEADARRSIQEVEKTAAEHSMSSAANHVDAWYGTAIDVISQLTVQFRVITAIIGFTVGRQRRVGHNQRSVALVAWEGSVQQQGLLWKACAVAQGTNKSPRRTATGPALGSGHMPQGMILHITSEEPAALNGNLGQGWQRPFFTAMRGPRISLNGSEVLSCLAEGQG*
>EED83036
MDRSPVPFPTLPLQAEETHNADSRPALSSRVHSSPSLPNLWLPAPPGSAPPRLAQRFQARYRPHLRPLDLAATPDTSPSKSKYTQSKRSTSGSPCRPAVLLTPPLTPSSSFNSATNDGPSTPPEANSPLRCVYPTDRTFNGPPSIIDSPTALKGHAPLPQGGYLTPSSGRSHSMSSETDSGYAVSATSAGPDAVSALAAGLADTDITPRDERKASFCVSSQPAESASSVDIDFSNSASEIEPSHFLLASHGVIIIAFFDIRESIRAQRQIPSQSLPGLEEACLDASCHYGGLTEIHFFPPTNFQTIGRSSFINETDGALFVSVGDHRFQAPALQNLLSSFGELKSFELVDPHDQTYHVEYYDIRDAHNAHRALHSRTFLGVRLHLYAKKDLPLEYPAQSDDPFQITTTITSPSYQPSLLLGSTETIEEQKQQADREMRFTQGHVSASESIGAPDAVRRLWKGRESPQEHSRRCSNDLFFDSVGKVIVSPQTPSRPRSISIGPDDLAGAARHQRTSENYAPPSPAHHFAEVPRAQPYTGSPAMYVPQDYAFPTVSYPAQAGVYAEATVPSVANIHWAYAAPPVPAIEGKEALVEKFKNSCIMDERESWRPKIFYSDGPDQGLPEPFPAPTHLRRKERSSHNRGALFVPGPNYQRREASESPSLFHRRPHPPRMSAR*
>EED83037
MRRADQAELRARTAEGHSREVALRIATAENGRHQAELETARVREETKRFQLLAEASERELRRVEADMQRLERMRKEAEQNAADSRDLARKAQQALREHQAREEGREEGRMLEMRQGFETGRSEGYASGRTEGYNAGRLVGFEEGRKVGWSEGYADGLKQGRREERVHAIEAFDKFMDSEERRQSRMKRSSTRALVGRGKTCKLGIMRMRVGARRKGAKALPRLPLSAFTPPNTGSSEAFPLPPSPSTLQPEELVDAYVIAPDGDLSEWKAQAGETLRRKTKGVVLALPQKEPAEVEKILANIRSGSLDVPVVAVLVPVIPAEGEATFTPPAYLESPGSSTPALVPSAIFTKNEPRVREALQWALKTGHTVHIDVQCDIREVEGGWDALEEMLTSMTISKDVKELHGKIVVSNILPPPDDLALPIVKLLTHPSYRNYQSHAAALSLFGNVWVNLLPPAWGAPVPSASQKERNEWKRRLKMY
>EED83038
MASAIPPTPLSPSVGARRKGAKALPRLPLSAFTPPNTGSSEAFPLPPSPSTLQPEELVDAYVIAPDGDLSEWKAQAGETLRRKTKGVVLALPQKEPAEVEKILANIRSGSLDVPVVAVLVPVIPAEGEATFTPPAYLESPGSSTPALVPSAIFTKNEPRVREALQWALKTGHTVHIDVQCDIREVEGGWDALEEMLTSMTISKDVKELHGKIVVCTYSRVLC*
>EED83039
MSPATVPATGYSASAVPFVSPRAYSPSLVSTADTEYDVMHELREATSTPSPLGEFLRDPAVLTALMGHKKTRRGSTSSSHRDRERDRERDREREREHEHSRERDYPTKEPRRKTKDTSDTSSILTLVLAEEERQAHHLKAVLRNTGERLDYEMRRADQAELRARTAEGHSREVALRIATAENGRHQAELETARVREETKRFQLLAEASERELRRVEADMQRLERMRKEAEQNAADSRDLARKAQQALREHQAREEGREEGRMLEMRRKYNDGREDGFEDGRAEGYENGHAEGFETGRSEGYASGRTEGYNAGRLATSKAGDWYELARESFAELGVEQDAIDAVFNGNAKLAYGS*
>EED83040
MTLDPWHPSSTAALARTCRTFLEPALDKLWSLQYNLVNLVKCMPDDAWKQKTIGRGFRSGRIEVSFTRPLLPSDFARFDYYASRVRYLHLADSASYVHEGPDAFDLACVHNPELVRDCYVALGLHRGRPLLHNLRIIYSSAAGDAAFEFTQMFLVPSLRTVTLQSDWEDLRVASQLAHLPLIVPNLSRLAVESPQSAATLREMINAFNILTDLQYIRCWVPDTVEMTAQLLEKMSTLPGLKELRMYDVSMNVNVMSSLLFVGDILQAWRFPVLECLQLDVVDLPLAAAVIKVFRLAPLVWVCVNVGLDSDLERESFGDWFRELVENCPHIKHIKLFFIDGHTYDWIQEGARSKDFLSLSDFAPLLSCSDLETLEVDIPVAFDLDNAAIKEMAQKWPHLRRLRLGSSLGWGHKPSTTLDALVHFTKHCPHLEYLALAFNATSPPVSATGNSNLPVLSLKELCVGDSPISKPGTIAKFLSKLCPRLTQVLASGDPGFKSARRWDDVQRMLASPSRQDSDAIEKKPSIVDKKSRSQRSVSPRLNGQCGLDTFTIGATFVRGSEVWQRSEINDLPHIRWNDSARHSSGSADTAALTHRPPGPRWHAVHGPAAHGPYAHLPILPPSIVAACQGQIHAFDSQDLIDVYIPDGPETVLYHCEQQPCPNRTPQSIEEDYPRYKAIRRAQHPLGPRSTLASRSASRHSRPVSPTSRLPQTIADQARRDLPPDPAPEPEPEEGDSEEGVSESESADPARPASPTALASASAVPDPPPPPQRPPSLPMPIMSSPAAAPDKETLKLLLPLRYDGKTVIECNWFLSQLHIYWLVNTSLTTIELKSQLKSCYLIPSAVAMPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPLNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLHTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED83041
MHIPRPQTAAEARAVAVASSLARGKSELARGHGETTAVRVLVPDASGRAQASPMVAQPSTESEASTSVVHGTHKKFYTVPEPQDEQAEEGNKTRAAKQVLLVLLPPDLQILVLFGKHGRASFESTITSANVGPSHLVPLTIPALPSTAILKFTSRIPAPTHVEQARIGIVMP*
>EED83042
MASAIPPTPLSPSVGARRKGAKALPRLPLSAFTPPNTGSSEAFPLPPSPSTLQPEELVDAYVIAPDGDLSEWRAQAGETLRRKTKGVVLALPQKEPAEVEKILANIRSGSLDVPVVAVLVPVIPAEGEATFTPPAYLESPGSSTPALVPSAIFTKNEPRVREALQWALKTGHTVHIDVQCDIREVEGGWDALEEMLTSMTISKDVKELHGKIVVSNILPPPDDLALPIVKLLTHPSYRNYQSHAAALSLFGNVWVNLLPPAWGAPVPSASQKERNEWKRRLKMYISPVVEAFGFQRIIFGSSPSLASQAGDW*
>EED83043
MLSNVVLPALFAASSVAPAMALPISAYDEGSEAIHINLTSLVHDAEKAAGALLPFAPDLLGLLARADTDAGSEAISLKNVGKDVEHVAGSALSLAPTLLKLFLRDDDAGSEALHWNWTTIGHDAESVAGDALSFAPTVFKWFSHSAKEERRARARRELVGKLMARTILDELD*
>EED83044
MLSNVVLPALFAASSMAQAMALPISAYGSFSFSLSFHSDLNCVIFLDDGSEAIHISLKSLGHDAEKAAGALLPFAPDLLGLLARADTDTGSEAISLKDVGKDVEHVAGSALSLAPTLLKLFLRDDDAGSEALHWNWTTIGHDAGDVAGDALKFAPTVLSWFSHDAKRRADEHVADPGSEALHWNWTTIGHDAKSIAGDALSLAPTVFKWFSHSAKEERRARARRELVGRLMARTILDELD*
>EED83045
MSRVERSKNQGDTMIRGAQSDARRKIYIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSSNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED83046
MSIKCQSSWEMPTEEHNGNSRGNRAWIVMRQHVTGTSSEARSGKDPDVELSRASEETSEDSRTKGAPILALIRRDYTAYAQQVYGRAGNYIETVGYLCIWR*
>EED83047
MSNSVWKDVVDMATLAKMIAAKSDSGPLEAETLKPLPSPNTEQKGLALFTILTKSHKIIAAKKRARREQIKEVVFDDGARREFLTGFHKRKLQKKEVAKKKAQDREKQERLEARREKRQLLAERAAQNVAEVEKAYGGHIEDGDGSDTEWSGLEGNLASPDKGKGKAQEEEYEYEEEVATVTVVEDFDPDALIHGEDDHRPVAGAKRDGAAATSEPPDVTNRARHKPKPATADIRHDRKKSAPKPKDIKYQTSAARKVDRLKQRRRKSEKAERAGGKASRKSTSGRKKR*
>EED83048
MSSYTPFLTENIYQALRPFIPENPAAGDVRSIHFLAFPEVKEEYFDVVIERQVKRLQAVIELTRNIRERHNLSLKTPLRELLVFHADNEYLDDARGLQRYIQSELNVRDVVFTSDEKMAGVRHRAVADWGVLGKKLRKDIGRVKAALPKVPSDDIRSYVETGKLNVDGIELVAGDLTVQRYIELPEVEGQAQYATHTDNDVVVRLDIQVHAELQSEWLARELINRMQKLRKRAGLQATDDVDVFYVFEDGTGAELLQAMDEYAELIQKTVRSVPVDVSKRKSTQKVLIEEEQEVADVKFILSLSWS*
>EED83049
MILITLFISYLVFTTNIFIAYDHAARTFGYPSLGGPLKYLQLSGITIRQPRWLPVVRVDTKTPSAVQWEVYEEFTLDWKVPGAPTQFSNFSISYVLPSLVGLDELTCPVAEDEEPFAQEADGRDDYHGGDEWCYALPESSFLDHLIWYHTQSAQSMCALTEAPEEESVTTPSIDAINIVDVFDWDMPSSSINGTSPTLLDSPSIDHVDRLVGWSILAMVLLFTVKHSKTATRDTGPTIKCEKKDEPEFVPEAPEAMYVVLGSEMCDAHVFGPTRDVPAPSNVFTGPVSVNGWSRDKKKRKRKGKKNKNSIVFQRQSERRFERPTKTSPRLLLFRLDDVKCMWDWIPSRFCLSNRIPSLRATPPDINNRLRVYEQSPKLALPLDYQQNRVCLFHSSDT*
>EED83050
MSTLLLADQLRASFGRAVGRWSAPHWWAMAFGVGVVVLELLAVYTTSVYFHTPFEKLTGYLLGVAGFAITQ
>EED83051
MAANNTMEISSILQGGYQHPLSRSWQARRHLTKSMLMYPIFITDDPDASVDIPSLPGQSRWGVNRLEEFLGPLVKKGLTSVILFGVPLNCVKDERGTPADDPTGPVILAVRKLRALFPELYIACDVCLCEYTSHGHCGLFAADADTPGTLDQGPSAARIAEVALAYARAGAHCVAPSDMMDGRIRAIKRAFIDAGFANRCTLMSYAAKFASALYGPFRVRRTLTACRDAAGSAPAFGNRKCYQLPPQAKGLARRAIQRDIAEGADIIMVKPALPYLDVIQDAAQLAPDHPLACYQVSGEYAMVVAGARAGVYDLRVMAFETVESMVRAGCTLILTYFTPQFLDWLSE*
>EED83052
MAIAVALWSAQANSAETSQIIPQSDLRITNIALGEELADESGRTTVKLIYRPPIARDSDDEDEDEDDDESDPSKDLSVTVLCSLTPGKACIHALNLLPIIEQATVDIVLDQDEEYLIEIVGKNTVHLTGNYIELLSLRDWLRLSDQMPPDQVPYNDEDEDDSDEEAYDLREVSSDVEYDPDEMEIPSEDEGRFEEVNSDAEEAPKQEASKKRPRESDAMETDAAEDKLSKSQKKKLKKLKAADGKAVETGAESTAVKANAEAKEGEKKEKKEKKDKKAEKAEKAEKTEQTAGEEKQLEGGVKIKDHKIGSGPKAKKGDMVSMRYLGKLQNGKVFDKIPAGHLVPVAAIKHAEILFHHHGRFRVAGGVLPDAVTAYQTYGDPANPCIVYPTCYGAQLRLDSQSYLVGEGKVTSKGFSCCFGSDEVLRGYVRIVLQWRVVVTFEYGQLRFITQGHVRSFQSGAL*
>EED83053
MVQSDRLANLVQCLIEAARSDTSGAIDADLQIALAVLLNTSEDYSKAQDCFTTALAVRPDDWLLYNRVGATLANNGNPEEALQYYYRALELNPTYIRAKFNLGISCINLRRYEEAAHHILDALVLQDGDSIYERGGSGDKRGVTSHTLWESLKTCCLHLQRIDLATLCDREDLEGDLSWYRYLFNLRLTCFYLVPPLATILEPHLILRLSGEGSLGEKPSEIASRAFPCTAMDAGATFRQAR*
>EED83054
MERAPSGAQRPCDHRAETDQGGQLSGRGVRASGTAILRRGLCDKCHSAAAAKNEASRGLPTCGNRRARTNAGRSSVSGMRDGADAVESATYEGSQRGDGPRRLADQAGVRTWWRAERGGRRAEGQRAAVRSRSAFVAAVDTLTWTRDAGLGHVARTGNCACLCAMCLRLDPEFISSDEDGPQRALASDCAMKTLTGRAHAPLDIVGNVSARGRECVGVREVFDLAFASGAEAVGGEMAGLLECAEPQREGECSLNEPKEEGEATLDLATVTAAAGLGRATTCDARPRSPSRGRRRGLIGALHMDLIGALHMDHWMYHAIQRGDIECAARDSRAPSSRPSHVSVDASERGTPARAPTALVIILAAVCYARTLCCLSSRQPAAKAGHRQAVSGLPGTGATWSLPRPDAHPDVLNCWLFSDTAPQTNGCAYYGRPHGAX
>EED83055
MACNSPSHNATVSRQRAKRRPQGLVERTFDGNKRTTNYIGFSAEFTTHHTNFLKWTVFFQYYSRNFAITRRVKTRDSHTITWDVVKRDFNSLMAEGLWEDGVEGEQLLQDIRKILMNQTRSQSRSSSVARAARSPTRSPDGTEHDSLALEKLSDPTPAVQLPRLKRLYEDLHTALQPLLPVNHPLLIVLSSPLSPTSSPLRSAITHLRETLTCLRERCAPARDAQIEKLIRRIDDLSPIAPGEDTARLVVDTIRFTLQLSEAMKDDLSQFVLGTMDEKQLRAVIVLQAQQRERELVLKLWRPEQIQESWAKWHVENAGSQNIGEHPARRMWVYRLVHALGLFTPVSCPLSTTPIPASAELTEKAPVSNMLPPSFFFVCPTLLYLQNYLQALVIAASLRSLRCINYDDSRMASAIFTLAEFSYGLSTLSASILKKHREEAAKTHQYSSAETEAPSGRQGYRCPNPKLAGRSQSHQRHCVASSAKDSDE*
>EED83056
MSLLKIWFSSSSGFGRHMTELALQSGDIAIATLRSPAALADLASQYGPDKLLILRLDVSKPDEILVAFAKAKEAFGRIDVVFNNAGSGLMSEVEGTQDDVARAMFEVNFWGAANVSQEAVRFFRDINVPAGGRLLQVSSSGGVQGLPAIGYYCASKFAIEGLTEALAEEIRPEWNIKVTLIELGGFMTNAGRSMVRSVPPPAYIDDERKIATARAYLTVNPRMPSDASKGAAAIYKMALTPDPPLRFVLGKDSLEKVKKKGENLIAAAEASAPFSEGLELDLSVLSSRR*
>EED83057
MRVESLPDTPSFKLREAALRCATTDGLLVQPFIAVCHVYFALMDGIHKKYRELIKVLGIFIHSTIAFSPIAMLSTIVLPFIVAASAAAPAVAIPISAPGARIFARVNHGLEDFHINLTSLGHDAEKAVGGLLQFAPDLLHSLSHGHSESETAEAADALGDLGEDAAGLSELLVRVAPSSEAIHFNQTATGHEIKGATGGGPALPPTVLTWLRGGAEEERYVKTRRGLVNKMGRGGVLDKLD*
>EED83058
X
>EED83059
MEKHTLVGEMCPFCCPCVEVIPGVGDEVASREIPLCIPPGDVRRDEEPPEEEDKSFSGRNPSRFDGCDSALAWPALASALLELLALCRSPTRGVSSPWLDERRRVGLRPKIEPSWEDRRVWPPAIARCRLPGDERGQGQRCARQGQTVGCDKDGRQKAYRRRRGLGSQPGAVQKALQVAGMSATDVDGIAFTRGPGIGGCLSVGSNAAKTLAAALNKPLVGVHHMQAHALTPFLTTPANSLPTYPFLTLLVSGGHTLLLLATSPRAFRVLATTLDESIGRAFDKVSRMLALPWSAHGPGAALEQFCRDGPAGGTGAPGGEEIGSGEPAEAPHIPLPMRGRLAFSYTGLHSSVERFLHARGGVVDARTKHAIATTFQKNAVGQLEEKLALGLQLCRRKGIQIRHVVVSGGVASNSYLRERLRICLDEASPDEHIALIFPPPSLCTDNAVMIAWASMHRFLAGDTDDYTVELRRKWSIEELDPSAPAILDE*
>EED83060
MIFIPLLFSYLVFMTNVFIAYDHAARTFGYPSLGGPLKYLQLSGIAIRPTPWLPAVRVDIKTHSAMQWEVYEEYFNSSISYVLPSTVSLDELTCPLREPFADDNNGNDGYSDGDEWCYALPESSFFDQFFFFHDQSVSAMCAVTDAPVEEPSTTPSTDVADKPASDALPSPMDATSKTSSPFEETIEWLTPYLPAVALLIMMEGFLQICSRTERSADHLCTAKDRKDKQKDLSDISSSIESVAVNVRSRNKRKNRKKKKKKKTFAVVEIPTSQRATRADLLLRPDDVKRMWDWIPSRFCQPSRY*
>EED83061
MSDRDELITLRVHYSAKVDPVSTCVEKDCARTPLPSLPLPPVVQRILGSANIWIPVAAAAAFYVQFGVRAPRSVGLVGHKLSRWRWSAGAMGAGIGGVVFLKLEARRLFNEVADDPSLRTIARQSFVYHEMNRTPGFRKSVIRFAKMSSEPYEPIGLTERIAWWSHRVWSLPTSRMVARQYDGLHIFLHGTGVSPHDIDICTTFFANAFDYGRWDYVTAGSGILFACGLFASIALENRPRLRWWVRLGRGASLVVYLASYSLRPYLHWSHLQSLHNRDHLVRMLEAKAEMVDFEDPILAAFCGHRDSR*
>EED83062
MAPKLDPNEIKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGEDIAKATGAWKGLRVTVQLTIQNRQAKVDVVPSASSLVIKALKEPPRDRKKEKNIKHSGNITFDEIVEIARTMRSKSLAKTLANGAKEILGTAQSVGCTIDGRPPHDVIEAIDAGEIEVPEE*
>EED83063
MPLDIIQEIFGYLKPRDLLHLARSNKALRSFLMNRSSAFIWKKARNSFEPPLPDCPPDLSEPSYANLAFSHHCHKAWESLNGDQAAQERLLSAEQLRVTDIRLHVYKFYQWAEERLDVSMLQQHEIKQDRLNFALDKLWEMGYKSEIDYLSLMDYKPLVKCLRGKQRLTERGWNKISHKLIAIVQDIRDEEIQLQRTEKLRERLKSLRSLVGDPWSKSAWSSEVDYKPRFEDVVVILEVQRLIEDPTDMQPAQLEKTLSALLPVLSERWSADVKKQLTDLLEPLAKPTEEINILASAIAFFVCTRCNDLVPHHLVLRHDCLRSECQYPNQPSDEYRSAVDDAICWDAGKGA*
>EED83064
MRGIISLVPRLP*
>EED83065
MPKMESSPAFRMHGFDRTVSPLWASTNSMVPLCDASRAVNMGSGGAKTTRSADHRICGYHSSATQSPLLRLHVGDVSFMPFPNELLVKIFSALDAPNLVNCRLYAIELAKARYVDGSPQIRLTVGERLQKLRAHNAAWMRGQFFLSKTITVPRFGVGNIMSFLRMRGGVFAVGLLGDPLLVPDRPLPLGFFAMNTLDICYVRSHASDYGHRRMRFDGGFGDFLINPAQDLVIISSFLGTSGVFHTKLISLDHGGPHDLAAEPNLQFPGVGNMFETQLQLCGEILHIAFVGGASNNSLLMRPHVERHLLSESCLIEPHYNDGTLCIEVYAILQTELSPLRLIHVTTSELPAVNGSLRCSFHVPEVSICGRSGVRSGHAMTMLPFSASSDLSMFAMMLTLGDPWIDSESYAVYMFCLPFLSAVEAVNSQIHDPLHKATVVEWQDWGPQSTFWFTCSSVTNKHAPRQVYGYRTITDQYILYFNPLDIPCCTYQKSDIVHGTYVNQSSFLTIPIKTVKSFRRILLNGVLSPQPGLEYLHDEEIVTFKELEGPDSEIPSGPITFNVTEAGGEECPTYDSI*
>EED83066
MSAEEDQGGWQLTESDPGVFTYVHRKISNFYSLMLAGCHSELLKSLGVPLVVDDLYSLDSVSLSEFQPLHAFVFLFKWVGSSAEPTAGQYEPDFPGFFAHQVVNNACATLAVINAIGNIPGLPMSSQLSDLMSFTNGMDPQTRGMAITSSDWLREAHNTLSPPSTISLDGLGLPKTTEEAYHFIVYMPNMGCVYEFDGLKQYPINHGPYQESGEGWVAKAREVIEARIGTYPAGALEFSLLALHDDPVPSLQAHLVELQAAGRQSEAADLVVRLSTENSKRERWAFENSLRRHNYVGLIHALLLAMAKSGKLEAAKEGAKKTMHDRIQKRKEKGESAMDED*
>EED83067
MAYTYYQSTSPRMWGTPQGADWTISVHMLFMMIPLSINPLWAAWQLQWALDIMKQDIGTVAFTVDRSCWLRRSPPILVAQQATHLWHYSGRSLDNLGLRGACETAAATASRIATRIMGVDGYSNAEMSNYGRAPSYDSYNDDYATRPRALRRRSSYSVGLSTVPGASYGMGTTGYAPPASPWAGTMSMARSTTPLPVTQGAYVQPGMGGIGFVPGNPGTYLGAPDVLGSAYGAGTTVGTYPGGILPTGVPVPYSANTVSAVYPAGPVGTVYPAAPPTYSAGQYAVNQYRGGVAPAPPGVYGPGYASTMPVPGYNTTYAARAGRTGGRLAGAVAFAATPNARRGRLAAQSLAGAFQRPTPRRQKTAVSEIAREASHSEFGRARLACELPSASLADGARPGASLEVGTAAEASTAAQPDIDREDSGCQAPAGPGVPARAVMDAMGWRQFRAFWPEWAPAEARCARQRLRTLTSFLEYCLAWKSAHPLRAKPSTTLYYDSFVMLPG*
>EED83068
MRRSTREARAHSAAWVSAAGRLPVLAVRLHGAAGATRGPLESPRADDASAGGKRSADWRCSKGDADCLRASGGFLRAGSTQGRAASGARRVTAERRVIDLRVRRGSLPASCSGGSRGVTRQRGTEGSDDSAPSVCYGTLRVGAVERGVAHGWETATIESWAGRSEGAAPTVVAQRRDALRVSSRLPRSRTVLQSSAGRNGGLAVDKQAGGTRETTAGER*
>EED83069
MSSSILRSRALAASLRAPAFATRTYATIPNPTQPTDSPEPSDVPTPKGSGSTFWLLAGGTAAAVGGWYYMREGQPDIHAKRKPDQEEALAKARELTAAGKRTAQDTVREGEKGYDQLKASGTEKVNEARAKTSDYVNGARSTVERQYDAAMTSVGNTYTAAAHTVEEAEARAKAAAEGAKQTTQSWGAWLGSWFGYGKSKVDETKREAAAQVASGASKVEKEAEKRA*
>EED83070
MDTNPNGTTTTKVEEQARRQRRAALKELNLNQAGKESTKALESSLKRHTALIKRIRQSLGVESHDQIIKDIETLSLEKYVDEVAGATLEGIARCKTEKDVWSAAEIISLLHRRFPKSYTPGLVSALSAALAPPSRAILSALAPEQREKEDSARISRQRPILRVCAELALVGIIKDAPGRSGGEWVMKTLKDLLSNDPTLSSLPLLSTFLKTFARPYLGLVPPASTKQIPSSSEPGTLSSAATTEANGTAGGGFPPIGKEEDELVEKDIRDRFKKMCEGYFENVSKKLVQEHNRLQEQDRRNHEAYIRSGEIFEDRQQAYEKMTKSYEKLIASCQTLSELLYLPMPTLKTTTQKSESIQIGVNTGLNLPSGEAESVAGGKWEDEEERRFFEDIPDLKDYVPRSVLGVEGESDENAEEDNKEKEIKDKERGRGRSREKDSRFSIFGAQHGVRASAAGHRNRASPARAMTAGPGSACPDVHARPREAGAPQQCSFARIPGRETTARRNQTCTRARRPADGRTA*
>EED83071
MLSGEDDSGWSMGSSISDGGASDTRHDDLVVREHVTVAHKRFEVKGPGPGSVLPITAARRSARALTTDRVWPCPAAALGVASAAGCPGQWPSLDMRGVSTADRVWSACAVFRRSDASCALPLIAVGCQLTGSGQCRWPSRRAAAIALVLVVASVRAGQLADMLARGWTVALTSRLTCRSVQETLEQSGDCKAAVEGGPAGDLFGRRTEREVAAAAWTKGAACATHRARDVPCQEHWNEHGE*
>EED83072
MLV*
>EED83073
MAQALRKKASVSGTRGQGGDNADKIAERTPLSCILIGSEALDRGDLPETVAAMRRTTAIPEPVYEFSQCYSPHQLWGEFYIPLPRRPPPASFAFAPPNPIFRRSRTMGSQDHASARRGRQPWEQMAQTYAWVLEQDFADMALKNEETVQWIRKQQERDLRREQMSRTARAACGARELMEFVDGFVVEVDGCRRIEETWRAAMQWHAEAEKMVQDEVRRLQEARAEAERCRLAYQRRNAEDEAREARRRERERERARIARGEVEKKAWQAYEKRWAAIATSTGPSAQEQLTFESIPWPMVSQPHKVEDITPARMAMFLLSPMHSEGQSKKERVRAALRRWHPDRFGRTLGRVNDQDRVAVERGAGIIICQEWPYNTRLGHEGINSVLSGTEPNVQY*
>EED83074
MVAVIRSNLYTSLGALTLGGLTSTALSGVVGMQVILYFRLYENDAPILKAVVQSELHRNLLLNDCSFLDILHTCFVWAADWMYLVSSFGDMNITDHVFWCVSLLSHINERGGASYVWEVLKERRLIALRIQLTIALTAITTFVVHLLSKGNYFVTAPIVIMATTRVAADFCSSFGDWIRLGSYFEFYTHYRWLFTLGLVLSTVVDIIITSSLCLYLRKSRHGGTGRLDHILNSVTLYTIENGMMTCIGTVLSLVFWIVKPHALIYLALHFAIGKLYANSFLASMNARKLLRVQNASSSGSGHRLPVIFTHGLSARDRRSSPDEVDLTGTKVRALAATPGSSATDDELLGGMQLQITVDKTVDYTADDAIPASPSRLPPHDAKGH*
>EED83075
MNSKTPRLEDLPSEFAPQSMDTSSYEESYMPNWTRYTPAASQFRLPKPTESHSGAASAKFSRFKIHFSFQTRSKSSHCEKLGARLQILTKEREIRRQREADKAYQHLQDVARWAAETRREEEALHAQLESMEREDIRVEACQEAWRAYEARWATITARECSSSKELLVFRSIRWPMLSQPQSIEDITVEQVAEFILSPLHSQGQARRDRLKAALLRWHPDRFGRVLARVDALDRDDVEEGVGIVARLSAGSHSGILFWLYCLVFEIHDDEEACDPVNSMEHLNAYSTLELFEIHRVAKFLVELIAWTASVEENIPVHSPQLADEVRVLVSAGPKHILKDFKHCRLNRTMSAMGPSHFWRAFDMVMAKRDLDKVACESGSSRAIVSGVVGDKDRCCRCLDVQGLNLWGAANWRWMRATIDLATILQSLGGQLFGNWHETRLILAHLDGRTSAQYAEMVGEMFDLPSEGPALQKDGWFRLWWIEYKRKSASACLFSGWFVFMTNRTSVWQLEFHYDLTAFTAMTARYNTGMYMRLVSMVKLHGAPWLSINFLNYGIQGNVGGVYVTPSFWSSTVCNEKQNLDQDHCKFIQTHFNNKIIRGYPVAEFAEAVWGYNCESIPPPVGSACYIIPHDLANSYCTSSEREAFTHLASIMQTLIEQILPGGGTLEGRPRAYVRNARHIRDNKMVASHAVRPDVAWSTRERPESDCWRSSWRSCLAFGEIRRGQLNPLALSQDIEIRSGQSRQPMKTGSSLSGCDNSPSARGFSSESTKRKRFSNDMTNLGPKRHCFLHSGHLSGISQSRLDTTPLHRDEELAARYVMEMMNRTLRSFASGFSVNGTQMTLWYADRVGVVLSAPFDLFQQPELLVLVLAALGSADLTKLGFNPFFEFPDPAFRPYGERMVLPADLVHDAEDYSRNAGGMRLNDSTIGQSTSIYAIKAAGKARVLFGAGDLAVKVSWPPSSEKVSEHAKLAVVRRRMREKAPRYLRLLVLKAYMQLQFISSIEEFKTVYIDVVRAHYWVFEKAQVLHGDISEGNVMFYREAGRTSGVLVDWDRATYRNTHDEAHDDLEDVDLDIESRPTKIRRVDVPLIWGTPIFMAIALQRPSGRPLPRYHHDLEAFFWLLVWFCTSFQPGETAFHSASWCNSSRDEELGLNKKTMLEDDAYWASETAAADEPYGKLLKEWALPLREPFALATNLSDKIYTLRGCIDDAQSCGRWLAARNLAKDLKSRIEERKDAISYEKFLAILGAPTDIAA*
>EED83076
MGPEARVAYPAAAYIPKGKRPIRLVKATDNKMVAVTRSNLYTSLGSLTLGGLTSTALSGVVGMQVILYFRLYENDAPILKAVFPGHPPHMLCLGGRLDVPGIVVWRHEYHGSCVLERGGASYVWEVLKERRLIALRIQLTIALTAITTFVVHLLSKGNYFVTAPIVIMATARVVSAIGELLNWLFTLGLVLSTVVDIIITSSLCLYLRKSRHGGTGSTLGVLRAEVNPPVQLVPAVVNLTSRGDLPETVAAMRRTTAIPEPVYEFSQCYSPHQLWGEFYIPLPRRPPPASFAFAPPNPIFRRTRTMGSQDHASARRGRQPWEQMAQTYAWVLEQDFADMALKNEETVQWIRKQQERDLRREQMSRTARAACGARELMEFVDGFVVEVDGCHRIEETWRAAMQWHAEAEKMVQDEVRRLQEARAEAERCRLAYQRRNAEDEAREAQRRERERERARIARGEVEKKAWQAYEKRWAAIATSTGLSAQEQLTFDSIPWPMVSQPHKVEDITPARVAMFLLSPVHSEGQSKKERVRTALRRWHPDRFGRTLGRVNDRDRAAVEQGAGIIVRCLNSLLEATN*
>EED83077
MTLVLNKLNQDILDRIFLHFDDFATLSAAIRTCEAFYNVFCSRPKSILNAIAANIIKYELPAARRLAREMMYRKLYTVEGSDSEDELDLPSERSYMRMLMSRREAHEICHNARVVRHLEGLFSLRFWLYCLVFEIHDDEEACDPVNSMEHLNAYSTLELFEIHRVAKFLVELIAWTASVEENIPVHSPQLADEVRVLVSAGPKHILKDFKHCRLNRTMSAMGPSHFWRAFDMVMAKRDLDKVACESGSSRAIVSGVVGDKDRCCRCLDVQGLNLWGAANWRWMRATIDLATILQSLGGQLFGNWHETRLILAHLDGRTSAQYAEMVGEMFDLPSEGPALQKDGWFRLWWIEYKRKSASACLFSGWFVFMTNRTSVWQLEFHYDLTAFTAMTARYNTGMYMRLVSMDFPDAIYRSAIISFGQWELKNDFFRVKLHEAPWLSINFLNYGIQGNVGGVSVTPSFWSSTVCNEKQNLDQDHCKFIQTHFNNKIIRGYPVAEFAEAVWGYNCESIPPPVGSACYIIPHDLANSYCTSSEREAFTHLASIMQTLIEQILPGGGTLEGRPRAYVRNARHIRDNKMVASHAVRPDVAWSTRERPESDCWRSSWRSCLAFGEIRRGQLNPLALSQDIEIRSGQSRQPMKTGSSLSGCDNSPSARGFSSESTKRKRFSNDMTNLGPKRHCFLHSGHLSGISQSRLDTTPLHRDEELAARYVMEMMNRTLRSFASGFSVNGTQMTLWYADRVGVVLSAPFDLFQQPELLVLVLAALGSADLTKLGFNPFFEFPDPAFRPYGERMVLPADLVHDAEDYSRNAGGMRLNDSTIGQSTSIYAIKAAGKARVLFGAGNLAVKISWPPSSEQVLEHAKLAVVRRRMREKAPRYLRLLVLKAYMQLQFISSIEEFKTVYIDVVRAHHWVFEKAAILHGDISEGNVMFYREAGRTYGVLVDWDRATYRNTHDEARDDLEGVDLDIESRPTKIQRVDVPLIWGTPIFMAIALQRPSGRPLPRYHHDLEAFFWLLVWFCTSFQPEETAFHSASWCNSSRDEELGLNKKTMLEDDAYWASETAAADEPYGKLLKEWALPLREPFALATNLSDKIYTLRGCIDDAQCCGQWLAARILAKNLKTRIEERKDAISYEKFLAILGAPADIPA*
>EED83078
MLFHTIYLLCLTLMFDTSTEASKKAQGIWLPGCRPQGNLDRSGTVGLPPPAKDQAYCTVSVLEAGYVDVPMAQIVDTAKEDEIARLPCLAFLLRHSTDGDTFVFDLGTRRDWEEALPPAMVKAVKRWYHVEVPQDAIESLQKGGYDPSQISHVCLSHVHFDHQGDTRPFTNATFIAGEESRALLSPGYPADPNGMFLGSLLPPERTRYVPRAEMRAVGPFPHALDFYGDGSLYVVDTPGHLPGHLAVLARTSADGGWVFLAGDAAHDWRLITGEARIADTPHFGCSHRDKKTAAETIARIRALSEMPRVRVLIAHDVPWYEENKDGAAFLPGSIESL*
>EED83079
MASLHAASESASSLSDKEKLDVEKAVVHDPVPVVDFDDPNLDKDAIEFAVANTDDPDMPCSTLRSWIMGVIWAIIIPGMNQFFFFRYPSVSVGSFVALLLSFPVGRAWARFMPRVKIFGMSLNPGPFTIKEHVLVTIMANVGGSSAYATDIIAVQRVYYNQDYNFGYQWMVVMSTQLIGFSIGGILRRFLVQPPSMIWPVNLVTCALFNTLHHQQYAGIGNRGGISRERFFLYVFIGSACWYWFPDYIFQALSYFNWVCWIVPDNVVVNQLFGYTSGLGMSLITFDWAQISYIGSPLPTPCISSRTSFDRYGNTYNISAIVNEDASLNAEKYEAYSPLFLSTTFAMSYGLSFASITSIITHTFLYFRKQIWVQSRRSMSEQPDIHARLMSRYPQVPEWWYASLMVTMFVFGVVSIEIWHTEFPVWAFVLSLIVAFVYCIPIGMIQAITNQQLGLNVITELIIGYALPGRPIAMMMFKTWGYITMYQALQFTSDFKLGHYMKIPPRPMFWCQVVATVIAGTVQLGVQAWMFTNIPDLCSPSQPNGFICPSTEVFGTASFIWGVIGPKRQFSQGQIYSLAGSGLVWFFLVGALCPLAAYLIALKWPNSFMKYVNFPVIFSGTGAIPPASAVNYVPWAIVGFIFNYVIRRRHFAWWTKYNYVLSAGLDSGVAISIIIIFFCVQYPRDGTIGASTIETWWGNTVSFNNADGRSASYKVLPSGQAFGSVAGLPLGLDVYESHGVFVVV*
>EED83080
MLRYAFGLKMLVKAQIFARMSPDEKNEVVERLQSLGYTVLMCGDGANDCAALKAADVGLSLSEAEASVAAPFTSRTPDISCVLEVIKEGRAALVTSFSCFKYMALYSLIQFTTITLLYSFASSLGDLQFLYIDLFIIIPIAVTMGRTLPYPKIHPKRPTASLVSKKVLASLVGQIVITVTIQFWAFFWIRRQDWYEPPPPADPNSDDDQLEATNFENSALFLVSCFQYILVAAVFSIGAPYRRPMWTNACLSAFNLVVLLVRPRLLASLLELVPLPFSARTTLLIAVVINIVLSLAYEQWGTQLLARMIGFIMQLRQRRRISDGKMYKAVEGGMR*
>EED83081
MPDPFQVALDNSPVPVGRYDYSRVAYEYKGLCIVPQELVSPRGTYQLAYRKALGAIRDEETELRVAAAVKQLAEQAPESWVEWARGDWPKLATAIDAEVERRVEEQKRLAEEEARRVEEAAKRAKAAEDRRLDDERRRKDEEERRLEDERRAQEAADEEMARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMSEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSGGLKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED83082
MRPASWEYDDAARIGVMPWAPVNPVDAFNEPRFYLLSSKALATCPVEQCKQSALPMRLRMIPALDAAHAISVAVLVTAATCCHFTAGLRLVTHHERRHELVIDKVQNSYSYEQSDWENDITTAAGIGIDGFAYDYEVGQLVTAFSVAEQLGFKLFFSFDMSYSWSSDDMVALVQNYSTSSASYKWNGDILVSTYSGETYGESFWADFKSTLAGEGITISLAPAFTSYRDPDDADSLMSNFTSIDGFFNWWSCLQLVNGVGLPTWTPISPQQLILPTKRSLKATARDLTLWDDQVVFWYRVWPKDTVCCCSLEPRNYEFPEDAIFAIALLSEPATIQMNIGSSASSRDAPAGHSIGSVPFPTEDAQIPYIQIIRDGTTIKDGYGSYYINQTGCTFYNFNPFVDIIS*
>EED83083
MILIPFFIAYLVFMTNVFIAYDRTARTFGYPSLGGPLKYLQLSGTAIRQTPWLPAVRVDTKIHSAMQWTMYEEVMNSSISYVLPSTMSLDELICPLLEHEEPFADGDGNDDYNDGSEWCRALPESSLLSHLVWFHAQSASSMCALTEAPVEEPNTTPSTDSVDSLDAIDSDIPSPMNTTSKTSPSDEDVSKDNLPDRSTLAILVFISMLGSTALFVAAEYPQLRADSASDFSGKDKQRVPSDLSVSASGLDINPSDSPSIGSIPMDVQGRNRRKKKKSKKRKKKASAVAEPQPNPGD*
>EED83084
MESDNPGAPKGREQRSRHPLHKHTTWNTNFGPNFDWARAPYRARVSVGSKCGAARGIYSYDGHHYHWPPARLALELRSAALDLPFCHGHRRNKAWTCDTRCNPRHPASIPRRHALADADKLPRPPRAICTRGDTVVVVIMLITHSNRNPVHTLPVALGHACERRDLDSDADADRDDVRTHARMLLVPSVVGIVAVARHKGSLLISTRALRAPSECERKRKRRRYISPEGTRNSIRSHWPFAAARRNLAPRTELDAQCPAYRAKCPEHRGPCAQTYRAQIEGNLHPYLPGSLPGQGRGRSHPPLSSCGACCRTSGVRAKRGQPAGRTEEDRVPGVPGDAGRSVGAAGRDVPGWIIPWRPGCWCWCAAKGVPPPWALRRRCNAVFGLRGLATPPEGEDGCQDTLMTRPRTREKPVSARVRTLAGTGTGTGDSLPDIAMHFDVPFASPSPAESSAHQHQHHNNDDSAFGAYTLSALPSRPGRHHEHEHAHGPYSSSALAPPAHDLLAHRRPSLPILPMAQYHARAYGQGSPYVSASAAPAYDPALRRASIAVPADGHAMRLCMHPYAYVAAAANHQGPSASRNLSPDADMSLSPNMDRNLSPNASLRVSMNDQEPVFPRARYAMELEPVSPHTQAPPPNISARYGLLAHGAGGHGHGAYVMSTREMGPPIPGPLPAPGFSFGAPAGGFEGYDAGGSEGEGAYSTDKGAYSTGDGAYSTVHRGSLSSEADTEGSEYDAVSRFGSVASVAGSETSWTSYYESDDGGMKEGAAMCEERRGSCGTSMQILEMFSSMGVSSASHPYPHPHSQSSPSLLAHCSDDPQSSPTQDASMMYAPVAGRSSLSPPTVQRSTSSELAYALSHDRCGGSENAERSAPALPSGFEYADPPPGPGDGGSASSSPQGQYGYPQAQDGYAQAQDGYAQAQDGTPKRGYPGTAYDVPTYAEAYGLDAGAYAYTSAGGGQEPDPVYTGGVEISERNMCIPASAGLQYVGFVIGYPALCRILYDARVCSCVSGTSMVLYGTRFTAEIPKDAMKGGSAPYPDPEASPD*
>EED83085
MYSMQLHNMTILDYWFMFHYLHSQDGPAASSWYINCCGPNTIDGAIAVVAAMFTIMFFQRHQQNVVEIEPFDSSESGPHSATTHYAVSDSYGHHPVALHADPLIDVLRDPCRPAPSSTISDAKQRVAPPLMPAVADLRIHLGFTRPGGRRHWWSDDIISVTCAIGRCLGVLFQDLGYTRLNYIDPLYDTQRATHKSPPKPSRGCPMSSRDNIHRDVPGPGGNGPGDWSLLLLRTNDHRAGSLLTPRSNRSQVGPGIEEIGPP*
>EED83086
MILITLFISYLVFTTNIFIAYDHAARTFGYPSLGGPLKYLQLSGITIRQPRWLPVVCVDTKTPSAAQWEVYEEFTLDWKVPGAPTQFSNFSISYVLPSLVGLDELTCPVAEDEEPFAQEADGRDDYHGGDEWCYALPESSFLDHLIWYHTQSAQSMCALTEAPEEESVTTPSIDVINIVDVFDWDMSSSSINGTSPTLLDSPSIDHVDRLAGWSILAMVLLFTVKHSKTATRDTGPTSKCEKKDEPEFVPEAPEAMYVVLGSEMCDAHVFGPTRDVPAPSNVFTGPVSVNGWSRDKKKRKRKGKKNKNSIVFQWQSERRFERATKTSPRLLLFRLDDVKRMWDWIPSRFCLSNRIPSLRATPPDINNRLYVHAQSSKLALPLDYQQNRFCLFHSSDT*
>EED83087
MGVPMPSQSLTNFDSNHLIKIHRPSIWRMLVSGVIPLSFVLTTAAPLNVSPRQHVEASEVTTGRAASGITGLADGLCQNGLSSGAEPRAVHIGQFNTHKSYPRGEMFFGTPRRRVRTCLTEEAAYSFRQQDRLLSVGSAHFQQFPQRPRFPIRGCGCGGVAPLEMALPDAVTHVVARVRQTAWPGTRHPTSHKPAARRERRERWKSPGSSSVAISTQPGRQSPPPCVMPVDVLPAMTGGPSRAADAPAKANRRWLSWGGLACRPLERLAVEFIEGCRLQKACRTHKLDFKMTKHRSTEAENS*
>EED83088
MPDWSSPTELELDASAFTKLMHCLAGVYFWEFVTTLDFDWSFVTGKRKFQWPLIFYMAGRYCLFFALIGILIALDSVNEVNCQALYTFDQLAGDAAVGLASINLSIRTMALWSQSLYIVIPLVFVILGHWSLILQGVLLKAEWIPGEGCAITHTNNTTLAATFIYSMCFDALVMILSAIKLYNRTHRSQLVGMLFKDGLIYFMVAFAANLIATIFMVLNLNSIMSIVFNVPAAIASTIVACRAVRRLTKFKSEGPEIYTASSHSGVVGFRSMNNQPIASNVGRPKSAHTKDMSGVHVQMQTFTVGDDSVPPYAIEAERKRVADVESAVSDTELGSPESDYKAEAL*
>EED83089
MLTYDEALNFSTVLSALACLSAGVITLSLLLPYFIDQLQLRGYPGAFLAKFTSGWISWIISRNQWSETVDRLHVQHGSFVRLAPNHVSVSGPSAFEAIYGHPSSALKAPFYDIFSAGGAANIFTTRDRAEHARKRRVEAHMFSPQSIRTLESIVSVHFHVLVDQWDALCAHIQKAGSGGAEGIIGSVSWKVHESRVWFDCMPSDLSFGRPFGMLVSAKDVVRIPKSNASGIQAIAEAASHSEKTELEMADVPLIEVLEIRGKTVAALAYLPAWAQPIIGRLPGFREGYGAIPKLNGIAIAAVANRLRSPNGRADMLTKLLEGRDGDGHSYSPQELSAEAKTLIAAGGDTTARNYADLDGDSASCAITYYIARDPWIQAKLQAELDAALDGTGSEIAPYGAVKVLPYLEAVVNEGLRLHSGVGAGLPRVVPAGGMTILGHHLMEGTVVSSPIYTLHRSKAVWGANADEFYPERWIDASADTKKEMMSSFAPFSIGLRYSIVLQDDAPRWNAIVVGLSLVASSANYRLECAMEDCTEKSGDKSELWMWSTWVEDV*
>EED83090
MPASRFLNLPLHEVAISAGHNVQSARSQNALFNIGLITLPTRSDKEGIMDKNASLPPQGNDTEVSKHSDVYISLNLDYSPCCTTDALHTIDLHYDLPGVQKDLPSLPKCSLSGSGKELEAELPKAVSEKPLPKSSTTPLLPPLVHPSLSALARTSTVPPPLPKRNRGRDTKPKTIASPSDDADPSPTLDRKASQDIFATPAEEPAAFVDLGAPARHVMGFPLPHHYHGARLCGRVPSPLLFLKSLQHPLGQNQSTGFVVNEPKENDGNLSVSGQVGDQAVNTLEAEAKQDTNGAPQESEASADVAVDGEPTAPESYQSPAPPITSEPPAQVYIFRVAATSSGLPVSLPLSSQQNSAQNRPTIYPLCTSKWCLARLRTTCSMWAFVRTSMIEKVWEESPYVAPVRPSPAGTINGINGLDKHDAVNGVSEADRKPTVPPQRSRMGPAGCWRLPNALIVFALHNFYIHTSSGHNLEQTGTLEIPHRLDGLRTYP*
>EED83091
MPPHHRGWVYPPTRRELTLLLFALTVFVISYNLESSLRLAGVSRAKMSSSYLSSIGLGTQDPGLDPDGRRPQEWRDELENLIVGEWSWEEGKVAAVEHGHSGAAVSGAAIYNVESGSTVGRNAGKDDRGVGVTKGVSPSDQFLRWEDQVPRASYTILENLIMVNGTFFLVTNDRLSLPDLGVIASSPADRLQPPREEDWQIISTEEAKSKIGPYGGRIFGTSWLALDPSLAQDPYTLFSLFRTHSALAVSSTSSQKAGGNNAAHSVPAPLRLMFPYVPTFSSPHIPPDGDDIKKHPPPREKSYNGLHPLMTKTALPATGIWYLEDWQDIIDMNAPWVFDRVVVADRGAAARGRDFWTRHWAPADSAIIDIVDLKRRQPEVEDNNPMWAAPFIGLPAPPSWWAPMRSALLSYLRLPDPHGSQTRKKVKATKTALTYVSMQEEPFGAGARLLDSDHDALVAGLHALQREGVLGEVIIVKGNGSVGVHGWEWADRMSAIAKSSIVLGPYGFQLADSMFMAPPAWSPPDGRPDLEFFPPGIFVRDQEFAVRTLGMRYIAWWNDRLFEKRLRAGGFPERICAALLPRRSVAWGQLLCTMDTSSVYI*
>EED83092
MSGSGQFVGQEKLAMAMGRICQAWTASFTSAISAYDTIKYLDTDLNVPHAPAARVIAFSPSKSIGWNLRSKPQITRLGTFVLRDCVKTDGSWYHKNSGLLSQLPTSKTFAQARTYSFAGRQAEGSDETNRGRMASVANVVLQALVIMTSREEYLPPFHAREERWVLSVQFSSFVAHARCTTPQSGSDPQTGRRLAVRARPAEDTQGQWGGDFNGRASAAIRKNSKMVHNADGLSDWGVAIQSSSVLWRPHFSSAMGSGQGDLFGGASMHETPDTFATWIYMEGQQGESPLDRPAGLQWHNEQARGYERQVLYGSEGFGNEHNLNDSIDMHIPEDIQMQLIPGAWAPWATHKPVAEPSSVPGALGYPDDATGDGNAATVAHVSCENGQSVFHTIDPHLLYAEENQINLKYAESLDSDRSEEDGEEDLDEEECNDRNQASVFDGECIRYPYDEIHGCCVINQARPSMLDTSEAYAGDKHGHEHDAVAVEAQQLTGSWSLAASPPLRATRSQTRASSSGNSSSTTTKISQPETRGHKRQVDNMDEDDSQKVAKKQRSGEANDDSLTKQKTKAKATETAKAKGKTKVGNTRSKVKGKVGRLPCLEPGCGKTFGRKGDRDRHFRRSCTERDPNDLEKPRCKHCKVQLSRGDSIGRHIKRGLSSSLMFGLEVHRDQSSPSRMSLNLSSFKNLRHNAGMSLELLGENLGNKPHASQISPTYEAVHMRGTLIVSLTVNEEGAVHMSDAHYNYGLSSTSGSWLHAIPHVTATHWRCLTTRTGISEISPMISAVMHVACPGLRQYAHDVHIDLQSMDYRGSGVSGGLANWYPRRLDVTPENVCISKTLEAVRSSWTGRHGAVCGGVRNATTSSSQQEFDTSCIVAFGQGRKSSLYSRQVMSEVEDMRGIGTKRGGTRFGYNEMQAALSGPPSVSLPCDEVCGATVTQAVHLWPTRGVTIKDYFVAVSSTCIGGRSVLGHALHLVRVYFTIAGLPWSIRGSVIYQGHLPAKRGRPPPSRILAIGHHANGVHIAAVRAGHDSG*
>EED83093
MWSLDDILQLDEAGPASTTDMPGVPPDTSSLIEAPTGTQSRNDPAVGYDAEIAYGVALEEYQAWDLGPNVGFPNEHTAIESSLLDGVDMTGSLMSDTDLWADYDGRVADNNISGGWEDPYIPPDTGAPLLSDNPTPWACNTAVAGPSTFPGALEHAGGTAGVDHAPPVTDQSRRDHVSLAINPGLLYAEQGLITNEYAAILDDDGWDKEDVIESDYEEVAEDDKDDAPITHDADEPTTFGEDDEHFPATEDGAIITDDERRSVEFHPIAFPIEGRVTRSQAALSRNASSTRPNDKGKQCAQPEPCDKESTQSPATSGKRKEPSDPRDDTQDVPPRRNYTGGNIGTGNWKCLEPKCTRKYRREADMKRHWQWECVVRNPKLLKVVLCEYCGKRYVRPDVLRVHLRTCKIKKAQEESQADGSDDGGGGRKGPVCLPDRVIGTHRIRIASFHPFRSSACLDPTSYELDASRLGERGCGNIFMANDLSCGVRPASSSKYREQGLRSIFERCGRADKNEGFVSRVGDGEDKRWRYARCRDHVQSKLRLQAVLAAWYRRLTVGSTKPYRKMLITTLREVCWREMTHGKQYLHKNEIYSREMSSSTGSSVVDPNTLKAGPVTTD*
>EED83094
MPSEIVPAARRHHPEMITSCRGRFRKHDEGLRLSSAIWAVDELGFTIPQATATNAAEETGAQMHSHTVEDRDAQIAAGVSIEEDHRWELGFSMASYPKEERRSEAPVGEDSASINMDAELTLGLSIEEGTAEVYGGKIVWHPLVLGCAEILMSDQYIPPDMDAWWPSGAIMPQEDDAPMAGPSRFPGALSYSVGRVGRSATSSTTQSVRVDRELVSLAIAPGLLYAEQEATTEEHTDPVHDDEDEEEDLNGYNNGVEYNSESEDEGEGEGDTSILQRVNENNALLVSNEDQSAIDDDVSIETAANLPLFAPPLDRPITHSKTRASCGNNGLTMPDASRGQKRRADEDGEDDEGDEKAVAVKRARKGKAKDTGQKKQKARAKAKRMTKAKASSTKNKAKAGNTKSEGERFPCLQADCDQTFGRQSESSRHFRCSCPKRDPDDLEKPPCPHCKEPLCRGDSVRRHIEEGTCPVLKQSPGESKGSGASSDGNDGKRKGGDKGGRGGGKGGRA*
>EED83095
MQYSNLSPSESSHCNVASSSDKHVEFYQVLTTPNDLNVAQGFRETLKCLTLDATKACGLAEVNPAPDHSILDVLSQRSRLLETLIIHGTIPPQHIYTSRPWPSGLRRVQLKVEYANASFLLPLSNLTQLETLDIQITSYTDPKELLCLSTSRIAFGSLQTLVFRGHFKLTATFLKVITSPKLQDVTLQGKVSGDSRYWDDCLTTLASRFASMRRMSINGASGVERLTRCVSLCDLVETISHLDKLVSIHLTLPYGAYWEEDTLRTMAINCPKLEELKFWAYNLDMNILVHPQTMLDIISACPKLRVLELPKVDLEPSLLSQLQLPEAGDLESINLGYGPECEVKDVDMVAKFILRLCPKLCWVSLWETTSDESLPEEADEGWEGVVYLLMKWLDEKCDIKADAPCVHSTTGAFNDSQAACGSTWIGCIFSPDQRDAVRHTMGWQSRPRAPTGSGWIQCLGLKTVLRSPHFEHSARRRMDGHRAGDRRGIYRHKRAVNKALFGECYEPVSHARSQTKRWGRTCQRKATSAKKIRKSYDSTHGVYEWEDKVKIDGDRSAESTPDGRFGVGNQSADRPNIGIFSVMWEADETVSFEVVDMRGASRGIETSFNELTGSYQHNDPHVDRDAQVALGVYIEENEAWDRMDPISSDSDGTPGLSTTEDTWPTTSPLCHNNVHISVDEEVATSLVMDEGTIEGDGAEFDITPSMHQSSMASAFPEDGMLGAGPSSFPGALGHTLDWAREDPLPSMAQTTYGSRDSVSRTIDPGLLWTEQDHIAARYTSFLDDEDENGNEDLDEEDGDEVYDDDASILHADSGDNDAPLGSDEDESAMFDDDVNIEAATNLPLFTPPLARPIMRSQTRASSDNNALAMPDASRGQKRRADEDGEDDDDDEKAVKRARKGKAKDTGQKKQKTKPKAKRTTKAKAKASSTKNKAKAGNTKSETKRFPCLQADCDQTFGRQSERSRHFRCSCPKRDPDDLEKPPCPHCKEPLCRGDSVRRHIEEGACPALKVSPGESKESGASSDGNYGKRKGGDKGGRGGGKRGRGGGKGGRGGARGGHA*
>EED83096
MQVEGHKIIIGGATIQDSAGVLGLELDPAIHPDTSFFMPIWAPWPDGQTEGPESAPSLPIEDYTLGYRTGMYYEAITPGATSDDVEATGQDHDSNDPSNDDAAYGYNVSYANASGLQRAEQGAITIVYTGHHIGHAASLANEADAESEADSGVNDAEQSESEATGHTNNIATPAELSSAAPLYMLPSTIDNASTNQSLDDSEHDTPRTVGEVISSRRIERSEWITWQPRMTRSMTNAVASSSAQASTSAAGPSTSPQGQKRKSPDTDEDEDLPWQHEFRDEEDAYEEDAYEEDAYEEDDEENGGEGGDAIEEADEDSGFDVEERHLRDTATAGPDGRWSCPAPGCGKLVSSLEIMIRHWKGCKKRPDPQSIPCPGCDKFFARGDAMRRHHRNPNACEGYVADDEVRPKRGRGSKSGRGSKGGGSGRKRARRG*
>EED83097
MTLSKEPFADTLVMFGLALGTDAHHSDRLIEAQTHAECSSAVEHDEQIALGLSCEEEGEWMFESSWGSLFDNTTLHPSTGEEGLPVGNELTATSSPIYIPPNTYPPMLCDNPRPWVSDATLAGPSTFAGALGYPGGTADGRHTHSNRESSHRIGDSVSYAIVPGLLYAEQDTTTTEYATTLHDEELQDSEDESDFENEASSLHQDAFTFGEDKEDSASIEDGPPSADEDVEVETGSQPIAPTADQRITHSQTSASSSSNITSAKQNDKGKERAKPEPPDEHDVQSSKNSKKRKNPHDGNDVDQPIPPKKKQTRRKVAKGNWECPEPGCTWTFTREWDMERHWEWDCSARDPSLLKVAICSYCDAQLSRPDALKRHLRDTLSKGETAGEGQKADEAERAGEEEEAGKAAEAVVEVAQLHDQIVYSVLTSSTFNYPTSSPHPLVATYLANNLMFCSSYPSISDVRKQSLNQLLSRLLWGPYLPADVPMWAQFLWLRPARVQGGRDLLQYAFITRLDEEGIKNVDMLVYISSNLSCPYMTFATVDVTPPWSVQWKEDALSDLKSVWVYIEVFKPRNQYIDDIPPEIPQLNYRAVVEIIRA*
>EED83098
MWTGPLAMAPNAITYQQEQICPPLPVLISVPPTDYRGFLPLRFNANIYNSLFIRCFIRKYSDELQRVRRSEFSSHVLILNGFHRIAVGMIVMYIAEGVLRGLYGDMIEWIYQAARQTSTEIPGVGCIISYNLSFSFLSKLANIARTRLSVAGMLLRDGSMYFVYVSILQVA*
>EED83099
MEIDLRIGSQPVSSSESGQGAVIAACASTAPVPPLAKKRRGKKKLPEDTMLMPCYLQDLPLEILAEILSYASSPRDVLSLARCNKFFCHTLVNNPDTAFIWRRARECCHPMAIGSRQGSAPDVASARIVARGLKSRIIHSLRGYVFAIRGQNIRAIDSAVEDRYKDVVAWIPRLEQPVVVDIYMLISQSSRVCVRKTDWEQAVDELNRVYIAGDLDAYLEEKQRLADRLPVIMEHANTLLTWRNNRLKSTNITKHANKDFTKSYAMRMGWSMYELLQTQTYGTLFWSRLAALEKITKGDIEPIHATLEAEIIAIQEHRKRSIAERAYQSRRDDVEKHYYRLKSADAKQVLPTLPEFRKLSVMKVMQERAPDATNISKDLRNSPLIDKLLKEDLERWREGARAGLAAVLGFPGWRTASKKRLHPVDRLTARCPHLDKKQRAREEWNPHKFEPDTKVIQAIEQILIRLGTTAEDPASLDAVKDVGTRLACVACPHSLRMDFNNAVRHCKRHDQPRFDLVDEDLAEVADGDAIELGLVAEVMGKSKEAQKRSGLRIYGCRHCRAMQAMAAVVASASGESNSQQTDNEQTDEQTGTAQTSTDAIKTTKRRKNGVPEAKLFSFNGLRSHVKEKCEVLLLSEEALLTPHIRRHGITEIGDEDVFCQKDSETA*
>EED83100
MVGRRFGALALALACSWDIRGVLGGAVQAPVLVLPPEAATEYAWGHDDLSPVSMSYSDAYGGWGASIVDALDTIDIFEEAVNFTLALDFTVTPTDDQIDLFETTIRYVAATLCAYELSGYKYPGLVDQAKVLVDNMAYAWVGDNAVPYGYMNWNQSTPVTGQTLTMEWGALSNFTGNQTYRELAEKAVIWIANLPPPLPALPAQGIDPATGQFVGSYVSWGGGSDSYFEYLIKWARLSNTDNNIFADAWAAAVDSSIQNLARTSTVLDWQYMADWDDGTIIHIGSHLECYLAGNWMLGGKLLNNETIVNYGLALMESCWNTYASTATGIGPEYFGYFSSDGNYTGSSPSDGDIAFYEKHGFFIYPGESDYYLRPEVLESNFYAWRVTGDPKYVERAASALDSFMYYLEVPSSYAGIDDVDATDSAFIDDMQSFWFAEVLKYLYLTFDDPSNISLDDYVFNTEAHPFKAPPAKPVYGSGTPLSTNPSAFKSTSGPLPEVSPAPAVAPKPVASGL*
>EED83101
MSEPGYIIRQATVEDVVWYASNKVVAGTATYEKAPEMVKATPELLRKNLFETPYAHTLLAVTGTPSAPGKAIGMAMYFYNYSTWTGRPGLYLEDLFVDEAFRGKGIGKAFFAELGKIAKEKNCGRMDWSVLDWNQPSRDFYEKKLGAKPMTSWIGMRLEEEGIDKLASLAS*
>EED83102
MLSLVRSLKAPAPAGLVHANLYSTVSGLTRRTWRTGSHPAPQSVHDDVRAADADAGADTGAAPPLRRTAHKVPTPHAHKAHRTTLKKAFPDGWAPPRRLSREAMDGLRAMHAADPEMFATPVLAERFRISPEAVRRILKSRWEPTREQRVRIAERERRAKEEWIQERRMEEREQQMEIEREAARPPRGRKNDRLTFTNMLCMKSTSHASHALVPQKARPFGGPASEDVVPVRAGQLSDSTLPAESKPRTTSASAAASGGAGRGTPSCLHRSHSPLRRGRSRAPGRGGRRRTTCLPPSRERERHLARRPRGVEAPVRVEIRAPVRVAEREHGVRAALADHAPSTLLSVLRPPTHHAPPPSPPPLADLAAFPLVAWEAHTPVLREGARETLIPQRTYEGGQGPPLLESLCSFKIADCPALGISLDLLERFASLASSQLVDADLPAFHDSGIQTVGMSDAD*
>EED83103
MDMRESGLKAILMGSSRVSCPKKTVVRFSGKASAVTAIHSGSPIKQSRVPLAGLHTEVPCPPKPVASIGSAVGVIGRVVVRGEGRVVLLDEITSPKKHARGESSPAKGETKSDGSVNGVGRVMQLDEAVQIEDMEPKTPSSCGSSTQGSPSSVSSSSSRRGRQHKLQQMLHNEGDATPRAAKTSGPFWGHVPLRDEDTDAGGIHGFTLSHLRRVPELVLLAQRVVDAEARRRAREERKQASGSAVQNKSKPVQRPQNSSRESKHAKIKRLFRFAIRQLYEEGSIVLWDGPVRALPQSGTQSLDALWKANVSTISAMSVVSAGSQAEEDAGELSDPSPDEDAYIPLTPQYFADAVEHAIKAVQARAAAAAASKPRPRPRNVWDASAAVQSPPPGPTPAEILTWLRRDARWERVGEWTVKETLEWAKNEGRTSDGDENNDVPLADLNAPGYKKYGTRYRRIQRAGRANKGSVSTFQAISTSLSTCAAGAGADVGLMSSPMNASSSISNSCAVFGFLGTRGAGRFFVLTGPGPLWMDKVSISTKRRNEAIDETRTSIWADAGCHSGSAGREEGASVSGQSEMRVQLLVGRVEAPTSAGCRIRSTSVALCADEYMNGVKGHVTKAWGAARNVLYPWQSSR*
>EED83104
MATRQREFNTTEGSQATHQREYTTPRAGACAAPGQASPSRHALDFRIVAPAPPRREPPPGSVFTDYPENARIPLPRLTRGARSAHCAERRRTTFHVRAGAPSGGVLAPVARTARLASAGSVPVVARIIACPLPVTRPSSPRPPPASQRNLHRPRWHPRMPSTARACHPPTRTRGILQLAPAACCRSRLRPPLTRIRGGVLRGRSWQMTQARDARADGAAGTDGHDTQATCTPDAADRTRLSRLIPSEGGHLGGVLGVCGRALALDAELRGVEGREADGDDGHARDHGRHVSINSSGVLWEAGRGRTPTLPPWARGGWGAAPGMRVGYAVFMVVLGREVAMVYTALMRMWDVDHDIMDCARMSSSHATHACFPGFDKAEAQALIAYKQQIQPSDQVLVNNLSAEAPTSTPSCPISCVASHPNDREGDFGGRCSSDHQASSGGSNGSKEPAKLGGWLRNRDANGVWRGTRRAGTIGAKVLAILQHVLGSFVCDLCI*
>EED83105
MAQQKAVVLPKAKGDWLVDTVPVYTPGPGEILVKVHACALNPVDWKIQAYEIYINKFPAVHGVDIAGTVEALGEGVTGFAKGDRVLTQGVINEITHGGFQQYTLSNADVTAKIPDNISFDQASTVPLGLATAVINLYSAGLALTPPWADGGAGKYAGKPIVVFAGASSVGQYVIQVAKLSGFGPIITTASPHNAAYLQGLGATHVLDRALSGDALRAAVKQIASAPIEVVFDAVSGADTQNVGYELLAPDGTMVIVLANAVPEAKQTKDRKIQSVFANVNVPQNRALGRSLYAQLTGLLETGAIKPNRVEVLPNGLHGIILGLAKLREGVSNVKLVGHPQETA*
>EED83106
MAGGAVATTGSDAHYAHLVDPNRKWYNNKRLIYLHFWILLLIITSSTNGFDNSLMNSFQSLDQWETYFGHPAGGKLGLLNAIQNIGSLAGYPFAPYVSDGIGRRRAIWVGATIMLVGVAVQTASYNLSMFIGARFCIGFGLTFAANAAPMLVTEVAYPSYRAQLTSLYNSLWYSGNIIASWTTFGTSNIASTWSWRIPSLLQGLPSAFQFCLVLFAPESPRWLISKGKDAEALKTLAYYHTDGDDQDPLVQYEFEEIKAAIDSDRQREII*
>EED83107
MSIAEEKADALCYYDYCLNISREVELVWKAKLSLGTILYYTLRYPAMLFPVAEIMMRVFWPWQSDQSKTLLQQAAEFYSALRVYAMRGRSGWLSALTLVSGLINPAIFIYLFTRSIPSWKEVQGFGVCNSTIAGNNLTFENWAIVARAASLVSDGFVLSLTYATTLRAPKRFGPAGHHDGHGDITTILLRDWIVPIGT*
>EED83108
MCQVPPKPGVRGEPPCNILDLLKPDVNLPVSEFLQLLPVLPAAVVWPVVSRSSTPQKADCEHYPENLQHINRATAAFPFPLSPLMPGMPLVSDSERHTARELAQRIMLSKKWAGCIIDILTLLKEVTLERSLRNARHSSPRATSALITMLLPSTDNHVLQKLSDMMGDQYVWKDLACFMTAGFRENVTGDSPNRLRPLLKADGALHRTAEGSSQAGSERRRTLLSMPSGLRPGTVVRAIHQNHSFVVDDSPVYHGTLVLQTDLSSRDRLSHAIDLVGERFDAGRKRRSMSGIPPESFSRGHSIILGTVPPYYAVPSPRLLGASTQDSWIIVGPVALIATLEVNVIDIYGDRLAASLKQGALCRWFEETIIVWYDGTYWLDDLQDTQYYDPFLRMVWKRRSFEAAENLAYTARRIYYERVFDAKQKLARLAVNDVYFCLFLPMFQEVLGYELVSEEDVGKRTTYGVRQGKPVLISMKAPFLVRPFGAWTRLYHDPLAVGFERRANFVRSQTWVTVSFSVITSLGPRRDASRVAMELHPEEGRRRVLRGNAILQISTTMKGRTHLILYSLSLIATDEPSFEAPSSSPVVTSNYWSSRHALTVKMVQISSISVERPTQIMYAAPSAAFMNPSPQLHPYSSVTPALFFENYMLLAATSLYCYDYCLTFDREVKFFWGRRPSLAATLFFTFRYAALLNTIPEIWALCSRTLTEQHGKRGRPRLKTLVLHLLTASDFSCTALLLLEAILDVLVLVSTAIRFDQVQNRVFSAAALPSVHFRHPRRYTKL*
>EED83109
MPLKMADVANLFVRLRRAQARAAAQHIDSTSGDWPLTHAALADDVAMSPRKVPLVQEDTFVCKDGVDVAKLLRLARAALYEEAQVIGANVLVDEQICLHRNDPRMFTVHIRYSASASRSQKRDPQQPIALDCARSIPGLMTILDRLEQ*
>EED83110
MPPPEAPLPQPQARPQRSNFNAQNTVSGIQSSTSHGNQSATTKNASVGSSGLSSTTSGYGGSRAPTPSLGGFNFPPGVSPRSNGAPSGPARHSGQGSGSGIGLKRNADAMQGQPNSASRRSVQGMGLAPHSGGGWSGQGGQGSQRREPLAALELGGAPPCIVAFRTYLVGRDLEGNRFYEYPSVSDDPRRTKRVVKYKHAEHVWDYASMQKQLAGVSSLSFLAPLGPNIAWSLTSTMGCLVDAYSSPSTCAGAPFHIQELQADLERQRRVQMNAAILDARDREERLQQIAAARQDTLAHQVSAPHASVSLQSDNTTQEPQSRPERAKGGSSQGRPETHSHTEDPWKKASHTSDEPQAWAPKALFTISVSHEGDPAMPSTHRLPLVWQLFRLLLSSPSGAIRATRERLLWLWLFVKRYIRRLGWGNYGKTRPDNRKQVDRDTRVDEDVRSGVVEAGNGSEIERAVIYCSKEPVNSHLGLHIEHNGNSPRLLSTRDIPSRPASRPVSAAYPDSVGFDGEPYSISVQNASQSSIDIGLATLSDHGIHHRDTRYDHLALSSRPSSRTSSPRRRDRGVLRDVSGPTSSRALARSKSRARSRARDSLAGSHRGSRLSIASFPPEHKSPAHSALNQALPAGNIPIHVPLEPARSQKMYAILQIKRYLKGQKIDDLESNYVVKPLQLLYPHDDVPAGWTALTHPEGACYFYHEEKRIYTDAYIMEPEIMTEIDDFIANLEYMAHGLGFQMGPNMELVLELEDNDRPHIPTKYHWCYYFVDNDTRTLFWLQEFEIYDETPWDELQGLNTASHIMIQYVWLHWDMFPYKHDPSKALVNELLSIIVHSTADQLTSTTSTIPYHADELHKMMTIVKNAKAMSFPENRIPTLPLAHQRFLHYHGQKAARLSRDQSVFDKGDEHRTLLITVLAPLLFNAPDVHLKALEKIWIDNIITIIPWSHFMSKLQTDWQEYVLFATVLLNANISFMTINDVDSGSGPRTPAQIASFVSTIASIGSTVIGLLLIRQYRLKPKDTAQDALNYLASRRHPTLGLETLAIMYSLPYALLMWGMVTFLIAFAFECFETADKPSIIVSGVSWGLIGILIAWCIYTSWEGGETSMREWILSHWDTFYATMSEKGLWPKAWLFRRQHVATPQVEEMGFSLP*
>EED83111
MPVRTDEPNARTKHKVLSVSIEYPDLEGYRLDLPGTHQDSHKICSLLKAIDHFHYLEVDFTILIDDYTRPAELLPTRKNILTQKREIIMSSTLSFLVTLRFKDRHNCHSSETISRMTRRLEQIIHKLLVEPVQAKGAHFMMIFDCCHSASMAELPYHSFGSLAPDAAQSSSTTVGKVETQYGLKERSVSRTALSQAQELWAACEDEELALGNRNGGLFMTVTTSALDWLCLVHVDDTTLQAFTHVLGRNPYMTHQELLVRVT*
>EED83112
MGYSSTKCEAPAEWDVSLSKSLRPTTSVLTTRYQRRSRVDPVQTQIAAGLLNDTQEEGIQCLPKGWSSWIGPEGQRYFVFDSTIRVVTEDYLYSSDVQDKITFWINEFNNRLETSQICVPASAELFLALDDKSDSCLYYLVDYATCVEFWIEKVDTEDLDMMPAVSTSHLRLQLKEHFWTHVEQFPCHSLDRLLVNRAELIQVFLHARNDQLTSASSTFCYSAKQCADFLELLDAAECATLSSCSVAMTARLWAVVFYGAHWQAAMAPIRDEWIFCTFLGFAITMVDIMSFLLPGTWLPMALASTLLSVVAIVSAVSLLVKYQEAIKWEATDAAEYLAEAARETTGFQWTALVLSLPRASLLWALGLFSLQGFFWLLEATNSFVVGGVMVAICAIVLCSQASRLSFAWLNVPSGALLREDCAV*
>EED83113
MTRVAMRTETHLPRVIPYQSRRLLLPHLDRLLRTHPGRNISQPTATTAPQSLTRTTSPHTPPHGVCFISPDCQAEPRHTNVAAFVAAEVYNLARSPSPSKIDRNEVQQPSLSEIAPMGQKFVPHWRRALPTPGHPAGSPPAPLQPQQIERRSTVSGPVPPPIAQRQGNAIRPLPQSPAMPGAGHGRSSSSSVHPLPPLPSAAPQTPAQSNSPPRRLPQPNIPRAARPGSSSTINSGTDSTDSEDLASHVLLSRPQTRPPVNGQRNGRQSPQYGILDMPSRMQSSANGSAEAQSVTLRLASMSVQDNQHGQTRDDTVLPNPGEQSSRPPSRTHGYSQSVPNASNVVLPHPMPPMTRTYAQSGATRWPAGLPPLPKAPASNDGGGDDPSRRPGTFGRSTPTAGHRPPILDLSLDDAPPPSLRRSPSPARSAAQSAPRHKPPSPVDPYRTAPASRSTGGRPQPASIRTQALNGTSSGPVFSTRHELPSPSRSRAESPVSATTPSSAVSATSAFTLSAFPRPPSSTPPSSRSSSSPRDPQSAGSAVSPLSAASPASANSTSSAFTLSSFPQPPSQVPSDHTFGRRIVGPSDQDHRDGAGYRQAIPKISFPASGDDDDSEESDSDFGPVISVSGPGDHEPSIPSISIGPVDDTPDGLPQFSLTDETTKHPEEHVTPLLRAIRKGPGLTCGGCGGAIVGRTVSAMGARWHPGCFRCCVCNELLEHLSSYEHEGRAYCGLDYHERFAPRCYHCKTVIVDERFITLDDPELGKRTYHDMHFFCAECGDPFLAPSASSRAPAGGQTFSGDGIFSGGEDDVGFTVYRGHPYCEACHVRLRLPKCKRCKKAIRDGKRAVEALGGKWCWECFVCASCERPFDNPAFFQRDGKPFCEHCFSIMIKNEM*
>EED83114
MSQNTNAPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVQYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQNSRGGYVHLTRYIEHTYDSG*
>EED83115
MYSTFPTTEHALLLLTLVAILYVYRSVVRRRSEDVHRLLTAPRDVASRWWGHELLAFEGEATEMYTRWASVCGPIFKIKAALFHPDIVVVTDHAAVQHIFQNVDDYVKSPAFRPPVANVLGKGLVWAEGDDHKNQRRILATAFSPEAVKGMSDDIAECSEKLESRLTNHVLSHGGGSTINIVEHTSTCTLDIIGRVAFGYDFKAGQSTEAQQIRASWEGHVNSGIQFGAFIAMLVIRACPSVFLLPLPAIKAGGRIREIVSKLSMRLLRRGAFNDRGRDILSILMKNDGARAAKEERLTPQQIVDNISTFMMVGHETTAGSLNFTLLELARRPGLQRRLREEVRRKGRELTYEDVQRLELLDAVVKEGLRLYPASPQTERVALKDDVIPLNKPVCTSDGTSITSLRVAAGQVFHIPFTTMHVNPAVWGPDAAEFKPERWFVPGGVPPPSELPHGWSGLVTFCDGPRNCIGYRLAIYEFKVILATLVRSLEFRETTAEVHTRISPTLQPVTDGQGGLLPLYISLAA*
>EED83116
MAHSRTHSVDTEMHELNQEADYLEEKTHIVPGPNGSDAKLQRHDSDPDAQAMVVASLRSQIQDLFSQVSQLNSKLVSSYDRVSDLEDELHVTSANLRSTTLKVSELELERSQHLSALSTGLLVEKDHVTTELNRLMEKATEEAAQRGQAESARAEIEKDLDDLSANLFNQANNMVAEARIAQARSERKAEETERALRQAEEVVGLLQEQMQALQGEKERAGRAVEEMRITMGKGKWVDRPHEAMQSSRPRLLSSHAPYQEYLMFISHLRTIRPASQHPPAMSTLLPLPFLARLVTEDSDPTVRLDLAPSLNWLSRRSVISAIHSGQLTVEPMSTPILLEELAPSTIPGAHHTQISCALCGTSIISPPQSDSPTAVAFPSTGGPVRPHGNNSWSSSLFKNSIVQTISAANHGVLTHSRSQSPAPPITSEPPAQVYIFRVAATSSGLPVSLPLSSQQTSAQNRPTIYPLCTSKWCLARLRTTCSMWAFVRTSMIEKVWEESPYVAPVRPSPAGTINGINGLDKHDAVNGVSEADRKPTVPPRRARMAIGALWGSVSRSLSGSGKELEAELPKAVPEKPLPKSPTTSLLPPPVHPSLSAPARTSTVPQTPPPLPKRNRGRDTKSKTIASPGEDADPNPTLDRKASQDVFTTPAEEPAAFDDLRAPSPTSVPLPPSSPPSPEPHASVQPPSTTHHMQEGTGSSRNGSPAPPPLPRRAAARPRPVSVIVPQEPAAPSETEIKQPESVVNEPKETDDNLSVSGQVGEQAVTTLRAEAKGTNDAPQESEAPAAEAADVAVHEEPAAPESQSVELASHEQEEEQSSVETKQEVPSATDAVPETAETTKIDEVLIEDADSSRSLLDTSSLNGLVDGVEGQSVQDRKNAADAIGLTDHQPAADAQAELLSGVHDELTDTPAEEPGLYVGDATWEERAWKELIKLREEMFWARIGGVR*
>EED83117
MPDDHKCKKRQVHLLSASVWEPLRRILALSHEKATGIFTKFDASSTPSPQSAKGGRHREPAIYNTLALFSFDLCRLRLLSSSPSSNMEKEYSPEPGWDTKMAADIDALAEGMERFNDAQANQYWETQGEGVAVSTAYQEIPAGADLLLEVETPTSSPATSAGATSGPSDTSEDSYSTSDVHSRGYGSSVSSANAPGLRCAEQGAMTLEHENHQIGNTSGHTNGANSGSEVVNDANVTDSEPGCADNATRSDSRDNSPPTALPIVDEVIHSERINQSEWATLPRRVTRSMANATASTSTQAAASIAGPSTVRRGQKRKSPDDDEDDEDSAIENRGADEDQELEDDGDENTRPVARGPDGRWPCKEWQCSNTYTREHDMLRHWRSCKMRPAHLRASWICPDCGKSYSRRDAKGRHKQTACKGKDPAEGTGGGRGSEGGKKRGGGGGGRKRARSG*
>EED83118
MAHSRTHSVDTEMHELNQEADYLEEKTHIVPGPNGSDAKLQRHDSDPDAQAMVVASLRSQIQDLFSQVSQLNSKLVSSYDRVSDLEDELHVTSANLRSTTLKVSELELERSQHLSALSTGLLVEKDHLTNLQTFGLAIGQSQGAFVAVSFQTPDQLLQFLRAYPVAAQSRRPFTSLDFGICLENSTWGETVVDIPGLNVRWILGPHGGVSQLRNQSCQPRSTHNGKQRVPPKSGEKDSTRSLTTSGKFKEPQDARNEKQYILRERRGSILTGGTSGFSLLLRGPASSMQGGTYGGALALVSHSGTRSGGSREGKFGCQVVLGVSTKGRPRTATFSRFRACVDARHRVRAGVFLRQQVDLNMVAGTANDQRAVSKTSKIMPNADGPSSFWSLAIPPSSVLLTRKSQPQVLIDELIIGGATIADVMETLESLQEADNQAEPPLNVAQLQDHDEQVVIGVSIQEYYSWDSAFTTDTCDDKHTQEWSILESDRSNQSPVGEHNMTINADAELTVSLSMEEGTVGAFGGDMYVPPDVNMARSSSMLMPREDGAPVAGPSRFPGALGDSSGRHGERADLPPIQTSRGDPVSIAIAPGQVVLGVSTKGRPRTATFSRFRACVDARHRVRAGVFLRQQVDLNMVAGTANDQRAVSKTSKIMPNADGPSSFWSLAIPPSSVLLTRKSQPQVLIDELIIGGATIADVMETLESLQEADNQAEPPLNVAQLQDHDEQVVIGVSIQEYYSWDSAFTTDTCDDKHTQEWSILESDRSNQSPVGEHNMTINADAELTVSLSMEEGTVGAFGGDMYVPPDVNMARSSSMLMPREDGAPVAGPSRFPGALGDSSGRHGERADLPPIQTSRGDPVSIAIAPGLLYAEQGATTNEHEVSIDEDEVEDDLDVYDDGANDNEDDTYNEDDAYNEDDAFILDTDDEDNEVESAVLDDDVKFEATAHSPLVAPPLDRPITHSHTHAFYGNSGLTMPDASRGKKCRADEDGEEDDADERAVKKARKGKAKDISQTKQKTKVNAKDTAKTKGNAKAKGKAKRKAKAKAGNAKIKVRGSGGQFPCLKPGCTKSFERITDRNRHLRSSCSKEKTGDLEKPRCSHCNKQFSRDDAVKRHIDDGACPALKQPPGESKQSGASSDGNDGKRKGGDKGGRGGGNGNGGRGGGRSGRGRGRGGRGRERGGHA*
>EED83119
MFTADELIIGGATMADVVEALGIPQDANDHHAGLLLHGSPFGDHDEQMAIGVSIQEYYIWDSTPSKDSCNDNHTPEWSTLEDQGLRQSPFGEHSASIHMDAELNVGLSMEEGTAGAFGGDMYVPPDVNMARSSSMSMPREDGAPVAGPSRFPGALGDSTGWHGGRADLSQTQTSCGDSVSLAIAPVTIDEDEGEDDLDTYDDGADGNEDDAFILDTDDDDSMHLVSNEGESAVLDDDVSIEATGDLPLVAPPLDRPITHSHTHAFYGNSGLTMPDVSRGQKRRADEDGEEDDADERAVKKARKGKAKDISQTKQKTKVNAKDTAKTKGNAKAKGKAKRKAKAKAGNAKIKVRGSGGQFPCLKPGCTKSFERITDRNRHLRSSCSKEKTGDLEKPRCSHCNKQFSRDDAVKRHIDDGACPALKQPPGESKQSGASSDGNDGKRKGGDKGGRGGGNGKGGRGGGRSGRGRGRGGRGRERGGHA*
>EED83120
MQVDGHDIIIGGATIEDSARVLELEMDTDFFMPIWAPWPDDQIETLQVTPSLPIEDHAPQRIGTNYQNEGGMHEATTDEVIGVTEWGSDIGEPPVNTSAHGYGYAVSYANASGLQHTEQRATTLEHTDRHIGHNASLANKADAEVEADSEVTHTEQSEFETTSCTTNIDTPAELPSAAPLYMLPSTIDNASTNQSLDDSEHDTPRTVGEVISSRRIERSEWITWQPRMTRSMTNAVPSSSAQASTSAAGPSTSPQGQKRKSPDTDEDEDLPWQHEFRDEEDAYEEDDEENGGEGGDAIEEAEEDNGFDVEERHLRDTATAGPDGRWECPAPGCGKLVSSLEIMIRHWKGCKKRPDPQSIPCPGCDKFFARGDAMRRHHRNPNACEGYVADDEVRPKRGRGSKSGRGSKGGGSGRKRARRG*
>EED83121
MWEADGTVPHEISDTLGVPRDVAANLAELTRSQMNNNTLIDRDTQVALGLYMEGNDTWDLGTTMVRDMQGLSTTEEAESPTSPLSGKNELISVYEEVAMSVAMEEGTVEEYGAGVDITLSMYQSSTASAYPADGTPSAGPSRFPGALDYTLGWAQGDPIPSTTQSLYWSRDSVSRTIDPGLLWTEQNHIEMRYTSLLDDEDEEGNEDLEEGGNDEAYDDDASILHAEDEDNAPLVSNEDDSAIVDDDGVSIEVAANLPLFAPFLDRRTTRSQTHSLCENNGLTMPDASRGQKRRTDEDGEDDDDDEKAVKRARKRKAKDTGQKKQKTKPKAKRTTKAKARASSTKNKAKAGNTKSETKRHSDVRAKAPATSDAVVRGPGPSRFPGALSYSVGRVGRSATSSTTQSVREDRELVSLAIAPGLLYAEQEATTEEHTDPVHDDEDEEEDLNGYNNGVENNSESEDEGEGETSILQRVNDNNALLVSNEDQSAIYDDDDDDVSIGTAANFPLFAPPLDRPITHSKTRASCGNNGLTMPDASRGQKRRADEDGEDDDDDEKAMKRARKGKAKDTGQKKQKARAKAKRMTEAKGKAKASSTKNKAKAGNTKSEGERFPCLQAGCDQTFGRQSESSRHFRCSCPKRDPDDLEKPPCPHCKEPLCRGDSVRRHIEEGACPALKQSPGESKESGASSDGNDGKCKGGDKGGRGGGKGGRA*
>EED83122
MDHLAATNDPLDDERSRLLICTGIHVRGRPIDIAARSKAKVAGHRRGERFSLALRIPELEDEDAYDQDDGENVGEAILEAEEDGRFDPEERHIRDTATADPDGRWECPVPNCGKLLSSLEIMVRHWKGCKKRSDYQYILARGAASCSRGAMRCVGTTET*
>EED83123
MAPLLSDNPTPWACNTTVAGPSTFPGALGHAGGTAGVDHAPPATDQSRRDHVSLAINPGLLYAEQGRFTNEYATTLDNDEWDEEDVTGSDYEEVAEDDRHDTSIAHDIDEPNTYGDDDVRTPSTEDGPITVNDERRSVEFHPTAHPVEGRVTRSPAALSRNASSTKHNDKGKRRASPEPCNKESTQSPTTSGKRKEPSDPRDDKQDVPSRRNYTGGNIGTGNWKCLEPKCTRNYRREADMKRHWQWECVVRNPKLLKVVLCEYCGKRYVRPDVLRVHLRTCKIKKAQEESQAGGSDDGGGGRKGPGGRRVCLPDRVIGTHRIRIASFHPFRSSACLDPTSYELDASRLGERGCGNIFMANDLSCGVRPASSSKYREQGLRSIFERCGRADKNEGFVSRVGDGEDERWRYARCRDHVRYDLASQSYACRPFSPHGTVDSLLDHTKCLRASRGQLRFHDECGAGDIYHPRKRVKYSAATPTGRTPDARCIRVNYTGLNSSPAQIPNLPQHVHLEVAIGGEAADIQKGVAIATSATEHHEIEDNSLLDVVSNVLPAQPREVMTSGQTLSVFVLVNVASDGTR*
>EED83124
MPPPKTAQFLQNFVLCLAETGTSGITPASHVRHLWLGPTSSSAEHDLSYASSAWPVTLIHRILAHCRELRALALINLAQHLVYRIEAMIPPTVEMVHLGPVHGHLDFRKLACAARLRTISSLDTYLSDWDVQDLVLAPHIRRIRRFYTGSIGQRIGLAFEQLPCVKKSTSLEEMQIIVCGETTEGARQELAMMAEEFMDNADARVELIAMARRPDGQMDGIGAFHDDWLAELGLCLRRTQVDPVENGRMTLR*
>EED83125
MSTWYASLPILFSNLCGTVYRQGNIVFTPDGNSVLSPVGNRVSVFDLVNNKSRTLPFENRKNISAIALSPDSNVLVSVDDDGRALLVNFRRGVVLHHFNFHKPVKAIKISPDGKYIAVSHDSHVQVWRTPNHLIREFAPFNLHRTYTGHHDEVVNIEWSPDSRCFITASRDMTARLFTLDPLEGFRPKTFAGHRDIVINAYFSADGKTIYTVSRDGAVFTWKAKVSGEESDSDDTEPIASSSSLGDTIAQTRWGVHKRNYFNQPGTRVVCSTFHRASNLLVVGFSTGVFGLWEMPAFSNLHTLSISQEKISSVAVNASGEWLAFGASRLGQLLVWEWQSQSYVLKQQGHFSTMNTVAYAPDGVNLATGGDDGKVKVWNSTSGFCFVTFSEHSAPISAVEFAKQGQVLFSASLDGTVRAADLIRYRVFRTFTSPTPTQFASLAVDPSGEAVAAGSQDNFEVCLWSVQTGKLLDVLAGHTAPVCALAFSPTGNLLASGSWDRTVRLWAVFGRSRAVEPFQLSSDVLAIAFRPDGNELAVATLDGQLVFFDVIEGRQTGAIDARRDAAPGRKVGDLTAAKGGGAHTSLCYTADGRCVLAGGRSPYVALYDVRAGVLLKRFRTSENLNLDGTQDMLDSRRLTEAGNLDLVDERGDESDPEVRRQRDEELPGAKRGDLAKRRVREEVRTTCVRFAPTGRAWAASTMEGLLIYSLDEAVAFDPVDLALDVTPQSVLSLLASGSYLPALITAFRLNERALIHRVFSTIPRDDIRLVVRGLPQVYVAALVRCVAEALEGSPRVEFVLVWVKAVLGTHGRFLRERAGEHAGVLRALQKALGEFESLLMKLCEENNATLAFLVDQVKLKQNTQVDDGHNAAMQENSEIGIQRLREGDDQAVLQYQRVTSPPQLMTSCDMNQMPRKPVQTQGQISRGSPRKLAVGVAAVSAGLDLFWYSQKWSQRQKEEDSSPGQIPTWEYRLQQQNTPESSANDPLAQRVSGTEPVARPKDIPLPGSDSAESGSSALTAAHGGKGSDATQSSSEHVDQPPQQGRTNDTGDQAYTKHSEYVEGNEPKKQ*
>EED83126
MGLLIAGARSTGTTSSWLITFLAGHRQWKDRTARELHQLLAEHAPSTAPSTPRAPAHTARSPSPPPLADVAARLAALPLAAWEAHTPVLDAVLRETLRLAQPHTALRQNLSAAPVRVGGVAVPPGAFAVFPFSDVHLSAALYPDPWRFDPARPARTMPFAFVGWGAGRTVCLGQRLARVQLKLVTALVVLGFDLAPVDRAGRELAELPRPNWNDALACRPADGSCFLRYERA*
>EED83127
MVVATSSDFTTVCIPLPRRACPPLLDLLEHWNNEEADVLFESSCERPSSHQDDADREPPLSLEQYKQEVVMRLTGQITSKLRCLRSMLKHTDLSWEKHNALDRFALELDDTLRALRTSVTIHVTEDDSDDSSELDLHDAINIAVNGAEVIPTRNLVSCSTITPNQEYDSTKVATTEGVHLCKPGAFHEERTGNVRTGFKERWLSSTPHLARLEEGQAREPRERALRGSATPGKRTLRIGRGRSRTAKFHKALQDASRLHI*
>EED83128
MAPIPSTLDETTRKLVESLDRRRKDLSEFQIQRLRTCTGPLPVQQQYAAELREDLDIFTRQVESLDVAVDDQRTERDRRELRRIVEEFRAALVSLKKDTRAALLASKRAIDSKQVSNRDELLRSSAVREKQDLNEKVTEDALMKANTDVTEALQRTLTLMQGELEKSVLSIQLLDSSTAALRSTLSTHDVLDGLLTTSKHLITALEKSDWLDRMLVLAGLVFFVLTVAFILKQRLVDRSLRIAFWWTRFLPDFSSDAGLLYAEEGKRAVSSAVSSVVATTSAVAGSVAAVTASATPSAFNETTSPPSSASQTPLLDTLSTSLLSTDLSEPTPDLALSQTPPASSSMTDSGVHDEL*
>EED83129
MPRGGPEAGPGYDGVPVCAFCVDNLTITAGGKNYLKSLPLAKLKKYAHAYNITVTGIFEKNDLIDALIVAREPNGCLPRDNEEWYRKYSVPNRRSNRPRGLFTRAMDAMGPDRHPSTPQPQQPQARPQPRPRTTSGPSSFPRPDLDPRRQQQQQQQQQQQQQQQQQQQQQQQQQQHQRRQQPQYHHPSPPPRPPRSPPSGYRPQRAQPSFTSTPGLNVPGGGSQARQRARAASTGASPRVASPEPPVPSLDELLEMSDDATAHLSIHTLKQVLFQNHVNARLVVEKSELVSKVKMLVEEERRERERHAREAEEEAEAEEQMRREIEEAMQRSREEAEERQRRAQESHVSEATTPEEPLEDREAEHMQADEHSVTSHIQEEGRSSEDMSHHSYESQPPPPPPKPAAPSPPPKVLTPNAQAMASRLERTGLCVICQDEEANIAIVDCGARTHRLDYIRALFYLGERPGLPSASHVTQHIQWHQEAAGLPYPATGGNYMGAGTSHHPLPSSLDGPLENLRTYTSQRLEGLDIAVNGISRSVLHAREQAHEDTLKLAGWLERAHKQQLSATQQVFERVEVLQGAVGQLHSLHGENTLAGRLQRIECVLQELMENINDPDAARDVGSFSTGAVAAINTSADQIVPAKQFKDADVGIDATEHTSTAPLIRFQSQEAVDMSPDPMDPWPVAPPTSSGPSQSSTAIDPTPSATPSASGHVSAVASSLSSMTHIPLPRGSKGKSKMDTNSRALLRAASPPRRTNPSPQSSLSSLSSLSLSPPKEPPSTLLPLSLPLPRRNRAPPTGPVQMPVRTASLHSEKRALSRSVGQPRGRQPSPDLEIIERPVISVQRGKTTDVNAARKSVERLGETHTSEVKGVDRPHKRRKTEGNTVDLAPVKRKLGRPRKTLDVVPAMAPSALQPVANSSKHNSISQKPKLPRSNARCKWPEMIEGDAAFQREFVQCDNCDAWYHFGCVGLNMNDPRLENCHLEGTAEPDTKEWFVDRIIGRRPQAGDNVRRADPEFLWLVKWDGFTVKDATWEMPGNLGSAARYIDEFKVAAELEGHSLLDLWEIVVLNEAQIAGW*
>EED83130
MEPQWTTLEESTSISQVGEPSSSKRAPQKRLPAAGKTLVNELYALHPYPPTELKETYLKRIRALPGCDYYTLDKLNLLLYSRRVRSGLAGNGGRQLDVHQPTRQTTSDDILYPSFKRKMDVVPKLNVLISEKPRANDALIKVWAKRLDVEEDDVLTWVEAWRAEHEEATSSVEPARQSAPVAHLPTPGSSRSPEPLFVHIPVAAKQELSALLTPSEPFFEQGIPSKPSPTDELRRFIRSLPTVDVQDLEPPDFENGSIEDINKWFDGHTERMSMFLRHVESGRYKRYGLKPSFLKTSRESTKQEETGVPSRFDQRIGPPSPNLVRIVRSDG*
>EED83131
MAPPYVVSWNQWGSIPPSDTRRQQMQIFKAALECHIVAGFCAASISTTSNDTAKGNSLMIPLYLALLPAFASAYTFNFTSIPQQCENLDISIAGSGKPPYSVTIIPFGPSPLENNTEVRRIFQTSFSGSSTSFNLPYPADSQFVAVVSDSSGFGSGGTSIAATVLSSSAPVCYNTTEVSPAWAFSIVPANQLVECSPTRIWWNPSVVQGTPTFQGVIPGGQSFSIPESNFSTVPEEGYGFDWTPSVRIGTTVILVGSDNSGAGTGGSGLYIVSQGSSQSCLDASSPSSTPGSPAGGTYPTSTSGAGVGDGNSGSSGSHTNVGAIVGGVIGGVGGAIVLAVLLLLFLRHRKRASQTPKERPVDLLNDTSDHDHDDGGLPQYYEPEPYVMPEPTVLSTAEGSSQAGSERRQTLLSMPSGLRPGTPDSLGMRSGTTGSRKSPAPPTLRAVNIVQHEDAGPPEPADQEEEPETIELPPAYTNLRKQPPTQAGTVVRAIDQNHSLVMDNSPRISWHFSFTCIALGTVPPYYALAGPRLLGASTQDG*
>EED83132
MFSASSHTSVLYPVHLPQYDPSAHSHADHSLPDPRVHGVPHGMVHSFRIDPVLTFSDGYALDVDADMDAGDTQLSNDAHIGTSADSNQRKTPGASVITPVPYGEGMVEVVWSFRSVNEDEPAKDEEYHDAMDKQQEPDIVQDPGETQVVEQASTATTIAEEACPVSAPGDVSASTSEASNTSLLPPRMRGRVSEAHTERRESARLRQKREAPNPPAPVPGREKRKAPSRDQNADPARRGGVCEEEGAEEGAEEGAEKDTEKERDRKRMRRAPLSPRKNTGASGSTEDGLDDTLSQESDIVREGENAQESTNDARTHAYMHRVLEPTPTAPRPHSFAIHPAYPTREAGSSSLAHAGVSWQGRRIPRLLLRTPDTLNAGLGQPSLAPATTQPYIHGPQLSLRFPRPQSTPNMRIHHPSYGASTSSAGAHGTPEAQLQSVQVPGTRLFRLNPAIVRSPSGFGFSGPLPADAITPVPAQMRWDAQEPASSEAWPSSGPPGIGVTQRRFPTPSPGMIQGSAPAQSLDPVSPSEPIYARPQTLISTPTSGSMLSSSANIPSSSAGQMPVPIPVQTPMRPRLRIQTPVHGPAHTSPLPPPPPQQGAQNPLQETLPAPVHRSVPTHTPAPHPHAMPPPMHVHTQPAWSMPMRTSSFGHPAAVPQYARPMPTPTPAPRTAGSDPGQPTGPCSVPPTPMPAIRPLPALTPEAGPVPLPCYWPPPGSVPYPYYPAHLAPPPPHYAAQQMAPRPGSEPGWESTFRALRQSGARRVPPVRRRRQAVATVNAAVAEPRSEDERAGGENASQRDAEERVNSDAGRSGSAASEGTHGSDIFVGSAQIQAAYHFDRHPEFGYMPAMAGYWPGLPIGANSKGVLHCPFCPRTFQLPNGLAIHLKWHWGDTRLEWRRGISKTGKTISRALEDAEARKRASEAREQEEAPELCGAQPSGTAMDSADEQDLDVIMQCPSLPANYPSVPLSANTHATSTSFVMPVIALATPRVGFGFPFASPVLRAGDAFTGPFLPPIQPVGDAFEFNFGEPAYDTHERDSSGSLFGGEDDLDRSPAVSIRGDRDREPSWSERLFGPAGGDYNENEDERNINISPSDEDRQPSPVGSVVTDNDSGLSPLADFASLQLLPEH*
>EED83133
MSALGDAEYLQQMYFQNIASAACITVYTYELVITIDQQVRFFVHRRFSAAVVLYTSMHVSAMIFLLSWLFGWVLQDCHSVFIGNLLMLIMDAVLRLVFGVVSGFRVYAINGRKLLLPFVIAVLYVPDIVEWTTCIIVSELLVVVAIWRVTYGIRKVTMVAQSRLTVTTLLIRDGSMYFVTTAQFFPWIGSITTTITTILISRMFLNLSSVYYPSTELMSATATRHPTDTMDFGSSERPTDAFSTDAEILSDVVLDGGIGTDGYVLELHGMTSSGKSYGPSESNETGQLEYVA*
>EED83134
MILSIYAFGSISEYIIGKMVMLYAPPDSDTSLDRRISLDNLDCMACGRINSAGTSPEAQEVMASTSPEEPVELYAGLLNTIPELLGLIPGPWLSQQYIFTRSNVVFSEIKDFQACTLGILGGVQSYENGMIVARTASVLSDGLVLILTCTKTVRRKREVDGYLFGWNVTLRAILLRDSNDEPFESRHALDMRDVNAAESDFYEAEDMLERTLRFRGICDDENEELQGPALEGIIGITQSSAPPTSSPYDAFYRPRDPSVQYVVRGYDGRMPFADKAFIRYSATILLRIGAEDIAKDPESIRHRIVLRITVVKRTNTQTDSILTTLNAPCHSLITMESSCWDAQEDAGRKVGSISCIAPVNFLF*
>EED83135
MARLANNTEVLPALSCTIIIRFEMAGDVLILTSSALFTAMRVYALSGRSKILFGIVLTLGLVAPVISTYTFAVSYPILQVVTPTYQSCDIYARYTVNKMHSNIVRWAWTLAGMIGTRVSSLLFDGLVMALTWVRIRRIAVPSSDAAASKSLQAVLIKDTAVYFAYADFLG*
>EED83136
MYEQFRVLAMALRGTVVCIPMLLLDRSLEAGFRARTLRNSSHLGLTCYDVTIALCCYDYALTLDREVAYMWRSKQSFATLLFYTCRYSAILNTALELLTRMAWPSWQSDKRLKRRQDARRLKCTDLNLLAADTFSGYKWDWIPPYWSLQPYVFTKSNPALDDVKGYQACELGILGSQHAYENRANLSWMIGARAASVVSDGLVLVLTCIKTWQPKGLAEVLVMDIGTTIREILLKDTALCFGLLCVVNIIGMATGHLTQFIEIWTTWTAM*
>EED83137
MSSAPRRVFGTEAADEQPPGGSPQRRRLSGRVSFSTIRPRTSIFGRRRSTIDEENGGDPTQTSERPAIPSALQPGESYATPLPVLSMIVLSIAMLGEFLSANVSAPFILFMVQGFNQFEDEAKVGYWTGILASTFFLTQFLTSLLWATVAAKHGQRIVLFISLLGSAVTCCIFGTATTLQEAIAVRLMQGIFAGAIGVARGCVTMITDQSNEGRAYAILGFCWGFGGVAGAIVGGSFESPATKWPSIFADVPIFVQYPYLLPCAVASSVTFTGSILSLFLGPDGGPRHGAIQLPPEKDDVANEASLPQTPLFEESQRQSLYATLKHGLSGRLSGYFAPRVQDAQESSSSPPQVHDETVPMSSTPSRSSDLGKGRTLSRTSRANGSAYGYGIRRSRLASGYSTAPRTSMALSIRRRRDSNFDGAQSSVGTVTGNFAQRLLMANENAVTNIADLWVAAAMNVDNEDPFESDSDFDADAEALDEEGFEAAIGENEEEDVFGPSTATPAMRPNRFSRRESHTSVHSQSKRPSFSATWRAPLLGSPRHPSSSGRLSFARQRSSQFSPALPGDEFPTMHRRLSTTAPSIFSHVGVRTPPAVLEAQQLLQQFDEQGPTDSLAPIMEGPRAGQDQLAQSETLEKEPTLMSQLPILIIIQYGLLALHCTTHDQVFYLYLVSKYPLGGLNLNAGHFSQLIALMCLAQIAYQFYLYPNIGPPRGRFSHLAMFRIGSLLFIPSYLSVILYRVLASPDTDGNPVLMAALALSTAVRFCGGTFAYTAVSVLLNYMTPPHLIGFANGVAQSIVSLARFFGPILGGTLWSMSVENGPAGYPIGFLACAAACALAVAHSFFIR*
>EED83138
MDDVPVEIWSRIFTLACTDNGTTGRSLAQVSRYFRDASSAVRLRSIALIGLEQTRRFADLLERTPPELRRVRCLCISHCDPPAPFQQPLYSSEEINLMPVEKLAEMFVLRQYNLANKDHIVWKLRTAEGRPALVSQLATARKGAFAVAISHILQLIGASLETLTLHMGAYYPQALHDVFLPRLSELSVVGSFLLSSTLLHSKTRKVQVLPSLTHLHLVDCPDFLAVFGGQVPRLTHLRMTGVTHLMSRACIPLSAALSAPRTLHSDEPLQDSAFPDIEQVIIQPPIHIKRPGGSRWATGLRELIESEKVVVLKADSGQPSYGFPEAQRDWLERNMGEDGCWTVNRSNVDAQLGPRKLDHLGAIMAYMA*
>EED83139
MYIWNAEKTFPTLLFFAYRYSALLNLVNELLARVAWPRWQTMTMTILSGAGVRVDESDHIHLHLRHVGTYTRLRERIHSLYSVNHWQSNDYEIRGYRATSDMGQYSYVLDTLVMMVAKGASVISDGLVLILTCVKTLSSTCTMDSVNGKKDTLSSILLKDRDVSRLQPKLLGVVNIIGIATRRIIEFVEI*
>EED83140
MGSSLYQAPGVSEVNTFPRIDSGATMEKAPMEIWGRIFSFACIDDGYTGRSLSQVSRYFRMASNHYQLQSVALSGRDQIQCFADLLENTHPRCRSVRYLYVSESLARDDIARGQDLAQRYPHRLSPAEMESLSPEDLVRKYMLHECHSDDWICIMRKFISMRGLPQMKQQLAAERQQSLSATLLRILQLVSSNLQTLTICMDKECPDAFFAVSFPRLTELHLVTTSDPTYLHINAELRKVHILPSLTHLHLTNYAELLEAFGNRVPCLAHLRLTDMGLLYSAQHILSTALSASSVTEGSMFPGLVQVIVQPEIGFKKSRACCLAGMKGAVKSGRIDLLKVNPSRSKAYGHRSCIIVLMSKKGWLKETAGGEGYWEVKASEIDTLTFQQLGRIDMPYERHTHNDRYVFGIRQIRSLKPSDIAKIHLSSPPYLMENAPICEQGCLCQPGGVPSTKLNLIIFTGRHGDNELNMVSICRGPSNAQLVLDDTSWTCDSHSPYTFHKYILRHLCMKTRIAVEEVPLEIWARIFCLACTDDGSTGCSLSQVSRYIRAASSEYRLQSIALAGIQQMRSFGDLLVNTRSDCHRVRYLYVSEFLVRQRSTVPFDSEDMEPTGTHRPHDHSTDEDRTREEHSIFVATLSRILWLIHPHIQTLTVCLDREGPDTLFETPFPRLTELDIVFEVSRSFRSRDDVREPPRIQDLSSLTHLHLDNCAHLLAAFRGRVPRLAHLRLTEMNPLSSAQYVISRALSTQSESSITASRHPISVDLDAFPTLGRIIIQPPGDVHEGRGCCLAKLQDALKSTKIVLLKPEDPYNKLLLHLDSGGRSARSKQEWSERTAGGEGVWKINTGDVDMRMFMEILQQQEPYRRHIHAETIRVCGLVPSGAARLADHGLRRTYQALLKFETVKTYAYQDDTAVGQVKFILLFSVYYDRPQRSGPWSRTVVFLLSRHYQMSRDERLKDTVAVLLDWRHSRQRSFSRDSGLFKRGFCNEHNATSPLQEQVAFVPYGHEGHLALALTLYHELTMGEESKWYGYLQSLPTSVVPNALFWGHDDAGMGDHDGREARAWLDGTEVEKEFCDEHGVDTVPLFNRLDKPCTLQGFILAYSLCDIDVCPTCGSLDECPHDREDPSLATSQIGSSLRRSEVTCDMVTNRPVLPNSEIFNTYGHRLGNASLLARYGFALEGNEHDIVSWELSIHDLLRTDEPLPLSPDEFTLLFRKLAKLWARSLSSIAGDNSTLVYRPVVPDGEEPTYTGWQSHLCINSEAQVSVHLWLYAALRAVIAMKDEGPVQSGDSETWSVGEVVPLLGRAMDAQVGIEKFMNVTDETIDGEEMVVDIDVLVSRELILEASTWTDSSTQPKRILGQIAATRISRLGKQPALSTADLGDYFDSLPTTREKTRLALAEVITERTILESCHAVWQDFLTVLVDDAE*
>EED83141
MPHHLLLLTVLCILVFCVSGNGAYAFGAGNIPGFAYLEGKAFRHGDIEDALSEVAKRAGGFALGALIGRGGSKFGGLDIKRVYFGNWLRDYSQAVDVAGLSKLQFQSLGYATEEFEVTPERLGVYLPTEHIDNPKGYPNDAQRYHPKLRGPVDPRELEIDHHTGMKNYIANGALLLYITRSQASVVDLNAELDRARSKSASQSRSGPGSSRSLADLLRSLLFKLPDTDGSGGEMERELEGVERIRAQGYGAPPGSRRPEDMSPQELHAVLWQVLRFRDNVMKKITMVIVFVFTTLEVFNDPRANLILNEPAGHLGKIILIYATTRVVKAWDDPSVNMHHVTDDILHCMFHPDFNTRNSPIQYEMMEYMRSWVQNLGHQQPEVLRRLTKQSVRNHLNMRLGSEGAASTGHGTVAQTAGMEVQNDLLSSVPGLSQASALLGKIGSSGRPSSNHGPGFPGTGMLTAGMGAVKSMPGKSPVRASALPATLPVPGHHEEEERHTSHYNRHGHHSHAHHHPGTEHQHYHQEPQAVPSYHYESHNMTMPSVGGPVAQTSSYSAASAAGYPGASHGYAPPSGPPPSFPNPNAGPQSGYAPAYGYAQPMGGFIEPRDQSSGGYGGRAPPPGPPPSGPAFHGGPPGPPPFPEGRGYPPPGGYGW*
>EED83142
MPEQAQPAAAKSKHSHAASQAQPAPRKVRFNVGSQYQVLDVVGEGAYGIVCSAVHRPSGRKVAIKKIAPFDHSMFCLRTLRELKLLKFLSEAGVSENIISILDIIKPPSIDQFKEVYLIQELMETDMHRVIRTQDLSDDHAQYFIYQTLRALKALHSADVIHRDLKPSNLLLNANCDLKVCDFGLARSVKTAEPSGTETGFMTEYVATRWYRAPEIMLTFKQYTKAIDIWSVGCILAEMLSGKPLFPGRDYHHQLTLILDVLGTPTLDEFYAITTRRSRDYIRALPFRKRKPFAQLFPNANPLAVDFLTRSLTFDPKKRITVEEALAHPYLEAYHDPEDEPVAPPLDPEFFEFDLHKDDISREQLKELLYDEIMSFRPPPMV*
>EED83143
MLSSRKMYFRYWLLFSPEPAGYTGKHREARRSNLNSSTAEPNNSSGLDSIQRFGRTERDASRRVAGVQSSRRAARQFPDSEVRQQSSAVHVTLVPQNEEILGARFHIAPCSEIREVRALRRGEFRNLATGLVKSPPPEDALNRVREEAGIGPRVGPPLLAISKGFSQDLRPPEGGTIGFSRKNSRTSSADQPPCSRIWRVRASCGGLVATDPRFWSGSNKDM*
>EED83144
MAYLRPPSSERSRSSQPTAILAILANECDIAVYTTTGCEHEEALSLRYRSHSPSPKIALQPMPTLMCPLGNVVVPIEQVPGAARDAVSSRAATPQHNVAAPLHNTPADGAIVEEGFPDIILQPISPQKVLERRYQDRYRIPSEETREDMEMIPEMETSFEHDPIDNDDWRPMEHPEGQLYYQRMDLNIHVYTDVLLQDKTNLSEIEKLATVLSRRLQERKSKLPENLEVVLDVQQTEEGTDYYYYLVDGSKRAIFWLEEVDASLLTLQLRQAYNPSYLILVPYFAFDSKTSETSTSPFNYADLAVLSNSARNLTAGGGAPAIWVVDWERFLHFHGLREARLDRSASVFESTPRVHSWLFRIMTPMLFYLPTRYAEQLNVIWVDQTVNHVPWRNFIDRLARDWQASITPASILVTTNVGLLAVNTIDTNGPRNVAEIASYISTFLSLGNIILCAILMRQHQISGIDTAAGASAYLYKRESLLLGLDIVAIVYSLPEALFLYGSSVWTRLLTGIIFALVVTLVVLVVMLELWPPITDEDVDERGCLGSIRHNLVSRAIRGTSLTQEQEIPNLFVRVAIFTHEN*
>EED83145
MPHKYRHVLGWYRIVKELRECDVHAICVFDGTQRSVAKEAEAKRRKSVRRTAAARSALETDRLKRLRKLGTLVQKLRGLKDSERQRLADILRTLVGTLADGQSDMRVLSGIISREVDSDARSSALLEKISQHTFLTHELLGAFKEPNTAEILFNDFKQPSATTGFDATLADSSHDDLSIVADEGEGMAEEESMDEEDADEGEAEAEDAIDQEDANHLRLENLSLEDRSPIKEPSEVIDVRLAEIDSPSTVRETVTSTQDLSSALSSLYLEYRSSIPQLTTLPQQSEATSAQSEAAQTQARLNVTKKLDDAQTQLKTAAISSEVLTPERLAPSVTTLPQQSEATFAQSEAISSEVLTPERLAPSEETIVQLEEQANLAMSKTQLQLTLDEGSFWGHLSQATANVDETEIVEAAAAALAQKSSALSESYERRTHPPTAETYAESKEILSAMGIPCLDSTGPFEAEALASALVLNGYADYVASEDTDVLVYDAPLIRNITNRKGPLVVISGTDVREHLRLDRSSFVDFALMLGTDFSQRIKNVGPARALKFIREYGSIERVIECERQYPPRIPPKKYLAQVSLARMVFSTLPPTPDVELLRPGAYNEEEVTRLLALRGLERAADEEWDPTATLSGNFFDDNPTAL*
>EED83146
MNATGPNVVAFTQLTITTEFHSCAAFSCLLYDFVLSMDDEITLIWRSADTIPKFLYFVSRYLGLAVQAFHAVSCIVSDHSTLYPSFINEIAPGEIAAVFAMDITAFPQFYHLAIHYPSDWPVRGCFYPEVLSFFKISRLRRIDHAGFLFWASKDTRHSSTVYHRATCLEHFPRGLGRYLGIRYTLLFGQSSVRDATNPLDLTMGRRARISCSTSDHWPQNVVLRK*
>EED83147
MPSMSALGS*
>EED83148
MQPQSKS*
>EED83149
MLCMASPVLRDMITKATDDASPPATTRQARAIVLDLPEDSRILGTLLKMCYPVEDADISIGHATFADVAQAAQKYQMDRVTETMGRIVDRLADAGPLQAYLAVARSGVPSLMVRAADRTMSHASLQEEYTPELEGAPADLYYRLLRYYDTRGTAVNRVSAKLKLTAYWDKASAADAESADALRQSCSCGGCMAWSGERKTCMESVKSWATSRAKDAVDAVAKCPEAYSVPQGGTMLLRSVQKGFWCSSCEQITSHFAQVDDYVQDEYAGAVAGIVY*
>EED83150
MDSKAFCLAIASLLNYAQNRADVLLSSTADTHSSHKNIVLDIKESVVSAIVMISALRAEFCAAAIVHGVGPGDLWAKVNETFSGVLAASRTEFLHASTGAGHAERELRVRSLLTRLCNETQRVGVGLGLSEARLEAQLHALSVLLRRVIITIGDLALAEQHSAIIEIVLVASMLIFASELKSLRLILRVLKVIYKKESLATWAQHALFGMSVNNEDVLLRLRKAGIPIRVIRVVRKRGKSLNSFDQFNNMHKLKFLGLAIGKRPARSVQSH*
>EED83151
MAYRSANRRKWMYPCLDRPPRVLNPIPLQAERSLRTQTPDSLGDDGASVAESSRKRKTEAERIKFLQDDSLSGEVEPYRMFCNGCQTWVDLNPKRKYVMQPWLIHRKACIKQQGQSESRPDISVQSDARIDLDVADKEPEEEDEKAKPVTPKSKIERPSNRIATAQRKLQIVNDPQAKKLKEHSVECAKCRAEVSLKGEVDYDLTLWELHKMTCTPSTPRLPPAPSPAVSTPAPTAPVEAEAEAEAEAEAEAERPPPSIASTDATAIGSESSPSGRGQKRAREDEGEVIEEQSPSIRRRTEFYVAPEGDSPGFIDWLVLPFRSFPLYFCFREAWPGNCGKRSDLTRGLARPVATPDKHAPSPKRQNACRGGLHLLLLLVRLPLPFPFPHLFPPVPPPFNLKFSTPNVPQNTSPSTGNPGGAAPEAGRAKTRVPPPVPPVSLKPKSTPRSESPLLLEELTPPPPTPPSTPPPPPPPPPSSRVPTQRALDPAFEEYLARSQRRPTSQVSPHLFTSWQDWRWSRLRAPVWLPHETRPGSVDASGAYGLDMDEDDDMPSKFALSACRDEPYHPTAPV*
>EED83152
MNRPPPPPPALLQTPGFAHYSLAWSPFHSTRLALASAANYGLVGNGRLHLVSLGPGPGGVPGLSIDKCSYDTQDGLYDVAWSEVHENQLVTASGDGSIRLWDIMLNDLPLRAWQEHTREVFSLDWSNLQKDLFVSASWDGTVKLVLVPRAPALAQHAARAPRVRLPGALLAAPARYHRDVPTDGTLKIFDLRAPAYAAPAPGGAPGSFTAPLSAAALTVLTLDWNKYRPWVLASAGVDKAVKVWDCRMVKMGAGAGTGAEQQAVGGLCETHMAGHEYAVRRVQWSPHRPDVLATASYDMTCRVWTTTPTAAGTHLLYIHDPHTEFVVGCGWSLYDDGLLASCGWDSRLNVFRV*
>EED83153
MFDDPPPPYAITTNETRSLTPGILQTQGSTVPHSPTSTAHLRLLGAFKALRATVEENLGAQLPDTVAGLDAAQRWAWFVGLAVDRFERWAAFVSSKALKSWVKTDFPPLDVLMVWHAYMLNPRWYAEDCERLPLLARLKDLGDRLLPAVEPEKSLGLPERACLGIPLRHHEKRSTVVLSITKEGLGLAKFADDLVSDHKTQHVGFGVYLAGTLHTEMKTTDEVRANRIKNAFITRAQWRQEILEKFNYSIAALPAAVSLLLLDGGGRRIVNRILSAYTDDRPFSIDLVGAVSAHPYSPLDVGYNYGWQVIRQGSFIDKMHSFGWTQADCFDDPQDEVVLVHAITRYHALVCGGERGVSLALMRRLTRGSGQGGGMCGGTGGGSCGSGSCGGAACSSWTGAAPCAAATRGAAFAGAPGLVGISSGGVGGSTASGGGSLAACGGGGGSVGTSGGGPSSAAGCGGGSSSAAGCGGPSSGAACGGPSTSAAACGGGSTSAAACGGGSTSAAACGGSASACGGVSSCGGGGCGVHGRVDIVGVLGLLPYGIISKLFHASLFRQRVALVERDFPEDVRESIAQLGDLAQTYAASVIDDIRSTYTDYSEELDTIKDALSSIVPLAAQFKANIGAHSENNGSIFDDIAERVDEVYAGLAEKLVAAFPPPSHAPGHATRQELFDMILSEAEDAIVSVCVRAGLPEEDVRTHLDALRSTLVVIVVTIGDVAEQHPELLNILVVTAITMLIPEEWILYPLIRLFGIGPSGPVKGSIAAWAQRTFFGAEVKAGSWFSLLQSAGMKPGAPATKGVIGSMLGAIGALGSTVAGIIGHWKHE*
>EED83154
MLFTQSDISITSSQDDAVLDGSFSAVLDGSFSLDPIDFLGVPRNYDYFDIPDLFLFEDPCPESTSEVIVSGASPTHMGGLEATAAPPETDPMDSPQYIPEVDTHYSAPYVPLPSPGLVDAVSVPAYYSQDVHYAYDPVPFAPLTVYGITGALAELSLLDSGNITPYTPQLAVPITSPPTPFSSDSEALTDSSSGESSSDDESSPSSSPSVHTTSIPRSASISLSPSSSSRRSPPRRKSPYTRDHPQRPSKKARSDSSSTPANTPRRTKPRNIQTDYSFHELEAMIAKGSRRCPVDDCSFTPASGKRDDLVRHIKTHCEDKGEEWCLESSVLFNARTIPTWEIPDQTTSCLKVLNPRDALMRYVQRSSPCDRNVNHRITVVPNAAVFKSESGSRGQSTTLLVSAQGGHSARNAPYIGRQYLLPSDLESSVWSSDDWFMENFAETTGEPTLADVPAPVNLSTNRFYGTHAESVHVVVPDIHSRFAGHTVSASSPYEISRSAGPSVPAYFGSLEYDMYPEPMANYPLQAITPAAGTYHRPVFAVPAVVSEPTTDVFYSSSPEHPSPSVYTNSPDSYSSRSPSSSSPTSPFTSSDPDRVRTSSARRSSAPKKTRRRAPYSSESAKSRRKTSRSSSSSSSVSTPLPTGKRRLAPPRNIQAPVDVTALIHDDFLQCPVCCLSNKVWVCCGVPEEIAGMYEIRDGSTRLEHKGQWMVGGCGIDFSRKDSLIRHLKDSKEPCTADLALGEALGWFDK*
>EED83155
MDEVEKDPFPSKSKGKGKASEHEEAYDNDNLPWVEKYRPVTLDDVVSHKDITSTIEKFIEKNRLPHLLFYGPPGTGKTSTILAVARRIYGKDYRKQILELNASDDRGIDVVREQIKNFAETRTLFLKGYKLIILDEADMMTTAAQAALRRVIEQYTKNVRFCIICNYVNKIIPAIQSRCTRFRFSPLPITEVERRLSGVIEAEGVKLTEDGKKALLKLSKGDMRRALNVLQACHAAYDLIGEDEIYNCTGNPHPTDIESVVNSMLSDDFTTSYKMISALKVERGLALPDLINGAYEYIETIDFKPHARIYLLDFLATTEHRLSSGANEKIQLTALLGAFKNAVELSAKST*
>EED83156
MAPTKRLGSTLSHASVTRDAATRRFPTFHLLPFRPCLGSMAKGSKSGPTKAQKSITSFFGGAKARAEPEDVASGSESSDDEVEAPSAETSTKASKRAVPESSDLPPIHDIPSIFSDLVERVPEIKAVAERLQGRKLRVATMCSGTESPLLALELIRRSIAGHHGVNMEFEHVFSCEIEPFKQAYIERNFKPPLLFRDVCELGDSHATTAYGSLAPVPGDVDILIAGTSCVDYSNLNNEKQGIDADGESGRTFRGMMSWVKNHRPPLVILENVCSAPWDRVVDYFKKNNYSATHLRVDTKNFYIPHTRTRVYLLAVDERGSSLPKEWVQWINKLKRPASSTLDAFLLPSDDPRIHQAREKLVSESFNGVDRRTGRTDWNRCESRHQRARLEEELGNKRPLTSWDEGGFCKLPDFAWNDWGVGQVERVWDLMDISLLRSAKKGVDPSYKTQVWNLSQNVDRTIGSNKVGICPCLTPTMIPYITNRGGPMVGLEALSMQGLPVDELLLTRETEDQLADLAGNAMSTTVVGACMMAALIVGRKLLKDGSDERTYESKNDMEVDDDDNAPDDAMDIDNLEESSVSVEDRVSGEDQLVEEPLDLTSTAQVALTTLLGNAEKSARLCECEGRKSITDRQLNRCQDCGSSSCVKCGGRPEHNFEPIDVQAHPRLSPSAFAKELKSTLPMSLLLANVTQESLDGLKVASGVNIPAKRWSNWCAAVLRAAQQELRFVEPKRQDIWATTYESPVAYLELQLHPQQPEWRLFAKPEDHEPTNSEIRKLLEMPIARFVCQDGLFTGRWDFAFPHATSVQISITGDEPVPSWEQKIGLQGKEFLDKQVNSRLKIQVSAADRVHFDRDISGVYTLLDKCGTANSALHRKASSERDAHLPPLFLLLDPTRCGDSNDDSFVISISKRRYEYGETRPIICQLESKWRQSEKKETESVRCHIPYQWTEATDARFVPALGHDARYSVPGDHLNVSVSTSACGSANALLVCRVPLLDQAGPEWPRGTWEEVDKVHERVTFKALAWLIERIRNVGDHFSDWQEAALSQGHANCERCAPTAPALQWTKVKKRVVAIEDTAQAGEYERSLKRRPAPFVTQLKLEDDGVGIVRIGVNIASLTHRAMSRLPTVGRTEKITVSWRLTTDFTPAAKIQLPKFKLLSNRHDEERKQPPSFKIPLRPEQLRSLTWMLAQEATDATPFVEEEISEAILEPLGWRAEARAQRPVHIRGGVLADEVGYGKTAITLGLIDCTSKSVDKEFNKMKDMKGKIAVKATLVVVPPHLTRQWASEVKKFTGKRFKVVVLSTASNLNSLTVDEVQEADIVVVASNLFHSSVYLANLEAFAGTGSLPVQDGRYFNARLETVLSSLRRQVDRLREEGATAVMDQIKEGRKLDDDESNFFVATKRLKGKSYREAATASKTEPSNASSKSNGSSPSVSPRTGMIVEVVIPVSKKYTSSATSSVASTSATEATEEEDSDAPKTRPRRRIPRRAIQFSDEEEEQGSDGDFEPDDEEPKPKRLVKKAIYVKRGKKAAVSSDYEDESAEETQSEEETGIDTSMSMASEDELPKKPVPKSKAKAKPKGKAASKKSTMTSDDDRMDIDEPPPSKGKGKKVTKKRKAEDDDARPAKKKRREDTDPWKLGSHAVKKDWTQMQAPPMEMFHFARKVVDEYTYLDGKIHSMVTNLTAERHWVLSGTPPIHDFGALKTISAFLNLHLGVDDDGEGQSVQVKKRRREQTVRSVALTFSPAVEKFHSFREVHSLEWHAHRHEIGQTFLDRFVRQNIAEIDEIPSSQELKLVNLPAAERAIYLELEHHLRALDMTVKRGKKSESDREKRVAQALGDSSTAEEALLKRCSHFELETSDKENAMKACEVIVEERTKQLENCKTELLKKLGEALKMEKKIGKLSDESLFQEYVRVTRNEGVGDHDATEQAQVLLDKANVPRSLKSATNQAERNKGKKDEHLSNSTKELIWEHREQTHEIRRLTKELTGRVRSLRYFTAVRDLQRQADTPATVSCPMCEQDSVPIEEVAVLSSCGHMGCLKHVMECAEREECVYAASGACNAAARVLNVVRGSTLGVDDEARDGRGKHYGLKLEQVIHLIRTNTIDVDVYEQRTRTNVQSLTTDSADHNSISGLAVAVLSTSAYQNFLLTYIRNCGLHKERQGSRLAMLAIPTPEEETFIELNAVYNYCTMVASVIIFYDYFLTLSQDIALVWSKQFTFVAFVFHVNRYTAFVWSIFNILAVFHWHSPKSCNVVIIIDDALFLILYITWAAFSALRVFATSGRDWRPTVVTGVLAMAPFFAGLVMFAYSKHTVVSSFGIGNICNTSYEVPFPTISKSSILPVFDNTTSSFTIPIGAIVISRCLLNLRQLGHDEDEELVLSLLPLSVWERPEVLPESLIYSTSQRNATKSIAIVGAGSAGLAALKAILDLPPETRAGWEVVLYERRRAVGGVWLGDPPGYEPHPPEVPETPLYPLLKTNTPHPTMTYPHFPFAEGTTLFPRWDAVERYHADFALTGAGMMWRVQGPVRTTSLCT*
>EED83157
MLHRASASTRLLQKCVSRSLSSTSPACYPPRSPDKINYNQLSFADAPDTEHVNYKRVTANDLEQRREPPTRVKMLVRDFIEDSLYNPHYGYFPKQADIFTTTDPIHFTSLRNTVEFQEEVGRRYAEYGPDGDGPGRQIWHTPTELFQPWYGQAIAQCLVSEYLLKYFPYEDFVIYEIGAGNGTLARDILDYIQERYPEVYDRTRYRIIEISGNLARLQREKLADKHPCVDIVHKSIFRWDTRESAPCFFLAMEVIDNFAHDMIRYDLRTLEPYQGLVTIDAHGDFGTHYTRTRYRNETVPCTTLLVKQGYFDIFFPTDFGRLRDMYEQILSQPLPLSLLEEALPRSPLSTSASPVSLGSNFFSSHHWKNRRPPTDGVASASGIPVGERKSSVYTHAEFMATHADLDKTRLRSGENPILELYQNVKFLF*
>EED83158
MLAFSSPGVQSSLVVKSAATIRPSDRLVVGRDASLIVPRLYLSNLLTAEDEQQLTALGITHVVSVIEHPPNLPKSLPHLKTLHIPITDSMGSDLLRHLDETTAFIRAALAEDPRNNVLVHCFVGMSRSATVVCAFLIATTSMNAADAIGFVASKRCVASPNIGFRRQLEEYSARFHPPPPRVSHRIAKVSGVLVERLRWWKSGPTAGAPITGAEQVIRTVATEETVHDGCL*
>EED83159
MARGPKKHLKRLAAPSSWMLDKLSGTYAPRPSPGPHKLRESLPLTIFLRNRLKYALNGKEVISIVAQRLIKVDGKVRTDPTYPAGFMDVITIEKSGEHFRLLYDVKGRFTIHRITPEEATYKLLKVRKMAIGTKGVPYIVTHDGRTIRYPDPLIKVNDTVKFDLEQSKILDYVKFDTGNLVMITGGRNMGRAGVIVHREKHIGGFDIVHVKDSLDRTFATRISNIFVLGEGTKPWVSLPKGKGIKLTITEERDVRRRQRAAEQ*
>EED83160
MPISLITSVKRAHVVLFAYESWGHTRPLCNLAVRLAKTRPAIITLFTTHVLYDRVKRELRRSVQSADGPLLDDIRVIALHNTGRHALDNKVLDESFAEAYEQLVNGKPVKCAHTGHCVEAVSSPDAVIIDFYGRPLLEAVRRLSQKPVKVFVWFAGAASVIFRFYGPADKGGAGNLRAKAEQEAARSGITVEAATAEILFKCEKSLLKIPGLPAMYDHEFQPQTLLGGYAMLGGMFLSAHEPGRSVVHSMFAACDGVILATSDCYEPETTAALKEHFAQTSRSVHLCGPMIPGGAQARALEGEQCRDTVEIEDFLESTLSSHGERSVLYVCGIFSLGTVYWPSEPEKVWAFLDVLVEMRIPFTTMQIMSHAVRYAAVPDSVVQKINNYGHGLLTTWSPQQLVLSHSATGWFVTHGGHNSVIEAVSAGVPMICWPFAFDQPTNAAHMSHGLNIAYELFEARNGPALNTVYRTGKAPTGTVDAFCLEAKDILKKAFGEDGMHKRNNVLKLKQKTEEAWSKAGASRRTIESFMNSSWLTIDESACAREVDGSPDENKQSSMETFVH*
>EED83161
MPISLTKESDVDVLIIGAGPAGLMCAMALSHAGVNVRIIDQRSAKVTAGQADGIQPRIVEVFQDLGTIVVWQSYGLADRLFKEAVQVHMAAFYNPSPHGGIERTDRAADVTAPTARWPFEMALHQGGIEQIFLDSMASKGVHVERPIMPTFIELSQNEAELKDPSSHPAKVVLKHLEVPEGKSDTEIVYAKFVVGCDGAHSWIRKEFGITMEGEQTDLGPKASSDIADIGKSDYIWGVLDLIPDTDFPDIRNRCAVHSHNGSCMIIPREGDKVRLYIQLADQDVLDSKGRVDKSKVGPEKIMEVANKSLYPYVMSTSHPIDWWTLYISTVSPFVVIQKLTCAIVGQRVANKYSVHERVLIAGDACHTHSPKAGQGMNASMNDTHNLAWKLAHVLRGWADISLLKTYEFERRKYAQDLISFDKRFAALFSGKPRTEGSEDGVTHEEFMEYAYVFVFCLRASRP*
>EED83162
MIIIDPIELEKKDTATVGNAALVDDAPPPYDGVRAARPAPPPVHPHRSAAAPAPEPVRTSSAVFQPTNKQLVNDLSIFSKHDAISGTYLVDPLLPPVSVKGSNGNRQKISECGRRLDRKHARNARRAFGAVPNSDSGPGCEGKHRKQRQSEINAAFRTRHGNIKLELAVVDSGAQPAGTGKDKEKKAHGRVMVSSRHGRLDLNLVEVQSTRSVDLDVSTRSGNITVFLPASFAGPIAFRRQGGSSGIQLLPALAARARITRGTDREMLVVISPDVAPDAAPLPAHEGDDCCVIGTRHGKITVGINGVDQLPAGTLGRGLFPHLEALIESGAKHLGTIVEARAKGFESWVEANAKALETALTARA*
>EED83163
MSHGQPGMGPGMPQGDVHPAFELMHERQQQAGYPRLNGGQGPPMAHVVVPPPRQQQGHLQHSLPSGHLHQHTHPHAHTPGSAPPPGYAHNRQAEPLFPHNMRPSTSVSMPQRSPTPFYTYSQPPVHLGTFVWPHSPFPYLDFPGATPQASPSELTKEIHATILIPSGFLLARRPARPRIWGGAAIPALPPVPPAHPHPYGQQTRPHRMEIRGVRRVYTDDSDLFLCAVHAGLITWSEARRAKSDGRDLRLEVRITKEVRFIGGLGSHSLKLPSHSGSGGAMMVDGDPEDDGRTLLSAGWGNSHDGAGVEICAAELVKQGTAHSSGLRNRSQRLREYAQRRTALCECSYPPRKKRRLVSPASDKTDSSQSLQFEITDGHLCTTKTIASGDGSSWISFRFKYQPAKPEKVPEVSASVDVDSADIDRAPARSRKRPRSPSPNVKSDKDPDPVVHTDSLPLEPPQLLTDAPEPASTPEKRDPPVPTEPSQPETVSQPSEPLDTHLPIVNVTTAVSVESNS*
>EED83164
MVNGPSRVCQMQQGLLLRESNKIRPMSGLGARNSYLDAETSALGDDSATSCTSPSIVFVLLLFGYSDFSHALTFGSITAAESDDCFLGISRLSTQNHRMGYRIFSLAHGVVAHIRLPVLYDIIQQYPKGLHMCHNNGHVRAFPTYLREASGLDCGLWHVTKSAVDDSSAESAELKVRDASPPFNKPTADVILRSSDLVDFHVRKAILAEASPFFDVMFHMPQPSEPKLEDTEYKNGPPVIPMTEDSRTLDIILRICYPVQQVEIRDVETLRPVLEAVLKYDMDSVMEVVKSQMRIIAAEVPLRIYALALVLGLNEEVRFAARCSLAHSVQTVRVPEFEEITAAAYCRLLDYRKRCSDAAEPLASGKNLDWLPNRQWIFLSSSRNNARRPGVPEHSCSEGDIVTVQNNLMRTTHYTRQWWWDHLSSIQQVTDETPSGKAVIEAGVDEAVRVASSCRICAPLVGQDMRAFVDLYAAEVDKAVSQIELEIP*
>EED83165
MQNGASTSHGGTVAEIKDTAKEQVLKVRGASAISLLKSARAQCRIAEECELEGNTKGALSALTKAASLVQMFMDSAEFKQETQPGRRADEEESPALRSTGVVSIADRMRSLQNAGLAVSSTKRLSRENLPPPPSSSFPMLASPVVASSSTVASPHALVPTSSFGPPSPSSSASSSPHLSHLSLSEFGKAFPSIDELDEADGLKLPSLPTGSSIGRQDGFPPQLFAHPKPFPALPMDPGPRPSSTPIPPTINTFASRPASPARSPLSPTKPPEIPVSTTLFPKALLDYNRRHNFKVLVLDVRTRGDFEKEHIKADAIVCIEPSVLLRNDVTAQTIEDSLVVAPRNESMLFANRDKFDLIAVYDESSETFGDANSPLAIFVRAVYERAFRKILKHPPMLLVGGLQAWKREFGETELVRGGSSTGSDVGDVAPPPAFASTPSYEQSTGGRHRAGTESSVDAHRPWIPPQPTPPFSPEQSPASFRSGPDSPLSPPLDQAKRLVRKHAITRPTSNSISNSSPPIPENATAQYVSPALVNGTTIQYPSVTRHISPQISGSSFSSSPALNGIVSMPPQASINPSPLSRRRSDYVDQSQEALSGLVARPSIDYPDLSSQHVLRPPPAAASSGMERQDNRPRLLQSQSYSMQPTGPKPPTIQSDYPVTYWADIQIGTSGLKNLGNTCYMNSTIQCLSATVPFSRFFTDGRWKSAVNMMNPMGTKGNLANAFANILRDMWQGEMQCLSPVTFRRSLCMYAPQFGGTEQHDSQEFLNFLLDGLHEDLNRVLQKPQIETTPEREAELERLPTQIAREQEWQIWRMRNDSLVVDFFQGQFRNRLECLTCHKTSTTYNTFMYLTLPIPIGRGSKVSLYQCLDAFVKEEVMEKSDAWNCPHCKALRKATKNLSLSRLPPVLLIHLKRFSSKGHFTDKIETFVDYPIRSLDLTNYMPPPLPPGVDMGLQPSRDDPRAQVPPYRYDLYGVTNHFGTLSSGHYTAFISSRGGWLYCDDSRISQADTKDVVGKPAYMLFYKRTKA*
>EED83166
MPAQAAASRPHRRSDAQLRSARLRHWEADGLRPEGTGHARRAETVRVSLASLARGDLSGPPDTPRGGVGSGRLWRCGVLVLVALGAGTRASLSNDGLLDSLAQPTMLSSRPAAPLYMPSDLPGPPPMDDFCIPPSRPALSPLPSDPPDSPDAATPVRPRATATTRSARRLPSGGRTTLSLLKQTDGALSCVPSVSRSPVTPPQPGHPPSSPDFVHRTLGMQREKDGVAPELARCPSGSPAVQRAPVAQYAVCSERPTVGSSPTAQRTLAPSNMHSVQSKAHGGLAALASSAREDPRGPRVPPQNVLLQSTLCMWCLQRYILDAVSVLARCEAQTTYQNANTSRGTQCEKDTVSRTHQSLHQTHGDGSRPPAATSLINRGLAPGTRIVRARRSERPHVHSGSAMQPGPRREARQSRMPLPQSASPRTAASLRSSRSSRAPRSSPLTLTLRVDTADVRTLDSECRVRALSPVRFRDLCALDWPALGRVPARGVRQFSVLGRGDRAALKESFQSLVLDGGVLTTFHKLSTCAEHATVRLCQMRADFVYTTAGAVLARTDKHSLTHPRAQSPHAATLPAPTSILTGMRHREEPEVWNLPSTCSAQCTKSVLRNLCSVDRPRWCCARKGGRLLAWRCYGTGSQPQYCQRREMRARTRTQGEGLVIDPRQQQAADTSSGQSPSMGSRRRIVRTRLLVASIRAEHRDCTVGHVDLELTGDLCHKEMAQSP*
>EED83167
MPAQQNRMGWLSTVFPFRKHERAPTQQAADRARSDALTMSSQLPRAHLASSQGLQVTEERTSAVEGDSTPAYALTDTHARTYRPEVLKTIDGALALLDPQLRELSLDIWRHPELMWEEASGRRTACTGSSPGKTGRRTVXGGLAGPTRSDVGTDDGWCTVIPDNATLRWIVRAPTRDEVAVLSGRVKACFEAAAQATACEVVITDGITCYDLVQNRVLGDEFSEAVAEYGVAAEYDEGMSASTDFGNVTYQLPSLHPVFSIPTEPNGQNHTAAFTVAAATPEAHAAALVVMKGLAMAGFRVLDDANSGVLGLSAEVARMERTLSFLLLAQTEWMRCRFKYSRAGNILVFLPPNFDGPVTIRQRRGRSAINFLPAFAERARVLRTSERETSVILSSASGTASIANPGHDSCVLGTRSGKITIGLSGYDKPEDPSESGDFLQILGGAAKAGLEMLEVALIGRPL*
>EED83168
MFNDISLDRLAARHTQDIEHSEECLCFWPLYALSLPYFTTFYDPNARRNTSHFRQLLSSYSSPEWKRIAIPSDTSTKGKARASTVPELVDVILHRKATKTGESVYRAILDVPAADTSLSLDWWKAVLDTPELRKEWDPAVESTQTIEMLDPTTRVSKVNFTLGWPANIRMVCPVYWGAIVVTQARPEATYYVFLAT*
>EED83169
MANQLQHGQANSVVPPGMEREDRMLAIYIRDLCLKRNWVNTARALSEDANLDQANEAPISTARGFLWEWWIVFWKTHSAATEPRGTDNPQTGAQNQPGMQPQATQTTSASIHGTHSQASAPMCNSTASTERDPSRIPENTGIDILRRRSATISSRPQTYMSTSSAIGALQHEPILRNPRKRSTVPPVQHPTKRMRIDPSPWNAAYTPPGLAYGSQPMIAQGLQPLSQQPAAYDPPAQLPPNQDEINPGFFTSGPFESFGINPEFNSE*
>EED83170
MVDYLMKNGLKDAAQKLGTDAGLSENDLPPVNGKQGYLYEWFSIFAITERALRTGQGPSEMLRYLQPATRPTDSLSAPSSNVSEMVVDHRSASARITNPEIQGFESASQYEMTLCASPTQITDIAGVNSTPVSAITRNSTSYTQSSSDSASSSVLAKRKCSPVGRDPATSRVQRQRLNPPAPEVLSRPVLGGPQATYWLSNDSVHSGGYMYADGGQDVDIGQRVVYPEAQYTGLEELYNIGIGSGYSCTSQEEALSSILRNSDCRTAIESTRYART*
>EED83171
MSDAAHTNRMVAHRRLAGRGLVPSIAQALPSPALDLGPISLPSTYGHTAVSLLQISLPSVTLPSIIPKPTDSSSTEDPHSSTSKTSTQESTTSTSQSSSSTSHSSSTSSSSSAKESSSSSSSTSSTTHSSSSSSSSSSSSSSRSTSSSSTLSTTSVVSTSTIFTPPVPTTALVPTTSYEPTYAPIPSVTVTGAAASPSSTGSTGTVSTGAVVGGIAAGLAGLATLLFVGMYLWRRSHKEEGGEIEDFNARAFKAQSVILPDEGMPSRSGSTYRGGHGGYRSPSPPMMEQNMANVPPSFVGAPPMHQGYDPQAAYYNNGYNNGYDSGYNTSYNNGYPTPPSFAPGQYIATNQGQVAPPSPVNPFVAPFGQVPVNVPMDGSPYDYAYRAQSPPRTPPAVLTPGSPHVTRQPSMGAAQSLARQPSAAGALGQSGLYSQPSDIAAQLLSRRPSAGVAQYPGQQSPRSPAPEAAVGPDPHYVDLNRSSVTPFQAAQYTEISRRLNIAPPSPMSSPHEMNYPATSPGLGPVMEDSDSGSLSRQASMRDKDLPSEPPAYYDQEDGSPFSDSEEVQEVTLHSHSAMYDAASKHDSFGPLPLPRFEQHARVPSTPPILPEIHIQQRAFSPVSADFPIVPSSARPSPLSSSFGLPSPPPQAHVASTPTSAYPPSISAISNRAAHAPAGLHAESAVRRPDTVYTLYDDDDAYGGI*
>EED83172
MEVEDSSELHPSGVIYDECHLALRSPPVRAVPKLQRLTFVFIPLGVKKASIASACIVPVSVHSDSYLQALPLAMSSNNETRSDYTGPVKAIGDGEVLGVLPQLPQPRADVETTYLLPKWLYIRDTSDRYLTVRPGPVYGTATLRSGDYDRDSIFQAVQKGNGWWRIIGNNGNSLMRYYSGWLSCDGTEGNGTLLLQKFITSADGSIYLTDNAAPGGMYLSADLDSHGRPLYYNYIKDNSRFRIVQAAVKNEIYDVKYDISGAQHLRA*
>EED83173
MDAFPWWPAVIFESDDPYVPPEVFRFHKAPQGGDLTHLVRFFDKRNSWQWVPLDKLRMLGEDNEFDELMLTHSKLQKFKHKKVCQECRDAYRRAMAEKETDGEGDHAPESEDDTMAIVNEPPAITEPPAEVSTSVMIQDDGLGGDSPMTEVE*
>EED83174
MATWPGRGYTGCFKLTGLYSIQSLSNGLCALGRKCSNGSVAQDVFALWADKGARRQVGNLPPAAWIKLEEARDATGNKEILSTSLHSAPCCEGVEVVTTRVGKLRELTTTLVEPTSKEHTLSGVGLQPHICPGVGTPGCPVTERFGKNLCPPKGRDHRVQPEEFKDVVCGPTTLGMGERTHAKDPPPYWIIILARGADSGMSRGLILALSPRTALSLDSPRMHIPGASAAVLCGRPISTQSLPCGYRETTQMRVWREFTQRCPGMSSGSPLFAFWCVIRVSQRASVLTASRTYPCQCRRKECQASGTENAGRDLPKGDADRLRASRVWRAACKQWIIDLQVRRGYCAAGDLGGQRASSGACVTPCPSRVACRVRCFHSVCAALPPRTRGPLRSPRADSASSRLISDVARETRTVSARRTSDGFFRAGLVQGYAACDDRARAARRVIRAGSVRALTRARRRVLLALRAARGIGTLNLSLPTPLRGVSGVGHRACGAGITVHSIFCMSAAGGFVVLAGSGCTALPLRTGHSDLRAPTTQVPGVSPHLIGDVASEMQNVSGSFRGVRRASAGVATGLGEARRQSIDAGGVRVVNTRVRSRDAAAWAGVMGRERADRRGCSAAQSVCTHRVRRSSKGTTSATPVILHMSLCRPRPTRLPSWHRPHAAESNPPRAGTTSCSRTQGSCAVHGRSPGSDAGARAALRARCQADDCPRRAWGTQDRTRVRTRLAVCGFSVQGCTPGPMGDDAAATREKPRRARPGGDARSSDVAEGYASGCVRKRGRKYIYKEGQRWVEGPSPLGRQSVAIDGYVRWPMLESPEGPQRMAEDAVATDKTCGRALWSVRAGGVRWAVQLHGLGENEHDTSMRGVRDCSSGVSDGEGGRWDGHSAWREKRTGARLREQHGCP*
>EED83175
MPSVQKLGGGVSTRPVTCHPFNKASVDVILYSSDGVAFRMHYALFNESSGFFKANLRPSASNARSKQVVQVEVPEHSAVLNVILCFCYPMESPVLSTLALTEAALEAALKYNMQDATSFLKHQMLHFACNSPLRVFATACRHGLEDVASATALALSKKAREPSVGDFADELHDLTAGQYMRLLQFCQNPETAPESFCRVKTTQPRNGEDEYEKDSLVSPYTLKAAATDAILCSSDHVGFFVHSAVVATLSPVLDRMLSKAGDSVVMVEGPSLDSMEPDFGGPQLRNDGQSDNLNARPVKIDRFNFADLYSAAKKYGIASVLDLLEAVLRQHALEEPFATYFVARRFHLQDIAAEAARRTLGLPVDNMSCVEMDDVSARAYIQLVKYHEACTTAAVNLTKDYDWLKSQGFDLTPGQCLVPTSKPHSLNVAIVPTWTRILMANLRPLLRKHPSGGVVMDGRAFAKTVTELHCHSLVCGPCTHLDVAHAVFKACQVIARGVDDAVSQNWSVNIQMPETVKKTSSGGGSGPASVINHPFTKDSADAVLISFDKIKFRVHRVILSEASHFFDGLFSLPQESKSDDASTQTSHEEAPIIQVSEHSSVLDPLLRYCYPMADPVLTSLDVTIATLEAALKYELTEATELLKAQLSTHAKAAPHRVYSIACRHKMEDLALVAAEEVHRQKKAAVFHPDMNSITAGEYAKLLQFCQEGSLPTRQTFISSSTTARIQSEYGKGGEDSAVSLLPSETETADVIIVCLDGVELRAHRVILELASPVLKRMLSDAPTTSQSTTDNPANLSDIMGGTTTAANLTESHLASGVATNPRINLAQPSRIVSFLLRFCYPSPGPAPMTPLDIVDVYSAARKYDMEKVLKTFETRIKASENLEKDPLLVYFLACRCGYKSIACTAARRTLGTEVNYDYRPEMELVAARALYNLKKYRARCMNAVTTLMQSDDWLFNKKDKAVSRCAYFARLTRPCWLEPLVMLIIPSLHKDLTGATVKHCFPELLEIVSSAKTICDRCKGWDVAAVFYRTCDTLANAVDEAISRVQLPWEQY*
>EED83176
MSVTKQAASPFNRPTADVILRSCDEVIFRVHKLILSEASSVFETLFTLPQPPPQETEDVDGLPVVHFTEDSQILDKLLRICYPVADPVFTDALQIIPVMEAAIKYEVEVARELCAKTLVQPNFLKSDPFTVFAIAYRFRLSHETRLIAKSALRFSELPKDFPVARIETFPAKALESCTALARTMRVWLTMNWKAYEDHFIPPVTAAIHMVTVILSTSTFIAMTFKGTYKTSSIMTTQMIPTQIHWSGRWFEWLQSNILVRIANTI*
>EED83177
MLFTQSDISITSSQDDAVLDASFSLDPIDFLGVPQTYDYFEIPDLFLFEDLCPESTSEVIVPGASPTHMGALEATAAPPETDTMDSPQYIPEVDTHYSEPYFPLPSPGLSDAVSVPAYYPQDVHYAYDPVPSASPTVYGITGALAELSLLDSGNTTPYTPQLAVSITSPPTPFSSDSEVLTDSSSSESFSDDESICFWD*
>EED83178
MRRFLACLWPVLIAMGAFITLTPPPVVAMPPDVLARRVRKIQAVLFFRSDYVLLHHRSIARGMICETFLLNVFFDCLTSAPQRRRTALLTEKPDLVNEALDIDFWERAAQLGDLARKYAESHISQVQSTYPHEGVGTIKDAISQMVSCATGFKALIIKQTSLRTARDTSNSETVSDDVSSEALVDDIVDKVDKILAELLEELSVAFPPPDHAAHHAEREIQVSKILGDIAEQHPDLVEILLITAVFMIIPEGWILRPVARLFGIGPYGPVKGSTAAWAQRTFYGAEVKAGSWFAHLQRAGMKPMKPIADTIMKWLEKLGCFFWKCS*
>EED83179
MSDPEDLLSESLQTLYDYTPVAHSSAGSLFTYRYPHNLPSHLSAKAAAPIELQTPDTQPANWALHASSIWMSSLFIADHLEDLGLDRHLHRAQGLRVPLRILELGAGAGLPSIVIARLYDRVQVVSSDYPDEELIRTLADNIQRNGVPGNCRVVPYAWGSDPSALFTPHGAESSEMSGFDVVIAADTLWNPDLHDVFTQTLCVTLRRSFDARIYLVAGLHTGRYTIQAFLRTLTGTGLEAEEATERNVGGSGRRAWNVERADGETEQDRRRWVIWMVLRWSSDRIA*
>EED83180
MEMVPTLTITPTRTGVTTIPTRMALRTTAMGREVLPTLPPAARPTPX
>EED83181
MPAPSNHDDGYTTTTSRFLSAGSTSAPRRSPSRQSLSINSRRSSASLRGSSLSQALDDDAANGRHSLAHELAVALMPEPSAGSKLLAEEFGIEYDEGAEGIDETPERDVVADAPGAALADELDPDAPVVPEHDSPIDLHADPSVDPVFSSPAPPPKPRKQPEQDPMIILARDLEYTEKFLSQLRRLDLDHGASASQSTLEKLASDVIRRIDDSARDREGQVRELLEYEREFRKIAGEVGGNDALGQLEALEDFIGESSSETGASRDLDAIHEESLSGSTLANEWDADPDRERLGDEEDEEYDSAYSPTPVKSTFPPPPPINGPATPATTISHLAYLRTFTSSAVASLAVVSEHTQVNTAATTEAGRKIRALKNKLGGWRTEWDSAERSRLKIERWEAGIDLDGPSSPSPTQRPHSRRLDGRKLVQEQLQAFEKALNEANLKTQAIMAGAS*
>EED83182
MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGDGLPPGSSVLRQVRVDLHVPARFEAPCGLSX
>EED83183
MVCKKCESKLSKLAAPDPFTASSQSIKDGSRRVGENKLLSRPGSSKNRFQPYQGKCRDCKSSTTQNKAKYCHGCAYKRGVCAICGKQVLDTTGYVMSAK*
>EED83184
MRMHGARQTVPTGYTVTGPASSDTVLSLRVALVQNNIAGLIDALYDVSSPSSPNYGKWLSKTEVEAYVAPKQDSVAAVSSWLSAHGLNATTLSPAGDWLEIELPVAKANDMLAANFSVFSSTATGLTTVRTLSYSVPSDLAEHIELIHLTIAFPVPNGAKPAVIRFADNTASKAFDHSSACASVTEFGMMTPVCLQHLYGIPATPATVSSNGIAVTEFEKQYAQAADLHSFLQHTRPDMNPDTNYTVISIDGGENPQDPKEAGDEADLDLQYTAGLATGVPATVTIAGGDDVLAGFLDTGLSLLGLESPPQVVSTSWSGDEDGIPPSYAVHLCNVYAQLGARGVSMIFASGDGGASGNRTESNCTIFSPTFPATCPHVTTVGATTLIPEVAADFSGGGFSNYFPRPDYQSEAVSAYLALLGNNDTGLYNMSGRAYPDVTAYGVNCTFIMGGVTTLGSGTSCSAPIFASVIALLNDRLLAAGEPTLGFLNPWLYSGAGAAAFTDIVSGNNTSCGDDAGFVATEGWDPVTGFGTPKFANLMSAVGL*
>EED83185
MVLTCVSEIANGSTSLLDLVKALGEYLTAEEDDLRSKGVDFLSLVIGKCPPEKFNRQSVRVLVTFYCGKLEDTETIIPALRGLVPLMSLSPVTSTDAVEVTKAVIKHVKMKALVQSQRYLVFKTIDTLIANQRDALKAMGKEFISGYISLAEGEKDPRNLLLAFAIDRVMVLEFDISAHVEDLFNVTFCYFPITFRPPPDDPYGITTDDLKNALREPALGLLVTIAEFAPSHVTQTTLPLLFVSLPDRAPPRDAQAERIRCWRTLDSLSRLCKQADLFETLVVRLLTKLDLVCVPPADGALAQDETDIEPRAAYAHSILRTMADVLAAKVAAGHTDVTKYADRLVPRIFNLFIYAALVSNGRYMAATDPRLVSVASQIVTLVVQTLSPQRQEAFVSVLFAGYLHGDAGKLAEGHQKLPADKKFEPFSPEVSSLQKNLLALFSAAIIALHKEVALPVPDEDAFLNTLLQWSSAHAENILQRDAATHAISSLVNRRPGGLGVFLAETLDSFWTTQIADRVTPPPKRKEGISSWAWITKALLIRNDAAAARNIDKLLGLLDDAEVSWDAARAIGSVVATDKILTKRNHAVIKAGCSLRLALVASLTGVAQILYAQRYCNSVLPRIVEGAKSSSDSQKQNAFLVALTSLIQSVPKSVYAPQMSTLMPLLLRGLDLPDTEIRAGVMDTLFAAAQSDANENGVVAEHAASLVSMMLRNSMVKEMPAAASGRNQVSRLCNYKRVGPPIYDTNLYDGGDLGSVGVCVEGVYDNLLMSSGGGGHHGEGYGHILFTS*
>EED83186
MSILPHQGQASLPPEIWDIIIPKTSRAGQRSCLFVCRLFYGIAVRTLFSSIYVHLGLCDWRWAYRTRTRGERVQLERDNITRASALLEHIAHDVVFASYVRKMAVYVFINDAEDKVLGARRCLLSALKSLHNLTTFVVSQYRSLLDVDQECIDALASAHPPLRELHFPMYLNIPGDACSQDGPMNMSLGDLRLDAFDRLESVTLHLEAGYRLDDFIGYDREKDFLCALTSARRDTLRCLKIGGNLGLDCPDALAQVLHLRDLELQYSEGVDEVETVIQRCPNLHSFGLASYTGDHEGIMEVFARNSSALPHLTSLRHTGYVSLRENTFSLDGLVEFVREKALLRRLDFAASYRWEELKALLPFLRSSKTLDTLGLSLQAEVFRKDDVRHLQAHLPSQIRALRVYISIKSLEVGADMWHGLWTHLPELRFIYVHEEPHERGPVLDIEQLASRAPRLQVVGVNGRFREVERGSDGSVALSAPWPMFKIAARDFDCDDWEWLMFEATLPLWEVSGANGPRARRQGWSHKYH*
>EED83187
MSGLPIMGPGHKQARPSLSPRSAMFQPHENVNPGAPYFRWVWPPRCTNTCRTADLKQEAEARWQISRRYAKTRRIDPTLSKNQTSILIQLRTGHAPLQQHLFRLRKAESAMCPTCGTNEETVIHYLLHCPTWKRARAPLRRALPAFRTLLRILLSSPEALPTLFGYIKATGRTTEPECVPSSTGTGIAYRVQYNTRILQALLPSPFFRIPLPILLPPSA*
>EED83188
MSFPTGRRLCLLSSRTSGPQTSSSRSSSHTRPTIHPRF*
>EED83189
MAPYIPFVPTEAFAIATEEEWHNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWVGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSHQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQQIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYRQCDDKEGAKKEITALFINKDLASKDFVKYTERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGYPAAKKAKKTRVIQVELTDSEDDTPPSAKALGPTSPANVEDLLDLGGALVSGLPRGEGFELEFAIDAGRDAAQVPIPGTVRRTLEQRREFCGGALFAHGFVGAELVKLHLCRGGVVEIAKTGLECLKEGFFVDEGGCRSLDPDLDGGKLGEDGGRKGSMQQEPKPRVREDKYRPLKCRY*
>EED83190
MAPYIPFVPTEAFAIATEEEWHNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWVGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGYPAAKKAKKTRVIQVELTDSEDDTPPSAKAVSANTARIEEIVEIEESTLAGNDEPQLSAKTEPIAATSDFWKNLLDRDARAWATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDEVAAQVELAKLCADKSVCEKHTAVEFSALFKGPADRSGYGDLELHDKYLSGIPSRVYRKIELETFTTWLEAEKRATAVEQILNISRARRPELNNFFSARGRGRGRARGGAP*
>EED83191
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRHPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPVPPLIDHIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVSKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED83192
MADQKTAPTNPMKELRIDKLVINISVGESGDRLTRASKVLEQLTGQTPVTSKARYTVRTFGIRRNEKIAVHVTIRGPKAEEILERGLKVKEYELRRRNFSETGNFGFGIQEHIDLGARYDPGIGIFGMDFYVVMGRPGARVARRKQKKARIGFQHRVKKEDTMAWFKQRFDGIILAK*
>EED83193
MEAPADKEATQRSETASYYDSQDTSIASDSGQWLPSFTLRAVRRRPARQAIFEGPFTGNWPQGLIDYTLDFLGTEYHCLKRCSLAARCFLRPTRVHLFNVIYIKSDDYCTAFMEFLDCYTPATGIDIRQYVRTMVLQGSLFRSVNMLQCAQSFRTLVYVNELRLRYWDSRPLPENFCRAFAIAFPQVTSLQMLNVEFMYSRDCYALVCAFPLLTAVHLTETKVYAEDCPIEDVEYADIADLLVDDSMSQSGKPTERRIKSLSVSAEHRTEETDTAPLGQVFFRDPFKLDLERLHVWLPYSHNLVPILNAVVTPLESLSIQFGKWNDLDLRECLRKIRVDGLKHLTMGSFKPSQRDDDTLLAFEILARLDAEASDMQTITLRVMLEDDFDKLSGYPWAALHEYLLPIMRQHPQLQVAIEVCIQDARARVCRRRWPVLDALRQRLKPLIDACKPLEIQNLTDAAHPEIGKIIFKASNVTHQPEALVLQPGLNFVVQKIRLD*
>EED83194
MTTPKKLVHWALDMSFSGFGSPLSDASTEANSSSSLQYINSQLIAHGFTHSPGLSLDGLSKEDTDKVVKCLLGMLGQRVDDMSRTEDLTTKLRTLSYDHERMMSMYQAATERAANAEREMNVHKSRLAATTRSLQSAEAAHKHTTGELQRTRTTLQALRTAHQAELKKLEKEKDRMVDRWSKLADVQGKLGNASSGMRCANAAVVDAPDVQLRGKGQGFLDLALEQAEQARKELYDQNRKLRGLILSAANEMQGVLHATRSAVSPAEFHGDPVPLTFTSLFTMPPTESAGDKLSSLLSSLRESVAQLSRASEGPRTEATASRGGGPAEDRKPHDTAEVERLETIINALRKELDEAQKQATTYAAQTQELFDRFAADERLMQGEMGEMSVDLMTAPQRDEERARLDARFKELDEERKKFTEAAVRLGREKAGLEAERIKFLEEKRTWQVEQILAELPPTPAPTSSLVDPVPQEVPAAAPSPRKSVRKSPRKPRASGGLKKVRVSRRSSGLGVGLGPVSPKKITPPFETEVIPTSPSKQAPAFKTSIALPQPQPPLRAPVFVLPPPSPASSLDQGARLSSSLIPPLPAAFDIPPARSAPSMTTSKSEELFGALEPEPSTTIATSSSAPTLGSSASAPAPVPSTPVVRRPFPMAKPLAAGHMRHAYSPVKPSPLSRILMLANSPDSPNIDRPLLDALTEDAEEDSDGSPTPAPTVSTPIPAMPPQRSLAAELGITEDDENPLRDRKAQANVKSKTTGPEKGKARAEPVPVSRTRPAVALEKENVKRAKLSSGAASTTSTAQAAPEKKSVKAPPKPFSRSKVTGRPPPARTGPRRVPIDSAEAAHVGPGWKG*
>EED83195
MSQLVRTNLDELQPMQADVYDHLEYILNSLDMFASVSDNLINYTCNMVSYQMNEVMRRLTLITMIFLPLSFLSGYFGMNFSMWSTGKHTDVFYWEVSLPVMVVLLPMFMMPDLKRMWHYMEKRIIKLRVNKDA*
>EED83196
MSTLDITTPASIVHDGCIIIKRSTRPDDISSIHTRIALPSVREIGLFAHTTHDENGEHDKSGLDKDDMPNHAWLDELVPVLSEIAQDFHFEKVTLCDFSWGCVTKSTREFLLSRFSSATHLKLCTVDFWYTNELLKTLQSFPRLTQLEIVNCEWEFLNLSQEQATRTEELNLTHLILDEAHTQYDPVVLWLLGNGERAIVNVSSAHIVWENTDARCLVRLMEKIAPSLRNLTYRQKVKNLVECTPTLRGLDGDELMDPSFSAVLAERWTAPENEKVAAVKAMELLRTTRVNRGVLTELDACIEWSPLAVFGIELLCQLLSHQATSVSLTLILDSSPNDTEWDTVDELLNTLTVNKTTLAVFELWLDGDLANSLFFDDGAPIMRRLPRLVQQNIWQIRYVDSSYDSASD*
>EED83197
MSARGFAVTPHYLGLRTAWRAEYVHVSSSSSPPSHPRVIGVNAEMDALPGIGHACGHNLIAAAGVGVALALKAALEAHDVSGRIVLLGTPAEEGGGGKIVLSSRGAYDEMQACIMCHPSGGPLRHASLGPSLAIQTLDVEFKGHGAHAAAHPWEGRNALDAAFLAYSSIAMLRQQMKPTHRVHGIVTGKDWAPNGE
>EED83198
MIIEHYDSSFGTEEKRDRGSISAFDEDVHVSVDIPPAYCDAAALSNGPAPVLAQTTTRPFTSVIPFLISGPPQSDSEQRGNNISLFSKHDPIKGTYIIDPLLPTVPMSTGLFSGEHNVSKIGREAARNTRTPGTSFDGGSDNVSDVNAAFRTRRSPITLDLAVVGDKPQGSDKSRARIMASARHGNIAVNLFQIQQSRCVDLNLSTRQGNILVFLPPNFDGPVTIRQRRGRSAINFLPAFAERARVLRTSERETSVILSSASGTASIANPGHDSCVLGTRSGKITIGLSGYDKPEDPSESGGFLQILGGAAKAGLEMLEVALIGRPL*
>EED83199
MPIELNVSHRGTTHRLSLLPNDTITALQARLEELTSVPPSLQKLLYKGKKPHLQDDDTLSQAGFKNGIKIQLIGPTAEELGGMRAVEDERNRRERIMRERAAKSPIKVRPRTRDLLSWSLLTDLQVRSTGSPMAESKYEFHRIEALNHLPDPPAARTLLTRLANDPAIRHVMQKHSLAVGVLTELAPHEHPNLLGLNVNAGQAIKLRLRTDRYDGFRLYGDVRKVLCHELTHNVWGDHD
>EED83200
MWASCGLLIFVRWRVWPTVHQFFAHHFVDEKSEMHYRKENWFLGKNLALWSTAFLIVNWILALGFIQRPVVLPDKIFYYAVSPAITFPVVIFVMWDFPRDRQLLYQCWLVVACWMWSLYQIIFMHWCAYYSQNPHCGSKDFLTLFYYTSAMQTIGLFGLRLHRLPALLAAAVFFILSCGLILPMRAQFVRNLINFLLFQAFILYIHYMRENVRVPLNTALLAVQNMEAHGSVPKAQEIEFKALEGSLSMMSKGAEAATSNRMDSGRFESVHKPYAFHQVMQSMFVPLQMATNARGLEFAQDLDKRIDEVTRRALYEALGESQAVIDRRMTEEPDGDGIVVGDETRLRQIITNLASNACKFTPAGGKLTISTKLIIPQLPPGPDGSDTSETAGEDGRDSRPQSVASLHVPPPQGADPEKQPKDDELSPPFPHRLSATQLTRHNTMHNKPPPVEWIVVRIEVSDTGYGIRPKDMVQSKLFSAFNQTEQGRLQGGKGTGLGLALVRQIVKLSGGRLGVKSKVGEGSTFWVELPLGVGIKATPALLMPREAREFIFDTTSSVTKTMKTGSSSRIELLGDDLDCRLPLQSDPPRQASALHSIMEQGGLVEISTRRGERSPVLTRTLGDVSTGTQPLAEDITPPGATEPLPPVSSESSSSTIRPRLAQLPKPRTFLIEPPLSPAGSATTASTRNGSTTSGSTQDGPLRVLVVDDDLLTRRLMSRMLTRIGCKVATAENGEIALEMILGSHATPSSEDTGSAGLSTEGTTASAAADSSEEYRYAVVFLDNQMPILSGLDVVTKLREMGRSDFVVGVTGNALLTDQREYLEAGADHVLTKPVLEKSLKSMLVIADERRKERILSTAEPSLQAAAPSPSPSLPSSPS*
>EED83201
MATNIGLDPCEWPERPSTPWDGEVMFNAYIYEYLAKRGYVATAHALLKEARLPKGYKPPILTPQGLLYESAQWWCTFWVFFEGNREGSDYDDLNTYLDYYKQKTKKAKEAQQRAFRKLAKPYATRLRKPLPKRKPPPAASEVPATSPYEPESRSSAAQHACSSEVPISVCPQMEQPLVVQPVHHYQYSGQHWVDPASYAEAAAPVQHEHTTWEAGVLSGHQPMYQTEDQAFAFLGAPHEISSGQTEQLNYTPQNSPSSIESSISPTTPTFLQHFSNGYTEQMPWDMKAAYSPPVADAGNIYHPQADFDWQLGGFLPSTDNTTVFT*
>EED83202
MSEHGEKMYHLSDNHPSIPKEEDRNAFVSTSNSSLAGALTLRSSVPYTVYTAKEGNFRPLSSITYHTNGVRGVPLSACSNRDFLERPDMHLQAFPGLEKTPKIQIIISWSKYPVYTSRQLGWKRIKSHVTRGELAQMVAEEVKLFIQANEANKNVAVADAQWKVGGLDGIKLNDIFLVEIKHASKSRVQPCFEIVPNH*
>EED83203
MLTRPPFQWWSLLLASWLAAACAQANVTLLSTASEIVYSPPSCNNSQAAACAGAWQVIPSPDTAGAYITSTNGPTVGSGDLIPQLFLNFQGTGLDIRTSTLSTATINVTLSTQDPTISITREVDSAAGLITVIGLPEDLVTTLSLTYIATAHATRLDISNITIVTPNNE*
>EED83204
MALPLAGKIAIVTGSSRGIGAAIARKLAADGANVLINYASDATAAASVVNAINSTGAGAARAVQADVSATAGTQRLLDEAINVWGRLDILVLNAAIMGYATLAEVTEEAYERHFNLNVKAPLFTVKAAAPLMKEGGRVILFSTSLTRASTVPPNYLLYVSTKGAVDQMTRVLAKDLGTRGITVNTVSPGPIDTDLFRAGKTEQLINFVANQHPGKRIGVPDEVSPVVAFLASAQASWVNGQNIMVNGGFAV*
>EED83205
MYNPRPRACCIVPCEEDGRSLSATARGARAFAEGEAGTPENLKKAYAFYSAEDLNRIRHPRKLNTRLKHERTVEFALQVSHFLARAAGAQRAQRAGYRCCSHKASKDLRADSSLYRMTRTGRCPGYVKGTPGNMSLRMSHPSRMVWNVPLFNEDNQPAELAGVLQALEPHTSAHAIKITMLEKAPSLDAQWLQVLEMLSANHIPLEGVCKRRERRGSCRGVLELEFDLILMEVIHCAWESLRGSGRFASANRTLKQTHYVGPLQEGIHWRVVCREIPTSVLRYEVALDGLYVNRMGHADKVRRSTASSLLLLVHFVVAISILPMHLKVYADQQPTLLSPTDQGFAPLLVPNSTRSFWIDTPGANPLAKEGSQGTLTADADVCIIGSRITGISAAYHLGIALEENQYAETPPKGAGATGRNDDHLTPWVFRDFSLHANDEALRGIRLEQHTAAEIVKIIKEYKLDSTVGKFKPG*
>EED83206
MRLTVVSGLAYACGALAASSSVSSYIASESPVAKAGVLANIGAGGAAQSRLSGVVIASPSTSNPDYLYTWTRDSSLTFKALIDQYTSGEDTSLRTLIDEFVSAEATLQQVTNPSGSVSTGGLGEPKFNINETAFTGAWGRPQRDGPALRATAVMSYATYLYNSGNTTYVTDTLWPIIELDLNYVAGNWNQSTFDLWEEVDSSSFFTTAVQHRSLRQGVIFANLIGQTSVVSNYETQAQALLCFLQSYWNPTDNYVTANTGGGRSGKDANTILASIHTFDLDAGCDATTFQPCSDKALSNLKVYVDSFRSIYTINDDISSDAAVATGRYPEDTYYNGNPWYLCTLAVAEQLYDALIVWDAQDYLEVTSTSLAFFQQFDSSVAAGTYDSSTSTYSTLTSAVKSFADGFTLIVASYTPSDGELSEQYSKSDGSQTSAVDLTWSYASALTAFAAEAGTSYGSWGAANLSTSSCPSTSGVSVTFEVEYDTEYGENLYITGSVSELEDWSADDALIMSSANYPTWSITVTLPASTAIQYKYLTKYNGDVTWEDDPNNEITTPASGSVTQSDSWH*
>EED83207
MITKAEDKRSWFAAFREWVESVATFLDEKACLYPALEKLEDEHVSLLRERADMIRERRTADDGDDLSLFLGSLPYAPDQPEEVDELGRVIPQANFPAARRGRLNARSVRRILRRASGRAREQEEEGYSTDASLPPSDAADYDTAMGRLASDAKEVMADVKAEEFRDPSRGLGKWFGEWRDNFEDNYTGAWGGLGMVGAWEFWARLEILGWNPLEDSRTLDSFSWYHSLYQYSRPRRDGDVDDDEEPDMGPDGDLVSAMISTAVIPRLCKLLEGGGFDPYSARDTRRLTNLAEQVEASVEKDNLKFEMMLKSIYNTFEAAVSATDALVSSYMAVNAPRFDPEAIPARQRFLARRYKLLRNLIQWRKYTGERLGIGQLAKRLVENDMLPIAQSGWEVGGEEYIQKLSSIISLFGAAVWIHIYGPLLLYDDGCHTLWDPELHGMFIQTLCMALRCPDARIYLVVGLHMGRTGRDRTSSDLSHFMRLRITLFTETRPSPPISHLKSSPLKFGSVSTRGTYSAKSKANFSDCCKDMGDGLTDHLQEYEVNAFVKRYAPGDDPTDEEKKKFRPFSREKLLSGESEMGKEVVLVVDSVINSHGSNDLVAKYMADLPDSTEGPVDRKLRQDVVIYPTNKTAVKADNIGDTDDTDRESLARRNPMPIEHDIRWTWTCVPIELQLSATTAPFGKANSGYFFTGGQLQQKARAQIADHVATILNHQHRVFCFMIVITGVEARLLRWDRAGAVVTNAFDLTDSEQVKPMYRFLYRLSKMTAEQRGYDPTVVPASNDEIMSMNVARNALPESDYRRKRIAAAMTCGWQIQKIRMREQDVVSVEAWRRAGQDSTSAPTDSSSLSSSVQAGVAPSSLSSTSSDAHAPASSDSRNETGSADSGGRYFLVGKPEHHTDSPIGRGTRGYIAYDMKTGKFVFLRDSWRYETSKSEIKVYEQLHRNRVRHIATPICGGDVIGQDNSAQQTFTHLTSEAHEDAWKFAEVMHRDISVANILMLPDANPEAVGVSYKEELGAATQRSRSGTWQFMSALLLKGPGIKTHEVADDLESFMHVLNWLCLRFFKTWHADLRSYVSSSYDLATKVGKHRVGGYYKFQAILAGQPMADFKKKTPLKVLVESLATMCHVHYQAVDSSPSSEPGDDRPRRHPIITIGGASPDTYKWSDIYNPRTRKDEPKVKKDDPLVNHRLFIAAFLIACSAPASEFPAKEEDKFAFFKDIHTEHSRPSSLYQSSAGSKRRSTELVSSNSSSSSSDEEQEEPPEKRLKLRSTKANRLRGLPEETLSSINGDEVTEDEYETEDSGASS*
>EED83208
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAKRASESWVEWARGDWPELTTAIDAEVERRVEEQKRLAEEEARRVKEAAKRAKAAKRAKAAKRAKAAEDCRLEDERRRKDEEDRLRQAAEDERRAQEAADEELARIAAAEGLLDKEKGRARVDEEVAELSDDPSVKTPRTVKHLFAMTEVDMAAAALEKCQAGQKCDRCAGYRSAPVECVWVENTTTCERCTQFQQGCYFDKVSVLGKTKKTRGGGSTTKKCIRPTSPVPSVAESSGSKKRRVDEPPRPLLRLPLNGAGCLGLEQDDLDALDLDDESWGIIRVIHEECAFIARC*
>EED83209
MATPAPGPRLTLPNSHQCRWGCKGLQPPSGTKRPSSLPSRLASVISTMRQQHKWSWRSSARTSRSAKNAPRRSSPRCSRVRRTVLGMGTWNYATST*
>EED83210
MPTGTPFDSFIPPYPIKVDNFCASYGLKPPPALHLLTHTHTDHLYGLSARSFSGQVICSRDAKEMLLRHEVYGERALKDQDIRAEKTRTFAHLKVEPRVMQDETLLFEGARDLIDAATSGLMELMCLLPPTTLFFINAWTWGYEDVLKAVSRTFRTRIHVDRYKFGVYKHLEGEPFLQNIITQDAASTRFHACERFDRCNHVSVVAEGPRNQTVAAGRSVNANGEHVVYVNPVTMGVAGWDLYLKETRERLARGEIVNHLLVPLSRHSPLPELRDFVSLFRPKQVVPNTLDPALMGLDHGCVRRLPSEDISNEDGDVAMDMARSRKLAAEFRRRFANGDKPKDVVPRLPCLESQEEEALFSEDQAHN*
>EED83211
MPVILQRVKPGIPPVSEPSRWRHSALPRRQHRGSVRAHTERSKPPGARHFACSARADIVSILSCRALRRIKALWQMPTVSDGISGLHVQLVLPERQNHNIVESGKDVRFSGLDGTAHIRRTQGSFVLTEQNTRPQAARADEMNAEVLRPGPVTTIISPVFPGVTVGVATRWEPSVHWALNPISGAGDDAAAAQRAGWGFTSGGVKETRVARARHQLRAANGNSEPARSAVACLPSGCIVRPRVFRVHRLLALAADICGRVAGILQPTETTAGSSARGQEPGDKIRDRGRGWHRERQVRSTTGHGGGGFPDMLAASGIGPACQSGIAPARGSPEELHDAYGSSLRPTRHRLFAARDNAEEPARGLRGPPVLHLGCAKRADALAPHGQSFPDWACEGPGGARSTAPLGKRTELHRGEAIPRPRCYMPRAARVAEERPAGVCAPGTAIRIRAHPIRWPARLPRPRCERRRLVVGTASNPRRAGKPVATAWPSSMCHPRTLRGDSPRVSYTIGPSHAFWVLARGRFAGGAAADADFTSRSFHFGGFWLDGGGRWRRISCDGAIGTQIIVTPAVRVGRGYMSRSVMRSVGRRLHAESAEAGLRTGARGVWCYQGISTAGDGEQAMARRHGSRVWMGRDRGLRWASDESLVLAARRRGRWGAEHGDGRMWDVACVRSSVGDETNGRQRRKKCRIPARSWEEARGPAGPRCGAAPSREDRDRECGGAQTGDGKPLEIRVGRRRPKAADGIAGGEAASERALWTRAVAVWAGQNAKAGSGDWAADEEAGEPSERPGDYWANGMRMTFSVGLPDAGGGTRGRARGGNAVTLSTRRFGRCAPRSQEMSQERHRTVAVTAGPRRCLASTPTPSDHTTHNPALCHSSAGLAFGTAKLYFPTIRSPAFTLPHTTMDDISFDTTWCPVCSRQILPKRYYVPIQPQPQAPAVPPSSPTTDPKTEVDVPPARLPRNKTGTIRARGGGGLVHGTGRVKPNGALKRDTTIKEPKKRATETTPLEPSLVRPTGPVRHRTVIDQSPVPLYCSDECRLKDLQHSQGAIDINYNPDRCVSPTIPPAPHNSLSDVSESDSGSGASFESRSSLNSSSPIDSPSARFPTGYAALRRLYPDLPPAPPPAPVMRRDTNKSDVAVVDDYTSGVMMSARRIKAQLEQGPPKKDIYGSPERIERKPIAGWTDGSHAWRASVYNLTTPTEPGKPADEERIKDAYKGFVASSHRARSGVYSTLSPTMPTFETASASVPVQASGAPTMGTRSHSAAEGLYNKYPMFARRSESRTSLMGSSVPVLSTSPTGSTRSLPLSTSSRRKEYSLVKPGAEGRLLVPDVKMSRIPSNLTVSSSASSSLSSCVGYAGYYGAGRKRSPLSRQNSDASMESADSREEADETLRSSPVARRPQNRSWSYSDETMMFPILRIPKKEKRIEQRMVDGELRDVEVEVEIVEPLKRLFLFPGKDSSR*
>EED83212
MSLTRVAVVTGAAQGIGRAIALRLAADHLDVAVNDIASKTDQLQELVSQIQARGGRSLAVPADISNEDDVQKMIAKVVETFGGLDAMVANAGIVALKPLIELSSEDFDKLTSVNLRGVMLCYKHAAIQMIQQGRGGRILGMLALSAYSATKFAVRGLTQSAALELAKHNITDAILPKNTPIGEPEVIASLVSYLIKPEAYFITGQTIMVNGGLMVD*
>EED83213
MDFSVAFPALVAGRLALAYSPLSDWLKDDHLLSSPLTSYSRCAVRSVLPGQYTLVLMLKTRYLLNPYIWLPTLALSTSSFENTLCVLSLLFACQGRPSASVLTLALLTQLSMPLVLLLLPILLLIVTSPVSCLASPRPFPADIRKTLPLLAEFIAYSVVLSLAATLVCGNRLWVTQSWIAPLVLPDLTPNPGLWWYFFTEMFDHFRPFFLMVHLLIYVVPMCIKFQHDMLYACFLLLGVFATFKAYPTLSEPGLFLSMTALFPETFAYLHWPIVTALLHLHASLLLPLFHSLWLVQGTGNANFFYASTLVFGMANGAALLDAIWAGLRIALGELKDGCEVIQE*
>EED83214
MSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMAMFTQADIDQRIAVALAAYQSQQSTANRPLHLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSNEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQCTYIANTKGTNSSVPALQWAVLVLTDAWTSTCTFPSSPHPSWLPVKARFMHSTLRTSSTSTSQMALRLSSTVANSSRAPTGHLDRSQKITLTTKRSDERNTRSGLEAPSLPDLPHDILALSPPAPAFLKLSSPVQVKREEISLQTLHQSLSLRRVRVKKESRSPSPRILLGPPRRQRSPPRQQSLTGGPPQPPPPPRRPPSPPAPIMSSPATAPNKETLKLLLPLRYDGKTIIECNRFLSQLRIYWLVALSLLNGDARAWATPYFAQLVSVQIGAQGAMTPFRNEAAFAAAFKARFGNLDDKAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSRYGDLELRDKYLSGIPSHVYRKIELETFTTWQAAEKRATEVEQILNISRARRPELNNFFSARGQGRGGARGGAPQSHTASASINAAVGKGNFPGTCFGCGKQGY*
>EED83215
MSSVSPPPLNSGYASPAPTLISPPAPKPSTHPKPKPANVFSNDGSFLERFQRIKKDEEEKKKEEEVLARKRNFDERFKKRGKRPLPDAVNPNAVPEPSAKKAKVDMPLSQYEKEVKSYTSSLKDDGIDRPDPLVLLLQPRKRAEIRMHIPMEVEVEVNAVVGNLKKWKSGGRSYGTPMEDDEVVETSDYEEIEAIEAQDPNYWTSLPPPQETDIGRSGEEARTQGSLKPVAHILERHIADELLLGGVLHEPPRKRLKSCICISSIYPNSGTANEGQRFQRKLRTLFAKATALATRDQAQRLLSLGVAGSVPTHVEITLAQESAQTEGRYSLRARQARQKNPYAYDKALYKRQMRANPDAIVKMVSPQRARRHHRSKSRGEVSGSDRDTEDEYVAEDDSDQHVQAEGSKHPRLKGKSHSVRVDTAIGVSNFSPPRHPHGSMRHTLAADGTRPEVWYPDAFNETFSSSSGDEEDLIALLPVSMEAKKQQRAAKKSRKIRPFPMKRQNVTPHSSEGQHISPVQSFFLELWRSKTYHFNLRIATRRRRSTSTIPSVASMPSSPTSRHAISPLRETRHDSIPPSGSPEPPPPSSTPIDFGLLTPTFDHNLSQSPSESEVDISVELERSHRQGSSESSAESSVELMSAKDRRRLKALQKMMPRVLIERHLHNASAPRHGNATGGHDYDSDEGGPLRPGQSRIRIRSPTSRMAIEVRGDSESSDSEVSEQNFNSTSSESEMDVVVPRLGKSPTKTFSRAEAEDEGGDDSIVDETDIGHWASGRVIPSRHLAHDEVREELRAVKPVEADSTAGCGEQLHRWRKKKGDWSPNHFVLPGARLSRVFQTGRLWHSGEGHADDRQEGSTAQVVKARARKKQRNPTGLFVFASGGRLATGRTHDHPITIDTEVDDMAKTHRNGLRTTSTASHHSRSRVKPLTDGATSAAEATLDDYWPIIADMHQAPHADAPSARRDGYFESHRITLDFEIPLVPPGITFGPDSYLGRGCLHELAMLITDHNNLTCPRAVSYSDAHFQPSMSVQAFLASLQRLYDNAHDIIVQKRDLALEDLRQWQESLHSAAQHISWLLGRSSETDKTSFSDSLDAHLDNVRSFLDAPIDIIPEDEPPHLLMLELRWFSAEAVFRVTCFKKHEFRRSDLKVLLQRLQDLVRDLVGCGFSDLLQPFTGDHDKDEMSDYIARRIAEFWICIIHITDAERLADLVSEDPSELTSFWSVVLQGVRDAGLLRNTPPDIVASETIWKAVFTLCSLSQCSMQGVFMTAPRLQASWDVVTTALDRVRLSADPASDANLPKRSLHKRDEYIRILVSRCLILCLKWRWSLGDASALFSRLLEIFKSRRFANLSDEPPDFPSFLRHNNLQLLSENKRSDTAFTLLLKLVVNAARDNRYANESDHRQSISPKVKKLLSLCVPVGSVPFTKISPPTLQDLSLLYNRFSAVAIAILLEPSAANLKFRISNARRYVNFRETDHETRRACIRGLMHLTTLVQHLQLPLNELLDWLADLTNILLDEYQHEKVAGNATSWVVVSIQMLLGCVRRIIETPLMDPTKNNTRYPEPAFLEGPWVTRIFSAQSNLTAVATTRTEIRKLVQAFLNVRATVIPKPPRPRPASEESQESQEDYGDFDLNLDDPELLAALGDPSQSMAAQENKTKDKAVAEIIDKHISPAIYRLVCTHFNESGANTGFETFSQDADAWVECWVGCASVIVQNSRRSWERIIDSSWRRRVGLRFMLSVLQLDPSAYSSYKERFIDVLLESIVTAKVTIEHEYLSLLWSIDGLRHPLFRGMPCEVPADTTDYNISRREFLENRLAFVERMFGNIADLLRRELEGVPNLITQNQPCVEFIVTMFSTMKNILQIDLGSDEYSRYTAFCRKIYTCLSQFGTLLNNSRLRIIVQWVTELA*
>EED83216
MVVSWVTYHNVVIAFSFIGFVLVNIPLCWHLEAWNMGCVLYIFWAGSQCLIQFVNAVIWKNNVINWAPVWCDITSRWLIASSVGIPTASLLISKRIYHIANITTVQSSRADRRRMVLIDTSIGLGIPIVQVALYWFIQGHRFDIIEGFGCIFAIPNTILSSFLFYATPIYIGLVSAVYAALTIRAFYKRHKQIRELMSANSSLKFNRYFRLMVLAGIEICLTIPLGIWTLVSNATQVPIYQWEGLANLHYGFSAVDQIPAIIEQSDPSILSQVAFNQWSVISCALLFFALFGVTGEAQKHYRVACESVMRRLGLNTVSFGRLAFWTRLRRRNKPPPADAIIPTFIQRPKPEFPPPISISLSLDDVGPDGNVKATYPPSSHSGDSSTYVSHLDVKVTEKPLPPLPPSASLDVTPVVIARPLPDVPWSVSRDSIEIV*
>EED83217
MFSLLIPLLTLAARPLTASPVLPRASAPTVNLSYGAFQGYTDGEVDAFLGMPFAEPPVGNLRFNLPQAPTAFDGIQQAVSFGPACPQQGLVLPESAPSNLGWSPPSISIISEDCLSINIFKPSSASAGDNLPVVFWIFGGGFELGDSALNPGTTLVERSITLGEPIVFVSHNYRLSGGTEVKEAGVGNLGLRDQRFAMQWVNQYISEFGGDPSKVTIWGESAGAYSVAAHLIWNGGNTEGLFRGAIMAESGSPIPLQDISEGQPYYDQLVEDTGCSGTADTLDCLRDVPYETLLTAVALSPSIFAYQSLDLAWEPRIDGDILTQGGPEYLMSGQYAKVPIITGDCDDEGTLFSLGNLNVTTDDEFLTYINTYYLTNITSSQLASVGEAYPADPLDGSPFETGLLNILTSQNKRLAAFQGDWMWQAPRRLTLQYVSQTQDAWAYLYQRNKAVPYLGSFHSSDLDEFFTAIDYVGTDIIINFANNLNPNAPEGLAPGVSPLSEINWEQWGSNPSAPPLLTFMDPTPSVEITTDTFRADAMTLLNNLAMGMN*
>EED83218
MYSALKAPSFLRPTSRPASPVLTPVQEPAMVLDRTPRPLSKLSLSNFRRSSPSPAPASALMTVTQDGSYTEVLSLKFSEAVSKALAQPSGPGAPHELLNGRRPIPAGRGRALGALLASEVKASRENPPLYRALLRTLHRPLSVLLSNLSSDLLPLLSSPAFLSPLTPTPQNPQSNATQLHALGLAAVAGELLETFDGLRLGLETDMRGDGLKNIREGLVSVVKRVVDPLMNGIKNELLPLVTALEMPANSPQSTKGTRSPIPHPSIMTMQGLMPVYSRALARYAVASIAENTLASLLISLVWRGLVALSNRPAPLTSPPGSPVLSGNNTVKPQDKRNGSKFTPPATPPSSRFTLKLPPSRPPTPPGAATPRSATVAADARAFYDLLATLPRPAADKESTRIAREAVDEAFEALSALTALLEAVQAFMTAGRGRAGSPEPASFAELAADLEILTTDLLLIALPVLLRVLAPATDPAHEHTVAEMLGLSDDEYRKGCLSGFGRAEECALAVGQRVLDVLR
>EED83219
MRRLRFPRLLRCVWRLISRITYGSGGRSHAWKNTKVVEVVRPQLPAEVWEAILGFLRDDRRAIAACALTCRAFIPMIRDIVFHTLRLSHSLTYSNYLSFVALLRDSPHVALSVRELSITIESEEGQPCLPPILPRLHEVERLTLNFSGGMFDMSEDTRDRLSTYFHSVKKLRLANVRFDGTDLLQLLCACPELKALHMSAVYWRRSSLLPAFTPDLAAIVPSAPVELDELILQTPPAQVVAWLVRGPFALRPRRMDLHWDGSTDGKYVPKLFESAGKSLLDLTLEFPGWFSFREAMNLSHNTNLTWLRFDKVWVDGSQPRFVYIPDPVQLHSHDWIPAALSRLQSPRIQQVHFNIELCNSGELSVLDWELIDTHLVRLARGSDGKLVTSFHVLNSDYKPARYNVTDAIMYRLPRLRAAMGRVGVVYTHWPNVEECWFP*
>EED83220
MSLVVPEAHTQFQHILRLLNTNVDGKRKIMYALTEIKGVGRRYSNLVCKKADVDLNKRAGELNSDELERLVTIIQNPTQFKIPTWFLNRQRDIVDGKNSQILSNSVDSKIRDDLERLKKIRAHRGLRHFWGLRVRGQHTKTTGRRGKTVGVSKKRG*
>EED83221
MTTWAYAPDMHPWFRTPSLKRKSLDDDDLSIVECRPQPPVSPRAKRRRYEVLENGFARLTLNAAADGSYISPQNPDVTMAQARAPTPKPSVGPAWESYAPPVLPPTPVVRPSAIEEPMAPEQVVDLPDVRMKVPSWYEIEKDRIVITDLEDSDNEEQEQGAASQATASLSVSPALLDRLSKHAATSVLPQHFEPDPSKALVLFRPLVASHPSSSESSPVLGQVAIVEEVQEGDAYNADVQRDMQIDDPMDIDMT*
>EED83222
MNFSCPILAPHTISNSLVKDPRKLQLINALVSHLHTFARETSLDHAEWTKVVEFLTRAGKESTDYKNEFILLSDIMGLSALVDELTHPKPAGCTDSCEAGPFYVPNAPEVPSGASLAKVGTTGEAMFFNGTVKNTKGQGIRGAKIDVWQADGDGIYDVQYPGTTEANDRGKIVAEDDGTFCYRGILPTAYPIPSDGPGGDLLRTLGRHPHRAAHIHFMLNAPGYDDLTTALYPSHSPFLGTDPVFATRKSLICQVVEERDPKRWEAMGFKNREVTRGRVWVWSFGFVLASVEEVEEFKRQRAKAKL*
>EED83223
MISWSATFLKMITSHPHFKLLRRNCRGPSSRTSWNTPCNNVPGPRNS*
>EED83224
MPVPVDPSALRVLVTGYGPFRTFKVNPSWLAVKPLHNTTAYTSGPAPRPVHITSLEVPTLYDAVLSLVPGLHARPPVVPAPRGPASAVAPPPADGYDFILHVGVMSRAGNPIRLEQLGHKYGYDQDDADGKFCPVVHKGDPPVRGFGNGYEDSPEELLTPIDCPALLEHIKSTGQEHIALSLDAGRYLCDFINYCSLAESERTATHAKKSTPVLFIHIPPVNEPLSTKEPISSVNQ*
>EED83225
MPSRRLPVRSGHMLLFISAFGMTSLYQWTCHPLAAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEDPIPATSNLVLPTPSSFRAHAQPPIASSSRLSVIPSSNLAPPPLLAPSNAASNSNPAPPAPTIPSTTTSSSSSPAPINTTNMSQNTNTPLMPPRGHSMAPSFDPSEVRSLRRYFQDLKALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDLYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIKSLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNCGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAALTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQWKKPMTPVVTRSAEASSSGTSKGVAAPSSTSISTAPPPTIPAASPAPPSPPAQSTSQPIATSAPPAPPVHPFANARDAIYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVQNKYRDAVTPK*
>EED83226
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPCRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED83227
MPDPFQVALDNSPVPVGKPARLLLQPMIQLVKPIAHPPDFRHNFRLQAPFFLFHSSEYRFQPVGCGGKHLVVLGCAQQNLRALEVNVGERLGWFVFVGGSRLHIDTVDEGGSRVGDTIEQLLLLEEMRTKCKLLDVVFRFFSAFRVEGAANEWFDGGGYNSARFANVVELVRVVDGDALKQACRLVLSGDDERAKKRVGRGEYRSQSGFHARCKWFWENLTLSLRLPMLVIRRKP*
>EED83228
MLFEIDDCSMVQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSVEASGSGTSKGVAAPSSTSTSTAPPPTIPAAAPAPSSSPPTQSTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLTEEIFQ*
>EED83229
MRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRPGTTKSEMLTPPNKGPSPSQTPGTTANQWISTPLQLRQPLPPHREEGSGN*
>EED83230
MFILLLPLLTLAARPLTASPVFPRASAPTVNLSYGAFQGYTDGEVDAFLGMPFAEPPVGNLRFNLPQAPTAFDGIQQAVSFGPACPQQGLVLPESAPSNLSWSPPSISIMSEDCLSINIFKPSSASAGDNLPVVFWIFGGGFELGDSALNPGTTLVERSITLGEPIVFVSHNYRLSALGFLGGTEVKEAGVGNLGLRDQRFAMQWVNQYISEFGGDPSKVTIWGESAGAYSVAAHLIWNGGNTEGLFRGAIMAESGSPIPLQDISEGQPYYDQLVEDTGCSGTADTLDCLRDVPYETLLTAVALSPSIFAYQSLDLAWEPRIDGDILTQGGPEYLMSGQYAKVPIITGDCDDEGTLFSLGNLNVTTDDEFLTYINTYYLTNITSSQLASVGEAYPADPLDGSPFETGLLNILTSQNKRLAAFQGDWMWQAPRRLTLQYVSQTQDAWAYLYQRNKAVPYLGSFHSSDLDEFFTAIDYVGTDIIINFANNLNPNAPEGLAPGVSPLSEINWEQWGSNPSAPPLLTFVDPTPSVEITTDTFRADAMTLLNNLAMGMN*
>EED83231
MVVSWVTYHNVVIAFSFIGFVLVNIPLCWHLEAWNMGCVLYIFWAGSQCLIQFVNAVIWKNNVINWAPVWCDITSRWLIASSVGIPTASLLISKRIYHIANITTVQSSRADRRRMVLIDTSIGLGIPIVQVALYWFIQGHRFDIIEGFGCIFAIPNTILSSFLYYATPIYIGLVSAVYAALTIRAFYKRHKQIRELMSANSSLKFNRYFRLMALAGIEICLTIPLGIWTLVSNATQVPIYQWEGLANLHYGFSAVDQFPAIIEQSDPSILSQVAFDQWSVISCALLFFALFGVTGEAQKHYRVACESVVRRLGLNTVSFGRLAFWTRLRRRNKPPPADAIIPTFIQRPKPEFPPPISISLSLDDVGPDGNVKATYPPSSHSGDSSTYVSHLDVKVTEKPLPPLPPSASPDVTPVVIARPLPDVPWSVSRDSIEIV*
>EED83232
MRGVRLFYVSERKKAGALATQPPWERRPRRARAKLEVCRTSYAAWFHRARKDGHAGGKWEAAPSVPRRGCQGSPQKGVGLHLHLLAAISNRARRTVWPAARCGRFAMASSGVTESVEAGVEGSQRARGVARRPGAVAPPGFAMPAYGHGSAACRQTQTHTPRARNAPALGPVTVTDAKMGGHHAARYRLRASGPRSRAIGESVFVSGRKHAFPRAERGTSTATGTGDQRARRWRIARQERRPRQARA*
>EED83233
MSL*
>EED83234
MLSLQPSPDPPSAWLAVVTVLGLPIALWSYKITHYRCEEISLQSEKRTMLYGLVLQNEDVNTSCREPDVVIVYLQGNASNPLARIPVFERLLSAKALGRLEPIEPKEKLNMAVIAVAPRSFWKSSSRTPTQRGLLADYAHVLSGMILENPFSSIPDMRWMPYRYLAPMAFDKWDAVAAMRSTKDRPDALLARLSANMLMLLSEKDEVVPMSMGAELYDASETDQKGSRDDGLSVKRRVIIRGALHENAWTERQWRDEMLGYLYSVHRTAQNALHTTR*
>EED83235
MPTGTPFDSFIPPYPIKVDNFCASYGSKPPSALHLLTHTHTDHLYGLSARSFSGQVICSRDAKEMLLRHEVYGERALKDQDIRAEKTRTFAHLKVEPRVMQDGTLLFEGARDLIRTLPLYTPTTIELDDKKRVKLTLFDANHCPGAVMFLIEGDDGAVLHTGDFRAEPWFLQSLMKNPFLQKYLAPPPSCSGARRTHEDVLHTLEAIHLDTACLFSTLHVPTKDAATSGLMELMCLLPPTTLFFINAWTWGYEDVLKAISRTFRTRIHVDRYKFGVYKHLEGEPFLQNIITQDAASTRFHACERFDRCNHVSVVAESPRNQTVAAGRSVNANGEHVVYVNPVTMGVAGWDLYLKETRERLARGEIVNHLLVPLSRHSPLPELRDFVSLFRPKQVVPNTLDPALMGLDHGCVREMFADCLAGDRVDREANPFSPDCEGPGARGLLEKLMAVRDEDEDVALKNLEGDGAKELAGRWAENGKIKKKLEVMKQYLRGAQRSLVERILGEGDEISQGISQVDEAPGAHHNVGVVERTENAPLRRAFQGRATLAETASAMARIWFAPPGYTQRDSDEETEDSDAELERARTAHFLFAEQSQFPEHLSMAFFEKSSSPLKSSPVVSPSSSAARTRPGSKVLPPKHCSKHMSMDVTILSPPSSPTPNGRHEHRLSTSQHESCSPRSNTLDCPLPSRVGHGSPAADDGSAVRKRKRPYLPTPNASLERTYSPSLPHQHPSDHPSSLVQSRGHNVCRTRMQGDIHAHTTPLVDMCNYTLEPATAASRGTEEQQRDHNVKPHKLSENIQEAARTSAPQQSEQSKGHERLSSSSLSSSPAASRKSLDMISECANITPSLSQIKSTAEDYKRQQRQTTQLERLKISEKLSQARPDLVTAQFTAKLERRRLPSEDISNEDGDVAMDMARSRKLAAEFRRRFANGDKPKDVVPRLPCLESQEEEALFSGDQAHN*
>EED83236
MSLAAHDQYAGVRHINTWGCRRLRPSTIGQATRDKMAYGAAQRWPHDSSRRQEPPRNAPTNVRPVGQAQNRNASIAHVPGVHARPGMGRRKPALTKYGLPRLRYRRPPKNLRRIRVSRLWEARNPASNDDAASEVGRIPWHAGS*
>EED83237
MATTGSSSSYCLSAQMPSSRRYPTEICMPSRFHGHCKHLKNTGTYHGACGNVRSEWREATTSNSLLPERGSYEPQDGGPAVVGSISRQAPGVKEAQTYVANGVADRHAAHPPLPSFLSFTGNIGALARAKQILQPTCGPSAADHTREADDVADDVADSVVDRHDRQDWRARSARIESATWVEQRLTVLSKLTLTRQPHGSQPPLSKVYKTPLSLFLSSPRPGSSLSTRFRLAFGPSTRKPDLINRRKRFKSQRMNGVGEQPTLRLMFDGQHLHPGVVARDCRAYVRKDTGDTARPPRALEVASDARQVALGREATANTCTPAWLPGSAGPLNESSLAKQRDHRAMGRSPLCAVTCDGQDLHPGLVARVCGASERKLFGKTARLLRAGSVGSVRGHMRRPIPGVRSGCPGLRGLWRKLHWRNSKTTARRGGRLGVQLHATANTFGSSCHGRSGLEGLWGKLHWRKARLCHRLGFDDRPGAVMSSRMDSDDKKYKTHTHNGYTGFSCARFTALSVLLHHACTMLTEYGYLKERDPASQCRRKTNQVQPDTSAEE*
>EED83238
MASECGYNDEPPRDSVSGLQRQVARLENRIANLINPSFTPVTLHDPYEKYHQLQAHSRASSQSQDLTHDQTPVQTPMQRQPLIQRQSPVEDHYLPEQSLQALFNSFTNHAVEFGFFLQMPRFLQALPQPRQADQTDHTVALLNAIYLVGAYFSNDPHLHGLQAELFLRASEHLAVSLINSTSTAVMHALQAEVLLANYCFSNNRTLEGTYHANAAASMALACRLHMVRSSRILQNSALPMELNAQYRLAPPVNAAEEGERIDAFWTIYVLDKSWSLALGYDSVFADDEQKGTEIDVPWPSVSYENRQMPAGLRTVKTFVFGAHDLDSEVCLLALQAKAMVLFEYATRAANFTATGTGYLSPEVLQIDQRIEQLKQSLPAIPATNSGYACLIPKLLLIHMLAHCATIQLFRIQGGTIAISPDSKAMRAISSAASLLRNVDYSRVQHVDAFLGVGLIVHLCRDPNLTTYNCQALLLTISRAISGALSAVRNAVAAMPPDAARPLIAMGARLTEQLRVIVAALGAWGVISPFMRHQQGMVEQLQTASTVQSKGCHPGINDSCRQYQSLICLSGKVAVSTPPVDKNTKRKRVAFFAAWAFNFHWYRRVDDS*
>EED83239
MGGMGGAERFLLTACGAAFLAAGWGCAGFAVWSRPNRAARAASFSGFCANEWGHTSRGAAVAVRAEPLRPAALATAAGLAASGFAFGGSTRAVPNRAARALRFSSSSSSGDLGAVPWLDEGSGAWTQTLVEGSEQGQAWVAARGRCGVGGVKGRLTGGWAGVVATSLYADEEDRLGGEAGFTSMAAGEARMRAMRSGLFDLLGTTTAAFARMSLRSTTLRALLEREGDAHPQSHLYNFYPQPHHPAQHPHPAQPHHPAHPSRQPSPQPSPLSTPVSQSAAHNLFLHHPEPQHRDHDPPVDQIQDDAPLDEEPLYMDKDLDRSSDMAVDSPADARPPFSPIHPQQPPEKKLEYQAAPIPPTLQPRPQPVQQQAHLQTAIPQPQPQFQLRSPVSPHLQGQPMQPQNSVGSQAQMHSQSHGRAHPQARSPYESHIPLGHHPETISLLNVGYHHPLSHPATPDSLSPHHPAMADGMPSRDHVHAAVDTQHDHSRHSAASGSSSVGGHLAQNAASAQSAAPHTSGGQSSINLRSPYAAMQMHHVPHPHAHARHRHTYINSVERLYPEEQDVLDLGADSLKNNLQTGNDLLQYSNGSGNTRR*
>EED83240
MHLLGSGATGSMIFWGPPGCGKTTLARLLAKRTDAIFKELSATDSGISDVRAVVEEAKGVLALTGRQAVRTILFLDEVHRFNKAQQDIFLPFLEQGHLQLIGATTENPSFKLTGALISRCRVFVLERLTDDDITKIVTQAVERPCPAYPHLTPKILSSIVSLSTGDARTALSLLELVLSSPPDADPDALLASLRQSVSTSYDRTGDSHYDMISALHKSVRGSQPSAALYWLARMLTAGEDPLYVARRMVVCASEDIGLADNHALPLAMATLQACQVIGMPECRINLAHLISYLAEAPKSTRAYQGYNRAEAAAKMDPTVPVPLSLRNAPTKLMKELGYAHGYCYNPDY
>EED83241
MSARSATPASTPSLVNRRLASLLVVLEAPPTADATLNVVKEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATTIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEEHRLEDERRRKDEEDRRKQAKDERRAQEAADEELARIAAAEGLLDKGKGRARVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAAAMEKREAGQKCDRCAGYRSAPVDCVWAENATTCERCAQSQQGCYFDKVSVLGKTKKMRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPLRRLLRRPLDGASRLGLEQDDLDALDLDDESRGIICVIREERAHIAHRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED83242
MCPAASSTSSWEEIVQLRPTGLADVEDLLDLGGTLVSVFPHGEGFKLNFAVDAGGNAAQVPIPGAVCQTLEQHGKLRSSAFFTNRLVCTEFGQLYLSHCFIIEVAKAGFGSHDKSCLICKGGHYSLNPELH*
>EED83243
MHSTLRISSTSTFQTALRLSSTVASSSRAQIGHPDRSRKITLVTRRSDERNICSVLKAPLHLDPPCSTLALSPPAPASLKLSSIQVKREEISLQTLRQSLSLRRVTVKKESRSPSPRILLGPPRRQRSPPRQQSLTPLEHSLLLKFDQRKATTAASAPAASSVPPDADNVIPRSCPRQGDFEASPPTPIRRQNVIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFANEAAFATAFRARFGNLDNEAAAQVELAKLCADKSVREKRTAVEFSALFKGPADRSGYGDLELHDKYLSGIPSRVYRKIELHHVLWEARVPTFRVPQL*
>EED83244
MASRPTVNVRSTSGEASSSLPLPAVLTAPIRLDVVQQVHKSIAKNKRQAYAVSEKAGHQTSAESWGTGRAVARIPRVGGGGTHRSGQAAFGNMCRGGRMFAPTKTWRKWHVKVNQGQRRFAVVSALAASALPSLVLARGHRIEEIEEVPLVISSEVESFAKTKQAVALLKSLKAYTDVVKVSNSRKLRAGKGKLRNRRHRQRRGPLVVYNEDKGIVKAFRNLPGVELVNVRRLNLLQLAPGGHLGRFVIWTESAFGLLDEVFGTFDKASLLKKGFTLPTAKISNPDVTRLINSTEIQSVVREANAKIQKRPWTQRKNPLVNKGILFRLNPYAKTLRRQELLKQERVKAQKEKKKAKAPKAAGEAFLSTFFAP*
>EED83245
MPTPTIISAETRRFPPISYTDQSSGTPVQYKVVEQRRTVYMASNVPPDQLDPQVMEQFLSVPDVPGQGYPTVPPMHHRTISLQEADRRQGGWEDLAPQPSPTCSEPAPDQRKNTRPSLLKKTKPKPQFTSTSPITPTSPQRSPHTPRAPARILFYNKDQPYYGFTNFSTHSVLYNNKSYPTSEHLFQSFKFQKHRPLLAEHIRTCSDRPSVAFSEARRFQSEMDETLWHKFTQHRNLMEELLATGDAELVEQCNSRPKNTGHEYCGRKCAKDAKDARDARDAKAVVPTDGQPTMTIANNVAPTGAPTQTASNLVHHIQIRHTPPRFGNAMQPPRETKAPQTTQLQSATQRSVAQAPYLLKGASAASTGITAVSNRTVWATPPASLPVSANVAPAPSFNVPPVAGQQPTHRGVAVPVQPLPPSTCSLQSCSRPAHVNSDGSVSGYCTMNHREEAVTSGAVPGCIMCGKYPQSATDYFCSTTCREQALRK*
>EED83246
MAQKGGEMSEKRARFEAAEQEDLSRDLSIGVHIGQTLTVNSSQSRGPYNLPPRERDIDNLTYNPQADSRSDNAAFDDTDPAFQPVGARGADNQPTYRNAGREDLDAERSDQTGQIPRGEVDDLLGSLTEEERNVGGRTRGKKVDAYKQERGIDEDLDASGISSADQDVEIGAATGR*
>EED83247
MSSTSASTSKPQETSSKPSESKDENAPPTLGLLEEDDEFEEFAVADWDDSETNLAHLGGAAPGAAKSGGDKLWEDNWDDDDIEDDFSVQLRNELAKKSKSSGGPEPMQH*
>EED83248
MILALGATGCGGLLSLQDVLNGASNLQMLRLGHSEEMLRALPSLCTAIKTRQTLKSLELRAGGRTTIAMFPNMESTLVHVVHKIDSLEVNARRNYASFFGCDAIRNIKTLVLDCCWYKSGPFGIEGRRFNSLESLTLSYSHAPVDHLVKACPHLRDLILAEVESCDRFSGNWPSLQRLSIDAIGLYRFPITAGVVHLDLMRCDAAEQDDDIRETKLIPQAVRNIKPVELSFSTTFGTRQQLWGKLVASMSSVRSLEICFEAVMASESECQDMKTWMNEVLPLLLGSQVETLTIKVHTWARNTWVYTPDGPLRISPEVGDEVFTPFRNLCMVLGPQLGNIISQFGHLGKLQTLSVEVHEGDQLGVSTSKAAFVQKWQVSRSEYNQCQLWAVSP*
>EED83249
MRPPNQLGGVSAPPGGPDQRPGSTQAILETMAQALIVIPPGPLQDAVSEVRKLTRNFHWLQCDEQVEGFLKLCTDVGCAFTRLDRSPGKRLQIDDIIMFLGTNPQFFAYTRIELPEQLVVDENPLYYGGFADVFLARDGTKKLALKKLRISESERHDKRIIRDFSREILCWKFLHHPNINKLVGASLDSFSMLSPWMENGNVPSYIHDTPDVDWIGLLWFGTRRPQMYDKRACLTDFGLSRLMVLVDASAMGLSGMGTCYMMAPELFDPESYARKHAWPTKQTDVYALAMVMFEVMAGYVPWGKESEHRIIYKVISGHRPQRTDPKPYRRHSDVIWKLMERCWDQDWRKRPTTTEILRQLKNVHDVSRRACTQSLLSAADELPAWKWGHRRGCLERMSQRASLSVIVNNGYARFCEAKMRRPPDTGWYERVVVGLNSTRRRPQFTLVQGSKTEGVSANSAVYRVLGTVEQGDGKCFNILTGSIYFTLEYDPTTNGFWKSGHNPRWPYGIELLGLLLMEAPSLKHLCLENFEELLWMHELLGQIVTRFRELTSLTLRVGWGPILTSAALANVTSLLIEGFQAEDLQLETEVEVEDAPILMDSYIRRLEIWLAHLPLCQFVRFFPNVRQFLRDVSDILNKPSLDLAKAKVADIVTCPIKCPMYHLDLDATTDAESKAMPLGRKLATIVQSIHDTSPVVLTVELKSGLPWSCSVQTL*
>EED83250
MTLVTLGLSLARHRFGENQSDAPSLQYAHLPVLPPSNVAACQGQIHAFDSQDLIDVYIPDGPETVLYRCEQQPCPNRTPRSIEEDYPRYKAIRRAQHPLGPRSTLASRSASRHSRPVSPSSRLPQTVVADPGQARGDLPPDPAPEPEPEEGDSEEGVSESESADPARPASPTALASASAIPDVRNPSAELPPAPSPPTPPRGRSSTRSSRSSTSGRPPQPPPPPQRPPSPLTPIMSSPAAALDKETLKLLLPLRYDGKTVIDCDRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGARFGNLDDEAAAQVELAKLCADKSVREKRTTAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFATWQAAEKRATEARAKQLLLGPRSRTRWGTWWCTLNARSFGQHQCGRRKRKLPRHMLRLWEARVPTLRMPQL*
>EED83251
MATRLSNSLEPIPQQAAATYIERLNDDILHEIFYVLALTPPRRGIYLPIQLSLNFKTSWLAITHTCSHWRRVAVTSPRLWSKIIVYVDCCEPTLRMFLSRSQDMGLTVLIHGSTARPDGVGLLVQMSRLLAQHTRRFISFGIVDVTRRYMRDILAAFTYPAPRLTEVMLVAYPHQPPPVQSNPVASSLFSNDTPMLRTMLAPNVWLPWRSYRDMVYLDLMVSSFQSADEVLQSIQHCKALRRLRIETRNNGGRPSALNAPFTTVHLPDLTYLYLRGLYSSIARIASCLAVPADASISVILLHQPNDRLDNHQRGRTLYPSTSRVTAAQCDVTFIHTAACLRFTSTDTQFVAIWAWQNFRGASDVDVDDVLNHFGDLLVFPALSRLTIRYNTLRLSALDWRSILSQMPSLEVLEIEGGHHTHVANLFQALGIVDALDGTSIVCPGLTCIHATSCKGWNVLANPLSDVVRLRSSIGMRLNMLKIVTEEVRELLTLEQLRDDVDELWIESK*
>EED83252
MFGHIGNFQLRNSIIIWSATRGVRQQSILSDSHTVEVRSRGLLDIVDHSTEAHFPVLVSHVNKSPWAFPYLLHRAVIAEDSQSSPALDMKPFSLEPIEQKHVETSDSGPVVEHSSINAADSDFDDVPDGGMWAYMAILGGWLVEFTTFGYSTSFGIFQDYYTVTSSSSSSNISWIGSIQLFLFSAGGLPAGWLFDAGYSRYIFISGSLLFSFCIFMLSLANTHQYYQLLLSQAIGMGIGGGFLLTPACSIQSHYWRKYRAIALGVVQTGKLVSIKCCDRRSSCLLGSSCGSIVFPIMLNRLFYGRAGFAWGVRATAFLTLGLLLIACCLLRPRRSSRAKHRKQGSLPPIKPVIMDVPYVLTIVALWLVNWGLYFPYFYQQTWVREHGLSDTLAFYTIAILNAGSVFGRVIPSIAADYMGQLNVIGPIALICSALIFALFGAVRAAPVVVWTVLYGFFSGSCLSLFPSVFAYLANDTGEVG*
>EED83253
MPADRGLNRAPEQGFLALSLEALVIVITFLSARDIRRLASTCRRLRHLTTRAADFELLFAGEWDLMRYYLQRPLYMLPQSLLLQSLKLMDGSTRNQNAAILLSTILLNACNLRHLVLHDCQKLVNIHPPLGRAIARLQRLTSLELDYGGPDTINIFTEMRSRPKHIYYLNDPRHKEGEVDFSPLFKSQAIRDVVSLHIYGFNAEHLGPRTANLWELASIMEDWEPIPSVRELVLGASELPKKQIARLFPNLQRLTLEDVTDMFGDTGNHEADWPSLHSLCAEFNDLTTWELRSRVHHLEVETTTGPAKGTMKPKEEMSFVVATVRDTSPTVLSLPNHQLTPKEELLFWEELSASAGGLVALEVVIREINLPMWRKKCVHQVARLQPAVLKVHILTKIDRLTPRAAINRSAFARSNLFPDLANEFARLIPTLQYLSLGLKWDEEGGEEGGEEGGEEGGEEGGEEGCEEGVEEGVEGDEEGDEEGMDVAHLTWWRVSLTGDGKRMPEVISTHEGESLFEELLFDKSIEPSAIE*
>EED83254
MASLPTETTTRENGDDAHDDERRNSLLRRSWHAMSDLLFPFSPSALASLPKRTLRRRPRYTRNDNVPEAGRDEDGQMPTVRDYHAINSVPPQVRVPKKIATPIKVEGKVWFANERTWIAYLNTSVLVGTLALALFNASKDQIARNFAYAYALISVGILVYGYAVYQHRITLIQRRDPRPFVEIVGPVIISAFLFLAVLANFVIRVRELQRKSIPIPGLSFLFS*
>EED83255
MESTRPTRLSDGHQLVDLPSGTRLECHVSLAPASAYTSDELDADADQGKLAVCLHPWAWLGGRMEDPVLQMLMSPLHAQGYDVLRYNSRGVGQSTGRSSWTGKSEAQDLQELIQWAVMSMSSVRSLVLVGYSYGSLIVSLHPILPDTEISHILLSYPLSPRHWLTAFHGRYYTNALNTLLSDPRAVVLVVYGDEDNFTSVEEYDLWADDLSRQADGRGKLEIVRIAEANHFWRGPDTTTRLVEAVEGWLS*
>EED83256
MCLGSIVPWVDMLLDRENRAESRTQLNDDCVRLVIKLLSSPDARQLALTSKYLRGLAYKRAHEDVEVSDDEQTRAYCKYMLGEPRRMSFLRQFKLAYATDVKAETVGLLAEVLKNATGLHGLNLDRIEGLLRLDPTLGHAITELPGLENLILHDGGTLSIDLFSRLRSRPAYVNHYHSLGELHRFGVDHTPLFTSPALVNVSCLCINGFLAQELGFLSENRQQTSIYMDSWKPLPQLQRLDLYNTDAPLRQIVRLFPNLRILSLAHVRDVFRSAQDIEHWQSLGYVLAQVGDIYTWRIKCPVNCLILQYTVDRIQKGMNERREYSTILWAIRHMRPIILSLDMDLAAPPEFWHKFARKAREVKCMELELQVSGEYLRFWKDEVLPILGQFLDVLCLKISVEYKKPESSGRNIQPERRAAEHGGGTIDGVDAPNDGEAVGNGELGEHGNQPIESDGEESDYEEEGDPDDDEGGAGHNAEEETSPDGEDTPDLQLFRAVAESLYSPIIRHVGSARYVCVAYRHPGDISSCLGHLEWWHTACASYGHEAHKFPSEVANEMRCHASRTPGSAEVVFSFPHLACEADDDSSDCSGD*
>EED83257
MVRAILDPLPVFPFLVDSFMLRLFHTCFSDALDLDLWPLGWGGADAPTASGHAAGRRAPGRHVQLDVGVLSFGLAQCSDIYTYTYIYNASTSCRAERMAFRVHRPRRSANPRRGAPRLCGCYTYYNCYTYYNDRPGAWPGLQLEYTAFPPRSYNPKPVYHERNVQQHEIRNEAPLWKSRPRHPAPDTAGRRRVRIFIFYLGRGCMHVRGQCAMSKADKNAAPCSVACDGAESVGGHCEPRPAPVSKSQRRGEEGGAGGSAPGGARVPNLRDFVAALGPGAWGAPLLSRGSGAAQSAFGIQHRRRDADADAERGTVDVATEPHLTSLLVLERDAAGRVPTETRDQRRRRRRGPIRSSLFVQPEREPTSGRGRGVRWIDSLGSTAPAHAVRDGNKRRDSTLKPVPVDKDEPATPSSGYETAGSKYQ*
>EED83258
MSVSEAKFDKAVKIIQGLPKDGPVKPTQEDQLYFYKYYKQATAGDVNTSRPGMLDFTGKFKWDAWKSVEGVSKEEARTKYVEKLLEILRASDDEESKKYIAEIEAA*
>EED83259
MCSRPRYVHHGSDGASDSEDEADYGPLFRSPALGNVECLSLQGFRAEYLRPRVEDSMVPSRLHTWDPIPSVRHLELEECNVPFLRFARILPNLRTIVLDDVLDMWQDNGTLGETWPSLDRITVQSTCDSVTESEWWKVACPVHYVDLRCSESGGPIDEDDGGIEAVAEGIRDTKPVALAVQLKACVDRYFWEMLARPPFTLKCLEINLHMSGEQLMSWLATVVPILANLELMLLQVHIHSPLDGSATPSVDMHQLGGLPEPEVDFELFRAVCQLIPAVIAKSIPSLRYLSILTEEGSRVDGMHGWWRTTWEDGGRKIEVIPAVQGEAIIAHVRSTPGAEQIRVQLWFMRLFPNARRLVLDDTNIWNDNDGKCWLTLDYVSAQVADMKLALNNLTVHYVNAARALDMDLWEEMNVLLKAICNISPTVFTLKYNSLDSPHWQ*
>EED83260
MAEPAGSKAVARLLAVLRTLSAYTRGVKALVGSSAALGALFLVLRYYVFSKRGVVPYIINEPGRIGRRVTGGKVAEGGGDKERGVYDVVIVGGGTAGCVLASRLSEDPNVRVLLLEAGKSSRLTRFSQVPSLYHQFFHSRHDYNLYTVPQKHAASKKKYWPRGKVLGGCSSVNAMIFHHGAPSDYDEWARLQGGQEDAEGWSYEQFNRYFLKFERFHPSREYPHVDVSLRGSAGPVQVGYFGNTSPLTRKFLDACDNAGVTRNPDFNTPKGTLGSSKVMTFIDSRGRRVTTESSYLTPEVLARANLTVATRATVTRILFEYVQKGEEKLPKAVGVRYMNLQGEVFEVAARKEVIVSAGAIHTPQILMLSGVGPVEHLAEHNIPVVADLPGVGSHLMDHPVIDYHFMDKSRIRQSRLPSDNPHHHPSVRNVLRMVALLLQYQLTGKGILSSNIAEAAAFVRSSDPKLFSEGPLAEDTTSGPGAPDIEIFFSPLSYIEHGDHHLPSGHYFGLHTVLLRPTSVGTVRLQSSSPIDPPIIDPEYLSTQQDISVLVRAARLLSRIVYTEPLASIVDPAGDDEPLLNHSLDKMSDDEIAELIRDRVETLYHPTSTARMLPREQGGVVDPFLCVHGVNGLRVVDASIFPTITSGHTVSPAIAVAEKAADLILESLHVVPG*
>EED83261
MGAPYCHGSTVKTFLPVRLAASVTAFTESESMMQAAKNIMDDATLVSLSLDDIESYESVNKLFIKPSLARKLSLLSVSSSDSTDTLLPQPVASSIGTETLHTRAKSILKRFASYIRRSPGMAVSLLDVVDPVIVLFFGIIPTLFGFIILGGYEPYHSADGGIWAASAAGGAVLGLVSGILGTVGLRTETRDRNVWLAIVGLAILAGVSGQALGVIMLPAGKSGGLDVVHALEAMCHDELHQTS*
>EED83262
MSRIPQPSSRRSPSKPSPGLTVNTFPSRAKTPSTSPTPLRSKASTNSLKPPKSPAPPRSPVRRTAPPPVDEPPLPAKPQLSIREQIALKRAEAKKAQTPADNTNGGLDDFSGFEDALPTSGKKQGDEEVDLGRWSVKETIERARSTEPSITTSTADDISATTRRRGNRSDAPSWYEAQDLQVLKAWSNEIVEIQPEISMFGSLKTVDLHSNKLASLPDAFADLTALTVLDLSHNALTSLPANLFALPHLTTLNISHNQLTFLPFRAPFDVAGSTPLGRTKDSRGDWFSKSITRATAPLPRLTSLDVSYNGLSASAIDHEYSQAKPSLPTQVTKLDISGNPLGNCASLLQSLARLERLRELRLQRAEIGNDSFPIDIISSLKVTTPFPSLRLLDMEETHVTKPAVEAALRPPALRQAIEFEVTTEEPREGVLCVVVGKRVVKEAWEVEAERRAKLRSARVADSAMEEGIDFGSRAGGKGGHAATKVLVAKEAWEMEAEQVTPYFAAKEASCSGEGSLGDRSRAGFVDRGC*
>EED83263
MHAPNVWLPWRPYRDMVYIDLMVSSFQSADEVLQSIQHCKMLRHLQIETQDNGSQPSALDAPFTTVHLPDLTYLNLRGPYSSIARIASCLAVPTSASISVSLLHHPNDRLDNHQRGRALYPSTSRVTASQFITDDRFMSMWAWRNFRGAFDVNVGDALDHLGDLLVFPALSRLTIRHNTLRLSAMDWRRIFKQMPSLEVLEIEGGHHTHVAGPFQALGIVDALDGTFIICPALTCIHAISCEGWDVLADLLSDVLKLRSSIGMRLNKLTEEIWSVGTTAGSGVQTGGAHIQELRGFELLVK*
>EED83264
MSLAAPPASHQHGLVYPPAFLDALPVLQPRPAPHPPVRALSATQFAQIHLDYLTSHAPDSVLFPFLHGLEGDNDAQNAFFVASPQAHTVPRFRGLVWVAADDEHPGAASSPASTPDIDADLDDDLDDDDDDSLTDSLESIPVEMPDMMDIDVPTDLDSPSCAQAEITAKPLPTLDAPSPLLHRISPRTPPTVLTSSFRPRELLELRTGEDGAASCAFVEPRVPEGISLRNFGIQVIGSGERIQSWMVETICAPNKVAAEAVAPEFALRADGLASTRALVVVDVRARGRRASRDHRRTGVAHLGGAGGRCSTGYVLACFGPGQVPEDDIVVYSPKGDIRAALSLAEKFKHAVEAKRAERCERYPDAADDLITYNVFVLDASPAEISKTLPHLVMRTEDVPAAHTDSTDAHAQGEAHPAHPTDEEIAARLHRANSVDFACREKEEMRDLTQASEIVSVADPGAHLSDPDALAGSMTATRWEPSVGQVFLGNTNDVPLPPDHHICPRRGASAPAEDEEEESAFDWRTNDPVHGFGYDICIECHDFAPFPTSAHVRAAEDHLGALERRWAERCAADLAGVSDADAARMCVPPRPPPSAATVIHLPFPSSPPSTVGTMNSLLPFLAFLESLLQPPAPLTLAAARAQLAPPSAESQRATGERRRAESAAGFMPSSLPPPSAFPTSFFPAQGGAPPAGAYTRTRSTSATFLSSPSTSSVSSSSSSSTSPNGSTTSSNGSSATSQTSVTGSGSDGTEGEWEERIALRTRPTKILVYSADGYTESSVLALSLLMYLREMALPEAYLTLQLEKRRSFFVYPADLPVLRRLEGKIERERERERRGREGTRVRRGRGVVLGLGSEREGESVLKGEEVESPTEIARVVHAAAEAAQGQKPFARPAARSVSFAVPPAIQRPDGPAMRPLRSQSEADSGMAQLWGAGVGRPRASTLPAPVAVRSSIHDHQVWFNDPRFDGSFPSRVLPFLYLGNLNHASNAYMLHALGITHVVSVGECALVPPPALEASSSLPSCTSNPGARFVPGKGPGGHGSLFIEEREGRIKVLDIKGVCDDGIDTLEPQLEPICEWIDKARQEGGKVLVHCRVGVSRSATVTIAYVMKHLGLPLVDAYLVVRSRRLSVLIQPNMRLLYNLLGWEVKLAKQRAGGSEDLLKAELARSLNWPTLAKEVHALNEKYLH*
>EED83265
MPVAVPELGSFNFVPETKEDLEWAELVTLDFALLDTPEGRQQLIQTLIKAVREAGFFYVKNFNISQECVNRQFALGKRFYELPLEEKLKYVPEGLDNGKFNGYIPAGRRIKSSVLILISLDPVSGLRDRVEMYNIPKFDGYFTHNHPVLIQEHLAEIEEFSKAKVLDPLHVLLANALELPEDYFLKLHKYEEKSEDHLRYMKYSKYTPEENLKHGKLWVQGHTDLGTFTLLFRQPVAALQIKNHVTGEWKWVKPQDGTLTVNACDALSFLTGGYVRSTIHRVVSPPKDQEHVDRLGLLYFQRPNNNVRLATVQDSPVLQREGYTQNDFEKSGNPVPTMEDIQSFTLKADAEWTFAKQKWQRTKNIVRSDPKYETAQILPGWREKVYA*
>EED83266
MTRMTTVITDTIHPPKILVPNSSRMLGSLQRSKTTPRTWPKIPMKHKTRYNHSERNLVGAGFSLDRPKGVHIPNTAPSSAPPAADVWPTPTAAAEDSRGSLDRIACAKTADAGDQAAPTQCASQSCSDPVHHSDDRHNGYKKNRRSDEDEKDKKACSQNEWYFIGELLLRNGTGDGMAILVAQNIFGLLIRAVQSPRADQSELGLVQLGPTPTTYANNAHAAVEISMRLTERERRLSASIRFFRGSRRPSRQKGTLCLACFDLTALT*
>EED83267
MDLLHNTLPLDHFLALPRPDHGEVAVGTTDTSTLAAHDFDVDTRTGFMPPQPPLTRLPEQWELWETALDDALTSRLRLAEKDDLTEEDVAKSASNSVDRRTEAVSAQLQLPPVLTYSDDVLYNWAYKTPPSSDRPLAPPALDNLRCLTLFTGTRDEEEFYLASARIELRGVEALGLMRAMMDEAFLGDTTAVRRITAYLHALARVTADLTAILAAVRDGCDPATFYHDIRPWFKGADSDPRRRWVFDGLERDPALKQPLELSGPSAGQSALIHALDVFLGVDQYSHTNFLLDAPGTPAPAAPAPPTATATFSSAPGTSTVPFLTRMQSYMPRHHRAFLRHLGASPRPLRALVQASRDAALVGAYNAAVGALTVFRDEHVRIVALYILGPSRRAGAAGAGAPAKGTGGTDAFKFVQGVRNQTAGALLRQE*
>EED83268
MPFQAGDANKGAGLFKTRCAQCHTVGAGEPNKVGPNLHGLFGRKSGQVEGFSYTAANVNKGVVWEEETLFEYLENPKKYIPGTKMAFAGLKKEKDRNDLITWLKDSTA*
>EED83269
MPRRRPYVAGTTPIDFVPMDIWSLIFKELQYTSPAEVATIQSWMEDDAIPQQTFLPYLLASVCPLWRDTLCCQTTFWRRLVLDISSTVPSPTCLDIARIYTHHSGGQPLYIAITRNEKAHISAILDHLLPHASRWRTLRIDVTDQDTLPTQLQALSGNAPLLAGLRLESDYFNAPLQLPLLFNDFQRGVPIDLPLLETLHLDGPSFLNTYLLSANARSLTSVTSLAIAGYKSSICTAFKMIDLVAFLVQVPRLSRLKLADIEFHEDQPFEMAPSRRITGGLRLQSLVLDNIGQMALATLFTTIHDYRCVRLTFIRCYPHVHLNISSTEHLHIEHAPPRMFFGAPLATAANFDGVNSCDLTFSHCNIRNEIEAMSMPMYGNTWSCSHVTKLRVHNCVCDHRSIFRVLWARRVAHAQTAFAEHDSPSFVVASIKWLSVIMIGEELSDKEKEWLNASVEYVEWNVWVGGYGGHAR*
>EED83270
MSITPPSPVLLAWTRSSRNAQAVPSVASDRHPTSLSDSGQSYWSINSDTALLPLPGGSQSMAVSSRSKYTSALRVALDALVERIRTLFTMPARFPKATGHVPVQAVNTLSKAHHTALNGLLEHIRTLRTILAASTEAAERFLARNAKALVEVIKWASNIVATTIEAIVRVILPFLKWELGHVCIGAFWSLACGHTGRWMLTRALRHSEATSIGISRASAAGGAGLGAVLGVGYFIPDALHGLWSWFSLIIVGSFGQAFGNFMLHGKDSGTLDVHYALLAGVCGEVLELVLMLIIDLAIESFD*
>EED83271
MPTSTITESNPSETVLSEEFIQDAFHSYLKSSLTQAKIEGLLPTNVLSSAEGDLMITGPALCLYFAALRSTTEPPSVPLPKRSKGSSPPLQLSQDNCPPTFRPFWIVWSHTVPEIQALVPEYQHDLARVICGLPPIASPLNPRLNGIAADLRAVAIEISMRRSFQDRYANDLQAALDAGSGGRKKVKASFVPPPVYDFPPATRSSPSPSPSTASFSPIPPSLHSPTPTLLTPDSPAIEFIRETLYAALADVIERMPPLRRLLRSDPSRAYFASVAFAILDVATTSVTHPELQTKALADVLPSGESGDHEATIYGVLGQTLTLSKCPPDLRPFMKELCAIGQAAREMEEEDSVATVHALEREREPPKPRLERVRDILEGGVGHAFNSGSTHRHSSRRSSAQGHDSRSTDPRRRTTSTENRAVAFANRINALALGMTKLRAFRERQDVVFKALLIEEMRRAGDPPNGLPGIIRENCVLHARAAEDGMQTLVAQQTAPSMFIIMVTSVRRHVGCIFVERFLKVRYVVGVLDVHSKYAHTDVTTVAVASIYRTRSCHLDWTSRVMHPGCKRNPNGHEPDARPDSAVLPPEDLIWMKSSQRTTITPPTLLDADGVLRTDESLFRAHRVSDTTTYSTTPTLLESNPYHGSVMAKPKHLKGYTRISGVQLDDERRHIRSIISTIAESPIPRPRLPAPSCMRDIYSKPLAQRVLFVLLTTTFGGLLGFVGQALGGAILHTAWAGHSVCIEHPRVVFDEWEAMYAGALGGALLGVFIGAATVVYAVYVRRRCQESAADGESPTMQHVLKMLPEIARPFVVRTSLCLAELAAHVVFGVAAGALGSAIWMCERIHQANMGSEISRAAKAGLVGTLLVALVVEVAVAFARILADIV*
>EED83272
MSTRDRRTPSSAPGWDMTYAQRDREPKKSRALWCPCANSSATWYGKEKYWQVTEEAIEQTWCEDPGRSNNFADSRWLNNSSITAFHHSSLSLAVTATQLIMSSDADALETPVDIPKKQKKLRPARKQVKPGDVEKKEAPQTGKEYNIWYNKWAGGDREDSYSNKVKSQTRCHIKKDAGMTRANMTGVKYCCLFFARGCCPYGWECX
>EED83273
MFPLENRPGLISLLAGKPNAETFPITSLQFTMRDPAAGEDVKMELTPDELQHGLQYTPTNGLPELREWVFGLQEFQHNRYKGEGWTVSVGAGSQDLIFKAASALLNPGDAVLVEAPAYAGTIAILGLVGCEHIEVETDTHGIQSSSLCSILENWPKSKSKPKVLYTVPYGCNPAGTTATLDRRLEVLKLSREHDFLIFEDDPYYNLYFGPPNRPPSYFALERSQSEVGRVVRFDSFSKILSSGIRVGFASGPESILQAMDQHSTVTNLQPSSLGQALALKVVKAWGYEGFASHTANVAAFYRAKRDVFEAAMQRHLAGLAEWATPEAGMFFWFKLLGGDEDSASLIRTKALEHGVLALPGTVFYPNGRTTAFVRASFSTLSPEQVDEALRRLREVILDERKTRGV*
>EED83274
ML*
>EED83275
MCRARRAYVVKPKKARGRYRARLPIRNNNGTGAIKWYKRTRRIFETCHLWDALRLLRVGRNRSLAHAHRDGRTLSEPNLKTAAAHFVPQQGSVVCRLALHDLRQISHGRALQCGSLSSALFARRALASLGDNGNRLEYPPAPSPPNSTGTPDRRVFMHPDRPSSRTVVEDRPVAIEGQQAVIQDVVISMLLSSLRLPKYGRELNLPSLLQLAIPALYQTSSVPDMEALGTSPHDSMTGLVAVLDDQIPRTVTSTNLDLLLRLAMVSTKVLTQQSAETGASLAQPRCLMTARSSYLSQNMLGRGKSGVSCFNVNDAEKSPVSGPIERSALASFSDNRTKLEEWDHSMWRLSISVPLSRNAWRRVAPYGRLDRLSIYGHRPLRLQRWLVRDRQRSILIPR*
>EED83276
MWHGGGAKRLGYENRPFVPSHSWMGQLIYKHAAVTVLQLTNPAHRSVLTSTTVRPFVSVQESLPCPNINNKMSLTTGSPRYFYKTESGVALPLETPQDFALFLQDIPQNGGPYLRVLRLSDLVCEMLTRQDHHQWLVNFANVLSGASNLQELRLGHSQNLLLAPSSLSTSIRNCRSLKVLELHAGGPLTIAMLPKMTGQLVHVVHQVDSMDEHYDYSQFFRCAAMQNLKILALDCFEHSDHPFRIGGWRCHSLESLTLRYSYAPVDYLVKANPHLRDLTLTEVQSCDAFSGNWPFLHRLSIDPIGLDQFAIASGVFHLDLMRCDAAEQDDDIRETDLIPQAVKNTGPTELSLSTSFKVRQQLWRQLVASMSSVRSLEICFEAVMASESECQDMKTWMNEVLPLLLGSQVETLTIKVHTWARNTWVYTPDGPLRISPEVGDEVFTPFRDLCMVLGPQLGNIIFEFGHLGKLQTLSVEVHEGDQLGVSTSKAAFVQKWQVSWSEYNQCQLWAVSP*
>EED83277
MCREEGGGSRQTTFWSRPMVFFDNSAAWQLLTIVTPPSKTTAELLWSPFVNSKMSLMTHSPGVHYAGGSEPITAALKMHEDVALFAMQQNDRNRFGQRIGYSLNTGNDYTLFFGCAVIRNFKTLVLNSLEYHDGTFGTEGWQWWHHLVMELWGNLAVSISSIRSLEICFESVLASGSECWDMEKWLNEVLPLLWGSHVETLSNKVHLGPVIHAYLSQNLQTHLIA*
>EED83278
MATRIQFENSSDIGVFSKLTNSYCLCAVQGSTNFYSAFESELGDVIPIVHTTIGGTRIVGRLTAGNRHGLLVPSTTTDQELQHLRNSLPDAIAIQRVEERLSALGNVIACNDYVALVHPDVDRETEEIIADVLKVEVFRQTIADNVLVGSYCAITNQGGLVHPKTSVQDQDELSSLLQVPLVAGTVNRGSDVIGAGLVVNDWCAFTGLDTTATEISVIEATFKLQGQSSAAAIGEMRDSLIDSWA*
>EED83279
MAMVESSGFRLSVEWFSGSEYARDMSNRNGTLEGYYVIRNIRKQLPGSPAPMLFERHKRVSVVIESESQNPTIDSRRIVKLAIVATDEPMRLGTLKRIYLWVALLGHVIANIAMVNRGEMTDGDSLVIAKLEAAESPDPIYHKGGRKKGENGPVPMDVGKRNAAQWEIPMYIRTDDLRTSPCHVVRVLAASAFQVLILCRKIRPPPWASSLALAVELLETAPTAKHTQSFADSETISAAPSTPHGVGYSSDSVIFLSRLPGQSGVPYTEQPSTKVYKFAPGERLFVCLKRVLTNLQQLSGGLVIPTSTTADERDASDYKKDQKDQMAGYSEAEASGFPEQRWKMIAPRTSVGSLWAAAQQDLGATDDSKASHEMVSAQPTTHSKRHHLSNGPNGIPTNLLTSPLQNC*
>EED83280
MVEVLSDMNEDLRFVADSLATASLYKKTVPASVSLIRPTFLVPLIIDLLAFLSQLIPRDVATVTMASLGMAMNHNNQFLDLIEDMQREFTSWMAARDRHRLWCASRALQSDPITRAAFTDVILTTSRQVLPFSRDLFMNGPRKFYSLTKLELIGRASRALEKSTLQYLGQALGQAPKLRELKLTNSEGLFEAEESLGPAIAELHTLKKLELRDGGRRTIKILHDLKSGLRHLVHDTCRSVYEDDAHYDYSPILLSNAVRELESLKLSAFDYDALPLELRKGHWPRIPSLWSLELEGSSTPMFPFVEAYPKIRKLHLFEVGYHVVDDNDGVDDNIADDNIPADDSDDFPADDAGGDVHMDDDVSTEEDVNMEEEDVDVDEDDVYIGGGDIVTEDDDLDVDTNNMSKCWTRIAEVTVELSTLASWHLKCPVHHLELWHSDSAEFGDHERPINTNLLATRALTEMKPSVLTVDARVHLREEVWEPLVRSMTSVRYLEITLTCGLEQENDFQGWMNAVVPLLGSLDLQVLKFQLSACHRNMPDDPLDVGMFERMDKARHELPSFLASNAALGSLECYLCVQVVKKDWIGGYALEDEADWYRMHRDGGRRILHKIPSEVGEKILSDACLMPESDT*
>EED83281
MPPCLPARRLALACRIAGHLPFAARSPERLPRRPVLLPAACCLPSAAFDVSVPPSPPQAASHDTSPTWHLHWSVSATGAVPDARRPSRAINARSVLTDSALEIHAPMSPRPPPKRAELTLVRHSTLLLLLQSVGWRPDFRTLDIAGICTF*
>EED83282
MAGHASASPASSGSHYPSPETYSDMASSNASPASGSPSLYTPRAHDDPDAASPDTRSPEDPAARPPAEVARAHSAGKGGKKCDEEREGANGACRTCRRLGIDCLGWGAKRPDWMRGNLRMDALTGCPEEAMLALAEISALAHWKMSELRNGSLSVRELIRRGDMIETQLRQRAAPRHAGEGNLTPLDPHLAATTMQFSMTMSGTPSASTSSGVTAHATAISKDEASTVVADIFRETAVLYLHTILSEALPGVPEIHGAIAKIISLLHILPSSEYDRAIIFPLFLTGCMSDDRGVRDMIKRRFLLLDDTFGNIHQVLMQLESIWNERNTMLTHHGGTGIVHWRESLRSQWANLLLL*
>EED83283
MPSSTDKKLILVIGATGAQGLAVVDKLLAPQEDGSPSPYAIRALTRDPESRRAKELITKGVDVAAALEGVYGAWVNTDGFTIGEPWEIYIGMRIFELAKQAGVRHYVWSNLDYAFKLGNYNETYRCQHYDAKGRVAEWMQAQPSAMMFGPLKKRKNGTIVFATPVGNGHVPMIALSDLGFFARYTFDNRATTSGKDLPIATEMVGWEYLKTTFERVTGQKVEIVYQTIDDWMENMDNADQPVAHEGTVGLDTTTWRLNFRGWWALWRDDIVKRDMEWIRKVNPKGHTLESWMKEQNYGNYLWQKAGILKGTEDGHRSTAPGKRRLQKL*
>EED83284
MIISKPHRRIIYESLFKEGVLVAKKDYNAPKHEELDVPNLEVIKAMQSLTSKGLVKTQFSWQWYYYALTAEGVDYLREWLNLPAEIVPSTHKKAVRPPRPATVRPGGGDGAYRAPRGDRDDYRKKEDAPGEFRPRFAGVGRGGPRE*
>EED83285
MLSKDNMRDIELATKTPTVTPSKPPPASPSPASHTVHVSQAEQRRKDWSIVKKLAENIWPKNDWSTRGRVILGLGLLITGKLLNVQVPLLFKQIIDTLNVDITAGSTVWIVAGSLIAGYGLARVGATLSGELLNAVFAKVGQSAVRKVARQTFEHLLNLDLRFHLSRQTGGLTRAIDRGTKGITFILSAIIFRIVPTALEISLVCGILSYKFGWDFAAITLVTMAAYTWFTVRTTSWRTRFRREANSADNKAATVAVDSLINYEAVKHFNNEKHEIALYDKHLSAYEKASVKISTSLAYLNSGQNVIFSTALTAMMFLAAQGVINGTMTVGDLVMANQLVFQLSLPLNFLGTIYREMRQSLLDMETLFNLVTDNQPPKDHSNAQPLQLRGGSIQFENVSFAYHPDRSIFRNLSFTIPAGKKIALVGPSGCGKSTVFRLLFRFYEPTGGRILIDGQDVSQVTLASLRGAIGVVPQDTPLFHSDVLQNVRYGRLDASDEDVFEATQKAHVHEAIMRLPEGYKTKVGERGLMISGGEKQRLAVARVLLKDPPILFFDEATSALDAHSEAELMRNVNTLLTEKSRTSIFIAHRLRTVVEADLIIVLKDGEVVEQGSHEELIRKGGLYHSMWLEQAYQEQSVAEPQDTIA*
>EED83286
MPSSTYPTRQLGRSGPHVSAIGFGAMGLGAFYGKRADEKQAFETLSYAADRGVTFWDTADIYGNSEETLGKWFAQTGRRSEIFLATKFGSRDLTPGAANPDVPNSKPSYIKRQIENSFKALQTDWIDLYYQHRVDPEVPIEVVMETLRPYLDSGKIKYIGLSECSAEVLRRAKSVPGVGEKLIACQMEYSPFEVEIEKDGFLTTARELGVSIIAYSPLGRGLITGQFKSAKDFGPDDIRSWFPRYQGENFAKNLELADKFAAVGKKVGATPGQVALAWILAENPDFVPIPGTKTIPRLEENAKAAEVKLSAEDVKEVRKAVDAADVVGPRYPAKFMEMLAKPNLSLDQWKGEQ*
>EED83287
MDLADMRPPSPSPMYLMPIRRDVSPSVRSSLSRASSMLSVSSYNSTAPLILPPPATSPPQPATPVAGPLRRLLSFARDSIHGHHISLKEPLKRLVSSVSQYVSATIRQLSHWALIFCGWTVASCAIGLIWVISSGYVGWFLMSKEEPYKSAGTGYFAAGAAGGAILGVAAGYVVACLLLVIEWSSTVFLTIVGFIVFAVGISGQALGVFVLQGKVSGMVDMAHAVGASVAGSAFVLFGVFAIVGACTRESQ*
>EED83288
MSNPWEDPNIPRPGPSSPDELMRTPNRSPQRPQSRWSPPRLAQPQPSYPQVPVNPRPAPPVPPPNALAIALSQIATLLQNQQQGGGRKPVVNKPKDFDGNKDEYEKWKMEMRLFLADHQINDDNRRTNIIVSYIRGPKADSGRSPARTFGGSWMTTMSMLA*
>EED83289
MSSYPVPGKKVILVIGATGAQGQAIIDKLLAPAEDGSLSPYAVRALTRDPDSSRATELAAKGIDVAAALHGVYGAWVNTDGFTAGEPWETYAGMRIFELAKQAGVQHYIGGYDEKYRCEHYDAKGRVGEWMQAQASDVHGAGMTWSIVTSCPYMDMLHNLMFGPIAERADGTVVFATPVGAGQVPMIALSDLGFFARYTFDNWRATSGVDLRVASDLVGWERLRATFERVSGRRAVVVHMSLAEWFEVFEGVDGPLATGGTAGVDTTTWRRNFEGWWALWRDGVVTRNMEWIRKVHPRGHTLESWMKEQHYGEHLWENVAFLKNSEDGRTPTLKIEKSIALSKL*
>EED83290
MKRAQADEGSASESSKHALVPTCLPRSLLDELASEPLFHIDYSIIYNTEWSYSRGESLTGDPNHAFAEYSAVILNRLPSDCIRRRRMGKRAATLKDCTSLHLAAMRGDVALAYECIRFGVDVNRRDCDGATPLLRAMELISAPRSFQAPACKHTQCLARARERVSLGIPRGKRIASILIEHGADAMLADNKNVTPFDHAWVMRDWDLIENLLRSCPLLADYPTPKGSPEDIRWLAFLGRRAKHGPPPPPRRCPCWSGRLLSECHQGAKKLYPAECACPCGLPKRYRDCCSQRDWDFFETWDPQRKRIVITGQTRQHNLVEVIQEHVDVQKVADADDEKDVPSNRADADHKKIVPSSRADEDQVRSFNRFTISLVLSRGLDPAYAWASLHRAVRSFMMPQDQQTDKKDREKRQHAWNAAVDEYIKIVEDGRSPTDIEHKAKVALDGRPLFKRCEAKGCATPNGAGCQLKCCGRCQRQTMPAERLEQTQESL*
>EED83291
MVTPLDASLTPLLLTLPSLTPSLALEVLPLGLTLHRLFVQADGKAGTLPSPLPRPLTCSQTHDILIGPELPAGHLTQKYTNTIIGRYANRVPAGTHPVSRAGVHSSLTAASNESPSVSLHGGLSGWDLAPWDPLPDLSAATLFSPDEQQTIAATLPSACVFVRESPNGEEGYPGRLRVEVLVGLAQSNAPPPAASAEWLLGSVVLIYRARLLDTDKVTPINLTQHWGFNLDASLQDGQSVKDHTLTIRVRPHPLPPVIQD*
>EED83292
MLELLSMEDSETSAAKEDQISQGRSLPVELWMHILGDVAEERNYDVIARCARVCQFFRNLCNKHLKDELTFGSEEDVARLKTDVEAKEIGGWRGPNHVTIWGEQDSKVIPHVATLASRFAGRWTRVEELTIEWASWPSSLRAANAAVFRDLSRFASITRLVLPKRKFTPTVWPFYMELLEFMSAVSNPCGKSPRAYSWGSVDRLHLHESVWWKFSSSSIARLLRALPSLMALVFENAGNLFEEMRITGISALHTLRPMHIRVGCWGARSRHGAHIVRSLIKMDYPLRITALYIPIYPLSRRTDMVATAINELIRHAGPSLEHLDLAMLVRGHARPGKIWEFKIAANQHRGSDLSENTNRDLESIRIKHLGALCLSACEILSHVTSTCISSVDIRFQSQWSDRGELSDVFTQLDVVLSLPVFDNLVRVLIHVEFGKHHRRLHEEEMQQWAYLMKPCLASLDKRGVLKIDTSGGISTPVDLAILWALKVLAWRIDVSKHDENVNVGIVEVSASEGSVPSGTIAAHTE*
>EED83293
MQGPFKKPFWEGLIAVRSCAVRDSDRIQHREPSLPAETWLQVFDELAQGGDYEAIATCADVCNVFREWSKRYITGSWSRCFILKNEADVQHAKEDVVTKGLLAWPRVSLASVVGEESTRAIPHIASFASTFAAGKWVRIEELAIQNASWPSSLRAADAAVFRDFSCFTSITCLFLSDVTFPSIVTFGALVSALPGLEKLYLCDVKFARSSFLFDPRTLSDFRFLPQPKGLERLYLGIVPGRVDSEPWLEQFTPTAWQWYTELLDFISSVSSPCGGHFWAYPWDSVRHLTLHESVWWRFSSSSIARLLHALPSLWYLIFGNKETNVPDLEITGVHAHRRLTPINIGVDCLAQPQHVDHIIRCLIEMDYPLRITQIYASIYPFLYAIISIYLRTQTSNHSKYMLDWNTVSAIDLDPGTFDWSSRDRLNRDLLRLDAVLSLPIFDNLVHIPIRMLPDQSVGGASEEEMKEQSRWTGDCGVCTVSLIALLSIAIFRIIDLFSHSIEAGDSHRGPIIKLGLIWDDNIEEWQRYTSEADERGNVAIVKTPAFKGDAPSEAMAAYTAWYHNDTALPRRKRSWCHGVCMERELEDIYHVLQQGDDSMHSGSMILGRSGKGCRLGGFADYPGWHSSILCEVQIPGDYTLMHIEITGGKHGRIHSEYHSVRFYQDRFEPVQMSPHTCPVAHRTTSRLIITTAHPTYSMQISHKPSLPEELWMQILDDILSEWDYDAIARCARVCRFFQYICRPHLQLQLTFRSKGDAERLKTDIAAKELGGWRGPKYVIIEGESDSNAISHVPTLASKFAGKWTRVEKLTIENASWPSSLRAANDAVFQNLSRFASITDLSLFNVTFPSIVTFGALVSALPRLNKLFLRDVKLTRPSFPLDPRTLADFRLLPQLRCLQEIDLAIDRHKPFTPTAWPCYTELLDFITAVSNPCGKFPRVYPWGSVRRLQLNESVWWRFSSSSITRLLRALPSLEFFAFISARGIFGELNIIGVPAYPGLNPIHILVECGIPSSQHELDIVRSLIKMNYPLRITDIHTQIFPGSQETDTVSNAIKDLVTHAGPSLEHLYFDFIDWGADVSKHYPEVRTSADQYIWVLFDNELHRHHEKELKEWAYLMKSSFASFDKRGVLGIEMRGQSWW*
>EED83294
MTEHGEEYFAEDHEEMFCVVVFKDVAQQGCYDTIARCGAVCRVFKEWTRDYLHEMHVVTFDNKEQVERIEADAATNQLQGWPGPMRVTIRGEKDTKAIPHLATLASRFAGRWTRMEHLFIRNAAWPLSLRAADAAAFASITFLFLDEVTFPSVVTFGTLVSALPRLEALWLHNVKFARSSFLFHPRTISNFRLLPQAKSLQDVYMDAISSPLEHEFNPAAWAYYTELLDFISAVNNRCGKSPRVFPWGSVRQLDLYETTWWKFTSSSIARLLHALPSLEALRLSPIVINVQCADAQPLHGAHIIRCLIKMDYPLRITQISTPVYSFPQKADTVAIAINEMVRHAGSSLDHLALVIEAGRRGKDSLPDQHRTLVGAAYQYHDLDLSESSNLKSLSIHDQHTSCVSVCDILSRVTSRCVSCVEIKFNYSSFGWRSQAELSNGLSQLDAVLSRPVFDNLVHIPISARFQQHSMSGKERIRACWIKSRLRCLDQRAIIGINIIDGEKLGLIWRDETNSWKRYVSKMDENGNVDIVEVPAFEGGAAPEAITAYTAWKSLSGRKD*
>EED83295
MYLAGSKSGVMEASGRWPETCLNQSTESIVQWSDGDVFTSRRRRYPDGYTCLGPVSQQSVRPFSMDDSKQYSASESSPQALWEGVSLGREGSSLEWEDSSLEWGGPHGCWEDLFPFPPTYPLPCGEDPLPGPYPRWKSLRLLHLKWENVPYSSNSRRPSLPVELWMQILDVVLKEQNYDAIARCARVCRLFQHMCKPHLATDLTFRSEEDVENLKTDIAVKEIGGWRGPMRMIIGGNKDSKAIPHVASIASKFAGRWTRVEKLTIEKASWPSSLRAADAAVFRNLPHFASITQLVLDDVTFPSIVTFGALVSALSGLKELYLRDVKLTISSFLFDPRALSEFRLLPQPKNLWRIYLGTGTDRYDLEHRLEHDFTPTAWPYYTELLDFMTAVSNPRGKSPRVYPWGSVSCMKLDESVWWRFSSSSIVRLLRALPSLTDLTFTSADEKTLNLKMAAVRTHSRSTRIDIVVKGSTARPQHMDNIVRCFIKTDHPIRITEIETLAHSRLQETAMGGPAMNEVVRHAGPSLHRLEFFINADKMSGGSRPDQHHTLALSADRYHHLDLSENTNLQSLRIHHHLASCVDMCEILSRVTSRCISSVYIEITTGSSKPTEQGELSEGLSRLDAVLSLPVFDNLVNVSIQPSLVVEHDGMEESMKACLTSLGRRGILMIGAEVSSYAVHDTIQSGTVQVDGTEQGRTYLSGGGTAAEKGRERGQVALVSVTWRLGDWQERNRAAHDKGSTSSI*
>EED83296
MASSDRFLLPIVPQIHGSSCSTFKMPPLGGSLTLPELYEWHAEHCPEHPLFVFAQEDGSLHKICWPEVLRAVYTGVKIIRDRTHWQAGMTKAPVVAILSNSDSVPYATTTMAIMRANCTAFLISSRNSPAAVAHLLNKTACGWILGVPPPRSPVVVSTPENVLEMAKATSSDLILTVPSMVEAWSRNPNHVKFLAAREGILFGGSPLNQEIGDYLTAQGITLFSLYGAFPGFLSTESGVMALLLPGTFTHTVGPRAFSDALPSGS*
>EED83297
MGGLGVLTVIVDGPHVPSGPEVPQIVIKQEEVPTRSEDIGELGYFIQMRQRVKTSTPPGARSLREDADEEATPREQRSCEARDTDTAPTTPTRLRTVIKPTGKSTSISHRAQARRAQLMPPPNPEREGDAMAAAPETTGLHSMELRNTTPQVQEECAPAATIRGEQENPSSTPTSSEPPAQPGGSIAHAGGSQPMHYVPAPRTLREDMLLYPYHAAPFAPTHYGAAGLDPHNLNMYYHPSPYQNLAAPLRSRAPSSVSHADLAGPSAEPLAPPSKVASTSSRVAPTAPRSRASSNVSHIHPTDPADPLRYKSALAPPGPSDMPGWTVLQVADPQPLLPQRQALPRRPLTPPPSDSQPSKRALEPTPKATSTQEDPSTDVPSAQQAGTADNAAANAAGSPMDESGDNVVHDTSHDGSPEWDEVPGMSYEEAAEAEEQALQEQADDDVFGTPGRLSDDVRQILWTGFAKMNTIVEQVSKDSGLPAVRVQAMFGQQHARVNTARNHWNIHGRYFKMNQVQECKRLGLDAPLADTVEIRSKTYALFKEAHTDIWRDILETFEEVEVWAANHTVGQRKRDFAKAVAKMRQLVSAYIMSVAQPTNHPLTFLMAGNLVNTDTGLAQLYETATAKNFLSTYLRGNEDTSLAHFKSYLYHQTSMGILNEASENGDEDMGGPSKPSGPAIAASCQQGGAPSKQHPGPSEQAAGPSKASTQVPGPSKQAGASSNEGTIKSQKDLDRRKFGLEFLHPDWRKKLSSTDKAGVIRFNVREMEVYDDEDGNSTSTAGASGMLGHWMFPPPAPFAHAHDAPPGYAPPGYTPRPRPTLPHAPRYAPRGWPVDSQTLDSYEVMDPRDAGHYYHPLPPQFRAPEFRAPGLMTPDGTYIPSGRVHPHFYEDFGQAAAFADVPQGMPYAGFEAAAPPARRMPGTAGAQTAGVQSHDEGEQRGDAGPSMQA*
>EED83298
MLWLQVASQIGIVIFTFFVQMQMPNNQYLPEVVGQLIDAASPRFAYLAKEVALSLRSIRPTLPAPPTRHSIPPSPTTAEAKDIIIWAPTTHAVPIELQPPALVTQLVASSPVLGTSMSMPTLTRASASEDASSDIVIWSDDAVDSANHFCPTYLASIVPTCHLRPAHIVAIAFTFATIWSACGLFKIYQARRDEKTVSANSGLRSYTQSNIVAKLIAIFYGGAPSRSRTSSLDRDGASSTVPSASANHDTVLTVLPNTNHTDGDASNTTAARTEQQTEISAAHESHSTSGPSKPSWAPSRLAPTPETVSMAHTAYACEIYAKKCAGHIKMMEYQSARPALVREAMEREREGYLAFVEQEVQRAAGLQTQWQERCAGLTRIYATMTARRAEVYGTLEVLKSTRARLDSERAKARKEHEQRAKERAQVEQARAAIATKRMNAEEGRLEDTRKRVQAIVERTVLEEQNEMLEKERFRREAARTRAEEEHLEAEQRRVEAMEEQIRLEEQREMREKELARIETGRMQAEEERLVAERRRIQATTEQIALEQQRAILEKEQIRLEAERVRQEAERRRDQEVQVGPARHLQDREVQKDEPALREQETQTPPVEWKDTQTGRGSLCEGGMLTDCTEGVRDQEVQFGPADYQVQTARENDLRLQEAQIERDVQAQQEELPNSGYQSGNDSYQSGQLSQTERAEHQVSHEGGQWMQMDDAMDSQDSGSVEQVEHVAQPVQYEQAQPDVDAGHDFPVQCTPIQPPPHNPSTAVPLQEYQQPIQAPQSQPAVQIPAASSSSMPLAGQPSSPSPVTAADTVEPSAAQAENLEKILINLLLQQAQSGQSMQMIDDDLIDRQNMQGQANHANMNAMSQLQGGYQPSLDDSYYQPMTPQSWQGPMSTTGPYGYQWAAQPRPPALATGQQFAQYDPAPPPSTASIMFDQAPSSSYYTVPDIVASHYADPRMGQASNSAPIAQGYSSLQYWQGMPETGPQDLQDGQAWYTENGEHDARDTQSQSDEVEVDLGYEYEYGETAYPAPSGHPEGDVSGDSPEEDHPDSDSSQPTDLPSTDLSDRPKLPLPSRARRFQGQQEAQTVQVLPMGHPAEQPPFNGQSSASSVAWSAEVDFSIPQSTYGSPQPWRASTLAQHDPSPSASMSTPSSRLDEHARDGHSGIYESSSVPIAAPIERTSLSLHDYMVAEAAARQAPRSEPEGGETEEPAQYPPGEDHES*
>EED83299
MSGTMTPPSQVLDDFDASRMLISPPPEETLRSTRARSTPLSSKQPPFGSSFLANPPTSTAPPASKRKRQISPTSAHKTHDAAGIREIEDSEAVHVNTTPNPKALPQLASLTLDPSSSHSPRRSSPKKQVSVQSPHASNPNADFVALSPGRQHESGSVARRRSVTPLPPYEPPRERFTPPREVFRSLPPRSPAPRSSKRKPKHKLTLLIKKEPPDIDLSQPPPPASPTDDPLLLSGPPRHIKPHRNTATQGSRETPPLASTSPIRDEDMQHAFAFDAPSGGADTTMSDDFSIVPAFNFDGAVDDGFSSSEDEHEDFDQTGEYTGKFRMLAVPTKEDPPNSHTRERMEAWGRPISPFPRIIDLVNDPPSPSERKSASQPPVQDDPIAASAVVDIAENPFIEVHSPPREAQLSGNTTLDTSFDSAPDTESEDRSHAEQEQVSSRELSTSTVLGSAEPDGGPQGESPLSEAATAVMGDVSPKHKHDVLPAPQWSPLSDKTQSEDGDEDDDDDDDEAFVDRELSREPEAEDDEQVSPDTTRTDIVGETEVALQDDYDVESSDDDLEELDSGVIQVTSDDPLAAARAAAILKMHNYDCIPRSKASSRRQSQISIESLSSRRHSQLGIDAMLRSARRRSALESGISKNSPRKERRRTFGGFVGDEVVIPGSPNISLPELLKEPAPPAEHIKVAAFSRLAAQPQSFATPTKTQLALAASSRAAPEVNDKGALPSTWCKADWKLLDSCFTDERLSLGETRGLSGNSLAPVDDVDVECVADRFMQLLDGARKFDRFVSAVSLYASY*
>EED83300
MSPIAQTGVADASSMEQPRIRRIGMHGHQEGASGTLVKSAKYGCYNVKWNDLAFILYTVEYSTLRGDVRLYYLLHEGPEEPSHALLLAANKWGMKLHDEILVFEMGHWREDRDLWQDVQKASWDDVILKEEFKESLRTDIDGFWEAGDVYSSLSIPWKRGIIMAGPPGNGKTISVKAVMKDCYARGYAPLYVKSFKHGMGEEYCMGAVFQKAREMAPCMLVLEDLDSLINNQNRSFFLNQVDGLVGNDGLLLIASTNHFEKLDPALSGRPSRFDRKYSFDDPGEDERALYAKYWQNKLRNNLDISFPDDLVAEIAALTSDFSFAYLKEAFVSTLVVLATGAKRESRASFATTIKDQIHTLREHIKNNLREAVQDTGTKDTVHAQALLPRGFVGGHYVHAIPAEPL*
>EED83301
MSLPTKTREYRLPQYDGFHNLTLQESRMQPPTSNEVLIKVHAVSLQYRDLLIARGVYAVDVKDKVIPGSDFGGEIVALGPDIHGWDIGDRVMSNFAIDHLFGDPTPELKRSHLGAPIDGVLTEYKILPAHCLVRIPEHLSYEEASTLPCAALTAYAALMGPVPLKGGDTVLILGTGGVSMFGLQFAAASGATVIVTSSSDEKLRIAAKLGAKHLINYRRTPDWDKEVLRLTNGRGVDHVLEVGGEGTLNKSIASVRMAGNLNIIGIVAGPGDVSGLRLKVLLNAINLRGIVVGSRAQFEDMNRLITATSLRPVIGKVFKFEELREAYEYLDSQKHVGKVVVKVSKD*
>EED83302
MAIGLVRAQELKGAILAAQCAKDLEGVRRLFECARDELVWSPALSDRIGDLRQIISSVPPSTFPEDEYMEDLAAEINQKFEEDLWVTPENMIERWYTEPPLPSVETVHLTFRDATADHKAFGALEIWADEEVESIIDGAVLDTLLRFRIRANKKYRHPYRPSLDFDILLPKAEGAESELINIDNLDEYLVQTILARLGDTKVIKYVRNDDQNGEAQFYVENTDPWARTLPDWLSPAPPTWCRYTPPVSYTRAASRTAADGIQYKEVPLLYFGGTGRDILPSITMPPLVVSHVYLPVQHREGDYCHALGDEVDHVVSADRLVPPLTDAQARSLLGRAIQFSTAPLPEPRDDNRPQKKRKKTRPLYTTLQTVVVWGYDPATSLLSCTSPFGLRNTDFVLDLRVADREVIAADKQEDPYGVTRKFAHWLGVVSSPQDRKLREERAMGTAAISVQAQDENPEEVADIPEENDGTLIPIEGLVMRLNIDDTTRIFEVLVKDRMLLGDATLATVHGGLDFDVTVDGLKPGIWHSLVDDDGEVELLWARPGHVDYEDPEPLEDDQMSTPFSMEDDSRWAELGVYGVDSGISGAIMQSVFVEDGVLTSDEGGTRDVMDVLTKQAFEELGSEFIAVPGGIVWSGQDGTYVVRGHKDDDGLLVAITIRVEEGRVPPLNLRMPKNL*
>EED83303
MTFATLRALHTLIGAALDDIEAVYHDAATSGSKTNSVAGLPSPLSPSLSTPASTPLHAYSYSPTPSTPYSPTDCDRDSARDLYPSPSPLSPTFSVSTAPRGAFAPYTAYSPVPHSRSDSLADTLSLSLSLGQRPPSPTPSFSTLSSYSGTASSRASSRLNLGDVIDPERIQPPVSALAPMHIKSEGVSAQWTHDTPPASPAVPAPTSTPVRRLPLASGRFLRHAASELLATPSRLSQAGFCSGAAAGSPHTPKSAGAGKYAGHHSLDGAPPKAQRTPQRGVFASASVSDLLSTPQSKARPRGSMDARSVCTATSLGGDGKGSPSPIRFAPNPHPDPLADGADGAETYEYGQRLPTVLGSPATPRRAPDRTPGDTLATPTSARPGSGEGAERSADGRKQRHRPTPLLPALVPTGQGQGQLPPTPASLFSLSMSSPTSRSCLAGESDVLDVDTHAAGASLDKDRRSQGPTLGAPWEEGQSAARKPWFEKGARVNGRALDWPALDEPAYVARGKDGDQETSAVNRDESPGDTDEDRARVLSETLTTHPAVLAAVSKLVAACGQLSASVQRPFLTVCDAAMGYNLPACLRLLEAAHVPEILREAGPKGLHVREIARRVDKVRRANRARRADSNEARSTEAGIGEEEAGVDPVLLSHVLRLLATHHITREVRPDVFVNNRISGAIDSGMTQAELAKTPETKYEGTDGIAAFVGLCTDELFKSAAYLTDCYLPSPEDSGLFGTLSSAPQPQTAAERDVPAVNTPHNDDRNWGGKGAGSQVDLADLALGTRDSPKSPLRTKRSFLGSPVPSVESTSSNVRRRPRALSIVPTPASPSKMPAPRRPRAFSIIPSSSSSGPTAALPPLPPLPSTPPKARGLQPSQTKHDASPTRPSALASQISSQKEEKGDNPMHAPFNLAFRTNAPYFEWLERRGNGGRLKRFGRAMTGTGAWEVPGAIVGGFPWHSLPPSAVVVDVGGGIGSTSMLLAYAFPHLRFLVQDRAPVVEMGEAMRSMFNSQERTLRELSTLAQTAGWRIVQVVRSESSLFGHITAVPVEIPAESLALLDNPLPGTEGASTGSGAPGKTKRPAMGDTFCSFVDLPSDDTVRKGVRASKRAAGAQGWQARASEWKQRLVKKGSAIFKDRKGQSHALPPSPAPPPLPATPTELLPPSDVADHEKEQKGKRSLGTPKSGGGPRGLRKVLSRAQLSAGGVERQRERVERTATVPSG*
>EED83304
MAVDLLSPQALATVLISAVSLIVWRLTVGGFGSRKYKLPPLVEYKWPILGSTFDFIKDPTAFTVNSYEKYGPVFRVYFRGYVQAVVGKEHVRDIFLNPAFSYELIPVYKDFAASIGHFVNRKSPVLALLPSVAKLYMWTRSTALNTHHRVKFNLSSPTAVYRAKVLKYTMPIVHERRRLMKELGDEYDPPAYLNTVPLDQPVNEHELATMMLTLVFFSIHTTTKRTTICLYNLAKYPQYVPELLEEQRAVLGDDWADGTVTLDQLKNLNKLDSFIRESLRTSGRSIALPHKIVGMDEWHLPGGYVLPKGTVVNINTEDVYYSPELQGPNPREFNAWRFVGTDKGAARIGPDMIAFGLGRHACPGRFFAVHEMKIALSLILKRYSVTFPDGVKPTDGSPLLFTPRKTA*
>EED83305
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLTNPTDIFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPCSIRARHNKFIPRAIPNAKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGSSRPRPDTPVVFRKVDPDWTPDTTQWMWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHRYLYK*
>EED83306
MFLLHSSNSVVCLCSRLLLAEPHATIRRYIELAIEHCAEVRIFLPFDILLHREDVPFDNIDACMQQHPALTYSVLKHYLPAGLVCLPDVMAPLATFIMHNVIPLATHFRIAALAALERLAEDLARIDLAAYFGLLGALRAGKGVDAGAARCYVYKAALRTVFDLAEEAADACLCDKLGRQWRQRATPMFTRRIPPKAKGDADAPVCGVASPEERAGLTDCARIDLAVYLNLLWSVPLGVRPADLVQELLLILRELLAGEGGKDTARRDMYKAALRNADTYSCRGVEPGRIAQSMVEDAESGSRTQREERRYTPPTLRSYTPLTLRSLIVSNGHLCHTSPSAPETIIWMAPGHILVLACHTESALAMSTGDAAPVEAPRAGK*
>EED83307
MRFLCRARQWGPYVPAAALGGLPADREGERRAFVREVMEVMFGADGADEYEYEYEEGDEEEEDDEEEEEESASSGMRGEEEEEEASEGEADKSALYGDSFAHESYTDDTDGNDSTHPPSSPGDKWNIGSWAGTAMLPLVAGLRPDWAFLCAVRVVVEANMREAVGARELGGLLALDALRAGSAPLDVRTDGDAAWFAGAAKPGAGFADGTWDWAGVTGVWRSVFIASDKAHVSESTLREAVRIVPLRLRVIAHGAPAVPAWPGRPTLCVEGETSGSSQNGAIRRVRGTVSALADGSARWSLGLLGADDEQEQWMSEGVQLGGPSSSMGVLGLWTGSQHE*
>EED83308
MTAAETDLVLLNVPIQETRHQEIGLSSFCQFRSNEYPPTSIAGTFLNWGLHGVLCVQILYILFSAEWVLTILLSVAFPEIVLTLNTSSIQYETASLKIFYDAIPILSALIAMVVQFLPYDSTGTLFELAPIMGWLAGGALVDIIIAVAMFILLRKSRRGERERDILLNRLIKHIVGSGIVTASTRMRRSRTAQSLGRSNFTEINYTHKEWY*
>EED83309
MARATTRSQQPSQSQPQARQPRASQSQSQSQRSRHARQEEAEQEYGEDEDDAGGEDDSMDGGDAQDDIERKAHDLVRLALFTETRRMPLRRDEISKKVLGSSTRMFNVVLGRAQEILRQTFGMDLVELQSRAGLERETGDKDKDAELLKATGVKKRAAPLGTKTYVLRSLLDPVIIERSCVPDADILRLEQDEALNEDEYDVEETGAGARSTGSIIAWHRADDVCAVGVLYVVLALILVDGRVMRDSDLLAILKRLHLPPGSAIPLSARSTHQGMAVDAYLTQLMRQGYLDRQRTGDAKGGGKRGRGPGAAQGGAGDDGGAVFEWRWGGRAMAEVGEKAIARFMAEFMVERPGDDSEEEDGGGQQDEDGTCCVDVWGHQTPIGLRKMPRLTATASGHHRLCSDYFTMSDDSDFSEDEIPLSASKHSTRASGKLKDDADEGYRITGALKVPRAASYTTQALYGASPLCFSRVLYLYSSLDRVEQMLNEDINLCPDYQRGIIDSIFRNFYVPPVIFGPTAQSTASSSIPQYLTCAAHQLIHSYLDIQIYGRSTSAFVRELVSDFVTDGLATTLDWDLTRGGDFRVLTHAVYNMEKWPNLGTVPGVSTLQKLLTRDEEIPSTFWRAARQTLDILLRISRDPSLHAVAFELPDVKKVAPVEVISAITLVHAHKRTLTLAQLADGIAAMRRAVRAREADIRLNTRVVKLMVDFIRALTPAQLPADPDSSARAAAKAFPLERKRKRSEDDSEDGDDDDEDIGQPPASKRQATKQASTVSSPKTLAPRSDGPTLTASQAHDQAPLDSNPEPVVRQAISPPPDRLAVLRAAKALKGQPLPRSLFSFDSSAAADADSSQTSGTVPVLHPSHQPPPPSAGHDHPTALVSLEQSVMARMGPTPAIPRHSQGDTSGPRPSLDAALPLRYG*
>EED83310
MPKEIRDIKQFIDIARRKDAVEARIKKIAPRVPGAKTRTKFKIRCSRYLYTLALEDPEKADKLQQSLPPGLTVTEVKKTPKKK*
>EED83311
MLWLQVASQIGIVVFTFFVQMQMPSNQYLPEVVGQLIDAASPRFAYLAKEVALSLRSIRPTLPAPPTRHSIPPSPTTAEAKDIIIWAPTTHAVPIELQPPALATQLVASSPVLATSMSMPTFTRASTSEDASSDIVIWSNDAVDSANHFCPTYLASIVPTCHLRPAHIVAIAFTFATIWSACGLFKIYQARRDEKTVSANSGLRSYTQSNIVAKLIATFYGGAPSRSRTSSLDRDGASSTVPSASANHDTVLTVLPNTNHTDGDGGNTTAARTQQQTESSTAHESHSTPGTSKPSWAPSRLAPMPETVSMAHTAYACEIYAKKCAEHIKMMEYQSARPALVREAMEREREGFLAFVEQEVQRAAGLQTQWQERCAELTRIYATMTARQAEVYGTLEVLKSTRARLDSERAKARKEHEQRAKERAQVEQARAAIATKRMNAEEGRLEDTRKRVQAIVERTVLEEQNEMLEKERVLREAARTRTEEEHLEAEQRRVEAMEEQIRLEEQREMREKELARIETGRMQAEEERLVAEQRRIQATTEQIALEQQRAILEKEQIRLEAERVRQEAERRRDQEVQVGPARHLQDREVQKDEPALRDNEAQTLYVEWKDAQTGRGSLCEGGMQTDCTEGVRDQEVQFGPADYQVQTARENDLRHQEAQIERDMQAQQEDQVSHEGGQWMQMDDAMDSQDSGSVEQVEHVAQPVQYKQAQPDVDAGHEFPVQCTPVQPSPHNPFTAVPLQEYQKPIQAPQSQPAVQIPAASSSSMPLTGQPSSPSPVTAADTVEPSAAQAENLRKILIDLLLQQAQNGQSMQMIDDDLNDRQNMQGQANHANMNAMPQLQGGYQPSLDDSYYQPMTPQSWQGPMSTTGPYGYQWAAQPLPPALATGQQFAQYDPAPPPPTASIMFDQAPSSRYYTVPDIVASHYADPRMGQASASAPVAQGYSSLQYWQGMPETGPQDLQDGQAWYAENGEHDAGDAQSQSDEVEVDLGYEYEYGETAYPAPSGHPEGDVSGDSTQEDHPDSDSSQPTDLPPTDLSNRPKLPLPSRARRLQSHQEAQTVQFLPMGHLAEQPPLDGQSSASSVAWSAEVDFSMPQSTYGPPQAWGAATLAQHDSSPSASMSTPSSRLEEHARDGHSGIYESSSVSIAAPIEHTSLSLHDYMAAEAASQQAPRSESEDGETEEEPAQFPPGEDHESWEIALDQIRRIRVRNALRGKLRVEIPEESIRITDRCWEALSVCELPKTRKATCALKIIKCSDEAELPSMPRAQTCPCISHSQGPSLPVEIWLEVVAGFVEERDYDALANCTRVCKFFRFWCRRHLSEQMAFKSEQDVERIKADAARAEGWKGPHTVHIIGEGESKATSHLSTFASKFAGRWTEIEWLHLDKVHWPPQWQAADAAVFKDMSRFTSITTLELDGVTFPSVVTLGRLICALPRLTTLWLADVRFHRCFYLFDPRTLSDFRRLPETKLETLYLGTAHYGMQNYSPAAWPHYTELLTFITAVSGHSVNTPPVHPWRSISRLQLHQIVGWKITPPSFARLLRAFPALHKLDFYTKVAGYAPELELTCASARIGAEPIIITVDQAHVSLDSDDPYAYQILRCLIKMDYPLRVTKVYAPGYMSEALNELLRHAGPSLEHLTLHHVDVPKMRLSPHEIPQFDLSENANLKFLSLTTRRELYEVEGPFAKIRGLRGHGRIEKQDADLVEQLWLSFDKRDILGIGLFWNYEENTWERYDLKRGEDGSAFVVEAPASASKGSVHPGDSADSNGSYDAESSGSFDACATALSEELRAFREFILEGYNLHLSSGHAQ*
>EED83312
MTSGQNTSVRVVAAWEDRLWLECSHSRTNDWNRTRRLDTAAVVESSLFTSRRTFTAHLHEDSAVQPYISPYRQNRAFEIEGYYQVHLREYDQDMPGISCCVCYPDSVQISQESSLPVELWEQILDDVAEEQNYDAIARCARVCRFFRHRCRKHLRVGFWFSSEDDVEHLKTDTAAKEIGGWRGPKYVTIRGGKVSKVIPHVATFASKFADRWAQTETLYIQQASWPSSLRAADAAVFRDLSHFASITQLDLYDVTFPSVVTFGALVSALPRLEKLILLDVKFPRSSFLFDPRTLSDFRLLPPPKNLVHIHLGADHWRKVEFHPTVWPCYVELLGFISAVSNPCAKSLRVYPWGSVCRLQFQESVWWRFSSSSIARLLHALPSLESLVLFCARQEIDSEELRIIGAPAYPRLKPIDILVECGTPSSQHEVDILRALIKMNYPLRITDIHAPTFPTSREADTIIAPLNRLVRHAGQSLEHILIKVDKVNDWDIRPVSDQYRHFDLSKNTNLKTITICTCRVFRISEILSRVTSRCLSSVNINFKWLDWSDRGTFNDIFSQMNAVLSLPVFDNLVHVPIVMEWYDLDEKYAYRMRSCLAGLDIRGILGIRTCPKYWMPGVRLGLIWDYEIKDWRRFDSKTDEDGNVKIVEVPAFEASAPPEAMAAYTAWQPRARIYEYRNLSSWRWMMRGTHAFVRRRFYSVSVHTKWSRLMELVKIMRMADDVFPQQTRML*
>EED83313
MQIRQRPELPVELWMHILDDVVNERNHDAIARCAMVCRFFQHMCKKHLKGQLTFGSEECWNLRLWRAHATVFGIVCSTSQTLREPSCMFLTRLTELRQTRYIFVDRSRFGSRTNFNKEDVERLKTDTTAKEIGGWRGPQRVCIRGENDSKAIPHVATLAFRFAGRWTRIEKLNIRKALWPLSLRAANAAVFRDLSCFASITRLGLYDVTFPSIIAFGALVSALSGLEKLHLRDVKLTGSSALFDPRTLSDFRLLPPTKNLRHITLGHAAYDGLHEFFPSAWPCYTELLAFMTAVSNPCGKSPRVYPWGSVRNLRLDENVWWKFSSCSIARLLRALPSLENLEFATADSHLANVEITGVPTHPRPKPITIGVHCASAQPRNVAHIIRCLIHMDFPLTIMRIYATVYPFLQDAHRLVATAISELVQHAGPSLDDLGVFLQGDRYDSVIPIDAAADRYRQCDLFATTKPMSLRVYGCEASCLGVREILSHVTSRCVSSISIRFKPLEPSDRGELSEGLSQLDTVLLLPVFDNLVHVLISLKFRWSRPRLEMKECAHFMKSWLLRLHERGVLGIEICDENWRQARVDLE*
>EED83314
MGRGAKYFTAADKTFATKRRKQAHAATPSIKASRRAQNRRAYLHRQHHHDIPPDVLTDAHLPLRSHPLLLKALCNTPLDFNPANATAKQMEAVLRGQAWRTLHDERDRRIRLWNEGRLDELRGLIEQHLADAREHRQVAHAQWEEAAAEGVDDDNRAQIVTSTRAEFAAKEVFCDLWELTQLTHMPEYYGVFYELACFPSQRVMLVYEGVVIDSVY*
>EED83315
MTQYKVFAEWSKQYIGGTTFWSAFTFRNGAETADAAVFRNLSRFAWVMHLALDDVTFPSIVTFGALVSALPGLKELQLCDVKFAESSFLFDSRTLSRFRLLPQAKNLKEIRLGAIVTDRRFRPAFTLTAWSCYTELLDFMAAVSRPCNSSPCVYPWGSVGRLQLEESIWWRFSFFSIARLLRALPSLASLTLRCAEDRLKPVDIVVECGTSQSQHVADINHKDPRRYIFFSRETDTVVTATNELVKHAAPSLEHLHLCLRFRTADLLGHYPYLRYPKDRCEHPTVNLRCQVFRIQLDTPSGRESSTNLGLISSIPDPKVFWIGACKMLSHVTSTCISSVDICIHWFSWIDRGELSDILCQLDTVLSSPVFDNLVHVRIRVELDEPYVHQKKMKEWAYSMKACLPDLDKREIIGIEVFADLPMPDVRVGLIWDCDIKDWRRCVRKEAKRRNVEIAEVPAFEGGAPSGAIAAHTGRERSSQLEDDQQMILE*
>EED83316
MRMTRKPSNLMEARMWRAYHERRRPSSAVVVHTKGPSLPAKTWLQVFDELARGGDYDAIAACADVCRVFAECSGRYIKESGFCRMLLFGDEGDVYRARKKFRSRGLMAWPRVNSVSIRGRGNTKAIPHIESFASFFATGNWVRIDEMTIEWASWPSLLRAPDAAVVKDFSCFARISNLILDHVTFPSIITFGALVSALPRLEMLILRDVKLSGSSFLFDPRTLSDFRLLPSPKNLQYIVLGAMPDDGDYVLNPSAWPYYTELLAFMAAVGNPCRGSPRVYPWGSVRVLRLDENIWWKFSSSSIARLLRALPSLELFDFATADRILADLEITGIDSTVYPCLQEADTLVTTAINELVQHAGPSLDDLGLILEGYRYGEYYPPDQHNSIYAYCQCDLFVNTNPTSFRIYGCDASCLGVREILSHVTSRCVSSLIICFKRLERSDRGALSEGLSRLDTVLLLPVFDNLVHVLISVEVPSTLLVEEMTEWAHSMKSCLARLHEQGMVGIELHDKDSQGRASHHVRLGLIWDDDIEHWRRYDSRMDQNGNVEIVEVPAFEGGAPSEAMAAYTTWRHRQEATGNQPQSQSSRHPPPRRWRTEVTARGRAYELEVRGVKGIIKNRGVRVMRRLFHDIDARLEFLPWRKPKARVASPPSAVDDGTHQFNAMQPDAHAFGVTARGGAYEVKARGINGVRGIGVKAVVHSQHISVASNAEGNIGCALFHFVSRILGVGDRVLAPDAPSIVRVRDVYARRPRIPLDHSRCRGALREPQHTGYIPVNLNRGWESVGTNRAWPEDQIFRPVADEPQGSHTECVLGISEKSSLDKDHDAGKRRHDPTSAFHLSFTRGLPRNVVQYLNASANREMSKVNVMSRQTRGARQRTCYHGATARRIDGTRPEVERGKPVAFPPVLNSNDGNPVMQSHKSAPLLFVEGHAVCLIQRLHEKNAKSPSFTPREALALPPLRANPDLIRLDRQLGDVTFPPIVTLSALVSAHDVPALRRPAPQIYSSIVVALAAWSDCLRLLLCVRIMPCLLPETRPHYFDISANANLQSIKLGTTPWSKRRPLFLSGTFWDTKDMAENTMMGTPTFLLYGGISLSKYEANTASPLSSTRELFSCDRHAVHAFRHRYVPAPGFYLISMAPAADSKCYITLDA*
>EED83317
MAKAQDAALVHQVLIVVYEFDKHYILGELSMPHQDANRVSGQFRRSPWTLERWSIAHGLRIKEILATAVELCSKTTMTMTGTPTTSYMMSLSKDEANAASPSSSVRALTSSSSNCVAVHAPRHRCSIFVLHGGVSGRAQISLSNERRRTPRIGARREPEQPLARGTAAKLSRGSLRASWNADRSTPRFTEHREIRLQLLAEFVRDTIMKRRKDAALGHGQPEEIMASQNEEAEHVKTEAALEIHHQMSKTGKHAPAMYLRDGAATLSYTKQRPGRAPSGR*
>EED83318
MSVIQNQMSNEELGEAYLQYCVLRDDDSFYMDRRRPQQGDEYMARLSDKITDRDLGRGVEFRLVHFTAQVSSYVKTQLPMACDMHNTRYGLHAILAPEKAQNSSTAVYMDHSETSSVKEDQMHLRRKDVNMPYLLNIRNRSLPVELWMHILDDVAEERDYDALARCARVCRFFREMCKKHLRKTLTFESDEDVERLKTDTAAKEIGGWRGPDDIKIRGGQDSRAIPHVATFASKFASRWDHIWKLKISKASWPLSLRAADAAVFRDLSRFVSILELILDDVTFPSIVTLGALVSALPHLKELSLRNVKLTESSLLFDPRTLSDFRLLPPTKNLQTITVGRVCELVSHEFEPSTWPCYTELLAFMNAVSDPCGKSPRVHPWGSVRNLRLDQNVWWKFSSSSISRLLRALPSLENIQFERADSYFANIEIEGVPAYPRLKPITIGVHYRQCDFFANTNPTSLVIHGCDRPYLGMREILSHVTSRCVSSIDLCFSLIKRSDRGELREGLSQLDTVLLLPVFDNLMHVFIYASVDPHLPVEEMTEWVCWMKSCLTSLDKRGILGIEANVRSPKTDENGKVEIIEVPPFEGGAHSEAMAAYTAWKRSSKKKGHQRSTPEPKRHAAPRQWTTEVTARGRAYGIEARGVNGT*
>EED83319
MATGISASSHLLILVQSTSGLLLSEVLRHAGLPLDYSTLHNIVCVPPFGQSGGAYQELGNTIETITNTLPETFAGRQLDQSALVVVGGFWALASTTSLLERLETTKDMSRNATTQSRVNGINLVIQAPSLKSRIQSTAAADVNRDYARSAGYSSRVQLNDDNDDIGDIDNAPIEQARSERSLAVVLSPGVALLQASYPKGTAQDPQAPARLWSFGFVRLVVRKRLRHARTHSKGPSLPAEIWLQVFDELAQGGDYDAIATCVDVCRVFAKWSERYIDGRSSYFTLRSETDVGRAAKEVATKGLMAWRRVGEVSIEGDDTKAIPHVASFASTFAAGTWVRVVKLTIEKASWPSSLRAADAAVFRDLSRCAAITRLTLNDVTFPSIVTFGALVSALQGLETLSLRDVNPRACSKSTWALRPDNWDKPEFTRTAWPCYTELLDFMTAVSNPCGKSPCVYPWGSVGRLQLDESVWWRFSSFSIARLLHAFPSLVSLKFGSAKDMFTNAHGIPRDVRITGVPAYPRLKPINIVVEYRTSGRPQHVANIVRSLIKMDYPLKITEIMTPIYLSRDVTDTVVVIATNELIKQAGPSLKYLDFSLKLRVVPTPSCSDRGGFRSDPYRLPNFLERTYLLSSPPPYPKAFWICACKSLSHVTSTCVSSVDICINWFSWDDRGELNNVFTQLDAVLSIPVFDSLVHVTIRVRFDEPDLSLQEIEMVEWAYWTKACLLSLDKRGILGIEVCEERLTPHVRLGLVWDRGIKDWKHYDSKVGKNGNVKIIEIPAFEGGAPSEAIAAHTAWKRSDQLKDDQRLILERPQRAALHTKWMGEASAALATSAGRAKIGERALSVLCRILPEITRNVEARTRSDNVHQSSATRGFYKTRVDRIVWTYDVEILYGIPSGIAYAMTTISRVDAWTGVSTSMKGPMAASHKNKSL*
>EED83320
MKAA*
>EED83321
MLSFSTLSVFAALALSTFTSAAPAPSEISDVITSASPAVPVVGVVSGVASSLPDVSCGTKNVVTIITETQFNLSEIIIEFRYITAQNATIEVLAPLVENIKGVLGDALWEINGLVGQGHNVILGLSGGNLLTVSDVATAIGGLLTLVLDAVSSVLAVTSSDSTECVTYMLSGLVEVLGCVVCATIMLVNPLLGSVVSTVAIQLGGLVGVISTLKVTVLLSIFGSTV*
>EED83322
MSSNNTVPRVTRAALTREVGIKTELTSDYPVKQVADLEPGECIIKIECTGVCHSDLHFMAGEWAIKPRLPSAGGHEGVGRILAIGAHTLNSPVNIGDRVGIKYVIDSCMHCEMCRRGHEQNCPSRKIAGAHVDGTFAEYTVAFVNHLIPIPDGFSSAEAAPILCAGVTVYNALTKLDTPPGSWIVIPGAGGGLGHLGIQYAVVKGLRVLAIDSGEGKRELCLSLGAEKYIDFAESKDIIADVKATCGELGAHAALITTASNTAYVQAGWYLRPHGTVLCVVICETGHQIYWVHDRVCSPSLRGCWRTLTVRFLHSQLAGKYDATSQSGRLARLIGVVLEDMSNGKLVGRVVLRY*
>EED83323
MVLNGTMNIQYWQVSWELFISGIPILSALISMVVQVFYAWRMWLLSNRKLLILAGIVVILALGQFVCGCIAAMAITNSNPLVPYYSADTPISFAPIMGWLGGGALVDIIIGIAMFILLRKSRRGEKETDILLNRLVKHIVGSGIVTGNYLPPSEDEYVFAAAALADCPYANTVLISLNNRDLPAGNYTGQSQLPRVAFENESSRKLKVH*
>EED83324
MGWLGGGALVDIIIAVAMYILVQRNVRLTYAFQLHKSRRGERERHILLNRLIKHIVGSGIVTAGVALLVLVLYIYSFYAHAALADCPYANTVLISLNNRDLGPTQDSVSFQEPPLKTVGEQFLCCARQWGVAELDGRAVDREGERKTSKFVQKVLEVMFFIKPCSCQPVSCLPANTCGGVDRCMEATYLESPGRSSLTGTSPVNMGNTNSIAEQDAEDDLRELLIATGLREPTGRPSRDPQPSDQAAVPWASMPPYGYAPVTQSAMLPYQMPSAYPSYFTPPSILPPPSYPAIGWNAPPPMPEQVDDPAETDEDGFDSVPGSLLSFRTWPPVSSGARRRLRPTYRMMRALERGVYPGNALGISLEDGTSMLSQYASDTSELYAEDIRYGNMNPRPEVGSQSSAETIRVIPSTPQLSSSSTQFSPVIPPRPPPSSSATAYTSLSMPSSPQGSEAESMASPAASSTMTDQESPSPAGPLHASLTAMTGFVIGKRFRKMFRTQPSAKPTSENEALPHRSTSSSKMDRQIGICRGRKSDILALDSSWDDARLFVELGKSYDKLRAWRKWLSLRGLKSITLVVDADIEKIIYPYRVGPTKVTAHRNMRLRWYLEHPEKLKDKDEFVRIVTQHPGLGIEFVERWQPSRITIVIATLVTLSLVVALAYSEVTGDIVTGFTVAAYALYLSA*
>EED83325
MGRHSRLCWQPLCRQALARQGLAVRDSASSAPVVRQDTVAQDSVAIPDAHGAPAVPSGRRPRIERLSPSEVAGGEWSQRGALLAVEVGPALSASVFGSLLVLPQPYEHIASERGRVLSAQGRANRGDEDDDEARAEESDDGAEKPALYLYDDSSDAHESYSDDTGDSDDTNDVDDAHLPGSLDDKWRIGSWAGTATLPLAAGLRPDWAFLCAVRVVVEANMREAVGARELGGLLALDALRTGSAPLDARSDGDAAWFAGAAKAGAGFADGMWDWAGVTGVWRPVFVSSRCVCWLDYKDLIRLNVRAPSFLRSLRDSDADARTQIASDKAHVSESTLREAVRIVPLRLRVIAHGAPAVPAWPGRPTLYVEGETSGSSQNGAIRRVRGTVSALADGSARWSLGLLGADDGHEQWMSEGVQLGGPSSSMGVLGLWTGSQHERTDPVDIYRLKDWVMLGVYINLHSRHIRGTARVTDDGNIDDAYFYDNEIGVQTFPARLTFFDSIANRY*
>EED83326
MGHHSRLCWQPLCGQALARQALAVRDSASCAPVVRQDTVAQDAVAIPDAHGAPTVPSRRLGMNHPDTKHLSAVVYRLHGGVQAATSCVPVECSRQPLLTALCPQYQLMVGGVVPRPIAFISGISADGVENLTPFSWFNIVTSDPAVISLSLLHHQPTTDASALKDIAASILAAQLRCQARLRALSTAHQRLRRQCAPARQQVDAQQPYPHPQLQSPAHAPSSMGSSQRALSPATLVSSNCTGPTSYIIAAGRSSARLPPTAPTCSQRCARIMDYPRIGCAVKIKIPAHVLRPRSLFSFDGSAVKEADPAVRRLYSLAARRVCFSRALVSPLDAHDVSIIIRFRTNHGLSPSVKAQHGPATIECVMACGTQTPTRRTLR*
>EED83327
MGRHSRLCWQPLCRQALARQGLAVRDSASSAPVVRQDTVAQDSVAIPDAHGAPAVPSHPSVIVYCLHRDVQIGRRSPVQRTHGTPRPRDPAPIQTLPAELLEHVLLLAAPASPAAPAALARTCRAFAALVYAAPDQHLWRALFLRAWDDPRGTWGDLCALPGPGVVGSRDAAGAGIDWGREYRRRVAAQRWFASARADGAGTGPMGARDVAREQDQDRTLCALFLRTPPQTSSSCGFTVKLVSEPFAQHANACSVNAPPHASEWALSGLSRTPSTYGFRYPPINHPASPRSLHDCRAAPDRAYMQPALCFRAAMGTPIVGIRQTAPGAHLNGEGPSSRPGHDHPPGPVSLDESVMARKTQTPTHRTLWGTVYCTPTVVYMHCAITTHQYAWCKYPAWRSRRCGKAWNPLKECSDEPPSYGRALYLRGETSGSCHMVAVMFHIGLFFMSGENHLQPLSRPWQLYKTRYRRLKGALRDEDLRWPTDIARTNLSKIGGCDILDNLLTKWQTGKMRFELLDPARRPVRLELRQHTRSDAGHSHVFADKREPMKRKRRGHKVPKSALIIENSDVGSDADATAGGNIESRTESADEDESRICKQRRLDLSNDGDQYGNSSAPETIEDFSSDARSLAETIEDADDWDILILPIATLRGIQNCVAHPVFDLHFEQQTRLIGIPASAQVQQNAASLQRSLHQLVLALDALKDEVACLLLAKPHSTVRRYIELAIEHCAEVRIFLLFDILLHREDVPFDNIDACMQQHPALMYSVLKHYLPAGLVCLPDVMAPLATFIMHNGIPSATHFRIAALAALERLTEDLAHIDLAAFFGLLWSVVLADAGKAKADADAGADVPIPMPAPLYAAQLTHIMAHGRMDAPTLIQLHL*
>EED83328
MGGCPGNSLNIATHFGIVALTALERLAQDCARIDLTAYLELLWMVVLGACPADLVQELLLILRERLAGEGGKDAARRDMYKAALRTAFDCAMEAADACSCRGGKPGRIAQSVVEDAEFRAKSECDEGMCQSARALAV*
>EED83329
MSWTAVDFHQRVELPYGVALVGWPTDIARTNLSTIGGCDILDNLLSKWRTGKMRFELLDLARRPVRLELRRHTRSDAGHSHVFADKREPMKRKRRGHKVPKSALIIENSDVGSDADAAAGGNIGSGTESADEDESRICKRRRLDLDIVDGDQYGNSSAPETIEDFSSDARSLAETIEDADDWDTYIPICQHSDITYCNVARRTQFLVCTLSTTSCIPDVCSRQPLLTVLCPQLMTSPQTSSSRGFTIKLISEPFAQHANAYAINTHPHASKWALSSLTRAPSRTHGTPRPRVPAPIQTLPAELLEHVLLLAAPASPAAPAALARTCRAFAALVYAAPDQHIWRGLFLRAWDNPRGTWGDLCALPGPGVVGSRDAAGAGIDWGREYRRRVAAQRWFASARADGAGTGPMGARDVAREQDQDRERERRDEGEMGALAFPPGVFMAYPAYPAYPACYLSLPLPRPPRTPPVAITRIGLFFMTGENRLQPLSRPWQLYKTRYRRLKGALRDVMTEDLREITGNRSIKMSWTAVDFHQRVELPYGVALVGWPTDIARTNLSTIGGCDILDNLLSKWRTGKMRFELLDLARRPVRLELRRHTRSDAGHSHVFADKREPMKRKRRGHKVPKSALIIENSDVGSDADAAAGGNIGSGTESADEDESRICKRRRLDLDIVDGDQYGNSSAPETIEDFSSDARSLAETIEDADDWDTYIPICQHSDITYCNVARRTQFLVCTLSTTSCIPDVCSRQPLLTVLCPQLMTSPQTSSSRGFTIKLISEPFAQHANAYAINTHPHASKWALSSLTRAPSELLLVLHKQPSAGKXDASVRGVASPEERAGLPVSTGTEVLEMVVLCARQGDLHYQIPGWASVRSRAEAAMGGCPGNSLNIATHFGIVALTALERLAQDCARIDLTAYLELLWMVVLGACPADLVQELLLILRERLAGEGGKDAARRDMYKAALRTAFDCAMEAADACSCRGGKPGRIAQSVVEDAEFRAKSECDEGMCQSARALAV*
>EED83330
MSWTAVDFHQRIELPYGVALVGWPTNIARTNLSKIGGCDILDKLLTKWRTGSMWFERLDPAKRPVRLELRRHTRSDAGHLHVFADKREPMKRKRRGNKVPKSAHIIENSDVGSDADATAGGNIGSRTESGIPVSAQVQQDAASLQPSALPPSTRTWGDNTPGQQVFALDALKDEVAHLLLAKPHTTELLLVPHERRSAGEPGEGADAEAARHYVNKAVLGIVFDHAEEATDACPCDKLGHWRWQRITPMFARCVPQKAKRTPVRSKHMGKVKAGADTDAPVRGVASPEERAGLTDCARIDLAVYLNLLWSVPLGVRPADLVQELLLILRERLAGEGGKDTARRDMYKAALRTADACSCRGGIRRGVEPGRIAQSVVEDAEFRAKSECDKGMCRSARALAV*
>EED83331
MGRHSRLCWQPLCRQALARQALAVRDSASSAPVVRQDSVAQDAVAIPDAHGAPAVPSPPRPPSAIRTHNIRARSCIVCIETCKQTENMQRPAARATIAGVAKEESVMGVKPAYSFKIRARKPKQRLLMDQVADERGSGTFNITPRQYHGVIKGRLKSQVYSSERR*
>EED83332
MLGRQVFAPDTLKDEVARLLLAELHATVHRYIGLAIEHCTEGRVFLLFDIMLHREDVLFDDIDACMQQPPALMYSVLKHCLPAGPARLPDTMARLATSIMRNVICSATHFGIAALAALERPAEDLTRIDLTAYFSLLWSVALGVRPADLIQELLLVLHERRSVGEGMDTDAARRYVYKAALGIVFDRVEEATDACPCHEPGCLRRQRTTPMFARHVLPKATRTLLTHIMVHVRVDAPMLTQIHSYVHLRVAWRRLRSGQA*
>EED83333
MSWTAVDFHQRIELPYGVALVGWPTDIARTNLSKIGGCDILDNLLSKWRTGNAAAGGNIGSGTESADEDESRICKRRRLDLSNDGDQYGNSSALETIEDFSSDARSLAETIEDADDWDILILPIVTLRGIQNCVVHPVFDLHFEQQQVLALDALKDEVACLLLAKLHSTIRRYIELAIKQVRIFLLFDILLHREDVPFDNIDACMQQHPALTYSVLKHYLPAGLVCLPDVMAPLATFIMHNVIPSATHFRIAALAALERLAEDLARIDHAAYFGLLWSVALGVRPADLVQELLLPSTFVALTALECLTQDLARIDRAAYFGLLWSVALAAGEGVDAGAARCYVYKAALRTVFDCAEEAADACLCDELGRQWRQRAAPMFTRRIPPKAKGDADAPVRGVTSPEERAGLTVSAGTAVLDVVVLCAGKGDSHY*
>EED83334
MGGCPGNLLNIATHFGIVALTALERLAQDCARIDLTAYLELLWMVVLGACPADLVQELLLILRERLAGEGGKDAARRDMYKAALRTAFECAMEAADACSCSYIAEAGFAEA*
>EED83335
MGRHSRLCWQPLCRQALARQALAVRDSASSAPVVRQDSVAQDAVAIPDAHGAPAVPSTRPAELLEHVLLLAAPASPTAPAALARTCRAFAALVYAAPDQHLWRGLFLRAWDDPRACGGGAGGDPRALPGTGVVGSREAAGIDWGREYRRRVAAQRWFASARADAAGAGTMGARDVARAADALEALVAASPQTSSLRSFAVKLVSEPFAQHANAPCPVTIYSDIWLPILACKLPRLVALAPRLPRIQTTAPDRAYVQPALLRRQSAPGAQLNGEGPSSCGPSLFRVISRLPQTAPASSRSHCGNDDMGRPHRHGQTTWIKIPAHVLRPCSLFSFDGSAGKEADSAVRRPRYIPTISCPDVNAYAPNAASTQLRQRPHALTVRIDIVPEHHQPGQLAIVTRAQHSPHVPERCNINLRGETSGSCHMVAVMEHMAARSGGAAHGGRRGDYRVETEDGCTAECIELRAATRIGLFFMTGENRLQPLSRPWQLYKTRYRRLKGALRDVMTEDLRWPTDIARTNLSKIGGCDILDNLLSKWRTGSMRFELLDPARRPVRLELRRHTRSDAGHSHVFADKREPMKRKRRGHKVPKSALIIENSDAGSDADAAAGGNIGSGTESADEDESRICKRRRLDLSNDGDQYGNSSALETIEDFSSDARSLAETIEDADDWDILILPIVTLRGIQNCVVHPVFDLHFEQQQVLALDALKDEVACLLLAKLHSTIRRYIELAIKQVRIFLLFDILLHREDVPFDNIDACMQQHPALTYSVLKHYLPAGLVCLPDVMAPLATFIMHNVIPSATHFRIAALAALERLAEDLARIDRAAYFGLLWSVALAAGEGVDVGAARCYVYKAALRTVFDCAEEAADACLCDELGRQWRQCAAPMFTRRIPPKAKGDADAPVRGVASPEERAGLTVSAGTAVLDVVVLCAGKGDSHY*
>EED83336
MLGQQVLALDALKDEVACLLLAEPHATVRRYIELAIEHCAEVRIFLLFDILLHREDAPFDNIDACMQQHPALMYSVLKHYLPAGLVCLPDVMAPLATFIMRNVIPSATHFRIAALAALERLTEDLTRINLAAYFGLLWSVALAVRPANLIQELLLVLHEWRSAGGRGTVFDCAEKAAHPSTFVALTALERLAQDLACIDLAAYFGLLWSIALGVRPADLVQELLLVLHEWCSAGEGVNADAARRYMSKAALRIAFDRAEEAADACLCDELGHQWRQRAAPMFMRRVPQKAKRTPVRSKHMGKVKAGADTGADMPTPLYAAQLTHIMVHVCGDTPTLIQIHSYVHLRVASPEERAGLTVSTGTAVLDAVVLCAGQATHFGIVALMALERLAQDCAHIDLTAYLELLWIVVLGTCPADLVQELLLILRERLAGEGGKDAARRDMYKAALRTAFDCAMEAADACSCRGVKPGRIAQSVVEDAEFRAKSECDEGMCRSARALAV*
>EED83337
MVGGVVPRPIAFISSVSADGVENLAPFSWFNMVTSDPAVILLGLLLHQPTADASALKDTAANIPAMRSFTVNLVSEPFAQHANACSVNAPPHASEWALSGLTRTPSV
>EED83338
MALERLAQDCARIDLTAYLELLWMVVLGACPADLVQELLLILRERLAGEGGKDAARRDMYKAALRTAFDCAMEAADACSCRGVKPGRIAQSVVEDAEFRAKSECDEGMCRSARALAV*
>EED83339
MSSGAIDATLEGAQNVLDTAGSFVELANIPGLSQAVKAVAHVIHIITKMRKNISRSLSDIAQKLSDMRTGSRVGRFLGVDRDADKLSYLKEQLRNAQRDFEGLSPHVINSIAQLQGQIRIEFLVDAMFGTLHTIQQDISVLVQFGMTTAHYFVDAIQSYLQRGRDQQMEFALDELIIRPLESKHSERPVVLVIDAVDECTESGLDLVPRMLYLLAERVSAAHIPFRILITTRPEYHIEDVLQSADFSKDSSRIRLQDIPRNIVDGDIQHFLEHSFRKIPRIAPFLAAHPDTISALTMKAEGLFVYASTVVKLLRHDAEHTNEIVDELLGHSTSHSYSDLEQLDRLYIIVLRNAFQKFDRYADSIHAVLGCIALLQDHLTPSAIASLMGVSIANTLFVVNRLTSVIFSEGGSDEEKRLLRPLHASFPQFLIDPHRCTELRFHVDPGARHECIAVACFSVMLNHLHYNILELTDPMTPKSSIAELHALVELHLPSHVQYASSAKLVQMVTPRPAQWGSHIRIIESPDLSYRVLRYSPDGRWILASAYTSVQCYHALSGDLFHTISGVPEMIHDLDVSSDGSTLVTLAYAMGVTKQYIQVWNFASGALLHSIEYDNVQFLALSFSQDGRRILGIARSRSAPTSIVPFMWDTRTFTMIRFLGTRELSNACSHNPYFFLETRLYARDVRRQSIAGVSDSRGIGVKSLCFAPDESCLACLDQYSSAIHILEFALTNVDIVAGEGDTVPPEHTRLWWDSHLQVTTVSACDNQVGTAFLSQSDKGVRLAVYHAKIDVSRPNKDGSVSRTTTITTGERVWSLRHDHDEHNTLEIRPLCFSNQGDLLAIDVMDTYGGRLELLSVPELTTVSQIDPAPSAVARRWSGAQFSQDDASLYLKQWRGASGEATYVYDRKGGSWVETAQQREVSLVFEIWGDGWVSDRRLGRRIIWLPKRYRPMDSNGYNLLCGLSALASNTILAIEASPTESLSWSAVVNCTMVCQWMAPPLDGQGFDGNVTPESTMATTDASGVSKIDLSIAEEPHDTEQEFRPRPFVARCPDDRDGTTLKTSSDFLTLTQIPGLGDAVNAISEIIGMIAQRCEKEQLSPRALKIPTELESRIKNLIKFEAVLLPYFAQLTSVNSKLHSIKHNTKEMRTESSDAKKIFGAKVYTDKLKDLEEDLKNARTDSELQGQIRIESLVDGMFCTMNMDQGDVSALVRLLIDKDVHETLDKLKPALEAGYRSNVHSAKAQHLEGTRVDLIREIYDWATASPNAPNHPIHILTRVAGAGKSTIASHIAKRLDDEGRLGASFFFDRGSNGLNTTHSVFTTLAYQLAQQQPVAVIHHFVDAARSYLQRGPDQQMKYALDELILRPLKATRPTRPVTLVIDALDECTKRPEELVPQVLRLLSNMGLNTDLPFRIFITSRPEYYIEEALRSASFSKDSHRFRLQDVPRHTVDADIQLYFEHNLEMIPSVAAQLVTLPYAIPTLVKRTEGLFIFASTIIKLFQQGPEHAREIVDELVHNQPLHENSNLNCIPK*
>EED83340
MPTRPRGPITTRPYRGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED83341
MSLSSMDEAQLIQSYQYSVIGEYIDIVAMCLFVYDSVISFNLEWRAVWSRKITGATALYLALRYVTLLNIIMFVIEFTITSCAGYYISHLVYISTGCGTYLAQAAFVSIRVYAIDNRRWTKAAIVMMLGLVPVAINISCNLGFSYSTFENNILLLITRICILMLNLLVVISTWQATRANQGVTAWSSRGSLMAVLFRDGTVHFALVVGLNAANIAVTLLLGASTIHATIYNHSAVSVQEYLDISGPTELSSHASSFSSFASRIIGNLGEMLEGDTQAPNDDFEGDLNGLNDAVEINMARDLDDCEKPPSGTNKAQTGTTTTAVCKQATGEGGTANRELPEAPDEDFHQRVTKFV*
>EED83342
MTRAANCKSYTAFACGHCLWLTTDGGAITAPIVVCVKLVCAAVERRRHGDEKNGASPGQKLWSSFSSLSRSSALPPAASVSSPESVKGEEGHVRVQYYLADSVLCRFLLELHNSLDLFEAEDCIIPSTGSSFLSVAAGAIDTSSYMLEEILRPLTMALPVDDLHDAGELEPADGLHDVVNAISKVDEDRATITHLTEAGTLRGKRGAILIIVQ*
>EED83343
MSESSMNKERVLQLIWYGLIGDYIDIMTMSIFVYDSIISFNMEWRAVWARRITGAAAIYVALRYVTLASVIASVIIDDMQACEAAFASIRVFAIDGRQWRNASVVMILGLVPVALNIYGCSQEYVYCTAEILAIERSFSASKSNKESLASMLLRDGTVHFVLVLCLNVANIASALSTESLFDFSISVELISTVILCRFFLNLRHFSSSSDVNESTVPSLGSTFARFATRVIGNMGETLEDDPQAFDDDLDCELERHPGAEELGSANDFQDGANTPSGTRAVTLSVRKREAHEATADDWEAPEAADEGFDRHAIDIV*
>EED83344
MSEASVDEAQFVEFFMYAPLDAQIRGDLRFGLILYFMRMLNCVGFSDFIPDLVVTGSLCGMYLAQAAFASIRVYAIDGRRWMKATMVMMLGLVPVALNVYCAVEYSFSTTEDHIAVTARSSRGSITVVLFRDGTVHFALVVVLNAADLVVTFLMGETLDLSQATEWISTVVLCRFFLNLRHFSSSPDVNDNTVSSHRSSFSSFASRIIGNLGEMLEDEPGAPDDDLDHELDELNDAGEVNTEGNLDDNAKAPSYFNKAQTRTATTAACKQESDEGGADERLDQLAIGIVQNKRGRSEPVINRDVRPAQSCRNVHGSRLPVVSAHLSPGDYTLYIKAGCGNAERAGHDSCVTGPWRASHDEITKILSFAFSGMRIEPSTAPENDEWGTARDQAGGVTRTFNVVGTVDEMLEEGLRHLAMTGIMGYTTSMTRENLTTQTISTISVSDADKARAQITPLMDEAVAKWETRKGNVVERKVLYKAFNHCAIIIYAMDGILPLPVVTFFSLHTERPRLTCSDKTRRPGHASMKVELQHITINDRR*
>EED83345
MSIDMEWRAVWSHKVTGATALYLALRYVTLANVITTLISFNIPSCELLHLKLSASGYELRDISCSGRLLLVTRICILISNLLVVVSTWQAARSNRRVGAWNSEGSLIAVLFRGGTIHFALVVVLNAADIANRLLTSANVDISSPVEDISTIVLCRFFLNLRLFSGSPDTNDSAVSSNGSLFSGLSSTIFGNLGDMFENDPQALDDELDHELDERPRAGDLDCTNCPPDNTKTPSTSQMATTSTCRLGTHDKPAADCEPLAAPDVGIDQCTIDIV*
>EED83346
MSLSSMEEAKVLLFSHLVGEYIKAVGISMFVYDAIISFNLEWRAVWSRKITGATALYLALRYTTFVNIISNVVESTMTSCEAAFASIRVYAIDGRRWTKAVIVMMLGLAPVAINIGIAPLESHSLPPRLTFFLVSRSCVLISNLLVVVSTWQATHASRAVTAWTSRGSLTAVLFRDGTVHFALVVGLNAANIVVKLVLGKLIDLSEPVELISTVVLCRFFLNLRHFSSPDVDDSTISSRGSSFSSFASRIIGNLGEMLEDEPGASDDDLEGELDGLNDATQVDGAVDLSDSAKSPSDADKALTRTATTAVCKQETGKEGAAGGDLAEALDEGFDQRMIDIV*
>EED83347
MPKAKPFIITTKHEPTGLLERIAIHNMHKFNDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYKPPKPLPDIHFQRTKILLRTSDRQADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRNLKRIGHVSFEDLSSRLPDICNELASLNITFKYKVALSLLDGDAHAWATPYFAQLVSMQMGVQGVTTPFWDEAAFTTAFKARFGNLDDEAAAQVELAKLCANKLILEKCTAMEFSALFKGLANRSGYRNLELRNKYLSGIPSRIYRKIELETFTM*
>EED83348
MPKAKPFIITTKHEPTGLLERIAIHNMHKFNDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYKPPKPLPDIHFQRTKILLRTSDRQADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRNLKRIGHVSFEDLSSRLPDICNELASLNITFKYKV*
>EED83349
MVQNQQALVDSYKRQVDALPASMGSGHSRQPKIGEPLAFKGSEDKTKLEEWLDLIYMKSYYVKMWEGKDLGTWKAFVAELAQIYGDTTYETLTPNLVRVNTRNAFGKRLRTMGKLRGGFDTSSKLLGGFDTSSKLLGGCGWLAETIEARQSSSMYRRRKGHDSIGWT*
>EED83350
MSSPAAAPNKQTLQLLLPLRYDGKTVIECDRFLSQLCIYWLINTLLTTIELKVQVALSLLDGDAHAWATPYFAQLVSMQMGVQGVTTPFWDEAAFTTAFKARFGNLDDEAAAQVELAKLCANKLILEKCTAMEFSALFKGLANRSGYRNLELRNKYLSGIPSRIYRKIELETFTM*
>EED83351
MPSLVNCRLALLLVVLEAPPTADTALNAVEEWAQDLSPLLAYRKALGAIRDEETELRVAATVKQLAERASESWVEWVRGDWPELATAIDAEVKQRVEEQKHLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDKEDHRKQAEDERRAQEAADKELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSNDPSVKTPRTLERPFAMTEVDMAAIVLEKRQSGQKCDRCAGYRSAPVECISNRAAISTKCLSWARQRRRVAEDQPPKSAFGWPLLGL*
>EED83352
MSRSDLYRSGDTTSPKLDNVRKGTDMKLQSGWKPHFAWDLPKSVSLGTGLTGKNDHGTHWLIALTNAMIFDEYVSLLRALISKCKKAGIRAAKEGAAEAFTAHIPLPAANSASKTIRVVFNALSAVYRDMPEIAGWDENGYAYVGVLACAHNSGEFSLESAAWSGEGAESTKAQRFIAQAVAVHMQTEKQRLVSAADELYEAVNTNNDHAVLTSVLKLDNPQNPLSVFV*
>EED83353
MSLTTIELKVQVALSLLDGDARIWATPYFTQLASVQMGVQGVTTPFRNKAAFTAAFKARFGNLDDQAATQVELTKLCANMSVREKCTAAEFFALFKGPAANRSGYGDLELCDKYLSGIPSHVYCKIELEAFTTWQEAEKRATEVEQIRDISWAHQPELNNFFSAQGRGRGGACGGAPQSHAALASINAALFWLWEQGYR*
>EED83354
MVEEWAQDLLPLVLAYRKALGAIRNEETELRIAAAVKQLAERASELWVEWARSDWPELATAIDAEVERCVEEQKRLAEEEARHVEEAAKQDRQKQAEDECCAQEAADEELARIAAAEGLLDKGKGRARVDEEVAELSDDPSLKTPRAVERPFAMMEADMAAAAIEKRQSGQKCDHCAGYRLAPVECVWVENATTCERCTQFQQGCYFDKVSVLGKTKKTRGRGSTTKKRIRPTSPGPSVAESSGLKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREECAFIARRRALLHDMDLDL*
>EED83355
MKARVAETSPVMRDKATLASTPSCAAELVVLAPAAPALEAELDLETDVCGEVDEGIEEESEVEEDVMDDDEEGKDVVAKLRDVDGDATAQNWFASDSAEERLAGQLFAMQDSSEFANAVKEIWEEAHGLCNRTKTGDIDNAVATGLCNSLIKTVVD*
>EED83356
MVTKTCTANMPITQKRTALVFIHAESDGPVRDEPSPRGDPLEVTVGQREATMKKTKEIIALSRRFALSAQAAWCGSNMTRQILSPVATILGKASTGTKYTAASDMQHDIVGVVANLQYGDNCSSMNASMRLQSMQINVTHANNRPPTLMDEGIDQRSGGRIIGMMPQVHNRWYGKEDDFEIEEEEGCLPEEHVLSGSCFLHVSAAVLKERNALGNLTQKFTLQLDLGAQQQYKKLSLPAAAGIAKRSPEAGLDMRVIG*
>EED83357
MSLSESITTEGPAMRRLVKVAQSISAIRGNDARSLNPNVMFECNPHTSLENVSCGSFVMQDTPKPEHSCSDLSLPFACTHDCSDTAYKQVVPALLQRMHDRMCKDPRRRFIIGMTVEDAKLRIWFCNRSELLVSEAVSIMEDDEVVVRFLLTVMYANEEELGLDPTMRVVLDPSGNIWRDDDRAPRYDITMRMGEDPVVYRTTGPHYNSGMGGLIGRGVRIWQVRKLEGGKECGAALVLRDEWAAANCPREGAVLARLMGRRAGGGSVRYACPDAVRLVCHGDVLVDRSVDATLDLDALDSASAIKPAFRYRAVGNASGTSGGRGSTAEVLLEEPRASKRSWLEQHHRRVHYRIVCDVSYKVLAKETSLHNVVSALIDALVGLGYLHESGAVHKDVNPSNVIIHCETEGNVRASLVDLDDARQSVPCGPVFVVCVPREDGRGVDEMRFPLGDAPRVAELVYAKKARVSVELPGR*
>EED83358
MASSHGDTISNMKAMLASLSSAVPSQRRMPPAGLPARGGNVVLVTGTTGSLGCHLLGALARSSDVLHVYALNRASNNASLSTRQQDALVERAIDPCILDLPKITLLEGDLSLPNWGIAKDKYREMYGSVTHILHNGSHSWRVDMMSGLDAFGPVLLGLLRLVQFALDSPCADTRRLLFISSTGAIHEAPRDMPIAEAPVDPIYAIGNGYAQSKWVAEQLLLTTAQRTTLDPLIVRVGQLTGGPAGDWAANEWFPVMVQSAPYLGCFVDDPRRFGSTDFGTRYQPCPFLPFDIAANALLDMLHASSPTHIVHLIHPRPVCWNVIARHIAKEFSVKLVPYRKWLALLENAARLLPKDTAARRRKMGQCRALRLLPFFRIYVHDGSEVSPLAMGWPNLEVKEAVAASQTLADPRLRKLESEDVMKWLEYWRRVGALPAGSSVARL*
>EED83359
MPFYSRRSKVPSSPDTPSTSSQTRSSLSPLSARNEAPPREQQYLEHRPSIMAGASEGQPPLPPRGILRQPPSLSSASSSRPGDAVVPPSPTTRSNTPRHSETMGTTKRASLPMLSAGNSRFDKRFSLPATQLSAVGDTHSAHLEDDRDDHMSAPLTPLLHPKVRSVRIADNESDRLARACGQLPASLTSTRPRSTSCTVPSSAQRDGGDELSNRGREKQRRASTGLARPRSRSLSRCPLDRSEKPPPPPYQPPEPFEALRELNVLLQRENQRLEDYIEVEDFLQEKEKEAEARQKRLERIARGEVEHRRDEDAKATVRPRVFGAPLSDALDRSSMPIVIGGQQHEIPTVVHACIEELYRTGIYQNDLFRALPDRARLVELVRTFDDMPSGSAASPTSVLRHASMADVCALLSSFVTSLPNALLDRTIHNALWTWCVRPAVARDDAKREQEMKAEMERYAKAPPKMWRKRSQSEPKTPPSASATPQSHKTPDELRREMIEKERPQVAIARLVLQLIPVENLSLLAYLCAFFTQIPLCPDNGLTFEDIARIFGNRLLGGPSKNAARVLMVWLLNRWSRISAGLFDIEDGVSTKAQKNRETSKPMYSARRPPTPAELDPPKDEDYVAPDEDALYGGYMRDFHLESPSQSRTGRPYSSSVSSQGSSSTYASTAQSELGDTYPFGGSLPYDSGMTLPRRTEHGDRPRASSIPQDRLLRVIEEHEDHQTGRPREFFIPRTPFTAHGSSDEQEFLQKNDFFRADPMRRTRTSDSVYSSEETDEGGYFGDLEPSILRPKTSSSIPRPEFQGDMDASAGYADRRASTPGLPLIKDELSSALERILELERELRVRSQDTEHDAFEPLHPNTDTDGFPAGPPVTSELARTWQGDTGSPNIEQDVVSVKRELNAALSERDDAPVSRTEADALIDSGRIIVATLRQHHFSRAEPAWISYPILEMSRIRRRAWGTPASAVSNTFCRPYHSRSASLAAYSTASTVVINTSETTTVTRTRYVYTTTTETVPTTITSTTTSYSTTVTESVTDTETDTVLTTTGTSTTSSPTGYAPYAPYSPYQ*
>EED83360
MPRTFRSIALAALFLAGLTHAVVTVTVTRTLIEQTYTTTTSTVERTALTPTTTVATLTDATTTTETEFDSTTTVIIPTYAVVTAGQSRRRAHGEGQGEGQAVDAGVGFGAGQGEDQGVGQGVGQDAGQVGEGEGEEGYDPTHTPDVTGADGTPDTAQPYPGYAGYPKFKRDQLFYVRTVSVALVEPNLRGLVAGVQLAARDKQLVRRFGAIQHDDALAAQFDREHRTVFLLPLQFCVSRALDGAGEAAQANEHYTWGLGRITLNWNMLPKNGIPRGPGGDGARRFRELPQTNGLATVRSYKEGVHKTGGEVCTTYAKHRQQEYSALISTPTVSSEMDPITAMYSQRRVFRRDILEARLPSARRGSISLMYPHFIRSLTVSCSLKERMHDYSPTSSVDFWDVVCRRSFRRGSHIVVPVTHLLADTAEVAEVMEMADDMAYETSAAEDAPEDRVAVTEAAPHDKGKGIASEGGRTRSIKGKGRAHEEHKRERTGATRAGTTTKWARDLASRHRGFQYEFVKGG*
>EED83361
MSTRDRQQGHHYRLIYWSLTDSPRPTPEEPSPALSSGRDVVHAHGALLPAHPRCVNPGSSVSWDELTGHGVGGAGRSTDMRLQWASRASPTLRGPGAGTTMLAVACEAGHGRERGRCARVCGRGREAGAVGYAYWVTIPPFLELEREGEEHAMRTMGRGSGTFRRHTHHGEYMPGFGSISDKTPHDCYHPPLLNRRRKMDISPNELAILTVVLLATTVLFYAKGTRRAPLPPGPRGIPFFGNLFQVDAMRPYPQYLKWADKYGPVFSVNLGGQRIVVLNSSEAADELLMTRSKQYSSRESPHVAFDLMSDQQQMLFMPYGREWKIVRKNVHGVLGPGPSKQMRKIQDLESRVILHDLLCHGETSITEDFVEGPHGKVPERHWFSIIRRYTTSLMMTLVYGRRIHRIVDNPELVDVFPILRRLPDFLAPWREEGRKMHEWEMGFWGKLFSDSRTALLDGSGLNGFVQSYLRSRAEAGHEDLPGKGVTEDAAGWMRDKLVTYTASGIIEAGSDTTSTAIFSFVLLMLSNPEALQRANEEMDAVVGSSRMPEWEDEDRLPWLKACIKETLRRAPPVPLGVPHKTEEDDIYNGHLIPKGSIVIGNIWAIHMDSARYPDPSAFKPERFYNPNGKLEWASGPDTHVRDHYIFGWGRRFCPGKYVSEASMFIVLSRLIWGFDLYAASDAKTGKVRLPDVDDEATFTDGLVAAPKIYPVGFKPRSEKHAEMIKASYRDVQNDWQSMGLARDER*
>EED83362
MLLMLSNPDALRHAKDEMDGVVGSSRMPEWEDEDRLPWLKACIKETLRCAPPLPLGIPHKADEDDVYDGYLIPKGSTVIGNIWAIHMDPVRYPDPSAFKPERF
>EED83363
MPQARENKTTFHDYYQLAIKLSVTSNKTESYDTGTAASGVSTDSAKESHKPLKTFQIGWYPRRTGGTQGAHLKSTPSVLEEKFAGAWMTTVESHRRLAFTSSFLHPAMRTIRRGSRTCRRYTRHRECTARFVSISDETYLKWAQKYGPVFSVKLGSQRIVVLNSAEAADELLTIRSKWYSNREPSHVGFDLVIDQQRMVHMPYDKEWKADEDDAYNDYLIPKGSTVIGNIWAIHMDPVRYPDPTAFKPGRFYDPDGSWTGQAVRTLIIATNSYIFGWGIRFSGKYLAEASMFIVLSRLIWGFDFCAASDAKTGKARLPDVDDEATFTDGLVAAPKIYPVGFKPRSEKYAEMIKASYRDVQNDWQSMGLVGDE*
>EED83364
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHFFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED83365
MATERALAIPEIVSHIFCQFTFRPPNEARWRHNKTLARSARVSKSFMGPALDALWNHLDWLHPLLRLLSACKLVLFTDEELRGLMPFVHPMLEPKHVWIFDGDICALEWERFETYARRVRSMSLELDGSVGPSVFAALARHNGRRPLFPLLRELSWMQWMPAFDGTHQMLASPHLRELHIDILVYPERKTQQESLDIRLKRLLEEFSRISPNIKKVYLNGGFRRCPSVGGFKYLRCLQVSAETSCIVNDASLKSLSGLEDLAELSLSTATTLRIPPISQGFVALTSLCLVFCNKPAMLFLFTTTFPRLRSIAISYRPRFFMKLDGCRKFIEDIARACARLPSLRKLCIEFDFDDGFGRAPPFLDIVRPLLSLTALEDVEISDLREVAQAWPRLTRFSLAISALDYPLLPTVDCLVSFARACPSLKQLVLPSMAHSLPRARDMIMVPAIPAHGLQSLEFMTRPKVLVDLDVDFAHCLNRLFPKVSLSCSAVDFERQYFADGHMAPSVLKWDTLVHEVARLQSTSRRDTQQGTNDPDDDPEYGCLQTGCIGDVEQQR*
>EED83366
MATERALAIPEIVSHIFFQFTIHPPHEARWRHNRTLARSARVSKSFMDPALDALWNHLDWLHPLLRLLSACKPVLFTNKARRGPIHFVKPISGSEPKHVWIFDGNICALEWERFETYARRVRSMSLELDGSVGPSVFAALARHNGGRPLFPLLRELSWMQWVPAFDGTHQMLASPHLRELHIDILVYPERKTHQEFLAGILERLLKEMSRISPDIKTIYLNGGFRRCPSVAAFKRLRCLQVSRQTSCRIDGAFMRSLSDLKDLTELSVPCAPQMKIPPSSQGFDSLTSLCLMSLDDSAMPFLSATTFPRLRSIAIHYHPKLPMKLNGCRNLIEDVTRACAKLPSLRKMCIEFGFDDGFRRAPPFLNFVRPLLSLTALEDVEIKCEYCTMPVENSDLREVAQAWPRLTRFSLAISSRLDYPLLPTVDCLVSFARACPSLKQLVLPSMAHSLPRARDMMMVPAIPAHGLQSLEFMTRPKVLVDLDVDFAHCLNRLFPRVSLSCSTVEFRRVAYKDGHMASSVLKWDALVNQVARLQSTRRRDNQRGTNDPDDNPEYECLQTSVIGDVEHQG*
>EED83367
MGNCVSAQDREALQRSQEIDRQIEEDSRKFKKECKILLLGSGESGKSTIVKQMKIIHQNGYNKAELMTYRTTIYKNLLESAHNIILAMRKLGVDPVNPENRARTDEIFDYEVTAEPNFYFSEEMAKAIYELWQDPIISTVMDHSSEFYLMDSASYFFTEALRIGTPVYVPTEMDVLRARAKSTGITETRFTLGPLSIHMFDVGGQRSERKKWIHCFESVTSIIFCTALSEYDQVLLEERNQNRMHESLVLFDSVINSRWFLRTSIILFLNKIDVFKNKLPKVPLERYFPEYTGGADINKAAKYILWRFMQANRARLSVYPHLTQATDTSNIRLVFAAVKETILQNALKDSGIL*
>EED83368
MEVSAGPLSEPSWIAIHRENAPSYTRMGDVCFGTTFSTNLEPDTLGQRIRSALCYLRFVAPLLGATIEKDGCDPVQYWWKYTPVTTVQDPSRQEVQNIGVIMRICDVLDAETSSCILNGLKTVGHTITRLLEAAFILAMFELNPVLGVPAKDAHVTLDLTFIALTKYLVPPYNRPSHITSTSVVVPLIVPFSTFDTQDGPRAKLCCIMDRLQEEYSHYLSNPHLPHLTANIFASKPLRVPPSETWKNPAALMITNVGLIDGRLPLRYSCSDSHAECHIDVEDVAFSNRWSHSARPLVHMWTMRGKVHFQVIGTDVWDETYMREYLRRAVDLVNTFANDR*
>EED83369
MCLPDTGVLMLHPELNQDHHVHFRASQRKFSATRDNTFSMVDHSVPYAFGRLNNDIIVLLASLGVTPEALLAKQESYHQWIRAASSDWEVAFNFLCAVGQYDFAERLLLDGIEAQGVQTKIRACQMSELASFKKNEKFRSRMIILKSRLLFGVCDPYGVLREGEVHLWAVDHPKLAHLVDCVVFSSKGKRAAPSMSSGGDLDGDRFLVMWDPDLVPKKVAESYTYPAPKERVGTQVTRADLAKHFAAYNTMALAKITALHARWVRCSPKGAMGDECQELNALHSQAVDGAPIKIPERLLSPPDPDTPYIIDLLQESAKHFFDSFIPGRLQSASLPPEAAEVVLSRFLSTEKSSLSEYETLTMATAFARRNGIAIRPYLCHIDFGALATSEKHALSMQLGLTPENDPYIWNSLIRSEILRPRDLESRDLGGPLRLQRLYTSTVQGRAAFFEYLKEATQQYKRRLIIFKTEDRFSVGVFLRGDIPWDDEPEINDNVLVCPFMPKASEIMSTYWRGTKGYRLHCSENALQLYDKTRANTFIFMVRPPEKSGSDVVTSIALQKISARVQRQCGRVNRTPITSLEIHVVSNRDRVAHQAFDLRFEQFDKTPHPFSANSIDSYDWGDDTLGRQVFAPDAPKDEVARLLLAEPHATVRRYIELAIEHRAEGRVFLLFDILLHREDVPLDDIEACMQQHPPLAYSVLKHCLPAGPARLPDAMAPLATSIIRNFLLQHALCVCIVLSARDPAVDGPARDAYAREVFLPLGRCGQLRRLPCMALQECERVRWDVWKRERLPATPAGVWQRRAQLGCKNWALPPVIEHLAARAIATTSSTRRRGSCDESPFGRYARLYVQSKANLQMFVMRDESTN*
>EED83370
MVSTSRSARLSEVSSSAAAVQPWPPSTKQQGAPPTAMNYLRSLADVLLHALSLTPSATMTIQRPKEDLPPFDHASASTYTEPPTPGWTLAQPLSATPEGRAWLAGEKQGWEVVHADDADPYRIYQLMVGGVVPRPIAFVSSVSADGVENLAPFSWFNMVTSDPPVISLGLLHHQPTADASALKDTAANILATRGFTVNLVSEPFAQHANACSVNAPPHASEWVLSGLTRAPSIHVAAPRVKESAFSMECELYAAHPITHPVTGLITTTLVLGRVRALHIRRDTLDARGRVDTRALRPVAKMGDISYARVGGLFRAPRPEWADAERVLLAASSSAAVDVREDAPAADADAQSSS*
>EED83371
MSAWLTIYALSSISTALWCSNVTKLVTVPRSLTLVGVNALDFAWKELGMTPAAYSQKTLVFAYFAQCRCDPVNTPHYFTALCQIAQALGDIGAAALELQQIIIKETCHRYAPEKITDAIEVLGLSRERGPDTDVDDQGILEAWWSAWCRLDDALRILADRCGSMLLWNAWVEKSMTL*
>EED83372
MPTPKVPSAFLDLVGANRDLWTWEPWIDFTGLSDAPWSGKPGSKPKGWTDDDVVSVRAMVNAYWTVAPKDRMVFFKDRQSGAKGKSKASSPLSAADLGVLHTDARNKWSAWFNELGREHLAKLVDDMLMEEGHHPTQLMKANATQKMPTMAAAMVTSIYVDLAEQLFGRDALLTDTVVKSETEGTIGKQAGRCAYQMVRIIGQRGERHRVSSHSILPDGNPRLRAD*
>EED83373
MRIVSTLGTGLSGQPARHVGVVVDNVFLKGIINEAKERKEKERQTKAIPIPPPRSTNPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPNTTQWTWDSSWPNQKHLSGEEWKNVGRNAHNEWFDEEEDDGVDWELYGDGEHLHNGVRAHFMPGIVPLHFFLY*
>EED83374
MHIACILTNEGLFTPLNGNLVGLLTGDNKRSNSLASLSGHTSNYARRLLRVFDRLVNWSSVAAYIQQTRKEPSIRGSTTRISTFMLAWPGQCYALLQVSLECNANDRIDAELFTTTSWRKNLAISKEQHEQKSTKQASLTQITSQKLNGLEYWCQNSPRWSPEFPRASRKGNFGSQGDLMYDPTWLGDLHVSADGRTCSPSEILHDRVGNKGGDVAVITSYEDSRGSISGISMAKISRVTRCVHSEKIE*
>EED83375
MPSQRLPVRSGHMLLFISAFGMTSLYQWTCPPRGDLRQEFAAFGFPKTSPVVTRSQAREAASHSAAENLNSSSRTQSTPSPTIPGNFDHDEEDEIDQELQDDFDKEPIPLTAEERTSSPELLGLTTAEYDTSTPDLFERSSSSPEPEDPFPSTLNLVLPTPSSVRAHAQPPIASSLRLSVIPTSELAPPPPLAPSNTASNSNPAPPAPTIPSTTTASSSSPAPTNTTNMSHNTNTPLMPPCGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVWYPLIDVANLWETIESFINVAKSYNDWKANIRALYPGADDTRKWSLADMDQLIGERACIGIHNAADLGCYYRDFMAITKHLITQHRLSTIEQSRAFLRGFQPALLTQLKTRLHFKHPDHYADDPYTMAEIHAAATFILHGTLSTPTTAANQAIASTSNTSTTVPPGMIKTEDISMIIESLLRTIATLIQPTTHATHNHAPAPRQQAAIHVHDNSGAEQTCHYCGNRGCRVGTCEFVEIDIWDGKCKQNTDGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPTAPAAPTMLFKIDDRSTMQTFTLNTSSRIEALERELLQLRK*
>EED83376
MSASYYLVPPTCYGTLSLLHQDPGKSPKSSDPENPEKGASGAKILHLKPPKRGPDGGQVMCQVPPKPGVRYDPRPPNIRSIRPRNGCRNVPESSRMKPPTIHPCIVVPHWIVVRHWYKVFRMPGVVSALHLNLLMRSTQLGRGLYVADLRDQEFVVVVDILRWQLQPDFMAQIRSLRLAMGVHNGGTWRTMYEYVGRFKAAKKRIQLLENALRMSGIPVPLRSDFLAEMLMGKELMGSGHAEVMCTKKHKIEE*
>EED83377
MSSESSSLSAEQISIAWYGWIGTQISNAAFGFAAIRVYAINGLRWKMAAVVMVLGLVPVSQNIYIISVTSLMYTPDSCTLWTSLTFASTIESILISQVCLVLSNLLVVVATWYAARSRGTIASSDGGGSLMTILIRDGIAHFASFPRFASRIIGNMGEMLESSPLAFEDDLDHQETDLDDTINSESSNHLYFGEEPSPGADERGLVPLAEEASSEHGACDNVVDEEEITVISNNILDREQSKPVFPIDIRAVK*
>EED83378
MSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVWYPSIDVADLWETIESFIDLIGKRARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSCAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHTVATFILHGTSSTPTTAANQATASTSNTSTTVSPGMIKTEDISMIIESLSRTIATLIQPMTHATHNHAPAPRQQAAVHIHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDSKCKRNTDGKIVLLNGSFCPRTIPGLTIRDRIYDSRIEVLERELLQLRKRREVFDGVEILQRKKPTTPAVLKSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAASPAPPSPPARSTSQPIATSAPPAPLVHPFANAHDATYAPPNVRNFATLPKPSNDKGKEPAYKTIVPVIQPKLVEEIFQHSMKSPFVTLTPEELLSIAPDVRNKYHDAVTPKRVSTEPVASAHIVEIGTDEVQRWNPVLQSSPTHMKLI*
>EED83379
MLHPYRACSTEIADAVPSRDNARTWATLYFAQLVSVQIGVQGATTPFRNEAAFATAFKARFGNLDDEATAQIELAKLCADKSVCEKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRAADKRATEVEQILDISRARRPKLNNFFSARGRECGGARGGAPQSHAASASINAAIGKGNFPGTCFSCGKQGY*
>EED83380
MSDDDAAAVNGELSSAAVMDYYWIAVSVVNVEVPNQGLLLFDCLISLGQEVHVVWGRKLTGASILYLLLRYSAVLNAIVVIVALSNSACQAALCLVMTIAQTTLSAFHVYAIQGGRWEVALLVMTIGLVPVATNIWGLYEQKPTIVFGFCTTYWSAAIHIDTSNALVLLATWKATYGIKRLAERAKVKVSISTLLFRDGVYLFA*
>EED83381
MTVVELDLNGQIGCFFIGIILSVVLYGCTFSQVIYYFYHYTQDRKQLKFVVGMTIATAVAITNLMCLAVYSHGPMCKNNNSWHTDLGYSLTILHTFPVCFHIKNIGYHLVLPWYY*
>EED83382
MSAAENVSLSAHTGDTIISASPQLIHAGKRTLCVAANMQRDDGEVNNADVGRVVHLRPVPREPPGMIYNKLLLRSSDGIIWCVNHNCEQNFGQGVYPFAIN*
>EED83383
MPSRTQWIPNRYPQARRSDHVDVYKSETKGEVRVHDPYQWLEHNTEETERWVTAQEGFTRQYLDQNAENQSLEDEIRNNEKFAKGSDFFTIYVRPTSAPLVASPDGTRPSHDEGRLPEEIRYVKFSGITWSPDSKGFFYQRFPTRESHGSADQDLAGTETDSDKNATLYYHRLGTDQAEDVLVHKDEAHPEWMWGTEITELDKRYLLLTITRDTAPALLFFEASFDLGIDGGRKLGPVSARPLDPRLWRPLGELFDGGSDAQLRLLVADGAQGLAIGELFENKRGNKSCAHSSTKSSAASAVGGASRTTSSEARRRLTSEGVDAGVAERADIVESSEYVGKDGEVAMKERWDGENKAKSTLLITPFRPAFSAGHVAFETDAGCQAASIRSRPSRFSHHVEDRGQSKFQ*
>EED83384
MSVDISTSTSMLIPNYVFISISAVLRTQPLTTPAPTPASATSTPTTVPRSRRTAAPALLLVDAPDDAAEVAEDAPDDAAEAAEDAPDAEAAEDAPDNAAEAADDALDNAVKVAEDTSADAAEAVEDTLDDAAEAADDALDNAVKVAEDTSADAAEAVEDTLDDAAEAAEDAPHNVAGASEDAPDDAEAAEVALDDAAEAAENAPDNPAEAAENVPDDAVGDVPDDAAETAEDTSDDALEAAEVAAEVAEAAPEEADAVHTSAAMHYERSELWGIAPRSEGRGAGGVKDGDKGVPAAHSADWRARAACCSAVVHLLARHSAAASWNAVDEHTQDMSVLAVAERGRGNSGERENALAGAAARGNGALEAGEDARVDAAGLGGGGAKEGGEDKRPDEHRVLFSRRRRVSTAGLSPAQTDMWLDPHPHPRCCRPTVKRTRDPDLPLDRDRVCASPLRQSRRAQILFLMTLTITFGAKFRSDKASTTIFRSRPGACTSRVLQNHRQNFTYIRNMADIGHALSPTMLNAGAVVCFHAGLSLNLYGPMTGQLSIISRLAKVVHNKEVERPTPIERARKLGRASSRGPEAPIVVYNDPARLLHSLSVFSTFALELKKRRYYCSNHGSGHPRATSALTTVPCFTPNVVRSAPLLDDATEVAEGIVADAADATSPAEDAHGDAAEVADAAPEDSGGSPRGYARSLAMADNHPARMLAYGERRVARSTGAAILQIEIACGALLSRTSLQASESESVWQRVSMGAVAESEHPVPAYLYCFDHSARWKGLVCGALVPVNEPTAEKRIAGVDDVAF*
>EED83385
MEVSAGPLAEPGWIAIKHENAISYTRMGDVCFGTTFSTNLEPDTLGQRIRTALCYLRFVAPLLGATIEQDGCDPVQYWWRYTPVTTVQDVERWAVHSLEILHDKIDPDVFVTEIVSKRLPYIHPDGVRQHWRLYLLTNSLQGKFSVFIHGVHAIFDGNSNTNFLRILFEEVTLCDVTHGADRLSWGEEWRNLPPGPVTATGGHLLNWESEGVPMLRRWQTLRTNDITSRQEVQNVGVIMRICDVLNAETSSRILNGLKTVGHTITRLLEAAFILAMFELNPVLGVPAKDAHVTLDLTFIALTKYLVPPYNRPSHITSTSVVVPLIVPFSTFDTQDGPRAKLCCIMDRLQEEYSHYLSNPHLPHLTANIFASKPLRVPPSETWKNPAALMITNVGLIDGRLPLRYSCSDSHAECHIDVEDVAFSNRWSHSARPLVHMWTMRGKVHFQVIGTDVWDETYMREYLRRAVDLVNTFANDR*
>EED83386
MSALCMALQTNDIVLHIFQCLSPPQDDEPDRSNQRALARSARVCKSFSNAALNVLWEKMDSMVPSLCLFAAFKQVRGDMGGYNTMHIAHMLVEGEDIRAPSVWTLCGQPTPAEWSRFKQYATRVRAITSPKDDCVDASSLMLLSQENNCEPLFPHLRAAIWHRSPSIEAGLLAVMSLSVRRLRLVYNDLICVPYTHTQWPITKRDYGLQAILDSVGAYLPSLETFEFEGLAHSFSLTPISNMKALRSVDLAQLTCVSDGEGSLLEALSTMADLDSLNITSTLRADLVPKGSGFVNLRSLTIHRSWENVVPLLLAVSLSPLEEFHLLRLKLPPPEAFYSIGHALRQFSSTLRVFDMQLTGTDAAWSDNDHASSTTAVIRPLFRLEFLESFSWDWQCTTHRPFATTDEDLYEIGIAWPNLRILSLLGPDHRDTFPTVRGLQSLLQSCPKLSQLFLPGMDPSLYPDFSDVSYDLQTLVVEQQRHTLGDTHRLAKELSHLLPKLDVVCSSRTFVDKMGDPILNHPWNGVMEMVGSIRRGKQPPGACSSESS*
>EED83387
MFLRILIAFSLLLFGFRSVGAQSIPPLPTGLPGVDGVCIATCTTLAAVQYGCITPANLPCVCTSTAYWDGALSCLRNNCTTQDVQLASDLQVDQCLYVSFFTNPTSVSSAASRFSVAASSVLASESSVYSSISSAYKSKGSALSSALESYASSVSSVLSSEAASIAGIPQSNAVSPASTSGGSTNTANAAAGSRVGYTMGIVGHIASLVVLLSMLFGATIIF*
>EED83388
MSAYDIIALAAVTLATLLVFLHGRSTDPPPPCPPGIPVFGNLFQVDALRPHPQFLKWAQKYGSIFSLKLGPQRMIVLNTAAAADELLVNRSKVYSSRPSLHVAQDLVSDGQRMVFMPYDREWKVTRRNLQGVIGPGPSKRLREMQELESRVVLYDLICHGDQSITEVHVPGPNGEVPERHWFSVIRRYTTSVVMHLAYGKRVHRILNSPQMRKVYDVMSNFTRVAQPGAYLADTIRPLRWLPGILAPWRMKALKMHEWEMELWGGLLSECRASLKKGIYHSGFVPSYLRARAEVGCEDLPGTGVTPDGTGWMRDKLLAYTAGSLLEAGSDTTAATIQTFMLLMLHHPDALARAREELDRVVGVERMPGWDDEERLPWVIACIKETLRRRPPTIMGMPHRVDEDDVYEGYHIPKGSTVIGNIWAIHMDPVRYRNPAAFDPERFYDKDKMPKWASGPDSSHRDHYAFGWGRRFCQGSLMAEASLFIVLSRLIWGIDFYAPLDPRTDKPMLPDFGDEVQTWSGGFVSSPFIFPVGFRPRSEKHAEIMRTAFDDVQGEWQAMGLEEDER*
>EED83389
MQYGDRIFKTLSHMPPDCRPTTSNITPARSFRECGYILSYIGPTTKLRSFLMNINRPDVDSFWVSSRFKGPMDREHVPMITLTTIREVRDVLPELCRNILSRARPIRQSHEGKMRTFTFGSRGTCQLFFTIPPITELSCTMCCILVGRALHQCMADNIDKEASHSHSPGTSSAHTERRYEIAETHIMSQNVFQANERCHIPTQTPPEQTPSCNLATSPTSLETAVRGPKRRMNPSGWPLKKRLCSAVEELGPKWALVANRVRTRNSGQCAKRWNDALNPTIDRSGWSREEDELLLDAVEKYGHSWASIARTSLPGRTGLAAKNRYNHLMRGSCRANGKRTRRVSTAYPAPRRRTSRSDSMSSFVSGTPSPTWSASQSSGSEPGTVPSTPKLVAEGDEIHPPLLCTGADDAMPIDFATRLHTRLPSPLPLVPSVGSEKRLSDAYITSTPLHNFPEASSSALAFHDFSPDFNPYMYPSPSDVLGDAEQMEFFNMWSPGLSGPTTPAEAAFFDPSFSLSQHAFETHETLAASGELSPRSSHGDSISTFAQPLAAFAPQEHRLSSPLSQSNLDISNAVLDAHLTVGCIPAFPDKASVHLTQPIADSPERRVAVAVAICDQQDILSTVHSLSQSLSTMLGQGQGINADSFLLAEHERLSPIGFSKDQEISWTP*
>EED83390
MSVSSMDEAQFLQYAQYSLIDGYMDIMAMMVCHHVNELISDLLAIATACGTYFAQASFASIRVYALDSRRWMKAAIVMMLGLMPVAINIYGASKTVMTHTAEGCFLGYSYSTFRSHMYRPFCGPSPHRISTVVLCHFFLDLRYFSSLELNDSTISSRGSPSLSFASRIIGNLGEMLVENSQAYDDDVDRELEDPTDSEEVNAAVDLDDSVDKAQTRTATTEVLDQTTSEGGAADCGLPESPDEDFDPRVIEIV*
>EED83391
MAMSVYMTMFINAALADAKLGLFVYDSIISFNREWQTVWSCKITGATALYLALRYVTLANVIMDVIDYTVASCEIAFISGLVDMGTFCGAYLAQAVQQSNAIWLAAFASIRIYAIDGRRWTKAAIVMMLGIVPVAINIVNVRQLICIPTRSEATCSSRAVTALNSRASFATVLFRDGTVHFALAVGLNAANIVVTLLLGDKFDMSTPVELISTVVLCRFFLNLRQFSSPDIIDSDTSSHASSFSSFASRIIGNLGEMLEDYPQAPEDDFEGELDGLNDVEDVDGAVDLDGSAVPSSYADKAQTQMATIAVLEQETYEGECLTTDKFRHQSKHAISLVTFEYSHPKQKQVANEADVVEMQEGWRGPPGRVAAPTLESARLSIRDPTHASHYSPVISRGQLHAAPAISLGASHHFFRWDAISRTGLVQPPLLRAIQMPVTAATFQHVSAWFVSSCLSRAPYSLYDAVLFGKREARDCVELGIDHIATHVIGRPWYRWIYMLLQSYTVWRLFGWKQSTAPINLHLKVVGSFWIDYKIATVETFREPYLVPPSGEHVSSTWFCLSNVFSFAMREIMATVVWGGNEGASIIQLSSILAIWYMLCHIFALLLRLDKYFNGTPTPVSNDIRNAPVVILTALSDLLRGIMRQIGDLALNTVFAECQEQLYTTVACGNCLRLTTDGKAMTASVAIHVKLVFCRGRTYQTWSVCRGLSSASSGIGIELSTDSTSAIWLTAYVPCLWKTLCRFFLELHNSLVLFEAKYSIIPSQRSSFLSVAASTINTLGYMLERSLKPLIIASTVDDLHDVGELEPADGLQSRRGSGYNNPSDGSRSLVREARGLSRWHSKSIFIVLLHLFFLVLAMSSVKFKISIVGRTQRSNTFHDIREASSLIHAVRGSRKQLSARTSSAPENLRKAAIERGAKSDRNNGPSSISRGTAEGNAGGIGCDLLLPPAITLLHHRRSNPEQKTTLFFEHEAQWNEMRASARG*
>EED83392
MSESCREEARFLRIYQNGIIEEYITTMAMSLFVYDSIISFNLEWRAVWSRKISGATALYLALRHVTLLNLIADIIALTVTSCQGYLISELAAVGSTCGIYLAQAVQRSHATRLTAFASIRVYAIDGRRWTKAAIVMMLGLVPVAINIYGASKTIMYCAAEVSGDEFSVSISKANISCVLVSNLLVVVATWQATRAGRGVTAWSSRGSLTAVLFRDGTVHFALVVGLNAANIVVALLLEESIDLSIPVELISTVVLCRFFLNLRQFSNPNTNNSSESSHASSFASFASRIIGNLGEMLEDEPQAPDDDFEGELDGMNDAGEANEAIDFDDRAKAPSNADKAQTRMVTTAVFEQKTDERGAADHQFSEVPDDCLEQRVMDIV*
>EED83393
MSQSMDEAQFIKNYQYSVIENYIGLMATTLFVYDSIISFNLEWRAVWSRKITGATSIYLALRYATLLNVIMDVIVYSIPSCKVLPAGHILPRQYVGSQADMTYTTQFCTLGFSISTSKYNIGSLTAVLFRDGTVHFALVVGLNAANIVVTLLLGYAINFSEPVELISTVVLCRFFLNLRHFSSPDIIDSNMSSHASSFSSFASRIIGNLGEMLEDDPQAPDEDDFEGELDGLNHAGGVDGVVDPNDSAQAPSDADKAQTLTATTAMLEPETDERHAANRGLPEAPNERFNQRAIDICAHLCTPPLLLWTNSVSRDMLSIMPLLVEFATVLIATRRSIRRTLIAKSRRYCGQLSPETTRTTSFSSESNQRQVADETDVLAAETTLANAGSSIGKLGSCNLALYREMELDPPHARVYSSSQTGLMPPPLTPVRCDPPFDEASSPVFLSQGSRLDQPLVILEHLINTVQTRIAPSAPNNSYPILAQRLCIVGKENRGIVWHSTHADNDVVTVVDIGTIVDIVAPAKGRFLGEIMATDNLRGDVQMNNPTKYTPATTVDQSVAIFNLTSSGIGTQPSTESARA*
>EED83394
MSESSMNEAQLLRFYQYAWIESYIDIAATGLFVYDFIISFNQEWRAVWSRKIAGATAIYLALRYVTLANVITYVTNFTISSCEAAFASIRVYAIDGRRWMKAATVMMLGLVPVAINIYSGSKTFAYCNTEDLGVEVSISTSKYNILLLVTRICVLMSNLLVVISTWQATRASRLVTAWTSRGSLTAVLFRDGTIHFAIVVGLNAADVVVELLLGVGAIHMTTYNHSTAEPQKLIDLSQLMELISTVVLCHFFLNLRQFSSPDVNNSEMSSHASSFSSFASRIIGNLGEMLEDDPQALDDDFEGELDDLNDTGEVDGSVVFDDNTKAPSDADKAQTRMATAAIFEQETDKRGAADHGFLEAPDEGFDQRVIDVV*
>EED83395
MSSPAAALDKETLKLLLPLRYDGKTIIKCDRFLSQLRIYWMVNMSLTTIELKVQVALSLLNGDAHAWATPYFAQLVSVQIGVQGATTLFANEAAFAAAFKAHFGNLDDEAAAQVELAKLCADKSVREKCTATEFSALFKGSADRSGYGDLELRDKYLSGIPSHVYRKIELETFTTWQEAEKRAIEVEQILDISRARRPELNNFFSA*
>EED83396
MCGSSINEDKNVQLVEYTLIENYIEVMAMSLFVYDSIISFDLEWRTVWSPHSFSGVLSVLNVSCFRSLNYIGFQLLHPIFTGRMHCLRDIFGSGSILALKQSYIVLQKFGLLSLHSRLPNTTMLLATRTCVLMSNLLVVVSTWQATRANRAATMRNSKGSLTTTLIRDGIVHFALVVGINIADITSALLTGHWILSGKFLLEVTHEGTAVGKLYDTSECQTTTNVA*
>EED83397
MRSRRRLALRDKTPARNTPQSVKSLSHAPPAKGSLLTAAARKAVETLKIRAGGSPLDSENEVSLPPSPTPAERIRGSPSRPSPQTLKLTPKLVAIASDDSHVGGRMPPSLTRAKENPRSGAIRSSLGPPRPVVRHAPPTAPQSSPLRMLPSPKPRHVRHIPETEQGLELDAPVSPSPIKIRRPSGLFKVLSDSVPHAPSEPRTPKVVPRSPHRKRVRTLLRPANTDEVLASPTPKRLPSRMRETREAQASTPAAAREPSPLPPSSPPAESEPSLLPELEPDYDPGFDMYTEEGAEVDEEEEDKENNAAYKPAIAVSAQQDRLSEEDDPFGILAAERKLKAKRQPSAPPQGKGKGKEPVVPRRPLGTLTIALPSPSPVPSTHSPAQLPTPASEDEHNIDDLYLDISSPRMQPRAGPSTEVADWAFAEDDYADENVEADEDKENAPPTITVPNLLQPASSTLSSPQRDPLGTPHKPRSARKRALLPSSSSSWSSELAEPFAVTGVPRTRSRSRGLGAEEWSSPSRAANARHASSARRESSARRASALPPAGEETGRQRAVEVKPVQRQKRKRPAEESDEDPMEAALSMLPKRPVKRPTRSTAAPARGQDRGRGRGRAQVQAARESGSESGRESESESESESESGGESEPTTKKATRGGTARGRSTSRGRGTSRGGGRGTGRGRGRGRGRGKATGAGASRSTSRAAQDKGKKRARDEAELDTEEEEERTRARAARIEYFRKLDKEYSLEKEDVYVI*
>EED83398
MAHTLEFGSVSITFQWHNYVALAILSAVVILSLSRIWRARLPHNGDVPTRSDDLSGSSEKKAPKPLRYGSGKLEGGAWDAKGPGYLPDPDPLSNLDLSTASVRDYVYVNKVLRYPYFQTMAHQPMHINDWIEIDREYKWYLDEKARVIREQGISVAGADPGKVVIDSLPENDAACTELLETLADYLPKRFPTMFDAIYDNPSEPAIGIVNKVTGESFPDVRTLSGVDALLAVSRLVQDDFLMGRERPDGKIYLVGGLIVFPGSYLLSEKIGQPLHELHGSVPHFNKMLMSVERTMARFAPDRPFERASWMIVDDRELFWHNIISGTMPADMHPKDLFLRIDHQTFRKLPKTRGIMFGVHPVLKRIGDLADSPLVPALLAKIHTEADRELMGYKKSEKYTGVLVPYLQKLTEQQIAKGLVSRKVYLMLVLLSKRPDDVEEAAMFREQANMTT*
>EED83399
MSKIVVQILKAAVRLPALCSQDNLLVASSEKEQSARSRKWWPRWYPWVAHAVLFCLWLPLYLSLRPSTDRQCARQLSTPFRFNGSLTYPSIFRGSPSPELDAAWDRISTVRPIAITDDDLSRAGKPILPSLVKINNDGRYIAELEVVHQLHCLNMLREFTHPEKYSHGEEDPELYRNHIDHCIEMLRQQIMCTGDTGLVTFHWVEGHVSPWPDFNTWHQCRDYEKIMEWRDERVVHLPLEISEDIARLKEAP*
>EED83400
MLPNPVKYEEISLHTLRQSLSLRRVQVKKESRSPSPRILLGPPRRQRSPPRQQSLTGGPPQPPPPPQRPPSPLMPIMSSPTTTPNKETLKLLLPLRYDSKTVIECDRFLSQLRIYWLINTSLTTIELKVQVVLSLLDGDARAWATPYFTQLASVQMGVQGVTTPFRDEAAFTAAFKARFGNLDDEAVIRPTKP*
>EED83401
MSAHSATPASTPSLVNRHLASLLVVLKAPPTADATLDVVEEWAQDLSPLVLSYRKALGAIRDEETELRVAAAVKQLAKRASESWRGSRPPKDSFPTKGKGRARVDDEVTELSDDPSVKTPRTVERPLAMTEVDMAAAAIEKCQAGQKCDRCAGYRSAPVDCVWAENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASCLGLEQDDLDALDLDDESRGSFASSARSALTSRAVERSYTTWTSTSRRWRRPRLRREGSVSCAGL*
>EED83402
MWRTSRAQSFGRPGRRCRPGRLLRQQRGHRSLDPDLDGGKLGEDGGSPSSCISIKKTEGNLDFQLEEREGRVDCPVDEQLRDEAVAFDHRLPVISEQEDRRSFSVSLLGTAAGEDIIGDEGDEGLPADGGNCCDCPPGGLREERVLERPRSGDGGWEMRVPGEAGRDGARVTWQDVDLEVPVYQTPFIGSDGPWSAIRARLPITDEERSSVSAAVWVVTRDVDLLLGVEGVLKSRAVEEFVSHGDGGRKGSMQQEPKPHVREDKYRPLKCRYRKVCSLSGGDVS*
>EED83403
MSSPAAVPDKETLKLLLPLRYDGKSVIECNRFISQLLIYWTINTALSFLELKIQVALSLLDGDARAWATPIFSQLASVQIGIQGATTPFVDEKAFLQAFKARFGNLDDAAAAQVELTKLCSDKTMREKRTAAEFSALFKGPADRSGYGNLELRDKYLSGIPSRVYRKLELETFATWQAADKRATEVEQILDLLLGTGSRTRRGTWWCTLVTRSFGQHQYGRRKRKLPRHMLRLWEARIPTI*
>EED83404
MSRMELELQTDSFVLDGPLATGGPAMKMAAKRYRPGCSCERGFTLLLAHGSGFHKELWEPTLNALFRLQNHDPSSHVIREVWAFDWQAHGDSAILNADELKHREEAITLADWAMAIVTFLKTPHVSGHRLIAIGHSAGSSTFMYTTKLLEPGVVPYLGIILVEPPLIDKETFYAHLERQKKALRIARKAVSARRDVWPSREDAFQWFSKRFPWNTWDARVLQLFCSFGLRPASDDSAPGAVVTKCAKSEEAKNYDDVEHTFEATEQIEKVCHDIPIHVVFGEILDLTHVFSVPTSSPYLTHALCPPHRPSYGQASMIDKSKGRDVASVVRIPGAGHMIVQQKPEELASVLINILHGLRARVSKL*
>EED83405
MTLKWDNWWMVFALLKKEGEYDTIATCTAVCKSWRDIGWMHLPRSAMFSSDEEVAHIKVAYEHRECWRGPLHVHVRGQDGKGRGSIAHLGTFAARFGGTWTHTVELTISAANWHRQDLDSDIIFHNLSRFHSISGLTLSDVSFSSILSFCRLVCNLPGLKRLSLTDIALSGQGACDYKTISDFRLLPSTNVEELVLDISPTVQPFPLLEILDFFAVVALSHRTSAAKHIVPAALFWGKVQSLDIKSMGDRFDISENTQLVHLAFTSAVDTEHVLGLCNSLHDMLSSVTSARISKIEIDFAFDVITYSAAVERLCEGEDGLARIDVILSTPVFEALLQLQSATRGVDITYLACAICAASSCHGLTLYGQSRPLPRARDWDKSTKHASSSMAQLPPAFKSPPALHFPQSIRPALASSGPPPLPSPLAPSAGPSSLPPPPSLDVGPKKQALSYVPLEDRMKARLPKMHRRGILLSFDYTAFVNGLLSIFGSQVVIFNRRRLRLEITRVWAPNEKGGTSRCKSNVLGEKEGDRAAAGETNDEVREKGIPVLVLCVCVVYQDAAQRAARARPVGRPR*
>EED83406
MPQLKDHLLSRLLDLPYDGEEHTFSDAESNTVTIIGGKIYKHKNFRINYTTYDLRRSQDCVNPRSEAPDIMVLAHEDSDHPYWSRDPSPQHIEFLFIRWFGRDLTTRTGWNARRLPRIGFIDADEPGAFAFLDPRHVVRAIHTIPAFAHGFTDEYLRPSIARHPRECDEDWMTYYEPDAEHMYSFADRDMFMRFRGGGIGHMAIAEVTERLLQESHEDMQDNLEGHPTDKPSIPDALRPARGRDQEYADVRHSEVVLGNPPNTLTVGMLCQEGPNNIQAEEADYGYIDNDAEDIDDGGEIGTDDEDALGPEDGEDDGDSVTTIQRAASILEGPHANGPIFPVLGCRTTGSPSYYAAFPLLFQNIWWLVFALLAKEGEYDTIATCIAVCKSWRDIGWRHLPRSVMFRSDEEVARIKDVYEHRGRWRGPVYVHARGQHGKRRRLPGLRKLSLTDIALSGQVPNSGTSPFFDGLLEFLNTTTAISHLSELHGNLSPHLLVQTEEDYALHKLTKYIGASLRTLHLHAALPSQSLCHISVMSTGDRFDISENTQLVHLALTSAVNDEHVLGLCNALHDILSSVTSARITKVEIDFKIDDVTYDAVVERLRIVEDGLARIDAMLSTPIFGALTEVVIGYNYTAQPAVPRAARHSRRRESSCIVWPSTASACTAF*
>EED83407
MSKLTRSTLDLKDTQQGPAAVEGDHLVAGASCSACTPGLDMDSAHSFSQRQNQPGDTSPSSPPGRGRPVQEHALDSNPPSPLSAALLSKRTPRTLSADSYPSAPLLQQAQPDHACAPFGSLIRYTYPIEPPLDSSDPKWLEQMFQQRHTEQRFVGDDILATESIFGDVARELRIAEIQLEQERKLSQVAVKLLGRLAGAQFDVYMNQRVAAGDGNLSEESDIGSHKPRQTRDNDFPHITTPKKRPQEDGNDNGGGSSSDEEGNGGGRKIRRDNKRQRLALKPESAVVSAEEPRDDIKTQ*
>EED83408
MEPMANIQSRTSTAYSTASALCPCAG*
>EED83409
MCSLSQMPDFYNSVRRQTEFKNQAQVVESLCSLMRHPQEFRPEEWACVCIQGEEKSKSLDHLATFIYRFAETNAEIKEIKTLRIERAQWRYIPHFVNLTSILLGYWRSVTCLELHDVIFPNVTAMFDLLRALPEMKQLLSSSVGDMTRIFKAGIRNCQFVVVEYRLASSTDLTENTAGLDIKKLIQDFGESLVEPASIGIVALQELTVAVACSVHHRDQRAATRIWKH*
>EED83410
MPLPFGLYLKRADPQEAPVTQILDVVPFGSSKVYILMTRVPGEELSMRAGHVKNLSPRQLQVLEDTLRDWFEPLRALEPPNPDAVCGFGGNGIKSHRIRHDNYVGPFASQNEFHEKLVKGYEATHSALAAPSHSKARRICFTHGNINPSNILLDEDMRPVALIDWECAGWMPKYWDYTLALYIRYPHYKEWCDLFTRIFPQYEVEVEVEDKLWDIAAPW*
>EED83411
MPLPFGLYLKNTEAQEALATEYVRLHTTIPVPRILDIVPYYDRSLVLMTKVPGEELEKRAGHISGLSPRQLQVLQDTLRGWFDQLRALEPPDPHAVCGFGGNGVRSFRISSDDYVGPFASEKEFNKRLLKYNEATHTEIAAPSHSKSHKICFTHGDITPTNILLDEDMRPVALIDWECAGWMPDYWEYTTALFMRYPRYKEWCDLFTGIFPDYRVELEVEHEIWMVTDPWRG*
>EED83412
MVARIPYPTTLPKYYAVASEVATMEFLRSSGLPVPQVYGYSPVSDNTAKTEYIFMEFVRGTKLSDVWLELGESDIVSILRQLVQLESQLMSISFPAGGSLYYAHDLEKVAGRAAIPLKDERFCVGPDTRLPMWRGRRSQLNVDRGPYESIDAALVAGARKELAYLEQFGRPLLPFRRERRNGYQYQEQSPSAHVENLKRYLLIASSLVPRDPVLGRFCIRHPDLQQSNIVVRRSSDSGWQVVGLLDWQHASILPLFLLAGVPERLQNYDDPVSQSMTPPSLPENFDELDETEQTEAEGAYRCCLDHYHYIKNTEEYNKPHYDVLMDSMCALRSRLFDHAGSPWEGDALDLKVALIRATERWETLTGLGAPCPVIFDADDVRETRKLNELQEKTDRAFEVWQNIFGLGPDGWVPTQHYEEAVALCKQAKEEALTEATSQEERAEIMAHWPWDDMDEGKYM*
>EED83413
MLMTRVPGEQMSRRAGDIKDLSPRQLQVLEDTLRGWFEQLRALEPPGADAVCGFGGNGIKSYRIRHDHYVGPFASQQEFHQELVSGYEATHGELVAPSHSKPHRICFTHGDVSPYNILLDEDMKPVGLVDWECAGWMPEYWDYTYALYLRYPVYMEWVDLFTRIFPQYRVEFEAE
>EED83414
MCQTPVDTITCARGRRVSFNPFQRLVDISFGISWGPDFRDRRCLG*
>EED83415
MHSPDPNQNCPNNASSGAAFLGTLTAARAKEAPPVDRVRVISCLLNTLPRAEGKHWIRLASATGIILLSHNKRQDMSDIDAPPHLMHESREESLQKAIFNVIDFWLKRLQLVTTITTFFASMDALLFTLASTAINGGRDNTIIPTRHTANDFISASLSGALIFHTCAAVVAFSGAFVLCRYELVTAEHAADDDAAGAESSESGLHAWHRPAGSDSSTSKNGRPTTPELESYGSDPSPRHAARTTLRRVVVRVVHPLRFCTSSSPREALSLSKCLTTGSDAAIQEMLHLPVDFLERCLRLSLMMTGAGFILGILGILSYAWVALPRSVSVFASACVGSCFLVSIFVIV*
>EED83416
MPLNSLVGQAGLKLGPLVLETLFKHYLERIQKERGDGVTDLSQTELLYDEAFHIIKVSLDFMLDRHTIEELQEFSNTRTPTPPWTHIVRLLVPISCCDDAATYLVQALGGEEVTKRVVGGTKWWQVRGITGVDAEWLAAKKDWQEAKRRHKAHLKKSGDKDSNIGHPEGLEQAPQAIYEPEMDKMPCILFAHGGGYYFGSIDQERYSIERYARKINGRVFAINYRLAPQYPFPCALHDCLSAYLFLIRPPEGALHRPVDPAKIVVAGDSAGGGLCLALLQVIRDTGLPMPAGGVLISPWCDLTHSFPSVHVNSATVCAEHGDVLPPYGLSFHKPSMLWPPPPDEVTTQVQNSIRSRVREAFRTVSHEAGGPAHAAKEVEEHAPPVEHQFVVGPTGQTLHLGSTASLPTPSNAIRDQTITLRTASGEVMKIDRQIQMYAPNYLLTHPLVSSAVSYLGGLPPLLVIASDKEVLRDEIIYIAHKAAHPDKHHVKDEARKLYPLLEGIESRFGPTKVHLQVWDDAAHTLPTLFSFTTPAKYCYRAIATFCKYVTGVLPPPSSSYQFGEAISITASPSESPNPSGVSALTEHALGSFSTSMPHSHSSSTLDSRPRMTRPNTSSGSSRGASRSKRSMRRAVSVSAIRAGSLFHRGRGEATQDPGAPLRETSDMSDVAGPRFGDTSTGREEGVRKAGEPSVYDNGLMIRERVTTKGVVRPLEPEEELVALQFSEELIGEISELVVRRYFDGRAKFDKKFAKVTKSIEKERRRNIDRAHNDTIRKMAHLQAHPYSEEQDAGDGKGKERTPKGIQDGLINAGSWPWGWALEEDEAPPPSSIVARRDTDEARRLARIADQAFLVDENALSGNNLWSLIVDFLTKSPDKSKHGHKSGGHAHEAVSADQTDSTDLKRGSRFARFLTERRPHANKD*
>EED83417
MRPEARPESLAGPSRAANPEGPSRPPMNGSFASVESQDSEDINFDAPRAPRRPSRHASADSAGRRSEVARLARQAAHLGPATEKDLRRAAHSMAEMSDRNHGYLQRSRFLRQAAKKQMTQVRELRKQIAQERGARVRMLHYLLYWQKIEPLWTEEQLGECVEGIFQNVDAGKSEKGRRNPQHGVHARDAGGDNAGQATSTKPAGARV*
>EED83418
MAFGLTIGTERYTTLQNSIQDELVRRGYSPEADPIMAEYITIMIINNKTAAQISSELEDDPNFVDWLFAEAAKGAPEPSDAPSSSTAAAPAQPSARDSPPHLPTASKDDANRRPPSGPRASAPLYQQALSQALPSTSPTAQKRTASGRSPSPSGHGHVHKARRIDLPTGPRAMFHGRDVSAGSRSLLERVGPARNGGGGGGLPFGQDDIQARIDTITGAGGSPEMAMMMAGFPGMNGMGGMGMDMGMASMANPLLLQEMMMNQMALMSQMAGAMGIMPGQLMNGFPMQPGMGGDMFNGGMQGDGPGRGRGRGRGGPAGRGAGRGRGGHVGANGNAASPVTDAGQASPPPPAAAPAVVAPTPTPVPIVAPVIASTSSSSSIASQQRTGFVPPHRPQSPTLCKFNLKCTNPLCRYSHPSPVATAESGVVLSNDPARTGIVSRRTLLDFTGLFGTSDASVSSSCGALETEHIRSTFHAHYSSTNTVSIWCSLYTPELHIHTPAPRIAWLWGGSDAHPEGRVLPGTFHRGLSTSAPLVSVKTPEAGSMSGLSHNRSVTFNTSKPNTNAAVLEKKVREVEEKKNEAEKAVAQAEKVAGSKDDASKPVAISA*
>EED83419
MHRNGTFQFRERLRSRHELQTARAEERIPLNGEYDCFEIRMLHRVEAAGTVALTAKIEPEESGVPCARKWHTSQGSTKTVGSTVVAEEAIPCILGCAMRLSTTLMSEGFLCTQATAMVVGQKRLSKYCYSCTQDHDTTRGGKNNVSIEADGQTSLTISNILRGRRQNGPRDRGRNLEERILATYGGAKRSTSVHKRLAELELEHYRLGAARRPAHSERLDAAHVLLLLLQHRLASPTHAASHRRGSDCRSITAYPPIGTGHSIPSASSLEHILTATSLDKMSIPDSKRSRRISSLGGGNLLPFSEALCYVLQIHSPSTFPCSASNALCAQLLKSYERVSELYANGGKHCVSAPRPVLVRVVSRQMGLLLAAFRC*
>EED83420
MKIIALDTNIVKSLSWRLSNVIEAVWFTFAAIVKGLGLSPSISPKVPGILDAKLKSELLWENEVVSWTVTLISRGVSFVLLRLCGGLDTDAAGGKDRVPTDWPSVVPAMDGYQREACRKAEEVTMNIVAAHAAHLKDVRLVYDTLAVVYGDTPQIDGCNKDNYVDVGIPTQISDG*
>EED83421
MVKDTAFWRANRAIRQEFTNGFHKLKFVPSHAQPHFMIMNAAIKIMENKELWVKGLEEFYERIHLKIDASRFVENILRLNALWTAPPPGKAQLIRKEEHMLPMNIPPGVQRTPERPKGLVHDKDRKLKARKSNGEPRANNLKSQVAQLAPTGSRCLLTHQDDKSIQGCHVIPRRTDDDLRKRLAAWWGLEDFDINTPFNLFLLRADLHSLWDKGHIIFVPEPQILDEFPAGSIAPIKVGVPLDKPFRVCSGPLYRYCVVAHRDLPRTEEDSDFPRALDTVGWVVSRVPPQFVTFNAGLALSKGDGPRGFERALDAFYKEHKIQYEALNYPIVQLSVHFFYFMSSANMAFETTAFILVMRSMSGIAAHNPCSFGDCQYPGRLSANELTIFRIAVDDMGNVVLILWELVDGPSVGIDAGPGTGTVDLHSLDERNPAGSQLETYRLHTRRPKTDRTLYFVIYIGEELYRRLGSVNSSKPGHILGGLISGFGSRRQSKHMDRAVVKLGLLLSHSGAQYVGYSECEAMHSFNPSREKADGYKAITWSCRAIQSPQQLSGHNRSVQQAQHLSRALSRIVSRVAFGLSVAHHRFGKNQSNAPSILQNPAPEPEPEESEGEEGVSESESEDSVGSALPTAFAPASAVPDVRDPPAELPPAPSLPTPPRGRSSTRSSRSSASGRPPQPPPPPQRPPSPPMPIMSSPAAAPDKETLKLLLPLHYDGKTVIECDRFLSQLRIYWLINMSLMTIELKVQVALSLLNGDARAWATPYFAQLASVQLGVQGVTTPFRNEAAFTAAFKARFGNLEDAAAAQVELAKLCVDKSVREKCTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYHKIELETFTTWRAAEKRATEVEQILDISRARRPEVEVAVGHVEHQCGRRKRRLPRHMLWLREARVPSF*
>EED83422
MDPFEVRIQFLSLLRKLNASQQSIQKIVGYALKFFSGCGEDLWDCLVEECQKGSINNRINILYLLDSLCEASLIAKSHSGALSHDGGQNSFYVDYVARDLSKVVESVVPEGRQGLPNLMSTKQILESWRSKRVIDPQKVDDVLACLDARQTSLEQAGDAPSARPATALPRAEVFKRIEEDRERHKRLRERRWVQPVSHAVTTHLPPPLAAFLPLAGEDNGGDSAGDLPLDIEFENDWETTSDWNEDDDDAAMEENQLCFPSHDGEQAMDLS*
>EED83423
MGGLGVLTVIVDGPHVPSGPEVPQTVIKQEEVPTRLEDIGEPGYIIQMRQRVKTSTPPGARSLREDADEEATPREQRSREARDTDTAPTTPTRLRTVIKPTGKSTSISHRAQARRAQLMPPPNPEREGDAMAAAPETTGLHSMELRNTTPQVQEERAPAATIRVAAGGPWERKYNNLFPWNHHAELLVTQGLVWKNYPDDVRWPGEEKTGGRNKAKGIAELSMPELDRMIEGLVDRDYPFEFERVDKEALKKNKLPVIICAPPAHDATFKRARRYFANGTSDRKGPPRRVAPASSGEHGESSSPDTDELSKDTASAALSPVQAPPPKASLTRSRVVEVVIRKSRTSQPTTASKPIDVDDSEDDDAPVKPRADKRKAINVEDSEDSEDEDAYQPSEGTPTPVKPRGAKRKALRNGSDTEDEPEDGTKKEPSKGKGPSKGKAPARSTKRSKASAGGSDVEQQSTPRMPEKPRAQTAKRPAPRPVHAGARTRGGAMDTTTNEQCDASTEAPAQRQRPARHLHEDESSPEHAPPKRRHRPATTEGAPTEGPHDQEHHQEAPAQRDKDAPAELHRPQEGRSKRAHAPAPSSGTAALPPSLLHQRLAVYDDEDGNSTSTAGASGMLGHWMFPPPAPFAHAHDAPPGYAPPGYAPRPRPTLPHAPRYAPRGWPVDSQTLDSYEVMDPRDAGHYYRPLPPQFRAPEFRAPGLMTPDGTYIPSGRVHPHFYEDFGQAAAFADVPQGMPYAGFEAAAPPARRMPGTAGAQTAGLTINWANPPLTDVRSAKTFGDSWQYTDVTEPPDWNIMGGFNNTVTRGPFDRNPSTSFRICGRVPAASMTLELKVTPFSYDGIRYQIGASDRRISSAPGSMHTESREQHHNVLWTTWLVRQCASLLGSTHHELYASNAAESPPTANFGCTSDFLTTLRSALGNWDPHGIKNEDGRNQWFLTWLVTSKHVGNQVQNERTIRGHVIYYPTVHKSRGSNKPWLEPEPSLSQAKARGQGLAQHPLRPGLSEARPKPWLSGQAKPGTTLMVGYCTVKKPIIIGTQSLGAFTVRFPARIRADFSSDSALNFAPRSMTEYLQFKFKVKTRHVAHCSRLAAGVGTICQKRCDLRERTNERTNGRQELSNPNTSKGVRGQCRIRSVIDRGAKSSAESELKYARYSRWNPYMNAPNIGFKPDSPSSDPIASWTTYSDPHGMSDGQQIIRVRYHNADLCRSPIKEALAEKTTVAESGGADASDRILARSA*
>EED83424
MPPPSTLQIGDDLLPCPATPPRSQPPRTRDNQKVIPRTGAHFLTPQKRRLGGKKDQVLVSRPGDTRTLALLARLRALESHASTPPPPPEDALPPDLEPEPFEQEIPVQEPSDASPPAKRSKRLLPDAEAHKTFDRWRALIPKLVPALLQYMQTSYRQPSTSCTRVELFCEASSCSTRGVNILCLYWSLSPSHPRTAVSIDLLEFYHALFERSADAVTALAGALRTHYARRGFQTLDHKGDPIRDPFRRGLGYATQWYDTLRHSIQHRLDAAIDAAHACLAPDPVDTLVDNHDAHALSTPPPPEWTISVPLPLPTDLDALRDDASLLADVWIDPSQAQAPRWLEDVDVRKGIRALLLGDRCLEERRRLGREADNICRWYGSELAAAKLALATSSNADIAFLLQQRVCELLLLPAKWKNPLVSPQRFDAQTTLASETVNSALGDPQVYAWPFVIETPLPYIIHQDDTDPYGRLEEEEPSYLEAEQHLVEDVFLDDASGDEGPPEDARSEASVMTITMTWETPVSLPCRDTALALMCADPCLHR*
>EED83425
MSHITPYLLEKPSNSSRQSVSTRSGASTWETTDPSDVPPPAYEEHYSPPSNASAAFKDAPHTAAGSSYMLDKPAGPPMHISPYAPPPDAPSSSKNAAPSMHTVRSSSSTDSGAVELLNPPPASFARTPPPTLSYAPFPPTALLSYSSDLVEGFPGLAPPSILVPHPFAAHDVNEGDWLRFLGDMQAAAHLAPGSKFVATIAPAAMRIPLPLTAMLVSKGIDVHLKNRKKGPVGDVIDQWNHCFFHPRRMHVVLAQGKISYSGPEAPPPDMVRGSSRTAHALAEDDAYEDDNYSDRASVIFDEAMQKPKSWGAAYKHQKQRRRDWSRSTGEKWRIVVAFRDFVL*
>EED83426
MSWRGIQKHKSRPRSAISSVNKADSKNRPFFIILTSPLAIKMLSDDSEGEDIHELTINAHFAKAYEYRKEREELAKRELNSSLTVVVKEKYGSDIDEDEADEEDSEEAESEDEDGEELTPAVDAAILRTLARIRRKDPSIYEQGKNVFDEEREKLGDAAPAKRIKKDKSKPITMRQHALASALNPDSRTPSPEPLTHADEQVALRQETIAAFHTAVDKEVDDDFLIPREKTKDEIEREEEEYRAFLEREVGDDLKEVVTLDVPGDAEMKVEEAAEEQQKKKRKKRKGEGQLKKSEAEGDQEFLMNYILNRGWIDHSARRLPTYKEITSKSKKGKGKAKEEFSDAQSDDESGSGSEGEGGADQMGELNEDEFDEVADRFESSYNFRFEEPDAATIARHPRNLPSLVRRQDSSRKEAREKRKTRKEEELLKKKEEVKRLKALKMKDIRAKLEMIGKEGGKSLEESKALRELDLDGDWDPEKHDQQMAELYEREADADAIDEEKPQWDDDIDVADIVPLEDEDEDEGKTKKSKKKKKKKHDESGMDEGGVDIDEMDAEVQKSYIGDDEEWDGSEEMRKRKLEEYMDELYGLEFNDMVGDMPTRFKYAKVPSQTYGLTPAEILMATDAELNSYVGIKKYAPYRKDGKGKQWDNTRTERLKELKDSLRDRGVMPNNAGSDARAEKVKKRKGRKERLRERAATTATNGETGEGDAVPDEGADAMHEKSGKKAKKAKDGHRDAEEEEDGAVGGENGESVKKRRRRHKRSGHHEDES*
>EED83427
MLTAYDFPTGRACEAHGIDITLVGDSLAQVCLGYDATTRLTLDEMLHHCRAVARGSKAPFLVADMPFGTYHAGAEDAVRSAVRLVREGGVEGVKIEGGREVADIVRRLTAVGIPVMAHVGLLPQRQALLSGYKVQGRDADSARALVDSALALEDAGAFAMVLEAVPRPVAEHITRSLRRAPTIGIGAGSATDGQVLVWDDLMGIWDGHKARFVRRFGNVKDEVESGIKAYIKAVRERSFPDDTETYGMREGELEKF
>EED83428
MSDSNQAQFIQEYQYVLAGEYTLVAVICLLFYDTAIAFSQEYTVVWSRRVTGASAIYIALRYSNMMSAVMTLAELVAPSCTIVSLELRIYRRFVLGVPFTIRISSVILCRFFLNLRQAANSNDDLITSSDRSFFGITSRIIGNMGEMLEEDSLESDTLVGTYYGTEIDDEGDLLPSDNTSERVDALQSFEGDARSDLPARLGDDNDSFVQPTTAEEPFNEPDADVVIRTSDMVDFYVHVVILRIASPFFKDMFSLPQPRSGGLDAPEIILVAEDSKTLDCLLRMSYPIEEPLLTDLGLVGSVLKAAMKYQMDTSVKKLRRMLSAFSNPLRVFTIACTCRLEKEAQLAAQEWVEDQQSAVTDYIDEMDSIPAGSYFRLLHFHSASRAGKAVPEGYRFCSPAAAAAPEAADAVTTAFVIPPPFDVPASPTDVIVRCLDADFYADRGVLLLSSPKLKAMLNDPELKSEGDRSVISLRERQEVLLILLQLTYPGTLPELDDWHMLTAVVEAAGRLEMTRAAELAKKQWISQIEAHPLPSYFVATRNGWDELAHAAAKHALLISADEYVPEMESVDARSYRQFLLYRQRCRALVTVARRQIVADRNVGKQEAYDVFFDERERGMSLDYTLHRAWALCGAASEPMDPPRQSASAANAPAAGLGGAWPAIVAQLFSVRSCHSGEMLDIIYSCEEHGGGAVAAIDQMPVPGATMQPASCEGHALNIIIYATHAATMENGWMRGKFSDLAPLGSVESLFPPVAYTLDHQPPRQPVQPLAYDDDNVQIPAHKRPAEEEVSQPIHRTLRDNNYGIHPWATYKDITRAPPAEWPREPSPPVLQANTAGQGTASRISVTAKHRQAAIADVPQPVAGSSNSVPGAATAGRSSVGKRCFCFFCGSSFASFKNRREHVAAHCRSDERGPLYCPCCWAEFPNVNLVVKHGVVHGLSPMQMARMMENAYEAAAERRRL*
>EED83429
MSDSNQTQFIQEYQYADSSEGLLFYDTAIAFSQEYQVVWSRGVTGASAIYIALRYSNLTTAVMTLALLAVPSCAVVYLKVGVYGRFMLCVPFTISIWLPRSRQWIQYCLAEARRSLLPRIEKMIQQCERNEFTYVLAIDISALRISSVVLCRFFLNLRQAANSHDATDVHSSRTFSGTTSRIVGDMGEMLQECPCEESTPVDEYYDIEVENLDARTIPDGDDEVDGSAAVAEGHVV*
>EED83430
MSVCPPYAPFFGFGGVAASMILSTVGAAFGTSKAGIGIAGLGTFRPELIMKSLIPVVMSGIIAVYGLVVSVLIAGGLRPTDYSLYAGFIHLGAGLACGFTGLAAGYAIGFVGDSCVRAYVHESRVFVTMVLILIFGEVLGLYGLIVALIMNSRATEAPRCTY*
>EED83431
MSAHSATPASTPSLVNHHLASLLVVLEAPPTADATLDVVEKWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRFEEQKRLAEEEARRIEEAAKRAKAAEERRLEDERRRKEEEEQCLEDERRAQEATDEELARIAAAEGLLSDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTVERPLVMTEVDMAAVALEKRLSGQKRFDANALIVRSLRRLPFGPGRLRVGRERYDLR*
>EED83432
MLLSVFPRGEGLKLDLAIHAGGDAAQTDLSVQSFASSTCAAADRRQAPLGPDSPLFGTRIPPGTSTQSPNTSISTSTLFDTFDGARRLLEARHGLPEASTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEDPIPATLNLVLPTPSSFRAHAQPPIASSSRLSNIPSSDLAPPPPLAPSNAASNSNPAPPAPAIPSTTTTSSSSPTPTTTTNMSQNTTAPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPPSLVRIPSIQLDNRDIVRRSTNASIRPLRGGSP*
>EED83433
MWGLIVSLSVLCLVVVIFKTSSKRPPLPPGPKAKFWTGNAHQLPTTEPWLTYAVLSQMHGPVVYFRVHGRRMHVLNTLKVALDLLESRVSLYSDRPMAWMYKELVGRELAVFNISVKHPRFKIYRKLLQSGLNQRATRTYRAIQEEETRTLFLELARSPEQFVSHIRRNAGAVILKVAYGWTVAENDDDFVLLMEESIKVHAEIVKPGRWLVDTYPILRFVPKWFPFANFHRQAEIYRKEFSRIDAVPHAWAKEQIESGHYLDSFVSLNLRPDDGHIPDPEEENMFKWCSSALYAGGADTVVGVVTTFFLLSLHRQVQRRAQAEIDRVVGNMLPSIDDEPHLPYVSALLKEVLRWAPVPPLGLPHRVVEDDSYNGYWIPKGTTVYANIWSSSHSGLCLLRVPNRSEIHANTFSDLAAESAQVLTSLKCPLSWSFRTFLPHSTSRKLLTAPVGRLSLKSPSILPSQGSLDSENGADTLA*
>EED83434
MAPTAASNLPLTYTPEPSFKKKATIFSVFRHIFTGPRTALKVTDGPIAGKPWNAQILGMLSVTKYSIAYAAVQARQLLNSQEEWSVEDAHFNAEHFFYTILAIFDDAAHSTWYEETIDWWNRRVFGNSYTGSDRLTHDDDSDDEESDLSLLKAQHAARQAVQ*
>EED83435
MPHPSASATDNQDGLPIVRLEEDARREGRSRGRAQIRDRAGYCARQNALIDPDLIKLKPFGTFLVAYHFGLKEAVLLAAQHALMVPDLTDYLAEVSPSPELDGIHGTAMVRLMQWAEVCKAAAMMLVFDKYWWQNQVRQSVYRLCAACLPFNARRGDPYEVLQIDEELVSGILGDLLSEMPCKHPDLPELLLCLTSGSIFKR*
>EED83436
MSEPVLDNEAIVAALFTRLDGTFHWMLALPGAGLNGNAMRLHVINPEQIWQFDDSSQDLMHSHRLCTVVKIGSRRTREQTFTPAEVRNLLAMIELATPVFELARGVQNFTCRVWFRQADLEDELLRLATPNAQRVFGGTPSCTYQASKTCSWTNKRFPSPTI*
>EED83437
MVAYETMNFIPSLDRNDYSSGTALGAIAGIGIGIGTETYLRNRISHDTVHLGGQSYVWYYPQIRSFDTKNAAAKTLWSELLAAQDREKISRQEQDFRYVGARAGGSLANRTNANLLAPAVCNYRQALRSFHWMIMLPGAGAGGKGSAKRLHAMWTDREGWFFETRDHNLQESRSLCTIVKIGSRRTSGTRTFTTTEVSDLLKAIDMETPGFELAMGIRFDCRVWFRQAVRVLIQHGMVWCDRIIDLEAELLRLATAKAEGRRDARIYNVSTNCNWFEKRFPPPPI*
>EED83438
MRSQALISEVVFNVNSIVAALFTRGRDEGFHWMLALPGAGEEPGADLKLHVNNPRGRWQFQAGDHNLPQSETLCTVIRIGSRGMTTPAQIRRIVEGIGMNVVPEVDRRREERFTCRVWFREAIRRLVENNILHCLDVDALEAELISLSSPSAEGVLAGTGKYTIHIAASCF*
>EED83439
MAEPVLDDLAIVACLFLRPDGRTFHWMLALPGAGTRGREHAMRLHVMENDRNGWYFDDTDQNLLHSRSLCTVIKIGSRRTSDTQTFTTKEVRDLLEAIVMVTPDFELAMGIRFDCRVWFRKAVRVLIEHRMVWCDRINDLEAELMRLATAKVEGRRDARTYNVSTNYIQDKGIKNNEGKPIAGGQLFRVTKANKDRAVKASADTNSDTDESALYLSRRVLHCIEGKRRGLRPIKFISELTASLPSTAFHLTAQEAIVLMSAHVKWYCTIDLGSCSVVIFENRLKRMKMLWAYSFRTNSDVRLPFEKTYSRMAASRMVQYRKHSVGMKRRNRDLVDAEIVVGSTS*
>EED83440
MANILNDNDIVAALFARFTGGYHYMIALYGVGEGNTAIKLHVNNLTGDFAFDTGSYNLLGSLTLCTLIKIGTRGQVSPAEIRAIVEAIPLEVPPADQATEKTFDCRVWFREAVRRLDANGILTCPDIDALEIELERLADPNARSILQGIGRFTYFVATTCT*
>EED83441
MSKSSTASAPKIQTQLPQKSAWLRGPPQSSSSAPSPRSQSPAPNQGAPQPTHSRRPSTLGQGVSFKDGVAGSSVTFGSIDDVTAPISSSPAAVPPIKSEGVKTFGSVPATQTSAPANIKPSTSSSSQTPSTQSKFDVKKLFQAPSAPEPSSEPPAISSPSTRSSQLPQSSPQTSHAQPSPMGHAYQTFVPGGLRPSQNGAQPGGPPRSPVYPRQIPNGQVNGANGRPNGAQMPQTSGPGPMPTAMPSPRMGHPHGGQPAGLPHVPPPVPVPGWPGYYAREEEERKKAEEEERVRKEKEEEERVRKEAEAEAEERKKKEEEERLRKEEEEKERVRKEEEDRQKAKEEEEERLRREEEERAAKEAEEAKVKAAEPPELEPEEGEVQETEEPESVETEEAKDTPQDKASTDKASLRIDTALTSPEGARRRPGHLDLSLAKSQTIPQPLPSALATARIIEDLGSVSYPDGIQSPKVELNVNAKQGKFRYDRDFLLQFMAICKEKPDSLPPLDAIGLEPADQSFPMSRGGSGRRSSTAAMPPPASTISRQASVGLGISGYNKGSGSFNMGQFATPNNSRMTTSDDRFAASQGGRSTSMSGGGPPAMAFGRPTTMVRSSSQGGPGGPQLNNKRTRSKRGVDRAEHSKANAGGSSAFGSNSMQQGLMSLEPVAPLEQSANRWTPQSIAKKPQQGESESDIVDRKVRSLLNKLTMERFDSISDQIISWANKSEKEKDGRTLIQVIRLVFEKATDEAAWSEMYARLCRKMMEQISANVQDEGIKNNEGKPIAGGQLFRKYLLNRCQEDFERGWVAKEATAVAAATKATEDRAAKAAADTNPNADESALYSEEYYAAQKAKRQGLGLIKFIGELFKLQMLTERIMHECIKKLLGNVENPEEEEIESLCKLLTTVGQSLDTPKARAHMDVYFSRMKELCKNPNVNSRMQFMLQDIIELRARNWVPRNQVAAPTTIAAIHEQAAKEKAVHEKEAYQRTLSMSRGGSRRGGERGDHSQIGPDGWAVAGGNVPRAPPKAGDLSNFGKIQKAAPMTFGPTSVFAGKKENKSRESTMTRAGSQNMFSKLMENPELATEAASSKSSRPPSRKPSVDFGAAGAPEAPLQRRKLQLLPRTLPKSDETKGDSTPATSEAGHSEDEGGDAPSAPSMTEAEANVRIKEDSKEFFSIRDLDEAEVYFTKLPTEHRFRLVDKLVTSAIESKEADAQLVASLFSRALSRNSCSPHSFEEGFMPTAEILDDIAIDAPKAFDLMAIMMKGAGLDKDEERRTRLASKSMDSDTLLALLS*
>EED83442
MGDCAKQASNDASTASRQPSDSQYDSPDATCSCNEASAKLQHVTTWRGDNSMMEIDMHLTQLLSSMTLNGPWRPDILLCNLEKGKRRVVADLSSSDSAHHACTTHTDVMSQPNLSGSSNYTATVDVQPPCGCALLQGIRDQLLLERYPTTGGEYLEAIFTHREVFFAFPEGHQMCAIGFTDLAKDLETRNARADRDGDGEAAAAFRHEAWVIASNRGRW*
>EED83443
MPLPFGLYLKQTTVQEALATEHVRLHTTIPVPRVLDIVPYYNRSLVLMTKVPGEELGKRAGHISDLSPRQLQVLQDTLRGWFDQLRALEPPDPHAVCGFGGNGVSSFRITNNGYVGPFASEKEFNKRLLKYNEATHTEIAAPSHSKSHRICFTHGDITPTNILLDEDMRPVALIDWECAGWMPEYWEYTTALFMRYPRYKEWCDLFTGIFPDYRVELEVEYEIWMVTNPWRG*
>EED83444
MNNPVSFVWCLLQRFRGRVYTAIWNILRRLGRGEPFARVMPLPFGLYLKRAYPQEALATEYVRQHTSIPVPRVLDVVPFWPDEVYILMTKLPGEQLGLRAGDIKDLSPRRLQILEDTLRGWFEQLRALEPPDPDAVCGFGGSGIKCYRISHDNYVGPFASQNDFHQLLLGACAATYGPMTAASHSKPHRICFTHGDITPHNILLDEDMKPVGLVYWECAGWMPEYWDYTYALYIRYPRYMAWCDLFTRIFPQYGVELEAEYKLWEIANPW*
>EED83445
MYEYETSILSGLSFYRYHESRLPLTRFQFYGLVLNWGFQGVLTTQVLYLVCVYEWVQTGLLTANLAVCSQAFFKPHPLIDLIGSFDNSSLWLCIFLMSAIISAAVQIFYAWRLYKLIGSPWVPGIIIMICLAQLSAGLASSANLKLLSRSLIETEYNDLIYDTATILQRLKRGFGTVNVILNRLITLVVETGGLTVPVCILPTVRNLKIRYKTIPAIRSYHILDQLGYIRTYLGSSLARSSPNCVGPSGTPAAP*
>EED83446
MQNVAQTANNGAAAHININAPSVEGPQLTPAPSPALRRNTPSPRPRYLERSYGPSPSAIPPHAVHMFQTPRLPPGVQAESASTFRPAAAERDGAAHRAATPSPTPIARPPVFFPLPAAPLRGQSRPQAAVAGPSNSLADRRPVFTLPTKPDVAAVRPPRAQGLAAAPNSNPAGAAGGAANALAPFPQPSQRDSDPAAEDVLMQAVTAMGEQAERAFGYHQRSQALCEQATHQLYAVVDFRKQIFQEKAARMRMLAYALRWQGWSAEALDGHLEEMLAEDGGPAAALQGEIEAIRAVMAEVDAESMSAAGKTARAMRAEKRQNAPIVDPRIRREIADVARSPSGWQRNASSRKRRWSGEASEEEDAEARGGKRARSSPPRAEPSPSDAAAPQNLSKGKGKAREMSPMQEEPEEAMAVDEQESATVPGMVGSLLSGSEAGEGPRGEEQAERQRAEEHAEEERGEEVHAEEEHPEEDDQDDGSLPDLVSPSQTRWYRDDDDDEEEELVDSDDLPDLVTPERLFAYRQEEDEEKHGEEDDTLPALAGPAQDAPPQRSSPPVERPVSPVPRRSPSVEPAQEPEATPARRKSTRRKRKGGR*
>EED83447
MSAYGAIQRTASLEPLASTVWHLKDKGHGEHDNVTIHHLTLSRARSLPGLVEYLHAVFADELARGLTYPQEILPGETYTQETFEAYYFAADVLVAVQGESENTLSFKHPEGQAVSYGIDAARNGRRWEACIAGCYYVKPNYPGRSSHICNAGFLVPVSQRAKGVGAALARSFLHYAPRLGYEASVFNLVFVNNTASVRLWESLNFTKAGLIPRAGRLKKADGSPDEEESIRHSRYRTDARECAHNVPQTANLQLSVAASSTMLSPGDGMNKQDQRKGQSGVQNVAQINDHGDAGHIDVPAEGLQLTPTPSPEPRTPRPRPRFLERSYGPSPGALPPDAVFMLKTPRLPPDVQPESASACRPAAAGRDTAAHRAATPSPIARPPVFFPPTAAPLRGQSRPQVAVAGPSRPLTDRPPVFTLPSKPGVPALAPPRTQGLVAAPADAAEAAANAPAPVPQPSRSHRRDSDPAAEEVLEHGVTTMGEHSQRDLGYFQRSRALCEQAGDQLYNILDLRKQVFQEKALRIRMLTYILRWQKVESLWSEEALGEHVEDILAEDGGASAALQGEIEAVWEAIAKADGEGAGGAKNAARSRSGRARDGQHTPVLDQRILQQIDDAVRDPVGFPGNATQKRRRSEDAGPDDEPQGSKRARLSAPHAGPSQSDVAASQKLSKGKGKARMMSPLQEEPEEANVEEEQECATVIAMLGILLSDSEEEEGEEDGEDDDDDNVPALMAPFQDAPPPRQPSPPIDRPMRPVPRRSPSIGPARQPQSQPVYRQSTRLRARTNGRA*
>EED83448
MEAAQILPLGHNACSAAAGSSDFAQPPHHQPIGSAQRQCKPKDLGHPRSMKGAMRGTENPGQLGPAQLARRSTVWLCGVNGQRGTEYARGACSAPKARPGIGRHACTAHRASDNHRAVRVAPCDAFPMSVAAAHELRRRMSGCARATGRVCPGGQRRENFTDIKPTTPCRGLGVRIKAAAAFPDGRTGKRATSEASDLSPSQGRNQGTIERYVGYLRPAGIHGVDARSIGQHLEVHWDIMHNPYEAPMYRKRVFGNDGGRERGSYASISARGMQFVWNGGFLWHR*
>EED83449
MIEFTGVDWILTPKAQHVIVIANISVHSEMQHSGYHPEVVMTYSFDNQLMAGLTLHKINVIMSTQSVNITHVAQVRCYRGQCRDLGSSDRGEFESWGCSTDLTEAMGQEVETEVPRAAEAGLYIGEDKGRLCALVRAQLVRAQHADAAPGAGVWQSAELAEAKVCTFLSYELGNHLVSWANAANKLPRASEETQVAMSQLEVKRQGKTKQDAGGVDATYETLTPNLGAT*
>EED83450
MLLGLGADAHRGSVCALAYSPNGLYVASGYEDSTIILWDPATGGRITELRGHTDTICALAFSPDSSLLASGSRDCSIILWNVVAGEKTVALNGHDGFIDTLAFSPDGKKLASGSVDFTVRIWDVERGEQQSLCKAHNALVMVVTFSPDGTQLASGSADCDTRVWDAETGMEISVLKGHQGVVYSVQFAPDGRRLATASDDGTSVVWHAKSGERLVIFREHSGPVWSTAFSSDGKRLLSVASDRTVKVCDSYSTEAILAIDGAEGMVNAAVFSDDGEFVAAGAEDHSVCVWNTRTGERISVLEGHTDNLVNLRFSPDNRRLASASDDSTVRIWNISVALPAAV*
>EED83451
MSPNSSATVKVYRYDRLSLQSMARSSSGMWATSAMGHSTACLMRRKPRTTPYTRSKRPCKPRLEPYPRVASLRRVQPTTEVNADKLGILSFEALHATAALAAAENAEQAVTDEQVQKHRDPNTQPVPPPPPPPETDIAEKLERTLVVRHGEKTVALNNHDGFIDTLAFSPGGKKLASGSVDFTMRGWDVESGRQQFLLTALVMVVTFSPDGTQLASGSADCDTRVWDAETGMVISVLKGRQGVVYSVRFRCQSLVSIAIQINR*
>EED83452
MWNVFAGEKTVAFDSHDGFIDTLAFSPDSKKLASGSVDFIVRIWHVESGRQQSLCKAHNALVMVVTFSPDGTQLASGSADCDTRVWDAETGVEICVLKGHQGVLYSVQFWPDGRRLSAASDD
>EED83453
MEPSASSPSNIFSGPEPSRYLALISFARLAAILYYDYLLTFSMEVDYFWKQQRWFSWTSLLFILNRYMAVFGVIPIAMEFFADISSDVALLVIRTYALYNRSKRMLAVLLVVYAIGAVADLVAPWGAMLLFDTTIFVLTLYKNDLLCRTHGGEYRQYINISGLMVDFSYASLVTLSSDLVSRLMLNLRDPKLMRLPQHTQPQPASGTMRSRWNKPQDVELSVVEISSHATSSGFDELSTDILPPTDTTMLP*
>EED83454
MSNKNKHRLYVTLQTRGPNVPGFHCALLLAPKRLDEASGAEGGFLFHAINNIIPENLPKPGERPPWRYETKAVKPDGSKTLSARILVAKFFATTPFSEVRETIDRAVRQVPIVQNDPQWTCVSWLIQSLVALRDLGAGAR*
>EED83455
MDIELNANIGCFFIGVIIATPLYGLTCAQVMYYVRDYSEDWIWLKSLVAVLFLLDTATSIVSFEIEYLLAAFIVIIVQSYYMRTIWILLANKPYKIPLMAVLVALTLVSFGFGVAIPALYTKLRICHSTLPLDSNPKFSTDSLLRTLMIYAINRGILTTLWQVALMRFTGPFSTFPEAKLWNYNPSTTISANATMGRNIPSRSVDEEVASTSYSSRDGGRLSTLQILRKPLCKFVIFLLVDLASDLK*
>EED83456
MNPLAEPPAEPLWTSRTQDGVVSYTRPLIGSELLMFALHERDDGTADVCFGLTFTTDLKSNVLGERLKGALGYLRFISPLLACTIEKDAVDSAVNHWIYTPASDSQEVEQWAANSFKLIDDAVTPDEFVYQMVATRLPYVHPDGTKQYWKIYLLPNTPGGRMAIFTHGTHAIFDARPNLNMLRIILEEIVLQDRDHHVGQLPWGEEWKNLAPGPVTATGGPRPDWGTEGMKILRRWKALRTSDIPSHSLKPARLEIKDIGRQSCIHEAIDVETSSRIIKGLKQTGHTVTRLFEAALIIAMFEQNPELNAPPDEAHVTLDLSFISLSQYLVPPYNQLSHITSTSVIVPVKVPFTTVQSETDPRKKLCAVMDRLKAEYDEYLSNPHLPHLNAHIFALKPLTVAPSSTWRNPASLLVTNVGSLDRMIPLTYGVSASATSSRPEIEVQDLAFQHRWSHSARPLVHLWTIGGKLHIQVVASHTFPFEDVFHGFIYRVVKTQGVVRYDYMLSMCLQRRKLKGWSGYCAWHSHRLYKLIDPDHALGEAVKCTDHPADAQPAYYVLTGRTHHTNRLLPDVSDTPNHRGVLWLQRQTMQTSNSPLSPSFPGKVCTTGSEKPVWDGTACAPLPAVTHAARGGEILTLNLGYGAYRAIPSASVKWNHTSAAISGNPFVVLCASDYVDILRRQDGFMSKKRPSVKSVLSLRAEIVPRITVLLSEKWSYMVNIPHGPQRHIRARKDLVGGQAICTVLLRMQSANDNSPSSLSFSGPEANRYLALASFTILYYDYFLTLSMEIDNFWKAQHKVSWTSVLFILNRYLAVAGQIPIAFEYFADISPQLFHQAFSAATQAVVSVPPVLIVGQALLVIRTYALYERSKRVLAILLLAYSMGAIAGIWSVTASNTTGGAPTNYVMTSTCNSLLTVRQSNGDICMTADLIAPWSTMLLFDTTIFLLTLSKTVKLVFLRDGIVYFGTLVIVNVVNIVTFLIGNVRKALWALGMKTVSYTHCQDYTRGMGSTLTNVMASALISRLMLNLRDPSLKGLPQHSTHSQADPLGTNKPHSTLMFGRQTKTKGSEVPSTMGSTGQHTSSGIEESSRDSS*
>EED83457
MYTRFQRSISKIHS*
>EED83458
MTSLIRFGDDWRQQRRWMQDALSTYNTIRSYRPLQMNEVNKLIARFATSPDSFFTHVQSYGSNFTLEIGYGLDETFAKEKFSHIAEKALVAVFQSGTLVATLVDFFPFLQYVPSWMPGGRFKRHASDTRQIIRQMIELPYNTLRENMMTPQQEENLKGAASTLWMAMVLYPDVAAKVQTEIDSVTGGERLPEIEDRKHLPYLECVLMEVYRRQAPLGPDTPLFGSRIPPGTSTQSPNTSISPSTLIRPTKP*
>EED83459
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDEQRRKDEEDRRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDEEVTELSDDPSIKTPRTLERPFAMTEVDMATVALEKRLSGQKCDRCAGYRSAPVDCVWVENGTTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSSGSKKRCVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVHGAVDDE*
>EED83460
MRSLPDINDDALILVFNVLPVDSILALRQVLHAGYPFPRHRLPLMDASELEWAVRRAVRIGLFWRSYTTHPRKLADFQASYGTGVYDVHFLPGSGGRRIVTVTKGIWSVISVWEIVCNKLSSEGAFVRKVAEWSPKGIIFTGQQSIEILSLHEVNDRTSVLKSIRTIDTRLKPIALQGSLAANCDDASKAVIMNWENGAQAVLRGAEEPVDQHFQYNKCLRVVFCHRSVLVVRARSIELFAMPDMQPSSTPPVAEHPIARHSFGWIDGVSVSVQARDQAAAPDAIAPLSILLRAESDDPWSSDVHKLDLYTLEPNPLYLYAGNQDHVSPSAFPSPPVSLSPGSPSRSPSPRSSAHAAMGTPYVFPPVHSQLYSPSVRGVLRCTSIVLGSHGTAVWIQPRPAHSMDLTALDVHASVTQAPETARANETVAGAVFAGALQRENEGHVSPDARNLWIQSFGSSYWTSIDYVEELGLIALGSSQGMSSLRCARPMSLSSFLSSFLPVAHADAPEEKEEEQPQEEESPAEEPAEEEEEEEPEDILPALREECEQSAKCLAATKHFQHCEEKVNAGKGYAHEDCTEEFCTYPLMTSVRYTDLLHERGSTYRPAGNACTLRKLTWHFQGCVAPKLFAKLK*
>EED83461
MTEILPDPIYIKVDDPLEQTVWDPNTWLGQGKLYPAADTPPFIIAARARILSIPVPFTDKYIPDKHLSVLDLLQYKIPKQPSILVTQNTNRAFSTLESNEQFNEIARRPLPPPAYLNKLTNAFGQAWFDGARAIVDWRYKDSRLPLWTLAYWTEMSIIIRKRAKWQAAEHWLSCHTRGNELTQASDRTHEALASLAWGTELRALGAYSSIDTLAVLLSDDCLDDELINILMARLGARARLDPVLRTEVIVAPLAFQNALKVAHKKKTYGDKAGPLLKRYTQLVSENGRKRLVTITHEHGNHWMGLRVDFKINAMAYSAPKPTETTYHVREWLERDLGGDFRDDGNTMPHGKQDISDVTCCGICAVNTCAHDLFGDKLYTHTARNILRMQYFVDLVDAHNDKMSQQAAAVADDLRNTSAASFEELCLLQDVDLVPPAEVNMSLHSSPIGKDIPPAQQRKRASTAESVTAILASTVKRPRMSLGSPDHGADTDDSMSSIPAIGSAPALTPAPSDLNTDSSSTTDTDYASDSDNLSSRPPATDKARLSLEHVAGPVGISRTALDSQELKASMANGTFSMDNKRLGHFKHEALLCDRFANFEFGERRWRVFHSACSRWITVQQPYSVHRFRAHVRTCQALLPGNRLATKVSSADKDHTPGRKKQLLRATTIMSWLTTPKTTVAKTKSSRRQSPEDLAVRPSPVVIVSEPGIQPGGYPCSGITQAHDSRVGTYLNRTGAQGGGGRSFKDIAQTLFSKPYQTLSVSQKESVVRTQQHEHAWRNNHTSLCVFSTSCEKILPVDAKIDPTTKIPPLCSNCGNVLRLKAFKNALRVPQPLARNYKHNNKCYASKTLGSIYSRCAGLQDLVEDDEAAQSVFTRFAIGVRQGKFSGDRVLLDLITAMVERHDRCDRGVGLQNFSYGPAALEFANTCAILSPEVYRTMRLDLQLPTLRQLKLTQAKIPRFPMSINERTFRIAENYVKSLGYDGPVALSCDDTKLHPAYRTYWDAERQMHFLVGGTGDPIAIASVEELRSVISDGKIMKASKIRLWCLQIPLPKIPPIIIAARAIPNSLTVPELWEMLNVILNGLADCGIRVMSYSCDGTETERSVQRLVITQAPARVNYTMQHPSPGFSDIIIVIPRFRGQLIVMIQDSKHARKTLRNNLFSGARALVFGNHVAVYEFARDLAFQDDSPLYHRDVEKLDRQDDNAATRLFSAAAIQYTTVAFCDRVGLTVYLFVFGEVVDAYQNRRVPHRERIKMVLRARFFLDIWRVFLKAAGYPESRYFISREAADILSILIDECRKLVKDFTYLDFLFMAPRLSMLIRTVVKSAQVSDPKARAAGYAHTYFDCDSIDLVQLALFPSDGEISAAKQEAWEEAENLFHLLGVTPSDVVDKVKNVSRNTRLPSINSWYEVGQDPGLPELQGMRDEAEPVTDDEDMDDDDEEQTETAELERILTQEQNAPLRSTGDDDRMFNLSCAAIALSLDDINTVHNFSEPTLAEQTSNTDEDRQNINAALTQLQIAPLNLAEVARPYDHSQAFVNSYDFSNLAAIRREHETKQAANGVRTQSHRQTNECTGLSHDPKEESSKRALIREMNAVLRQYQDQAVGTGLERKARWQSNAARSSLTGNSANAILAAGQRASTVVRRRLQMFETYKVPMHEQLADGRISRASSGLTYAPLCAGSLGLVVYNSRLLVAKVITMYSRGGGKAAVHSWIAECCNVGLLSYIVVQLYEQAHQTRFRAILQDVAILQCFSFAHMSSDQFLCRLPGSHHITTDGRTLELDNAAFATFAALSTPSCLSRIVTAVKMLGTARKKAKKVATSKAKAANGDHTGSESEDV*
>EED83462
MASISWTSSELHLWHRLRILAFCPKYSSSFPFSHRVRREIAAGRSKLAQNGYAKSASLVLAIRCELSCASFHGSEKEARLAPIVQLLQRETSGSSSHRGVLVHALSNAGGLHIVKLRRVLSRLHESMPATTSGPRIPTALVLDSAPSANLLSLAINIFAPDNPVMHMLSLPPLLVLYVIFSTTVRLSGYPPLLRELRETLNTPGLLPTVTPDTDPTAMPRLYIYSDGDRVTPAHEVEAHIGEARARGFEVRVERFDRTSHVAHMREDPARYWRAVNRLWARASSAVPGSPALASL*
>EED83463
MASTSASGNLLKGKACLVCRLQEELLTLTSEYLTVGLNNPTPTAFMHALQMEVLLASYFFSNNRTLEGTYRANAVAAMALACRLHVIGSVCGPTSNVWPISILYRLAAPTNVVEMGERINAFWTIYALDKAWSFALGYASAFMDDKRKGTEIDVPWPLTVYDNMLVSLHTVKVFVSGASPAHAQESLLALCVKAILLMEHATRIVNLFPGGYVPADASQLDQHIKQFKRVLEQGCDGVGHVCPRA*
>EED83464
MRLISCTQRFSEQDDLDEHREEAHYCCIECDRHFVNEGALEQHRQTSSKHCIECPTCDDTFSSEDDLNEHRAEEHNRCTECERDFQSKQNLQTHLKSSVHQDRTLPCPGRKCTKRFMSGSGLLLHLESGTCSSKLTLERIKSNMVGRARRISSQSQKATPRRRPQ*
>EED83465
MSDEPIPRYGKINLIGIWLQTILWAIKYATSLVYSILYVVAMWVLRHRRKDAHRWILRTISTAIYVCLTVNMATSVRQSLECFVYMSPPDIDAYWLDGSNPMSYIKTLMYITIIWRLYWAWDRDWRFCVLPLIAAIPRTVCGYLAVHYLARGTLDIYAHNSESIGLAGWSLDLAMSVYMTGMIAARLLHLSRRRAEFNLLFGIRDTKNPYIVPLITIIESGVIYTVCLVIMLGILLKHSPLTVTSTYVGAQLVGFTPLLILVRVGFGVTQGIPRNLLQGRDEAVSIHPGHMFSSAPATTVHIATEHEIATDMISLSTRDEYVLQDMKLSGNLASRSEAKASVQSLVPVFNSPWSMKGSCNELIRSGLQLLDYRTD*
>EED83466
MVFLFRRQPLRSFYGLCNALTILFIRLPVWMLTFTVPSWRPVTTWTMKRSLAVTAFRAFVHGMFMTALVPLPYPKEDKPDPKEPGIAWADPVPDLIVGEISDYARANQVDAVRTPGFWYGPRGPNGEVGQKASPDEKVIYHFHGGGFVLGTSRPSNKATQTLFSGFSEHFSGDTRIFALEFRLASTPPLGDANHFPAAFIDAITGYHYLVHDLGFAPANILLEGNSAGGLLAFSLARYLVAYRAQLPAELGVPCGVLMSSPTLDWAASYTGPDASLTRNFQSDYTDRFFSSGYSLRALAGQLPLDLAATSAWTSPGARHAKITPGMFAGVPRTCIVSGGAEIALDAIRAFRARLEADVGTEGVTYIEVAGAAHDFLTVTWCEPERTNALREVATWAREVWAA*
>EED83467
MDGRPTLLAFSLLLSFMSESTVPLADLDWGTEWKNLPAGPVTATGGPRPNWETEAIPLLETIREIYANPVVKAEGFSVTHLFEAAQVLAILAHNPVPEEQAEQAHVTFAMSVISLAKWRVPPYDDGNQLISEMGTVPIRVTYKDIPKSSPPRDRLLAVMRCVKSQYDEYIANPHFPHLLAAQMRTSPIRQPRSVGLANFITNVGAIENILPMKWYTDDPSSPRPVFDILSTSFGHRASLIISE*
>EED83468
MGSEVWRLHIR*
>EED83469
MFNLRASYQEQAGLSATDAAAWGVVSHISENVLEDAIELANMIAGKGTIAMQAAKEAINVAFETTLDQGLRHERTIFYGLFAMQDQEEGMLVFAEKRLPVWSHS*
>EED83470
MPPPSTLQIGNDLLPCPATPPRSQPPRMCDNQKVIPRTGAHFLTPQKCRLGGKKDQVLEIPVQEPSDTSPPAKRSKRLLPDAEVHKTFDQCPGSPPIYADIIPVTFNLVHSGRTVLRGLVLQHLRSEYLVLVLEPIPQVLVHHGLFPVSLSHPRTAVSIDLLEFYHALFEHSADVVTALAGALRTHYAHRGFQTLDHKGDPICDPFQHGLGYATQWYDTLRHSIQHRLDAVIDAAHAHLTPDPIDTLVDNHDVHALSTPPPVDALTHCARLLSTRCPACFGGAVFGWSFDEGADIHVALDATFSQCHSMHAGDSPHFYEPEFFIPKAQVDECSRRIIAARKKPPQPSCTPKVPAHIVDECEKLYKAADEKKVKTSANRFDDTGVMALMCRHNIPIFLANVDTPGEQQKYAITLLKHLFTFLPPNATIAALYDIGCVVDRSLKLIVYNPCLRKGLGLTDGEGVKRLWWRLHKLIPITRSSAAKVISLDLCNDLGHWIAQHLRHGVESREVSSLEELVQVGIPKEELRDEWKQQYAPAHLKKELDVAIETTWTVLENGEASAESHEVVASLERSHTRLVDKVEALYSLLNITDSFPDFGNVSLGFVRLLLMARDLKINIHKCAVGSFFEWDWLDQVVGGRHNPLGTKIHQQTRKAIAKRMPALKTAICKFNRYCKTLKELKQPEWTITIPLPLPTDLDALCDDTSLLANVWIDPSQAQAPQWLKDVDVCKGVRTLLLGDCCLEERHHLGREADNICHWYGSELAAAKLALATSSNADIVFLLQQHICKLLLLPAKWKNPLVLPQQFDAQTTLTSEMVNSALGDPQAYAWSFVIKTPLPYIIHQDDTDPYRRLEEEEPSYLEAEQHLVEDVFLDDASGDEGPPEDARSKASVMTITMTWETPIHVSIDDAPHFLQAMAPVLSQSLQSNVHLDVSDMSRLQNWSVWMNDSCINACMQLLQLVFLGPGVRADAGDATLWCNAASTRFWEKDVWTIPIHYEDHWMLATIDIPRSRVAYFNSFAREHPWEGHIQDIMQLTACLLNIAADKGHRIVHTQRAWAVYPTMICTPVLSPSAGLDAARLNAAGVQIFPGDVQARWRAKHAKLEAFMFSTILQLDQWTLIRAGIGCSTRYRSPRSIIASTKERSTYGHLMPVQIPVMNLGHTKSRPKNHQWSVVIRSSCGPGQGSLGSRAGHIHLLQEAAATQKDLGQALISKGDRSPRSTTRAPRSSTDRSGNPQADQIEPEGPPETIVLNGMQLCRRTAWHLGRLPCGHSGHTHRQGHLERQARWHETDGQMPTAACRRLMTI*
>EED83471
MPPGARSLHEDADEEATPRERRSCKARDMNTAPTTPTHLCTVIKPTGKSTSISHRAQARHMQLMPPPNPERKGDVMAATPETTGLHSMELQNTMPQVQEEHAPAATIRGEQEDPSSTPTSFKPPAHPGGSIAHAEGSQPMHYAPAPRTLHEDMLLYPYYAAPFAPMHYGAAGLNPHNLNMYYHLSLYQNLAAPLCSQAPSSVSRADLAGPSAEPLTPPSKRALEPTPKAKSMQEDPSTDVPSAQQVGTMDNAVASAAGAPMDKSGNNAVHDTSHDGSLEWDEVPAMLYEEAAEAEEQALQEQADDDVFGTPGRLSDNMRQILQQHACVNTARNHWNIYGHYFKMNQAQECERLGLDAPLVDTVEICSKTYALFKEAHTDIWRDILETFEEVEVWVANHTVGQRKRDFAKAVAKMRQLRSPAG*
>EED83472
MSSRSTTPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRVEEAAKRAKAAKDRRLEDERRRKDEEDRLRQAEDEHRAQKAADEELARIAATEGLLPDSATAGVDKGKGRARVDDEVTELSDDPSVKTPRTLKRPFAMTEVDMAAAAIVKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIIRVIREERAFIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDED*
>EED83473
MSSQESLDKAGYIDDEKRTHVHVAAVSDEVNTGARFVAGTFEKVDPKWALRFRKKINWHIMPLICHEILYWIQYLDKTTLESSKSSLAPPRAVLMTGTAQIIIGFISFGVQLWQWLVIITGLFTLITVVAFWFTYPNSTTTTWFLVPEERVTAVQCIKAYNSIPQQCMLTDKRVHTPCVDMHAHDVALSRWDQRDVVAPLAPLFLSSIFYSLKLSNQAGVENKRFKKEQYILDFALRSISSNPWCRIRFYEALLDPKTWHFALFSALDNVPNSLTDQQQIIVAPVGFTSLQTTLLAYVGVIYFISTLLGILLVNLLPSTDKMGLLIGQWLTTISVTAFVVQLSWITNVTAGHTKKVTVNAIMLIAYCVGNAAGSSMWQAKYVPRIPKRASVGLSCG*
>EED83474
MTSYYQLQNPSSYLSNLVLSRSVSTRISRPDHPQTYVPWNAEQFKKTIQPAFHLEDDGTESALGFQCGLFSRWAYALLHVAYYVFHYRKDKWFIQTLFIWKLVVTNHASPLTLFPLARNAIDIHAGAVFTEVKYYVTSYCACELLSIIEHAGLDLQLLYGHRLALCVTSSAIATSSWSDCLLRILSPVIANPLYRIPLIGISSCGSVDRTISSSLTDVIITVTLVITLRGKRTGFVRTETFITKLIVFVSNRGVLTTILQIAQFITVASSVATHAELSIRLNARASVRTAVFGSTSNALPVQDIPLVTIDETSKNTASYSYVTQPAENQ*
>EED83475
MTGPNLYLDSSVGCFLTGLMLSAMLYITSSITAKTSGFSKRWLLDTIVVVVDVQTVWELLVKNHASPLTLFPLASVLRESLIITDSVDASAFCKSRSSSLVCQFTHGHPAENQ*
>EED83476
MAQQGLDQGFDGSWGDAGIQQPPQPAPPEAGVNPADAADRILFQAVAALGRHQDAFANNQQLQSAALESLARSIDELRQRVSATPAPSTSAGPRNIKVRDPRTFNGKSSEVVPFLREKQKTTLFSMYLKDGSPIQWFNTIEAKRSELLYDWEELQRIFTTRFQDSDLVSTSLRKLEALKQTGSAATYANLFEEYLTYVDVSDYMQITYFDRNLKPRLKEILVNEKRPATLDEWIPTVITADNRLHELERETRELKSKPSGHRHSELAQATPRVASTPAPAASSSTVVPMEIDAVRRGPVMAEEKERRRRLGLCFYCGQGKHTIQDCPNMSDKAKKARAKAVPSGKA*
>EED83477
MSSNGRTPRPCRLPAAAPPGSPSTSQNPRSSTPASPSRGGPANLSRGGLTSPFRGGPASPSRGGPASPSRGGPASPSRGGHVSGVPPRVCNTFWASGSCARAFECTFKHERKPATPAVEAPVSEEDEVDPDFFSMEGLAMNTGSVREERHSLTPSEAHNYIKSFLGDNHRFENASRVQGFVRILASVNDRNKSWAFLDMIVNGNAILRVGDILRFEPVSYMIGSGGNTLSFQKGYFPIFEYFASSLVLKTTLHKNINHLYTVLENNYDTVHAVIEQCVEGMIQAKSWQDPTPSLPSMLQNSLSGVVVFKTLTTVLHQFFNRFKDAIRAHPTIVTLINYLANWFDTWSADVSATPARFFDPIVSSPPNVRRLTLAQIKEDVDRLQHIVQRESDATERLRRPAAPVRMSAAQRREVLLAQLAQTYDPPGEQRHDGPRHDNDKLDISAIRIAPTNNELLCAVAPYLPVFLPDAPHHLTAGSMERHLDVQFRLLREDLIATMRSSIAAVHSDLAVMWQSRAALRQPTKLEELLTSKGGAYRTSGFDSVFFQLYTGVEFAPVRAERRDLTVGLMLDTPKHQAARDKDARKRYDYWEHSKRLQSGTLVALVVVSGTTLRIYLGVTSSFNKDIAESSRAGPDAIQIRVSFFDAEVEFMALRRERISVDALRFAFLVDNSVMFEAARPFLERLQSIEPTEIPFSRYIAHGGSLEGVELRPPRFATAPNFRYKLECLAKPGHAGRIQPLNLSTPGGVDAARRQLIASSILDPSQIDAVVNTLNREVSLIQGPPGTGKSFTAKEILRVLFASGIKPIDHLLTSILDAKITNKMVRLGSRSSDDRIAEFTLDKLEKIVGGSDMMNRPIKRQYAIMKKLEEDMMTVMASIQLPELGWDDVRKYLSIHHTDLAESFETPPFWIVELREKIAKEEEADGEWETAGKKKKSDKKLARTIYGYWRDGQDLQFISPPSQPPVKGNQKAQPRAALPLVDPTVLEFFSSLGFNSLPPVPTTSRSLKQLLDSDSVWSMSVGERRRLSEDLEQNIRRLAYMSNLQEYERLRTEYKEACREYNDARDEVSLDDDFSAKQTLSVVLPQNISPRVLMVEEAGQVLEAHVITSLVQSVNQLICIGDPQQLRPNLASFALSMDSERGNQLFKFDRSLMERLADNGLPMSQINVQRRMRPTISHFIRQILYPRLEDNDVVLSYPPVHGMQKDVYFYTHTNKENGSDDSVSKYNSFEVEMIRDLVLYFLKQGVYNGAGQLQKVRAALKDLKISVSLDERDQEQLVRQGIDEEPEFEEVFVTKHVRLGTVDIFQGQEAKIVIVSLVRNSGTFETNSASIGFLKSSNRVNVALSRAKHGLYILGNAANLRKNETWSTILNEMDAREQIGHGFPISCPRHPEQTQVITRPGEIPRLSPAGGCLLPCGYRLSCGHNLPDATKLAIELHAHVAILAHGDAGKTVVTASFLCIKSHCHADTSRTEFLGQSTEISSTLRTYSRNGMLPRSQFHSMQGAVQRRSDMLLQDLQVCMLRLPDGDRWTHVGNNANADRSPLQLLVQGGLPTAMRASQVPEALLRALCAVHGAVSVDMRPPFVSCCLRLDLCASTLRRAVYEHAPVWTSLSVGRKQDIVDFIMQRQLKEVDLESSDISERLIRLGCGHMFTVETLDGHCKMSEYYEVDDMGQFVATKAPPISYQTPPTCPTCRGPIDALRYGRVTKRATLDILEQNVASTMSRALEEVSPTIEELSSNLETMLAAAKQLNSDLETVSVPSATRSAEGRTIDPLSAKHFAVDALQTLHGLQKDEANAWQAIVKDLVTVYRKTVKVATTRGAHVKAYEAALATLYRLELDAIASDPGRDTDSPEPLAMTAVNAKIGQPPHKADTRFQIEAYTLSLEVRFMLAQVGASRLEGLPITSDNENVHKHRLLWSSFVEFILASCLADARKALSMAQRSSASRQAAKCALYVLRSDFEQFRVRIMEERADCLRRAIMKEGDRNMLAAKVKEKSTYMLNYISKAEEEYIRSRPSQSMADLREERRWFKEHCASKVHRWRRDCEELEKYMLRDTFYQPMSLQEKEDIVKAFGFSHRGHFYNCENGHTFVITEYRNWGLTIIYCTSVVAQRRPPDALSVAPRLAVEGMCYMDPTRERQSSNRFRADMARSPEYGPGREMLDR*
>EED83478
MPQNSVVKPHVVIIGAGLGGIACAISIKKQLGFTNFTMYEKGAGYGGTWRENTYPGSASDTPTHWYSLSTELNPHWTAVMAPQPELLAYWQGLAQKHKLHANTVFHAHVVCATWNDALREWELVVEDVTTGICTATTARAVVSATGILNEPFVPEIVGASIPPIHPVGHAKASRLRGRYQPLQCRRPILDPGYLACLHQPNIELRWGEIKEIQEDGILMEGDVDVTPFDVVILGTGFITGKYFVSVTGSNGQTLEEYHKGQGGPSAYAGGVCVPGFPNYFFIGGPNTVTGNGSAVYTHECEVWSAAPSLYTFADQTQVNYIVQLLRPLLCPPSCAPRAASLTVLPTAHAAYNERLQRAMAGPEYGGCPPSWFRVGGKNVSLWPWTHAWFWWVMRRVRWQDYELQAE*
>EED83479
MTLHPEVLRKVQEEIEAVVGAERLPELYDRKQLPYLDCVLLEVYRWNPPIPLGAPHALTQDDIYKGYFLLKGSSVISNIWAISRDPSIYPDPDAFRPERFEEMDAEKVKACDPKRYIFGFGRCICPGRHLVDMSVWLAAAIIL
>EED83480
MLSVDLMDSQKVQGISTWSNAYAILWESPSAAFQGSAQNILIVGTNIWKPMILLNSLCAVQDLMEKKGTIYSNRPGDRRRAPLGPDTPLFGTRIPPGTSTQSPNSSISPSTPFDIFDGARRLLEARHGRPDASRVDPGTSSAFGEQ*
>EED83481
MATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMRLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAKVNGVNRTENDYHTLHANFVKGLPKELYVSLATRAARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAWSFSTSSLDLQDDALSISPRSSSTSQASI*
>EED83482
MTDLRPAHTGTIVLGSKPVSFHHEAMLLLIDHARHQISWTPHYSPSNLAISAHLLGIDKVVSESLTDAGDVQSSDFLGPFPMEISEQIIDTLWDDRESLLACALTCRSWLLRSRYHLFHIIHIRKAQHLRVFSRMLLSAPHIVDLARELHVYHALDPAYTCTLSLFATMLAGRLSHIQHLRLERDYSVGPRPLPLPGRFFSALSGFGSVTKLSLYMLQFSTFADLRKLICALPNISDLRCIYLTWTTMGPVQPQAQREDRTHRPRFTSLHLDMRVEMATLADWLLSDTILTGLDKLVLSFVDIENVERLDRMTHAAGRSLRHFSVDFRSDQGKKADAARWLIAGQFLAPCTVLESLRVRFLQGVLDPGSWAEALFSAVQSTSIRRVTLGVSMWRMPVIQQEFARMANVISRPQFAHLRELEFEWTGFNRMPEDWRRKYLLMLGMNETNLLLHGQWRHSGPNATASRDT*
>EED83483
MKIPPSSCGIPPPEVASRS*
>EED83484
MPFFGNLHQLPFKDQHETFREWAHTYGEVVYAQIFRKPMILLNSLRAVQDLMEKKGAIYSDRAGLTLWEDVVLCSIKSVVLLSKNTRAGPPLEFRAHKSSSPRTERALAGFHRPLKSSVDKLIIKLLNSLNAFFAHVQRYASDLTLDLAYGMDELTADEAYNRTAEDAVHAITHSDSMVASLVDFFPILKYVPSWMPGAAYNRDAVKARHVIRQMLDVLFDLLLKNITSGVYKPSFSSAWIEAVSDNGQLPPRVAYNIKGAAATLYVGRCTSCFAVVLLTLILTMTLHPEVLRKVQEEIGAVVGAERLPELYDRKQLPYLDCVLLEVYRWNPPIPLGAPHALTQDDIYKGYFLPKGSSVISNIWAISRDPSIYPDPDAFRPERFEEMDAEKVKACDPKRYIFGFGRRICPGRHLADMSVWLAAATILATLDIRKACDFNGNEIEPTLSFITGVTRSPREFVCSIRSRNQTVIELIAQ
>EED83485
MPGILASSGTPEAAVVLLSLLTCYLCTRWSHRKYKAYPPGPTPMPFLGNLHQLPVKDQHETFREWAHTYGEVVYAQIFRKPMILLNSLRAVQDLMEKKGAIYSDRAGLTLWEDVYDFKPVTAFMNADEDWREHRKWLQAALETERALTGLHTTLKSSVDKLIIKLLDSPNAFFAHVQRYTSDLTLDLAYGMDELTADEAYSRTAEDAVHAIAHSDSIVASLVDSFPICTYIRHTIGAGLTIFRARSEVYPCMDARGSIQPRCGKGKTRDTSDAGCTVLKTARAKSARYYKHGQIRWHALATISSSNILFDLLLKNITSGVYKPSFASALIEAKSDNGQLLPRVAYNIKGAAATLYVAGAETVGITCMSLRNVDSSDYGAAQSAVVLLTFVLAMTLYPEILQKVQQEIDAVVGIERLPEFRDRKKLRYLECVLLEVYRWGPPTHLGVPHSLTQDDNYKGYFLPKGSTVISNIWAISRDPSIYPDPDAFRPERFEEMDAEKVKASHPKMREYVPVRSICPGRHLAEMSFWLAAATILATLDIHKARDGKNIEPTVSFITGMTRCPQEFVCSIRPRNQTVVELIEQPITVRRNGLVPFKAGGYRRSSVDKLIAGLATSPDSESHQEINRLCSTEDKFTSLAEAAMEAIYSQEASLHLPSTFSLSLDTFQAVFLGLVSNALPPVSDVRPAK*
>EED83486
MSFVEDNEKRALASPQVSVESAETATVDRRRVLRKLDWHLLPFVSLLYLLSFLDRTNIGNAKVAGLTTDLKLTGLQYNLCSAIFFLLMEPYSVLDSLLLFRNTIVRNTFSHLSAAFNGDVRRNMAMKFLKPSRWIPLIMFCWGIVMVCMAFVKDFSGLLAARIFLGLAESGLFPGVTFYLCLWYPRGAQAQRMSLFLSAATVAGAFGGILAFGIEKMDGVGGLHGWSWIAWRLTDNQFILEGLVTIIVSAVSYFFMYDYPETAGFLTSVEKQWLVETLREDTANSSKGFKWKFLLQALRDPHSYLMVAAWIYSDHWRTRGPFVLAGTLTALVGYVVLYATKASVAGYIGTVIASCGLFPAVACVLAWTGGNFSGDVKRGVVIAMVIGIGNLGGIASSFIYLPQDSPRYHPGHATDIACLCVSSILIVVAMLEFSRLNAKRNEQCSKEGIHADRAEEFHELGDQSPLFRPGRIMSKRGHVTFKACVYTARGDLSAPSIIVGNEASTLIFEDATASERNTRFLTTRLLTWPSDPFITVHDSKVCKKSQGTLSYSTTATKTALHEDTGSAVELQLKATFGSRWVMVSMSPGFGVTSMQTYLWWRHYPRDPLQIRLVVWSLLIHMIYYYLVINYNSPEALTKSVWSFDVSALETGHDHFDIPLILLSGVRLAFGCVVTARMFQIQVLDKLPDAMAPYVGTGMGSGSIADCIITASLVYYLRDHKTGFNSRLNFRKAHRGRGVTDEESSIPLSSMRAGEGDRSFSKRDSSAVAPVVHVMTTTITDAPDADGDKKPTPLDYHFTHAIVDDVSDMGTYKRDQDRV*
>EED83487
MDFVRNCVQMDVAWRRWPADTRRRAVEQLKGYMGQLRALPPPAEGIVASVTGGPLLDNGRMGETFGPFSSHDDFHRFLRAEFSLEDFSMFQVKMDDIMVVHRRRYLTKFTHGDFAPRNILVTEDGTVVAIVDWETAGWYPEYWEFTKAR
>EED83488
MVPLPSSQMMYEVLIGEVLSTSLSTLAITLFISHSTWGVIDWRPILILFTADYIGFGFDHYLDNRPILLRARAAGEAAIVTVFRRARFALTSAAILLAVALILSPLATWLITATLLVPALLWDTPLFLWRQPAVQLSEKAEIEIEEPRSGFAIKRIPGMKPVIVGVIRGCGYYAVIRSVLDALYPNGPVLRSWDPTQLVIWSTINWTCISVRVSARCYAFSPPAHVDPELPQTLADVRDFDDDRVSGVPTIPVLLDSVYKTRVLLTTVHALTMFAFFRNPYIVLNTLYTIALVWIMDDKSPRFIYRLNTHSQTPVAVTYGLVHAYRWLNGSNII*
>EED83489
MSTCDLCSFSGPEANRYLALASFMFLVAILYYDYFLTLSMEIDNFWKAQHKVSWTSTLFVLNRYFAVAGQIPIALEYFADMSPQLFHQAFSVATQVTVSVGVVSIAADLITEQFAEMSGQWAVIASRTSGGPRTDYVPTSTCNALLTIRQADYLIAPWSAMLIFDTMIFLLTLCKTIRLLHLAPRTGTLLQIFLRDGIIYFGALVIVNVANIVIFLDYTRGMVSTLTNVMSSVLISRLMLNLRDPGLNELPQHATHSQADPLETSKPHSPLIFARQTRAEGGQANGFFNDTPQLVHLHSMHPRTAY*
>EED83490
MDRWVVVVSGADMNEELCKVLDTHASFQAAADDFIQMKYTVAPEIGDHPIEAFVISGPLTCRLSLIFPDVVDEIRTAFPEIMPSPPLPGGRNGDYLKTIVEFAFSVAKSSTILSLVPGVFKPDHRYFVVKLMIPLIQERLAKLQGADNDQFDKSYDYLTWLIEEAQKSKSNPNLVLEAILV*
>EED83491
MPSRRLPVRSGHMLLFISAFGMTSLYRWTWSYHFWSPPRGDLRQEFAAFGFPKTSPVVTRSQAREAASRSAGENLDSSSRTHSTPSPTIPGNFDRDEEDKIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDTSTPDLFERSSSSPEPEDPIPSTSNLVLPTPTPFRAHAQPPIASFSRLSVIPTSDLAPPPPLAPLNAASNSNPAPPAPTIPSTTTASSSSPAPSYTMNMSQNANTPLMPPRGHSTALSFDPSEVRSLQRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQAIASTSNASTMVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEIDIQDGKCKRNTEGKIVLPNGSFCPCTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAETSGSGTSKGVAAPSSTSTSTAPPPTIPAAAPAPSSSPPTQSTTTSSPSAPPVHPFTNAHDATYAPPNIRNFTTPSKPSNDKGKEPAYKTIVPVIQPKLTEEIFQRSMKSQFITLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVVSAHIVEIGADEVMAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLSLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTILSGVANRIF*
>EED83492
MALLLAILPFPPELLVTILTLLDIGDLCTCRRVCHYFYDLIEGTAALQYKLELLWAGMEDGEFGSLSASDKLQRLRRLQKAWRSLKLIPGPSVEDLGGEGFAVSTDTLVQEDYPERFEFVKLPSRMLGIDECERQVVNIRDWTIGEPHMILLDDSQDLMILGEYDDEPQSDDATGIHLYDLRSYGNWHPHTQVPAFWKMQPEPASRETVQLAVQGAVLGRLSNEHGGAGTFLELGNWRTGQTCAVVPFLPRHEVTSFTFIDQEHVLVATANDLRIYVFDHHRPEMKGAPLMLPSKDYVCSLQLPPLECGMTLVEGELQGNTPAGPSLPRRKPFYTSSRNHIITLKMRVQSNSLDRALVLIIPVPTLRACIARARRSGERRLFWGEWGLSGTRLLSYAWSNLTGIAIRGWKVMITITDHSKPTDRHTYVTLYEFDPAVFERERQVSTTRIWAFSDTEINDMIRFRKPILTSLPFRFTCVKLPLSADVDGCWMLEDAILLRVGRLMDGAVALPYTIQFITRPHRLRQQLIRDIPSAAGEFDCDVQRFRPQTPIPRTSCPEQILWYPARATRTVGLKTMVELDDTYGAILIGSFISAIFFGITNLQVFIYFQQYAEDSSWNKLTVCCLWLLDATHLALCMHMVYWYLVTNFFNPQALPNTVWSFKAVVVVSVHTLYAVRLWKLVDIRSHADTLHADPTSCANNVAVKNADGTRSLFRNVLPIAVGTIVALVGYGSAAAYRLHETNWNGKSLLVDSNNRSMPNSFIVLSVEFMLTKLYVNSFLAMFNARNKLRDQTSMVETSEIILTPLDEIPVGSNPEQISWSAINCSSTSHANVRYNVRTSPLVNADVQRTAFASASAEGRAVDELDNGISSSDVVFAASLAAEVSHRLCEQDVRLALHTYTELPRAKRGLARSRDYRNTLNRHSALPR*
>EED83493
MAITDLPPELLVAVFSLLMAADLVNVKQLQYIIELELLGMSDNPPCGLPTSTKLHRLRELARSWRDMRFTPGISLPAAASRVYAFSAGVFAQVNSKGSIDVIQLPSLLMNREERRWTVKPTDVLNDIEAIYLDPSQDLLVVVAPGLSITSAPEWRLHLLSLTGPGTPHPLTSEPCRTFGDIYGFDSNFSMHIEGDILGFFLSPGFGSVRCICSWKSPSVVLDLPQCVIRVSGREPLHRAG*
>EED83494
MPTLIPLDDLLGVEFIGVILSTVSTSIIRVTVAATACSRDYTLIDSLHVALLVTAYYWYTVTNFGDYTVLAADTCFFAMRVYKLHPQRDTNSATAAPPRPKTHSLRTSSPAFTISGLSAGIACDSLIAASIIYYLQIRRTIFPRTNRAINLLITYALNTSITLALALNSRGNLREALDGRTVELVGLQSTTAVTSANSESTVRTPGGGAWGKQSSSAPAYYEMDIDGGQKDSTNYAKLKKASCRKDLNPSTDTIIMKTMQWFVNPRLANAAMSNSFPTILCFTSGCPPSMARTSSLEFYKGTRKCSVGQVYVTSLPGPAKTRRDGVRKIEGNQE*
>EED83495
MLRGGLQVVKLRRVLSRISSASPTENPSPRIPTGLVLDSTPASDPLLSSINTWAPDHPVLHALAVPPIVALYAVFIATNALCGHPPIFRELRETLNTPDLLPTVTPRTDAAATPRLYIYSDGDRVTPAHEVEEHIREARARGFDVDAERFGATPHVAHMRADPERYWRAVVRLWTRVVSASPCSPALASL*
>EED83496
MPRFTREDPGPQMRSLH*
>EED83497
MAACQGLIHTFDSKDLIDVYITDSPSAFIIAWPNIRSALVALSRHDPHPNIPALSPPTPAYPDRLPVQVKHEETLISLQTLRQSQSLRRVKESQSPSPLFSVGPHHQLCSPPRQQSLIASIAVATERPLAHSFPPKSARWTATTTASAPRLFSVPPATNNVYPSPSR*
>EED83498
MRHDPIYTDTCWCRLEHRAGQANYGEPARRVASSKILTTQILAYGHVTLARFANLIGWRSEKSLSFQRRLSGNFERTYCLDSPKLKQTMISRLAPLLAPRAENMNIFRRINLILAAFGSAHCRGEHGTNTMDRFCLRTQASVDFGTALCDLGFITEINMTALRGTSSCGPLSFSAEEFTRTTRGSPKTDGKRWQYKTKCCALGRTCGARQLCRDSQPSLAPQAHFIKPESLPKTHRKLEMPPIKNGRVLFNETPTGHPVPGRTTVYDGSQTIDPDMVPLNGGFLVKTLVVSIEPLILRKMNLLDNRECLFIQLVPLAFLPTIALSMGALSYGQMSTEKMRESEVLTPQKRHIPPSAASSGNRIAASHLAYCLQHACLWITDAHPRSISNFGVGVVLRSEDSAIKPGDHVYSGDILFQEYFVATDASLFQVLENKENLPWSVYVGSSKRQISPMGSWPLAGQTAHHGWREFAHAPKGKVAFVSSGAGPVGATVIQLAKQDGLKTLEAAINAAAVGARFIECGMVSGYNKETPYPVKNLVLIVVKELQILGFLSSSLQTKHLANFYREIPPAVARGEIKYFEDRRLGLENVGETILDMLTGQIKGKSVIVVSQK*
>EED83499
MSSTTAALPPYNKIHLIGAWVETVLWAMKSVHPWSLSSLSYGLYSGNAVEHISVYRLLGAMSILLFTLVTVHIGASLQQLLEAFIYIPADAPADYTTVYWLDFQNPMLILKSILWITTAWVQELTLIWRLYIVWDHSWKICVLPLLLDLSHIGAAYGEVSYTSRPNIDFFSGDIRRLSLFSWLAGLIINISVTTLIASRLWYMGMRVRAAHLSSGQSRVENQYLPAIFTIIESSALFSAVTIFTLTIYLSGSPFNLAAIDIATQLAALTPLLLIVRVGLGLTHGLPAAFKSLKASETMGELSTFQANAQTTTTSMRFTSHGERTTTAGATSAVGETDVELESMKFNPSMISVKEPAYIWIIIMQTKWSI*
>EED83500
MAALPLYNKIHLVGVWVETVLWSWSLSSLPYGLYSGNAVEHINVYRMLGATSILLFTLVTVHIGASLQQLLEAFIYIPAGAPADYTTLYWLNFRNPMLILKSILYTTTVWVQDIVLIWRLYIVWDHNWKICVLPLLLDLAHIGTAYADVSYTSKPNVNFFSGAIRSVTLFGWAADLVINISVTTLIASRLWYMGMRVRSVQSRTGQSRIENQYLPAIFTIIESGALFAAVTIFMLSIYVSGNPFNLAAVDISTQLAALTPLLLIVRVGLGLTHGLPAAFKSLKASETMGELSTFQANAQTTTTSMRFTSHGGTTTTAGATSALGETDVELESMKFNPSVISVKEPVRILSESKGTLA*
>EED83501
MYYVRDYPEDLIWLKSLVAVLLCIELIHLTYGVSSTGAIEYLLALLHAHYMDPWAVCHMMDWNVLTTTVVLARCIGPCIFWIRCRVTMRSAPVLLKLNRLIHRIRSPAIPALYIKLRVGASIQPFTASVTDVCITVMLCWVLHTENNGLAHTNSLLRTLMIYAINRGILTTALQIGQAVSYVASSPDAFYWSLFHFPGSKAMELQLIHDHIRRYSHGQGHTITRYKRGDGKPIVQILCRTGELLRLPWNPTVRTTPERPPADNVLDGQGSMVDKVTNQWGYLRRAMPT*
>EED83502
MKGFSKAVYPSYLPRRWEANTIGISKPHRRKSSVVAKSHGLEAKCLAAQAQ*
>EED83503
MGSVRTSCLVSYHYISFFTNTTTQIQWSSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADCCFVTSTKRAPIYPQDGTWCRATGPNLQVVAHQELVSYYQRHPPTHPEDVFTILRIDVEPTQTAESIQSPINEQPLELPEVQYIPIEIPDIELPPAPPAPTNTPVEVPMAMFTQEDIDQRIAVALAAYQSQQSTANRPLRLEIPAPEPFSGKAEDLRHFIQCILSYFVATNNTRLSDEAKITFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWANFITALEEVFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYITRFEQLASKAQLKDAEVNGTNRVKNDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNADVAKQGALIVTDTRDYGEPMDIDAAAIASTFASTSGGRKWELGAVLNEANRKLHRDRNLATAPRIAARKQPHDKGVGG*
>EED83504
MMLQTGEGFAKLRRNKREAHKVAEELIETKVGKDVSGTRKRNVMSIFDLCRGEEHLRAGDGKPTAADVDAMPFFQTFLNEVVRVHVAVPHMYRCPVRDEVLLLSMPTTTRMGKVIREVPVCKTLRLILSIPGYNICVWEEDAHEFNPEQFRRPTEKNRPNVGLWANTGVRSRGCRTLHSILHLAIAMGLLGKVDSLFGDAALSFFLLWRENKRRDAEPPDTKYDDVYIEVTLPDGSRVERKVQKPYVHNEYNNDWPKAARLRIVLNALARINDKMSTKDKYIQGSWHIGIATTGMQIVDMDKPQYPPHGPVESTDCASLLLHVLAEATKRPKLNVAPLPLLWTAINRRLVDGAQEMLIEPLEPFELAMAQRSFVSLPVTTAQNGDEARWVCDDVGLIQVDGELNSLVAVHFRTACTRLEMTDKGRCRAKATVTLAPRTRYQGHVEHLDIRTSISNCTADRRQAPLGPDTPLFGSRIPPGTLTQSPNTSISPSTLFDTFDGARRLLEARHGRPDASRVDPGTSSAFGEQ*
>EED83505
MQTSGDRLRRLSSWR*
>EED83506
MVILGHLMLDLTRIPSMRRLFTLFKDVVRNHRGVAQLVNDVIVWFETWSQDVSADPPCFQDILTDLPPKARASSIARLREEVTRLHDIVQRESDAIERKTRPQAVRARVLNQPTRGLNPQLVLAYDPPGNLRSEGPRHDNDAADIRDIRIPPTNDELLCAVHPYLPPFRPDAPHHLRADSMARHVDILFRLLREELISTMRSSIRELQSDLTTMWQMNNRDREQTALERILASRGGVYRSTGPDSAFFQVYTGVSFADVRTERQTVTVGLVVDTPDHLDARSHEASTRYQYWQYSKRLQSGNLVALLIVEQSTLAIYLGVLASTNVSIAKSAQESAHTIQIRVSFFEAEVQLRALRRENLSVGDSTFAFLIDNSVMFEAARPFLHRLQTIEPTEIPFARYIAHTNSLDAIESRPPRYATTPGFEFKLSCLEKPGYGERIDDLNIFVAGATDVARQQLMESSELDSFVGKEIVRVLIASGIKPIVLVAFTNHALDHLLAEILDANITTDFVRIGSRVSDERLTPYTLDHLEDVGDQSMENSVMQQYRSVQSMQQDLEDTMRSIQLPDLNWRDISSFLDIHYPEHAESFFFPPSWIAGLFQAISKDEEENDSLSPTIYGFWRRGLDMSFVSQAISESQGQNYSPSSASLSKHTSARDVLAGYGYTSRLPYIPITARPILQLQESNSSNVWSMSHDERHRLSAEWEQCIRQSAYKSHVDEYERLVEGYQMEWQIYNDTRNDLKRLGKYWKRIPYLVFHHQSANRINVALSRAKHGLYILGNAANLRKNETWSKIIDEMDAREQIGLGFPIVCPRHPEQTQMVSKPGELSRFAPGGGCLLPCEYRLPCGHNCPSSTTIATQGVTILATKLPARVVILAHGDAGKTVVAANFLCIISHCHADTSRTEFHGSPLQLLVQGGLPTAMRASQVPEALLRALCAVHGAVSVDMRPPFVSCCLRLDLCASTLRRAVYEHAPMWTSLSVGRKQDIVDFIMQRQLKEVDLESSDISERLIRLGCGHMFTVETLDGHCKMSEYYEMDDMGQFVATKAPPISYQTPPTCPTCRGPIDALRYGRVTKRATLDILEQNVASRMSKALDEASGNLEQLSSRLEGMRNAAKKLRASKFAHSSPLSARTAAGKPGEPLDPSLLTSAAMHNTHGFFQSEADAWLDIVGDIVRVYENIVGVANIRGAHVRAYDAALATLYRLEMDAIAQDPERTSLGAPEPLAMETARERIGQPPYQADKRFQVEAYILSLEARFMLAQVAESRVQGLPLINTTSLAGQYRQQWFSFLEFILESCVADARKALSIAQESSASRQAARCAICVLRSEFELHRTRILAQRAELMRRGEYSEVTRATLADEAELDSESREYMGKRRSHNVEEMRWFEDNCARKVKRWQEECDELAKYLVGDTSYEPLSLQEKEDIVKAFNFSHRGHFYNCENGHTFVIGECGGATQSARCPECNAPIGGTNHQVHNANTRARDFEQIAGEQGAMPGAFAWTRDA*
>EED83507
MKIAIEGCCHGELDAIYTHIAQMEQRDNLKVDLLLICGDFQAIRNHGDLQYMAVPDKYKKLGGFYKYYTGERQAPILTIIIGGNHEASNYFWELYHGGWVAPNIYFLGHAGCVQVNGIRIAGHWERVPYSHGSMRSIYHIREFNVRRLSLLSSPTIFLSHDWPQGIEHHGDLRGLLRRKPYFREDIQRGALGSPPLTGLLHTLRPAWWFAAHLHCRFEARVVHEAPPAASAEGTNPDEIVIEDEDEAAGGNAPPANPDEIILDDEVDAVEAPPPPPPPPSETRFVALDKCLPKRQFLEIVDVPEPDPKTSTPVLAFDPEWLAITRAFHSYMSTSQHQPPFPDEATAREAVARELTWVRDKLLSNRENVVIQDMQSFVITAPVPDAEGKGPKQPPPHYANPQTQAFCMMLEIENKVDPAQTS*
>EED83508
MSDSVKCANVQANGGSCTYPACNCAEPPKSKSVTRLGQMDLTAQASTPRGNGKANKIPAVPCVAECDSVLFVTVAVSVDATPENVLTRTLSGTLYRLEYHRGPGPRIKLAPAKVFPSNDRDGVKGPPQECRPPMIGMGRRLNRALQICSFQADGTKAPRHGAGLCVALGISTRFNARDGETNVRPHPPQAPARRLFETTYKSASSLSGTSTSNFSRLNTATVATVDGESNNARTSVQQEGAPIPGISHMQSVTNQVTEQETLSGRRQSSQSSARLSPVVEGEDAMYSGDPLEYIDLRLECAVVQPRDVSVKQALYCQHRGNDVEVWRPVE*
>EED83509
MLTLAVRDILWAVGTALLVWAAFKIIRILLLPYTSPLRDLPGPPASSWLLGNVKELMAAEDFALHFEWLEKYGPTMKYNVWFKLPELFTIDTRAINHVLSHSQEYPKPEETRVSLVEILGNGLVVAEAENHGNTPLKYQQQIADLTAQNPAFGPAQIRELTEIFVDKAQQVNPAVLSPTSHSNDQP*
>EED83510
MTAVPIDMVEMRNCAASFVLTQLEVGATGEVGNALGSIRIRQERHKYRRRLCYLGTIYRVMVVGSGRHDLSHASWYHDDLFAYGYSEYTGHDGQNDLRLPKVLNHPTLISQSEIEYSTASLCVCPTAIVAANGHFFFAKANHNGRNRAARALRLHMRTSAHQSEVDNPRPTKKPKALWQQCLT*
>EED83511
MPARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERAPESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEERRLEDERRAQEAADEEMARIAAAEGLLDKGKGRARVDEEVAEVSDDPSIKTPRAVERPFAMMEVDMAAAALEKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRSFR*
>EED83512
MTVLPSYRRGRRSFRVSLSGAVEGEDIIGAGGDGGWRGGEGGCGGPPLVGLRGERVHERPLGRDGSEGAGGSSAVRLRTSGTVDLEASAVGEAGRAGSALALAQGLEGYLLVLDLDRRPVGEDGSWGRQGENAMRRIEMRGCFEDRVDVAPFGSLCSTGSPGQLIEVSDLDTAAARRTVWDVDVDKVLRVECVNEALTGSHVGWGEDGECTVGVRTTRPVGKESQATGESLESWGRSTDLTEAMGQEVETEVPRAAEAGLYTGEDEGRLCTLVRAQLVHAQHADAAPGAVDSDVSISGM*
>EED83513
MLPSFVYDEKSYDPHNILKGLFRGFFLVRVFRHIFTGPRTALKVTDGPISGKPWNAQILGMLSVTKYSIAYAAVQARQLLNSQEEWSVEDAHFNAEHFFYTILAIFDDAAHSTWYEETIDWWNRRVFGNSYTGSDRLTHDDDSDDEESDLSLLKAQHAARQAVQ*
>EED83514
MYRRRWIGVVAIMILNLVSGLSLVWFGPIATDVVDQFGFTLDGVNWLGNAINIVYLPFSVVVPFVYARLGVRRTCYIGGVLFVLSAWIRYAGTATGLSSGSAYALILVGQIIAGITQPIFQVLIPGYSEKWFDLRGRTTATMLMSIANPIGNALGQLISPLVGTPRESILVMGIIFSAATPFVFIISDTPPTPPTHSASQKNPSFVSFMRAIAGREPRERPTYMSLRERFDFAILTLAFGVLVGVINSFAILTAQDFEPYGYTDDIAGLFGATILLVGIVAAAVTAPLFDRVFTHHLALTCKLLCPVLGAAWLSLIWAVRANDTGGLFAIMAVIGATSLTMLPVVLELVVELTRNADASSAILWASSNLFGVIFVLAEGALRAGPDASPPNNMYRALIFQGVMVCAAIMFVFFLHGVQTRRALDERIAREAEARSPRSPQTPRSP
>EED83515
MVFLLILLLLQRVFLYHTVLESSCITYCTMHDASGITSECRIPFHVHLYQDNEYRVLLLVSTMKSRRSGRRGNQGQFKFSLNRVRNLSGSHDGGGDSSDVPLQTITIAQQSSEPHVATMPSATSMAGGLDVARPSTSRAVEESSVKDIDWQTIETKSEGSDASVGQSNESISEGSGGDAAPESISTADEAEGEDDTNSGETIEDSEDLPRDPWAKCAKAVWEYESSVVAQWKEDINNLLIFSGLSSAVLTGFIVPLYVMLAATQALISMSAHLSIVAADSGHTAIANWLLTLSADTTSSSGPSATIVAVCVLWFIALLLSIGAASLAISIIQWLHHHTTTTSKTPRQSVRVWYFRRTGLSSWGVEQAITILPLLLQLSLLMFLVGLAALLWTLSSPVAAVTSVLVVLLLLPTIATPILPSLFPHCPYKSSQACRWFEESRWNSIPKPRGGPSEYVVRMVWNLWSSAEKWKIFRDLPPLGGWIALDSFCMQTLKEPRQARLKMLVEADARVMDEGFLATVVKPCLKEAKVSEALPAFYDILAQRAHDRDHDENQPLRWYHEEQDGRSIVILGRVAADMLDRIPDNMDNALDNRIHILQILGSLLFATPAAEEEVRDKLASMWSDGKSTSDPCLRDHLGWLVAFGEVYVDDLSTVLDRLSTAHTNLSTQRYLQFSASALKRAADVLPDVRDSTHRVLKDIAAYFGSAEGSTMAQDITSGDDWYWFLQLLRGYHALLEADATLLSKDLNAALTTCITKCPNNDEYKDDIQRCMQTIQNMISIQPTDGTVPAVQPAGLDATTEPTQDISATTFS*
>EED83516
MEHRNDTHYDIIRHELNGHPSRIALLRQSSASTPALGSNVEPYKGFAPASLEGPNEWMDESILLEEIKVFDRDGQAAQDADVLSVQSQIGSDGKGGEPNEADAGGRASVTYEPLPAESKLDEEPVRKVLWDALEAKQKQEDPWAKCAKEVWEFEESLVEKWKEDINNLLLFSGLFSAVLTRFIVPFYVALAVTQTLIYMSAHLSVVAADAGHTAVANWLISLSAGSQSSPGPSPTTVVVAVLWFAALIISLGAASVAISISQWLHYHVNGASKASQRSVRMVILPFLEMDHAKAGELAVQSYPPAYSRRPIWNRCCRTEEWRLMRDLPPLSDWRELDNFCMQTLEDDDKIQMKMLAEADSCVMDESFLSTVVRPCLQQADVSEALPTFYKILDHRAHDHDEDNTPLWWAAEQDHQGVNMLGHMSIDMFNKVTSSNMNDKKREQKHILKLVHSLLGAIPRTMPTVCSRLMDMWAAMNLSD*
>EED83517
MSTGDDTMSLGRPSGGRMYLQRAYDTHPTSNSRRGRAPRDIEQGLLENAFENLSPYGKPLGAPSSEAFEMDRISRSSKITKEDTKVGGNGGYKTPPGRASSAGITLLAQDLAVSPASRTNTPDNPTMTNGLPRDPKIEHVANTLSGETFTGPQDTQVRRSSMNTEDRTDPESRDPWSLCAEKVWDYEIKMVAKWKDDIANLLVFDGLFMTILTGFIVAFYPTLRPDPQTEVLLVISAQLSIVTANIGQTTLTNEQQASLKDASASATLTSFIISTNTLWFAAMICGISAASIAIAVSQWLHHHLDRPSTMSHRNIHMWWFRRRGLTKWEVQFIIDMLPLLLQVSMALFLVGLLQFLWTLNRIVAVVSTALVVTLLAPSLLSVFVPAFAPDCPYKSRAAWWSFCTLQWLVSSLYRLKAISFFVLWKPSFWLSSRPLKALAMWLSSVPARCARLWSSITDHIVFLPYRILSTLLRCLDRLTKWTQWYLRAEHVTNWRELEDYCMRHIAGKGTRKEGLLMMIADADEIVMDDEFLATVVQPCFQENELEAALPVLYRILEHRAHEIFLAPSASWPTLKWFAVEQDSSAIISMATLCVEILAKDDYLSYSNDDMKRTMDHLLYLIRAMPSTDTARQVCERAKILVEKNCGTWFLRIDPKEWASRIRDLALGAGEFVAPEWLKVIDTESALAVVDNLKHNSHSKDARTRKAMGRICLDLFPRLKNDSEQLHILAIIEECLCAMPHTEPDLYRGLLELQLNEDVSAKVHEKRTGIILPSAFRFEVGTASAVLNARSFLQISYTTLLLSAQRSPGEFFQIKQSVQSALSALASYFTESTAASVAKNIGEIDAWQDVYNIFKVCVRLIELDPDLLREDLVDALTRCADYYPLDNRLYKSTWDCLMTIQQGYVRDDQPQVISVPPQANEWGINPQTPQDEDRILQTTSPEGYYSDEAISEAANHSGLSLIRSLISGNECKTDTDEKSRTGYSTDWALSYVLVASRHIGYSGLRLWCLPGIPRRISRAG*
>EED83518
MTQGGNSQQTPVLPLSHHWTFDETIKPSKFHHLLKLPHKLSPSNYLTWTTMIESTLETVDLFGYCTDEVKDPDPADAMAVAHWKCMNALVCMILTTNMMEDIINQIWEEARRLFAGQTTTDWMLTITNLVTMKFDDGEDLIAHIAKMKGYRRDLILMSRNIDNELFACFLRISMPASWNYVFAALPNHYMLEEIEWCMKDELTYGAFSKGKQKGKTQGSQSNPPKCMNCGKKGHTMQKCYRKGGGAEGQAPWQKSNEQDSKEK*
>EED83519
MPKENLEGPTLSIGSDALVTNVSTYFGYLFESRGAAFRRRRSANIEPSRRAYAASPADSSAILTDSRACGFPAEIPSHENQDAARGTPYEEAMCSHGSADGVEDDDPEENPEHEAVDAVNVAQERKTDGFSQTTLPHLLTVSVDRSTIVLCEWGVWAFLGFSDEEGGPLPRDLVLDRCKTAAAASPPVMKQTLMSKTVLPYAHLLQYRISAAEFNGRFEWAIHSEGRRQKPPSICAQCQTLALTGRGGRGGGKKVNRDTRPRAIGSSPVGLLMTRSRLLTWALSPICQAPLAVHGELGEEAAWSRALSSIVTCRLELIERAEQMGTFLYTFAGVGSTAGWVLGNILGLPSISSGCILSLNVTHRPTLLLVSRTPSESYWHLLSAWSVTPLWIYVQGRPTCSQPASKGHVNPAFTVYALVRGHCTPQRALMLIVAQILGAYIACLLIYAQYHTIIQEATEALMAKGVYDEIMFTSQGPGGIFGLYATPGASLGNIFVNEFVCDFILAVCVFGAIEPTNPFSPPTMAPWIIAFTYAIVIWGYAPVGLAANSARDVGGRLAALTLWGLPASGGRYAAIAALTNIPATLLAGVFYEFVLNDSNRMLTPAYLEVAAAERAHEERVQGVAPSDASLSSGDSKAPVLPQ*
>EED83520
MARKDEGNRASGESTPKPSPVPIFNRNDADIILRSANQVDFRTHRLILSMASPFFVDMTLDIARAVLEAALKYDIDKVISCIPLALHAFIPTEPLRVYALACRINAEPLARCAAKDVAIREFTFLRCVPELKDIPGGCYYRLVQYRARGVEQEAFCSPSVSAPISATEDDNGLAALSTSQTHGTARTLHPAALDTSTLDDVACTPDPLPPFDAADADVELVTSDGMRLRVYRSIISLISQTLKDLLDVSEASASVDGTGSLGDEPRKSMLVVPIVETSTIMQPLLRLCYPIGIPQQDDVELLVSLLPSAEKYKMERAIWTIQSRWADFAVVQPLRALLLAAARQWVQPAMDAIKQLLKHTIEELQSIYVADLETIPANYYRLVFQYHKRCSDAITSVGKSSHSWLSRDVRLTGCKDCRGPFGLSEEPRWLSDYVAKAVDMLIERPSSHTITEGQGFQTLIELATVCSGCRNRIDRIQPILQSFAKSIDKRLEKVTGFFRHMYESITKIGSLTLDAF*
>EED83521
MARKGKNHRASVEKPQTSFIPKAAPLPMLFNRSDADVILRAVDQVDFRTHRIILSMASPFFADMFALPQTKECASDVIDVIDLAEGSEIVQILLEICYPTQDPELPSMDIARAVLEAALKYDMYKVISFMSMALYTFIPTEPLRVYSIACRIDAERLARWAANSVTAQDITSQKYVDEFKDIPAGCYYRLVQYRMKGIKQHTYCCPSPPTSSVTEGSLTASRTSPAPAGAACAVDPSPPFDAADADIELVTSDGMRLRVYRSIISLISPVLKDLLDISESGANVGCSPEIASLTSDDQPRNPTLVLSIPENSTIMEPLLRLCYPIGIPQRDDAELLVSLLEGAEKYKMERAVWTIQSRWADFAVTQPLRAFLLSAARRWVEQAKLAARHLLRNTIEELQSIYIADLETTSAEYYHRLFQYHRRCSDAVRAVGNASDAWLSEDIRRIGCPGSSCCNSYSKYEPRWLSGYISRAAALLIERPSSYTVTEGQGFREMFRLAADECSRCMTRIDVLQAMSQALGKAVDERLEQPLAEVPYGLDVVTGSSINLLCFDVRILSATRFARRARYTGSDQDPRGFDGSNPYESPSCLYLLRLNLPLFKISTLHAQTWLSIIDFVYIDSVATRRTSSHLLWSIMAIRKKKNRASEAQPQTPSVPVPKPSPVPIFNRSDADVILRSADQVDFRAHRNILSMASPFFADLFALPQSQATTDTSTALNVIDLAENSETIQTVLEICYPAENPKLSSMDISSAVIEAALKYDIAKVVSFMTTILNSFIATEPLRVYAIACRIRAEDLAGQAAREVSLQDVSSLRYIDEFKGMSAGCYHRLIQGRVMGVSQDSYCRPVSCTPAAHGPPVAPATVSGQNSYPPPFDAAEADVEIVTSDRAHYRVQSSVISLMSPVLHDMVGTTSSTAGGHNGEPREQTKLAIMEDSSDLEPLLRLCYPAGIPEWDDIELLVSLLPVAEKYRMERAIWIIQWRWPEFAASQPLRAYLLASARRWWQPAKHAARNLFRHTIEEIQSMYVAELETTPVDLYLELFQYHKRCAEVIREADSTPHNWIPDPVRLIACSGCCFSNTDDPDWMDDYVSEIVTMLTTRPSALAITEGEGFRHLVDAATKDCVECRVRADRLLSVSRSFSESVEVKLAKVINVLRLIGGAANT*
>EED83522
MKEVIYIQAGHFANYIGTHFWNTQESYFTYEEGEESEVDHDVSFREGLSLKGDQTYCPRLLVFDRKVNFGTLSAASDLYGEDEEESAAQSILQRKIIWTAHDYPRGGGVVEHRQERMPKSRYQQRLDDEAQDADKEISEQVKPVSDTDIRYWSDFNRVFLHPRTLQRLPDLADWESAEGDWYAGKDVFERHVSGLQVINDTGSFGGFTNALLTSFRDDFPKLPCLAFPLLSSAVSSGLDPENDLAMRKVVNDALFINSLDGLATMTVPIHSPETWAAGEWLEGISLNRNSTHQTSAVLSAHIESVTLPLRLKGSAEDLASVCGTLNWGGGNRFAHLSGMLPLPPSLVPERDFDRKIHDFSVQLTTGSKVRPATANRYDYARIYVSRGFSSFDRRQLDQWTESQRPLPLSIYAPAYPIPTSFPSFFTSPPAPSPAQPAHPSRVQNRLPSTRMLSSLHTTPQTSRFFGAYASAVDKCARLRPDVLGAMGVEKDEVRELRDGLWALRDEYAGADDSELEAEAETLGEDEE*
>EED83523
MDAFFAIAAPVPSEETEQQIPADFDTGNGTTNYSCIIA
>EED83524
MDAFFAIANPVPAEETEQVPVNHDTGGGSTSSTCTIA
>EED83525
MKVTDGHFLIERPVTPRVSYHFQNFYWGLKDPVRQMEDQGTPSGSSPTRARGRGKSRGGLGKYLRARGRGRGRGRPAEWGQRLVLDGEQVELDEEQQRELEQKFARRQLGTNADRYAEPEPELDSEGEEVKEPDVDLSAFLERQRLSAQPSSALLPPDEDEDVDHSLAHVTPSTQTTAPSRKGKVQQVEWDASLEEMRREKAAAEAKWDLKTRFRAQAATQRGKPTSRGGSASRSSKQQDKAYIEAPPLPTEQKPEKPEKEDMQDFLDDLLG*
>EED83526
MFPGLPIASFLAAVLVLIPLPWHWRARNIPTLSIIFWLFVVNMTHGVNAIVWYHNVEIRLVVWCEIKLVTNLDIGANTALPAACFCLCIHLERIASGRQVKVTHSDKLRRTLVDLAICVGIPIVYMALLQGHLFDIVENFGCRPDDYVSIPEFFIMWLLPILFCLGTFVLSGLAFFHFLRRRAVFARHLANSSAGLTPARYFRLMAMSLVEMFWALTVISITLYYNYCDGLRPWISWDNVHSDFSRIGQFPTVLISTTLLHWTYFLWWTTPVSAYLFFVFFAFGADAIKEYGSCIDWLRCHVFRQKRHYVIHGAVLPSFRRTSPLQAHFISDVCRSENEDTCASPTDTKSICTETPSTSDSATDIDPPAEPIDKSAASSDPFALSQPSPYLVTVSSYTRHSQAERADTTV*
>EED83527
MPAVGLPLASFLAAVLVLLPLPWHWRARNIPTLSIIVWLFIVNMTHGVNAIVWYHNVEVQLVVWCDITTKLDIGANIALPAACFCLCMHLERIASGRQAKTTFTDKRRRMWIDLAICVCIPIIYMAMHYIVQGHLFDIVEDFGCRAEDYVSIPEFFIMWLLPILFCLGTFVLSGLAFVHFLRRRAIFARHLANSTSGLTPTRYFRLMAMSLVEMFWAVIVIAITLYFNYRDGLRPWISWANVHSDFSRIGQFPTVLIPTTELRWTYFLWWTTPVSAGLFFVFFAFGADAVKEYGSCIEWLRRVAIHWNDKNAPLAATLPSFCQSSPPQSHFIFVKTSKPESDTCPGPTDSKDSYPERPSTACSTTSTFTSPKHPAPCEASSDPFASSESSSYFVAMSPPARCPISLSQSECTTPITLA*
>EED83528
MTKGVHIPKLSTRETLAGLALSLSHRYRVKDSDNVGLIRLERAKARKVKKTTVFQVDPGSKVEAVEFPESYRWVVEVCLNRLMHHHAQLYLKAQEGDIGEMLLIEVRRWLGYHNRLINDYKIIRTLFKEAFHAFKAHNMHTCWRSPVLDGYLAQLWLSRQDNLIGKAANPNSHQQTAKALVKSIDVLHGLDWKTFKDESHYSDIYSEQSTDWDEAFVYIDEPLDFSCYEKRGSHEVEEAQASEDDSPESKEMDDRMREGPMSSLSDATPHLAPSPLILPVLETEDRQGREDTGPMSVGGSTSPSAPSPSILPVLETEDRQGSEDTRPMSVGGSTSHPAPSPLLPVQALEDRDTEHMSIDGLTSPPDPLPVHTDNAAARWAARVREICLRLKNGGSTLSSYGLAYLANTLAEAQGVC*
>EED83529
MGIELPIVSILCAVLLLCLIPAYLIAGNVAATSLVGWLLLCNLIQAVDSTVWENNTSIRTPAWCDIATKLLLGARIALPAACLGISRHLRRRTSTFDFGSRRSIPWADYALCFVLPIMYMILQLWLHGICIFIPSCFDPGLATSILALDCRTRRLIHHTVSSHRQAIFAGAAPSFSPKSNNFAFFRVMISSLLIASLTLASGVVTVYVGVESPPGLQPWRSWTSAHDHISEIQILTSSTPMQLISIEMAWWVIPASSFVLFASVVAGMLAGTRDQVLDDYRTFLWRLQLLCGNRDAPRRPSESFIDLHGDSRSRLSDTVSPTRTPSAKSAWKSPSLSSLKSAPLSITIPNTMPLSPPPSTEFENTDATFAQSTVSYLDSPAGKSAVSLVLPPPAFKRPSRPAPPLDLGPQPAPEEDAHYNRPNSPPPRPSSILSEPWPRPPSAIPISPVVPVTIHPPSPRPGHAPSRPPSRASFSTSLASSTVTMSAYASDVERALRDSPTLPQFAPFADAGMPTGELHARGLSLAVPKRTRRMRSKDVMVTRNLSLSLRTRERAREEEGTGPGIYMTVVKETV*
>EED83530
MSVPWPIHQQIATAFSFIGFVLVTIPLYWHLEAWNMGCVLYIFWSGTQCLIQFINMTIWKNNVINWVPVWCDITTRFRLAYSIGICTASLIINRRLYKIATVSTVSITHLDRRRMIMVDLAIGLTPSILVVALYWFIQGHRFDLYEGYGCIQEIPNTILAYFLYTTWPIVIGLISMVYCAMTLRAFLKRRKQFNELMSSNKNLTFNRYLRLMGLASIEMLCTVPLGIWSVVEDSRFPIYTWYGLGNLHYDFSRVDQYPSIIWVNNPLMREAIVFDIWDVITCSLVFFAFFGCAEEARRHYSLALTSFAKKVGIPITFLSRVAGSSGPMYGTPSSKPTSSALGRITIPSFVQRKRHDSLLSFSDNLSSAVSIGDETPVDETKAPYTPSEHSASSSTCFPSPASAKGPDEKYEVFTLPTPPQLAHDASALERASPDVPAPVHRDSMDMV*
>EED83531
MALLTRFHDLAIAHSTMNIEDGPTMRPQPSFICHLLRITDMAEDRMRWDLDWEKVFKILKDPMKVYRLLLQGRPMLGEMDKEAIDTYCAALREAQKTAFVCARCFRAPEFKLDKLWQLWDDDKRLQHMFAGLEFACESSPLSQDARVLCPEVSISHLLRDRGEAFVDLVRKFITSAGQTPPYALPFPFPDPWWQQLAREFPKPQPEAYIAMTLIRQEFIANFITGVYVSVEDVYDKCNSDAIMDAIKDCGRAGGSYQAFGM*
>EED83532
MPADPRARQPLHPRLRVRRPVRVCGRPVQDGGPVVRAAEALQGEPQRGVPGGRERPTADPVQERADMGVPRRHQVDDDQRARVAVSRPRIRRLGLSPGQVPQGPGEWQGLLAHWRGVRVQKX
>EED83533
MLLLQIVTQLGIAILTLITHPSTYGNPYIPLTIGAFIEAAVPHILFIADNAAHSFIMSIPSLPAPPTRHAISGPQAIIPSKDIILWTPIGLPVSAGLHVPALTIPPIPSPSSITEERTHRPTPQLEYPTKDLIVWERYTAELVDAIEPIPLVACGIIIVCCACVMAMVFHSRYSDKVKARVSDVLPTVALDTDAGAALPFSDELALNGEDASADLSDQVFINNIISGPNQFVHPNDTLRVAGETLLEVSNDNVDNGSTLSPAQITDLSNGRMALYPHTAAANPNGDDAEYTQDIRGEHAQVNTDVALIESSALVSGQTTVLHPASADTMAGGSPLTVTNPLSTLLISSRQEECEEHERQCKAMYASADEWSTEDLNLALPQPAEEQVGYQRTTDLRQQCDKQSAALQDQCANTDEWSAQRDREDAELKQDSKLLEEKSARVAEERKYLAEVIAQMSHAHVQLAEESGTDENVERVEMEVHEAVSQEDEQGSEDLRQTQPPPSKAMPLDEYNPINELPSEDHEQQPQDDLEESEEEFHRLLRETESQAGQERCAESTTSESIEPFEEVDTIKTEYDRPTEHDGENEAKIRTEGASLECAQHLVDDLDADEQGDEANDQTHQTEEQEDDDLKAWHRFCEQHYSVLDDSPSTLSVTTSSPETPSSDYHPPICEQDAHGQHEAVEGQTRRPRDEPYTLYETKPSRPAISASLSRAPMPLVFEYDDATPLIAPNRPRPLPTPALPRIRPDIWSSREQIDVIPPPTSLMSLHESPGDISEQTGRSANVQPGSPTSPQAANAPRRTRRLLGTMPRAFALAMDQGKSRRR*
>EED83534
MPYIPLLGRLTAREYTAIFVGALMVVLETLLTTIIAFLPKSVIQWFYNRSRSLFHLCVGPPIPKSGQQQLADRIRRASDFERLCEIFGYAFEEHVVLTKDGYLLGLHRLHLRRGERCTRSGAAMNKPVVYLHHGLLMNSEVWVCLTDPSRSVAFTLADRGFDVWLGNNRGNKYSRKNIHHNPNSTRFWDFSIDDYAWHDIPDSIEYILRVTREPSVSYVGFSQGTAQAFAALSIHPQLNEKVNVFIALAPAISPAGLAVPIVDGLMKASPTLLFLIFGRRAIMSSVPAWNALLYPPVFSAAITLSMRFLFGWRSRRITHLQRLAAYSHLYSYASTKAVVHWFQIMRNAKFQMYDDDVQRVIRVGVTSYAPARFPTRNITTPIVLLYGDEDSLADIDVMRRELPDHTEAYRLHGYEHLDVLWGEDVDKDVIPLVLGSLLKYCVGAERVGQEMGEGEKMYLTVNGVDSDAVDTVSETTSMYGGE*
>EED83535
MPSAGLPTKTQILVVGGGPAGSYAAAALAREGFDVVLFEMAQFPRYHIGESLIPSARHYLRFIDAEHKIAAHGFTRKPGSAIKFNQYMREGYTDFVALGADNNAWNVNRKFNETLKNVAVWGYWRCTGMYGQGTDRENAPWFEALSDESGWAWFIPLHKGLTSVGIVMDQKQLGLRSRASSSAGTSTSPPAGMRHRSLSIRTSSTLAERYLGMLPLAPGVVELIGDGELVSVSEEGMDEEGPAVKSASDYSYSADTYAGPGWRVIGDAGAFIDPFFSSGVHLAFTGALSAAASIAASLRGDCSESEAALWHSSRVAISYTRFLVVVLSAYKQIRAQSAPVLADVDEDNFDKAFACLRPVIQGGAEMGARLSEDELQRALDFCVHLFSPTTPEQHDSVRKKLQALGLTADHDDGTATRHGDPKGADAMDVRAPVISPAKLDQLARRYASPSTTAAAKADNLEVKMVLEKVNARRVIHAEHGNGLNSLEEEAVEGFVVRLQTGQLGLVKNCVTLAL*
>EED83536
MSLTFCDGLQMRTGRSVGGRSGSAGFEGGGGICSLGCVSMLRTDQLRTDQCTQPALISPCIQAGLCCPRDLSFDLLSLDHTGPHVNCEPQGLLGHRSDLIDVYIPDGPKTVIYRCEQQPCPNRTPQLIAEDYPRYKVIRRAQHLLSPRSTLASRSASQHSCPVSPTSRLPQTVAESSQVREDLPPDPAPEPEPEEGVGEEGISESESEDSVGSASPTALAPASAVPDSDQWRATTAASAPQHPPSPPMPVMSSPTTAPNKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLINTSLMTIELKVQVALSLLDGDACAWATPYFAQLALVQMGVQKVTTPFRNEAAFTAAFKACFGNLDDAAAAQVELMKLCADKSVREKCTAAEFSALFKGPADRSGYGDLELCDKYLSGIPSRVYCKIELETFTTWRAAEK*
>EED83537
MAALMLAEAPCDGGVPPRAPPRARPRAEKKLFSLGQRAQLMSRICSTLVARFSAACHVVKVLSLILRYTREGMPLRSSYPEQSAGPLNSAENSAAAAVKAASSLKGVVTPCTPIYTNSCHRIGVGEGASGSPCRGWLQEKTLSASGGMEDTAGVEAAVVALRWSNFKRSECSSSLSAELAVKAPKKVRVWREISSRLTWIGDDSLRKSGAGGDRAKMLRGGSRRKGAAGAEWVLSLSDCLVARLILRDQSGCPIWARLLLATVESRLRAVWEVDVDEILRVECVNLALTGSHDGWGKDGEEPKPRIHEDKYRPLKCSVGNVCTLSTYRNVPYGCAPPDLWGSKGQEVETEVPRAAEAGLYTGEDKGRLCALDRVQLGTATPFTDEAAFLKAFKARFGNLDDTAAAQVELTKLCADRTMHKKCTAAEFLALFKGPADCSGYGNLELRDKYLSGIPSRVYQKIELETFATWQEANTHATAVEQILDVSWARWPELNNFFSARGQGRGYQKATQGAVAQD*
>EED83538
MGQEVETEVPRAAEAGLYTWGDKGRLCALVRAQLVRAQLVRAQLVRAQLVRAQHADAAPGAVDSDASISGQSEKLRIGSAQKLVQEAQKVVSTSGGSPGKLGRSPLKDARLALRTNQATSHAASPNNVHHHLPEGRNDSEPKHSKRPPSPLKEPPARTERNLKKPRHDGERVENRFPLPRPLSPSPRRVHAPSVFKRSQSVPVFSPMPHIDLSQVPRSPTRPTVCLRIDSEDYHDPITTTAGPITPARTSVESALLSMSPLTPVPVTPGAPLQHTSSADQPAVARVPNHDVPPSNGESAIAAASSPQKYAQKAVSRLPRLTTKLALSSAVEESTKKTTAAKGKKRAPPPAVSQRITRSVSSKTMSQTSLAVHLRNVGTPSRVISNTVSSNSSSTPAATTSTSSNTLPSAQRTQTTLFSFARPTASSSAKANAPSPHKMRIAPLPPAPKTERTSSLNSSLSSLSSALQRLNMPPPSRSSTSMGFNREASVPADMGARSRDDGDILSAAGAVQETLRTPTLQKAATVGNLSRMLSGTRQSTLMLPPPAPSGTAGKPKGLAFGINATSAQASHRRMFSVGAFHGSAFGGSRVTHKASKKTSLPSVEGSPVKGGSAPSTSQAAVHEEDEAMAGPSSSDEHNTLTVIEFEAGPGGPNAPPGSEQPLRSRDDSRRASLASHLLSQLLTPHPQTPDAQPSVPAPATAPGHSHVLRTTSTSHLARTAPVPTRTTSIGARVSGRRAASMAPESPQDSTAGVPGQLDVLKECTIFVDVRTDDGDDAGGLFVDMLRGMGARILARVGQTCTHIVYKNGLMSTLTRYRLLKERRPLVVGIAWVVECAEQRMHVDETRFLINLDEENVAGVNKLSSGGDEDAADRIRAPSRPPDEPARSLRMV*
>EED83539
MSVAHAGASVLLALVLWALVKRLTATKARAIDIAGPEKDHWLKGTRVDRNYHRIFQDGLEYNLQLTEKYGGAVRIHALLGVRTLIVAAEEQLYVSDPRALHHIVVKEQDVYEETDMFIMGNKLIFGEGLISTLGEQHRKQRKMLNPVFSLANMRDLLPTIQPIADQLCDLLVSKITAGEQEIDIVPWTSRGALEYICQAALGYTFDALNPEKTSEYAEALRRFSPTALRLILIRPFVPFFDHGLVPIKPLKEFRRIVEVMHRTCKGIYADKKSAIEGTLVDGSLKLNEPQGATGFRSRGRDIMSILLRANRPSNVRDMLTESELLGQMNTIIFAGFETTAIATSRLLYLLASRPDAQARLCREVRAAKMAHVGDSARWQDVNLSYDVLMGLPYLDALVRETLRVYPPTSILSRTTRKSTVLPLEFPVRSASGQEVTSVPLPENTTVIISILAANHNKEIWGEDASDWRPERWLTSTGERIRLGDGDNLPDGYVIVERSEEEDKVPGNRDGIKYPGVYASMMTFLGGGRACIGFKFAEMEMNQVLTTLLSTLHFSLPAEKEIYWKMNGLQVPVVRPPAGDGQTPQVPLKIRLVRDDDY*
>EED83540
MLSANELGILFPLPSSPPAPHLPQHFPGVTHESKVAVTEALKNNHIQRHAFVNERQFHNSDSHASHHLLAIFALGGPAPVFDAIFDVQLTRTLPAITSPGQITSQNVYEHLGEPTYYNAYLSFFREAVLQKGGSAVMEEYIFAPSANFDDSAKTPRRMLSRMLAVLFHPMIHAGNGLEFGIPGLIAEGKHNCLAQAAVHPIEGPGLLVESDFSSVIGATDRLSSLHLDTASGEETQQSGVHVFEILARVLKDDRFSQAALGFKPYDDLIFPPPYEAQVDALRSKEILEYTDKWLVDGSDNEQVQLKIEELCWLNTVVYAAGGWGGRKNGTNGKFNADFFLMHSVTSSLFLPSFVAHLSPTSTAVLLRAYLRTSLVWWVARGRPTLPICDFYASTSATPNPYAAQLAKTDKTALPLATPAANAWLPLIQGAIMHTDEHFCKLQRALAHFASRYGHRPAGHFAGMADACAAIDGLELLDGTLFLRAAGLTAEQIVDKGEAPFFWDLRGFFA*
>EED83541
MHTLIALETRPFDESSRHVIDTNPQSGEIFAIKQSILTPLEIALGRTKQASAADYKSLTSIGSARSLSRRGKWRPCVFINNDEYKAKQDAQICLMATLDGKPLSSFPLVWKHFCIPVYPTLGTEGSSIIHTTPEWDGTPGTPQWLVAIMYTPEKLPSEAWEGKKSRSNHRLTDAELENLEDICAVRWDTWQKWCVAQPNFRAEEYRKYRINMPSMDAMASMVLDPAFDMQTYLVGNNQRRACFTMENTSIMARTESEEPPEFLDLMSPAISADARPLHAVSRGCQSSRHRVGQIHKQKRAPYDSVHSASNGNINKQRGPAPSYGTL*
>EED83542
MMKPTLIHEQIPDILTFLTHVNMIRKFAHSKAIAVLKWNEHYVRHPQPDIVTLTKDDRLLLENLAIDSDDAQQMFRQIVNDLSRLDVCRSYLYSESNTIWTSRMNLYFPGQFPLFGQTEQDAERIRKTYLFHYDLTDKEKEEVRATGMHCAEYIRDAASFQENAADYCASRGTRGSRIWSDTQQQAPAKGLVRSLVNASVISAFAIARAHSCLRHIMATSHEFSPSSLALLPNLAFSPPIGIYIALWLDPVGMAEELDIHAVLTAAQAMTPRKYLGYVHVVRDFPLPSRPWHRCHIRFVGEGMPEEQPEKGILSDMCTPIEPTTAHPAGREPLHPSRLFPYPNCYQHHFIDKLVRVPTHIIQYDNCVRLRPREMRRHMNYENGDWVIRRALVKDMEDREVWSPEIIVPHDEENPGVPPAMEVDDEENAADTAGHKESVQEDGDDSGDPPDLMQALAIVMTAGDRLNMDIDTLPLVRISLDLTEGGKFEDPRGFLKEVAAMTECEIMQSDIRLEQPFADSMDTTKITPPESTHSVQDVLVEDSSSVIDPAAQDGAPEGLVMGDAAATSHPGDIGISQNAANTPTESADHIHEPARGLMSIRRLVQTGRDIVKLPHISAKGYTKRLHALEWSHQHLSWSPWSPRLYEKINI*
>EED83543
MSRLEFVVDNLSLAGDLPDPTATRMRLIVNPICATLTEKSRSKAVSEGAVAFHLERWVSARKARVTYGVTCCRQYDNLNEEHRHRHPKTKVWPSGLCMVPDGYLTLLSRGSQVHGNHEVYRDMFVESRKKADLKTVKSIVTCYRGKLANPNWTDVEPEMFSTLCTVHADTSRVQKVKKKGPKGPYFTQTYRVVLLCGLTELKAQISWIENGREMRLPDPSDGGMSSENLRRVIHPASVRGVLRIIREG*
>EED83544
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQITENLQSPVNEQSFELPDVQYVPIEVPDTEPPPVSFAPTNAPVEPPMTTFTQADIDQRIAAALDTYRLQQSTANRPLRLDIPAPEPFSGKAEDLRRFLQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILMKLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYISLATRVARDRPNTMKAWYDEVRNADAAEQGALTITDTRDYGEPMDIDAAACERNTQVTCIFRFGW*
>EED83545
MAVAPRSSQTFTRHGVAAHANFSTSKIPDRDGRRHQSSRPVAFPIKVQCVATVREEVVMQAELDVFTFAQKCPLYEHGPNKMVTRAASQATSMAVLEFLTTLVVLAAAQLAATQGPQPGQIKNLVTFGDSYTDVYQTGDGGTAWPVYAAMYGNFSLYPYAKAGATCSNKLVDRPYGSVTEYQLPLYLTEKDNGSLELDPDVTVYTLWIGTNDNGAGGILTGDQTPGVTLVDTITCAVNWVKTLYTSGARNFVFQNVRLLSRCRSRKCANERLGLSDAASAADGHVFCRLVPEWWRTPMWHRGSFSAEMLHRVAICYVYYLTMPMCGVDDEQDTMDTHRQSEVVWYTILCSTHESGPVVRASHGRNPVLGFVDVVHIAPHFDASRVYGNALADILNTLTMTVIAIRYSPPPQSTISSLALFLASTAQAART*
>EED83546
MDSPVENKASYAADSADEKQAADALSYSSESSVPPRRKLPVPLQLLMIILTCLCTFGNHWSNGLIVALKTTVIKETHINNSQFATLVAITSLINTFLCIGFGFCIDKWGGAPTSVVFAGFHLAGSIILAGAATNNLNSYPLLIAGKVVAAIGDGSLDNAQHRIFSTYFAPGRGFAGSIAMFLTTVGAIWGVANLAQFTGQATANIITERLGSYAYAMWISAAIGGFSFLCAATVVFLDRYLRTHYDITDHTSGKQHTGATRRAAFRFSAIRRLPITFWIVVAFAVFENAGVQAFVSISTQFAQQRLKKGAVIGGWVSSFYLLLPACLTPFLGIYIDAFGQRISFLLMSGLTFLISMLLLRFSETVPTFIAAYVFYALSQCVTPAPQVEIVRNIIPDPQDYGTGFAIKKSVVQASIVIITTAAGKLQDMSPTNSLESAVSLWLAYAFISVAIASVLLLIAYISPETLPAARLSEVSPRALPAEVERLCERRGVDMPKEELEAAVSEVKAKERRLKAVDPHAEWARWACLIGGAAVIVIGWIMFGLGIEWGVHGNVIAGTVGW*
>EED83547
MEGAAVYHTGMAQFAYTNLRSRSGNGATQGPTLVTRAGPSEGMGESIPLTEIKILDRDGQPAHDADIISVQSQQEVREAMLPYQATVAMFEDNGTMQGLGNGNDGEGDEANEANGVQTEGRALVADKSLPIGAPSDHNDSREVSTDGKLDEELVRQVLQDALDAKRKQEDPWMKCAKEVWEFEESLVEKWKEDINNLLLFSGLFSTVLTGFIVPFYVTLAATQALISMSGHLSVVAADAGHTTIANWLISLSADSQFSSGPSSTIIAVAVLWFAALILSLGTASVAISISQWLHHHVNGTSKTSQRSVRVWFFRRRGLTRWGVEQAVAVLPLLLQFSLVLFLLGLDILLWTLSTAVASVATVLSILLLVPTIFTAIIPSFSPDCPFKSAQAWWFFRFWRWAMRQFEKLWFGGTGSGKIICRIWNQCCRTEKWRTRRDLPALGDWRELDNFCMQTLEDVDGTKLKMLVEANSRVMDETFLSTVVQPCLLQADVSEALLAFYEIVNHRAHDHDQDEEHSPRWYYRERDYQVVDMLGHMSIALFEKVASNEIRMDDETRKKEQKHILTLVNRLLSGMPRTTSGVYSWLVDMWARTSIPDEIRIDVALSLGRHHWWFHSVGIDTSTLQRIVTFLSNPRKELGSGIRSFQCSNAVLCLSADFPLSDSGPLCEDIQGALSIVAKYISSSDIKFVAQEITAAHEWPYVYRMVKACASIVKRDASLFTKHTIDALARCAEQWPPDDDQYANTQRAMKRIRKRFAQSQEKQ*
>EED83548
MKIMIDCRQRCMHLRSMVAITVALILLMRMSTQHVDGIPTTGMLHKLRSVDSGRARSLGIALSGRCVRHPMARSRLKVAWVNLTGKRPGIHSTAVALVLRARPQEATRRTPNGTFQAEGSLGRIRRQRGETAVALVLQACSQETMLWTPYTERSGCAPYGPSCRRVYDLLYDTIRTSPVYSDSQGLQGRGRRRCVADGMGQRRECGRRHAPEAGRMRTSI*
>EED83549
MGMHVCSVFFVTVIVNVDATPDNVLTRTLSGCYSLQTRFTLTYMRQRQHRGPEHRSGRGEDSIADWDLDHSLFIAPYRFQDEAGPRKSVSLQRSGWGEGSPARVPPSKDRDGAKTQQSPANMLLSSGRDEGPEAWRRPLRGPRDKYPLQCSRRGNQRAPTHDSDSLVEPRVVESAMLRARLHSGEILDRMRSTSIDELARGSTSAPRWRLQTENGTTLEQASNKVHFIPQAVVRRVDPASVIMRMYRRCSTGIFLSRRGACNQLQSLPLRGSACQLNGQNGRAPCILLSIVASRPTNDARVSRQSASDLEDRLQTAEAVMSNHAPIVAVEGFTQEKM*
>EED83550
MDSQRDDDAEEGQTDDLGAAAEPIGCKELVDDDLRHGTAHSHARAQVLSPAVRHLRLQAITASCNRSFGRTADKDESLRHSVALTISRGMEMSSLTHRRLHEVAVSQDIVEESRVMFDTFQDSGISSCTLQNIMHKWSQENFVPCTSTFQCLSIITSMYDVPSKKGGCELAWLVKHGYIRSDAQKARDKLVKLMQDKCTHFSDPHLLFNALIVSFIYSEYSTRTAPYHVWPDARLRAYLREHSLSEDALPTSRPGLLQEVRIRYVQTTGRAEALFGRVKDVFNGGVGAAEDRLGKVGFLSLTYVGEAILISWWRNQVLDVLTGSAEHARERTGEGMQEGGQKLKDAGAKVSKTEL*
>EED83551
MYIIVIIIDPWAKCAKEVWELEESLVEKWKEDIFLTIGAGMVVFPLLEMGPAKAGRLATEKWRIWRDLPPLSDWRELDNFCMQTLEDDSKTKLQMLVEADSRVMDETFLSTVVRPCLQQADVREALPAFYEILDHRAHEHGQGNTPIWYIREQDHQAVSMLGDMSLDMFEKVASSDMDSGDRNEDITRISKLVDSLLGAMPMTMPAVHSRLMDMWATANLSDEKRVHTALPLVRYYFYVNNVGMDTNTGQKILTLLPIASRELSTKQFLRYSRVAFNHAAGLSPSEFAQVREAIQGALAAVAGYFSPSDMESLAQEISQVDAWINVDSIFRACARLAEYDAVILTKDVVDALAGCAARCPQDVYLHGYIRSSMGRIQDSFDTLHKKW*
>EED83552
MAGRAPLGLVLSALLLIVGGSIVCGNVLSHLSGRKLPNSGMRAKGSANEKVPRSRIHEVSDARKDTQTDAQTFNSIASIMCVNPRLPSPIPALQNNAEPYMFRIPEAALAIRAGDSDGLSEFVPLKEIKVLDRDGQLPRDADVISLQGQEDVREVTLTCQASESGNITPGQANSDNGERGETNKASQAKVEGSAPVAEEQHPAHAPSERDNPGKVSEKEKLDEELVRRVLQDALEAKRKPEDPWAKCAKEVWEFEESLVERWKEDINNLLLFSGLFSTVLTGFIVPFYVTLAATQTLISMSGHLSVVAADAGHTTIANWLIMLSADSQSSSGPSSAIVAVAVLWFAALILSLGAASVAISISQWLHHHVNGASKTSRQSVRVWFFRRRGLTRWGVEQAVAVLPLLLQFALVLFLLGLDILLWTISTAVAGVATTLITLLLVPTIVTSVIPSFSPDCPYKSAQAWWFFRFWRWAMRKLENSRYNPFRRQSFFERGCEYMIRRIWNRCCQPEKWRITRDLPALSNWRELDNFCMQTLEDDSETKLQMLVEADSRVMDETFLSTVVRPCLQQANVEEALPAFYKIVDHRAHDHDQDEEHKPMWWRSEQDYQAVSMLGNMSLDMFDKVACSDMASGDRKKQIGHICELVESLLPAIPRTMPAAYSRLMDMWAVGNFSDEECVHIALPLVGYYPKLNDVDADTNTFRKVLTLFPTARRELSTKPFLRYSYFALDRAADLPPSDFAQVREEIQGALAAVAGYFSPSNMESVAQEISLARAWFDIHRVFEACARLAENYASLFTQDVVDTLAGCAARCLHGGYLPFIRSSLEQIQSSFDALHKSR*
>EED83553
MYQTRERSTVLAHLFAESSTYTGSSSKSSAVNAEEPVSPSTVRSGPSKEMSEPSPLEEIQVVDRYEQPAQEVKTAQTQRESRGATTQRRSTWSAHEHGVAAKGSNNDNDGEEGKRDEAESEGDAPVDGRSRNTGPSPNTDSSQKVGDGHKLDEEPMMKVLLDALEAKHKPEDPWAKCAKEVWEFEESLVDKWKEDINNLLLFSGLFSTVLTGFTVPFYVTIAASEALIFMSGNLSVVAADVGRTPIANWLIKLSDEVYSSPLQSPMTVVVAVLWFAALILSLGAASVAISINQWLHHHVNSASKTSQRSVQVWFFRRRGLTRWGVEQAVAMLPLLLQFSLVLFLLGLDILLWTLNTTVAVVTTALIILLLMPTTVTSVIPSFSPDSPFKYSQAWWFFRFWRWAMRKLENSRFSPFRGAAGNEYVIRSIWNRCCQVEKWRLSRTLPALGDWRELDNFCMQTLEDDSETKLQMLVEADLRVMDETFLGTVVRPCLQQAAVSEALPAFYKIVDHRAHDHAQDEEHTPIWWASEQDHQAISMSGHMSLDMLDKVASNHMDSGDREEETTHILKLVNSLLGAMPNRILTVYSRLLDVWVAPNLSDEQRESIALLVKKHKFNTNTFQKMLTLLPIARRELSTRQFLRYSTVAFNRAADLLPSDFAQVRKAIRGALATVAGYFSPPAMGSVAQEISQARAWTYVVDVFEAYARLAKNDASLFTKDVVDALAEYAV*
>EED83554
MHPGPGVNSKVNGMREDATSLDRALSIEDGVKDLESIAYSSEKAQHALSGRLQDHKNDPFKAEEALCKIDLLRAAPAKEDMEVDENKNEVPSHPTGFSETGMPKFVFDATTIEFTRSAYRRMAQPVPQEVADMIIDLLRYDPSALRNCTLVSRNWVYRCRKYFPGGGRIVFRNREEVIRVVNNDSRTWTPTEIVVTAQHPDYALMPNIWIMSNHVEGYERPALAPSSLRQLLRVTGGAVHTLRLDVVGSTDITIFLDEHHINCDWLCEAICKLPESLERFQIDFRIGVHEEDGVIAPEKGPHCNGCARRSPPLNNNFDLRAGGVHGMLLQLVSICEGLDSRLSRQTEDHNHSLNIGTIRISICRCAALDRHFWKITLGALFPKLKKKKSFADERVILWCRHCGGSLWSHQEDDSTIEDEPLDSSDEESENSRAGQHVRERLFDVQFT*
>EED83555
MPQSTYKSQEEQVGSVSDLKQRASKAKDASVSKITHTRDHMKSQPSKKLDWDKAPPPPPPPVVRPKNQFAPPPSRTSSAVSQSSIPGRPPPMRRQTRPDSVSPPIPSGPPPIPPTRSVSRIVSARVHEEPEEIEEDTIDWANLSQEDKDVFFSWLDEFFARFLNSPTQPRSAHGSTKTIQKPMQSPQPAPIAPKPQFANNGPHGSSALDLAYYFSPSTYWDSDWYATDNPWFAPPLKDRKDTRRAGYIEHSGDASGISRIVFGGVLFADLSACWYSVTFPHNTPTDPNDTRTVKRVAHYLPRPAAMDREALLEAHETYGETIAAFAEGFVDSGEYCARGECWDLANQALEYCSQFDYVPKPVQSTYRTHGHLIFEGKALGKGRQYGRWRGGDDRVRRGDIVEWRSVAVSMKMDWGVGTGKLGNPDHTAVIVNDMVPSVQVVDGQSVTPGELGILDVVEQSVSTNIKPKRSTYLLSGLEAGEMWIYRPVSMMAYVGCMVEAKVPEGVNAQTI*
>EED83556
MSSNESYVIIAANQNSQSFGSGRIDLKNIGEAEARKLMSAEHRALGYRPPPGSLAATAQAEAAMHPQATVGLPEQVLVEAAVEDAIRVEEQRTAGIDLNQVGEAEARKLMSEEHRALGYRPPSGSLAAQAQGAAAKHPDATVLTDPHDLQRAAVEDAAQIAKDKKGGVDLNNIGEAEARKLFSEEHKALGHRPPPGSLAAEAQSAATKHPDGSNGDDAATLTKAAVDDARAVETRSQAGEPPVSPLVSVGFISGD*
>EED83557
MIPGVVQHTLGLSETQLVAVTQYHSGGKLGFPVAVGRMAVSGETLQAADERDVKGKAVYVLHTWKDALWDMGASRAESVPEPRDPRSDAEDAQDANGDGTSVSPSDAQNGAQSQFAGDATVSAQETQKNTAAALTPEDTSQHLRTALLQALSTTLRSSPPSTFPMPASTFWSAYVLPARPIEAAGADVKQSTFKSVKAFLKAAAKEGLIKLKDAKGGDVVVTGVFPQHPAVEEHRPVRTVQDVDTKAQKAEARERREREEEERRRGELHITELWKPIGSTLGWFAAAGKDTSNLYTIADLKAAFDAYVAEKNLVNAQERQFVNVGEDAALAHAVARKNEEVEFMRREEVLARLREHMQSWYEIQVEGKDAVRKKGQLKPIQVAVKIRQGRKACTLVTGFEAYFLGAEDLADELRKLCASATSVSSVPGKPNEAEVMVQGKQIKAVADLLIAKGVPKKWIESADMTEKKKK*
>EED83558
MFALAGKLFITRAKELYCRLTLTRIGIIFLVLTCVHCFALGGIQVALLLGDIRAFSLLEDIVYTAQVPLDAVSVLKISHGEYTLMLCTEIPIVANGVSSCELVYSSVGVTTTSTLPRREEDPSLTRRTLQASSIVPSKNSTGGIDGVDMTYTEGGSSNTVFLSQQCAKSVIYAGEVMGAYKYEDAALIGAQFWLFIISIIAIICSSIPQMSNFEHIIVAPDTPCHFNFFPTHWKTRTALNITDLVLNITALAFTAYLGRRLIKMYTAFTLRRVGPPPEVLSLFFTVCAIGLWITWLMQGMIGKMTNLTNRHFPHGSHWYAVSGTYQIYKLMCGPIQGWFAVRREMKLAMGLFLALCFTYIAAWSVMFASQVYRFTFLQWEFFSSVTVVSFLVLISTGIFGVLCRINFGRGLAHYLHVESVLAQEDFAPEVFPNEMEAPKRLSRVSIGSSPSSTLKRDINWDPFQADGLDHSPVIVIDLNYEPQKPV*
>EED83559
MDAFTIAAPVPSEETEQIPADYDTGNGTTNYSCTIA
>EED83560
MDVVYVEAIELSATIGSDWWSRVRPQPISVSVYLHLNPSHLDLAGTTDDVADSIHYGHLCKSITALAQDPQASFASAHDLARQVAEAAIRFAGDVVTYVRIIIGSSKLIPLALTFELEMVVHNLPPSASENAFPAGNVRVRVNGLTLATIIGVNPPEREAKQRVITNITIVEKDNATTSPDYPQLISQIAKDIEASSYLTLEKFVYEIVRTACLSSQAVDTVTVHAEKPSALSFASVSGVQITRPRDAFLASTSSVTNSKPIPAT*
>EED83561
MAFNQVTATSLTPSRPAPAAPVRRANDIGNALSSSGYASSFSVGTSSPSSSSYSGIGGSPIRSQDMYSSSDVVRSGMVGVKEDGIVSWLWRPKWLVLKEQTLSIHKSESSAQSQSVVHLSDIANIERTDLKPYCLLLETKDKRMFFSLKNDEELYGWQDDIYSRSPLMGVSNPTNFVHKVHVGFDPVSGAFTGLPDAWSKLLTKSAITREDYAKDPQAVLDVLEFYTDHQKREMEELASYGTGLGGAGMMSSLDSRPQVKRQESAPSGLNGADNVTQMSPSAALARAAEKVNGSAVQHANTISAAQTNMRPGIPPMSSGALQASRPAPARPLLSANRAAPSAPQGGVSKPPLPDHTPSSADLRMRAKAQGPPTETQTSKPPGLNGEARDRDRDLDGRPNMAAPAKSAPATSFPTTQPASGAAGSTVGPPPVKPLQPKKPTAPTKDRERERELAAAAAALEKPKEKEKRISTMNEAQIMEKLRSVVSKDDPKTLYSKIRKVGQGASGHVYVAKTLTAGKKVAIKEMDLSNQPRKELIVNEILVMKESQHPNIVNFLESYLVRNNELWVVMEYMEGGALTDIIENNTLEEDQISSICFETCKGLGHLHSQHIIHRDIKSDNVLLDAQGHVKITDFGFCAKLTDQKSKRATMVGTPYWMAPEVVKQKEYGAKVDIWSLGIMAIEMIENEPPYLDEEPLKALYLIATNGTPTLKKPETLSRELKSFLSVCLCVDVKSRATADELLDHDFLRKACALSGLAPLLRFRNKQAS*
>EED83562
MSDPTYPLFSVCAFLGFVAALIPLPWHFQSWNAGTCMYIVWASLASLIEFVDSVVWNGNINNPAPVWCDISTKFLIGAGVGIPASSLCINRRLYKISCLRAATVTRDERRRAVYEDVAIGVGIPVLVMVLHYVVQGHRFNILQDIGCTPDIWNTPPAYPLVLMWPVLLGCISFIYASLTLRSFWHRRAQFNELLASSSALTTSRYFRLMLLCCVEMACTVPLGVFSIYMNNLADPIAPYVSWANAHYNFSFVEKIPALIWMGSRPFYISVQLGRWIYPGSCFLFFALFGFAEEARRNYELAFWAVARRFGFQHPSQKKAALRSLRIGTDLKKSAISSDDTLPPYTPSAPVRRKGRDSLSLYLTQSVLSIDLEKGALSPASTSATLACTETPTFSELSPQQLEYAELRSIYAPPTPTEIIVPSPVLPRPHTLAEREHVSIPPYHRPFTPPTIYPVASDALAQCTSIQMTIHTESTHSL*
>EED83563
MTVSLPAASFIAAVLVLTPLPSHWRARNAATLSLIAWLFVVDVIYGVNSTVWANNVEVRVKVWCDITTKIMIGVSTALPACTLCICKHLELIASGRAVRTTREDKRKQTFFELAMCFGVPMVIMGLHYVVQGHRYDIIEDIGCLPATYYSIPAIFVVWFPPLLLSTITLIYASIALLHFFRRRITFAMHLQNANSSLTTGRYLRLIAMSITEIVWGTSLTALAMYDNISPGLRPYLNWDYVHSNFSRIGQYATVQVPPAYLQQMLLFYWAIPASSYIFFVFFGFGEESMKDYGRVLTWIRPIPYQHGNLFFRVSRRGLATITATSVVEPSYLKDDSPFSTYILPSEEKALPLTPTTAGTGTDSQYTLTFSDIDLSDIYVTTPTIISSLPPLP
>EED83564
MAADPTYPLYPFVAFVGCVLALVPLPWHLQSWNSGTCYYMIWTSLACLNQFVNSVVWSSNALNIAPVWCDISTRITIGASVGIPAASLCINRRLYDIARMQAVAMTRAEKQRAILVDTLICVVFPIVCMALAYIVQGHRFNIFEVIGCYPAIYNTVPTYFLVYMWPVVVGLISAVYCVLSLRLLIARHAQFREFISSGSGLTSSRYFRLMALATTDMLFTIPFGIYELYSNAASGEIQPWLGWANTHFGFSRVDQYPALVWMYQPSIAVPLQLSRWIIPACAFIFFTFFGFAEEARKRYAALYASICNRLYALRIMRMGDKHERSARISSASGDSLPPYSPQSASTSGFDSLASITAVSEGSSSASTETFDMKDAYGHEKC*
>EED83565
MDAFLTLAAPVPSDETDTSRVPSDYEWQTNTTNFSCIIA
>EED83566
MPPQTDIPVDYEFQRDYGSTFQCCIIA
>EED83567
MSSTAEIQSAESQRVTNYIVVATTAFYIYDCLITFDMELQVVWARKATGASVLFIMNRYVCLVVQALEMICQFADISCTIAVWAAQSIVFSGLRVYGVNGRQWKTPLLVVVLGMVPAAANLVYTVNWRMVPDTSTCVITLDTSPRALNQFVLVIVATEICQSAGEALTLGATWYATYQVRKLAHGTDLKPSIAFLLLRDGSLYFGVLFAMCMLEIALNLVAVAVYYAREHTSLMSEPSEVRFAPDLFVGNMGAPLATGGSRDTLASLEFGENETAVDDSEQIMRAADLEDGLEEKAEIVEAPFSFELGVVHPAQNLIRDT*
>EED83568
MPYIPLLGRLTAREYTAIFVGALMVVLETLLTTIIAFLPKSVIQWFYNRSRSLFHLCVGPPIAKSGQQQLADRIRRASDFERLCEIFGYAFEEHVVLTKDGYLLGLHRLHLRRGERCTRSGAAMNKPVVYLHHGLLMNSEVWVCLTDPSRSVAFTLADRGFDVWLGNNRGNKYSRKNIHHNPNSTRFWDFSIDDYAWHDIPDSIEYILRVTREPSVSYVGFSQGTAQAFAALSIHPQLNEKVNVFIALAPAISPAGLAVPIVDGLMKASPTLLFLIFGRRAIMSSVPAWNALLYPPVFSAAITLSLRFLFGWRSRRITHLQRLAAYSHLYSYASTKAVVHWFQIMRNAKFQMYDDDVQRVIRVGVTSYAPARFPTRNITTPIVLLYGDEDSLADIDVMRRELPDHTEGYRLHGYEHLDVLWGEDVDKDVIPLVLDSLLKYCVGAERVGQEMGEGEKMYLTVNGVDSDAVDTVSETTSMYGGE*
>EED83569
MSFASPVKAALGRIAFVTGAGQGIGRAIACRLARDGYDISIADIPPAKARVDDVIKEIESYGRKAISVYAGTYRPLACESSDMRDAKQIYAALDETVDKLGPRLFVSVANAGVTQVKPLLECTPEFIENEVRLNVLGLMNTYIAAAKQMVKQGFGGRVIGAGSIASYPNLAPYGATKFAVRGFTEAAAKEWAQYGIRVNAYGPGIVDTPMWDHIDAELAKIEGISQGEAKAKRVKNDILLGRIQEPEDVAKLVSFLASEEAEYITGQTIKTCGGASL*
>EED83570
MATRTITDLPVELLVMIFFFLDARGLINCKQVCRMFLQLIHGSTSLQYFYELELAGMADEPLCPLPYVERLRKLRSLQHMRRTAELVPGSSFPQWTALVWTTSPGGLFAQADMRGGIEFIQFPSSVLRVSERQWSIHSDQLDMDLETMCMDPSQNVVVVTGWDQVPNEPGEINLSDTGKYDELDEENRKEGRQSRLEGDYVAHLSSWNYEYAVLVWDWKTGDLLWESTICRAFGIYLQRTAEPLSSSCWTDQAYILVFQPSFEVLTAYGSILYAKTKHSLRPVSCQRTKADTRRGIRLIHSTRPPQRGLVAVACYGVPYSLESEDVWTEVILVPASMIREWVLRAQADRLAYEDSLPVGGGGLVEWAEWAPYYPRMVRAGRPFSAEVQGMYAIFANVEGEELVLDHIDYTAVGGKEVEGDLDTYSDLGDEWDEGGELYLIPEDSEGVHSAYALLQPGNVNIPKPYLVILPDYAILQEYKDDDGDNQWQIYHIVASDSGDSIIGKIAPNRRATMAQR*
>EED83571
MATRTVTDLPVELLVMIFFFLDARGLINCKQVCRMFLQLIHGSTSLQYFYELELAGMADEPLCPLPYVERLRKLRSLQHMRRTAELVPGSSFPQWTALVWTTSPGGLFAQADMRGGIEFIQFPSAVLGVSERQWSIHSDQLDMDLETMCMDPSQSVVVVTGWDQVPNEPGEINLSDTGKYDELDEENRKEGRQSRLEGDYVAHLSSWNYEYAVLVWDWKTGDLLWESTLCRVYVWTKPDTVIIQCLQQTKVTVKYESFDFVDSRHLLATDSRAVDIFVLDRQSEYTGAPTLIRESRCIWDHPMHDNQLWGWPQLMSMHKGGCPQRNSVHPFYSSPERGLVALLFIGSHDGHSDKDSWTEAILVPTSMIREWARRAQAGGLDENDYRPGVGGAAEWGDWVIQYPRVLRAGQLCSAEVQGMYVSFANINKPEGGEIGLRLDQIDYTVVGGKGVGFNSDEWNAIELAKEWDEGGELCVIPADSEGVHSAYAEAQPIDERITQRLSILPDYAILQEYKDVNGDNHWQIYHIVAADSDDSTSLQNGSVAA*
>EED83572
MPTRPRGPAQALAQGLEGDLLEFDWTRRQFGEGGRAVWDVDVDEVLGVECVDLALAGSHDGRGKDGEGQEVETEVPRAAEAGLYTGEVKGRLCALVRAQLVCAQHADAAPGAVDNDVSISGR*
>EED83573
MATPLEFSPSSLALLPNLAFSPPIGIYIALWLDPVGMAEELDIPSVLTAAQAMTPRKYLGYVHVVRDFPLPSRPWHRCHIRFVGEGMPEEQPEKGILSDMCTPIEPTTAHPAGREPLHPSRPFPYPNCYQHHFIDDLVRVPTQIMQYDDCVRLRPREMRRHMNYENGDWVIRRALVKNMEDREIANLNHDAENSGVPPAMEVDGEDHATDSDGHEESDDKQGRSITPVSRSEIGSVSDDMEMSDSDGSSFEVRSRDSLQEDSDDSGDPPDFMQVLALVMTAGDKLDMDIDILPLVRISLDLTEGGKFEDPRGFLEEVATMTQLIQQARTNIIREYKARNPPMATPQMQRGTNLEQCFEDSMETVNVTPPESIHVENPVQAALLTDSSSVVDPAAQDGAPEGLVRGRTAATHAGDIDISQNAAHIATESADHMHEPATAMARGLMSIRRLVQTGRDIVKLPHISAKDLKPVAIVRASRQRCSALVGRIRRVLRLRKGRDVPH*
>EED83574
MSARSATPASTPSLVNRRLASLLVVLEALPTADAALDVVEEWAQDLSPLVLAYRKALGAICDEETELRVAAAVKQLAERASGSLVEWARGDWPELATAIDSEVKRRLEEQRRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEDRRKQAEDKRRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSIKTPRTVKHPFAMTEADMAAAAIEKCQAGQKCDRCAGYRSAPVNCVSNRAAISTKLSGFFWLS*
>EED83575
MAIDRRGIANQCKGINILTPILDADTRDYLRLQYPDTPADFAWLRNQISDHIQIMITHIRVYNEDGTSITMQKLAEEVCAFVCKFFIEVVMNVPEPDELDEGREMDSRSYILHDVKKYIENGFPGNGI*
>EED83576
MSGNTATVEQILKDFFAGNYCEMAIIAPSCEATIVMFDVTLLMLYVMAIGFSVLRVYAISHGNKSIALATFIFGVITVPLNIYLYSAESYAYVFYLAGMPTCDQTPNFSNTLYFQSAVDFYACTKW*
>EED83577
MDLALNDVHVLVTGASGGIGLATCRLYLTAETYSGVGLEEQGAKITAHYNTTSSTLDPLVAEYGPTRVRTIQANLAQEEDAIRMMNVKTEGFGAVQVLVVNHGYYPPNDVPVSRMTLAQWNSTMNSNLTSSFLVVREYLRHLEDATAAEKDKAAIVMIGSTAGKYGEFGHADYSAAKSGMMYGLLMTLKNEIVKIAPKGRVNCIAPGFHIKHGVDATHVGWVKTPMAAHALENPEIVYRALATMPLKKVATPGDVATQVVFVSSTAVSGHVSGQVIMVEGGMEGRLLNKPEDIVL*
>EED83578
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPCRIVRPTIKPLICRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLINRIDMQVGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPEEGSLEPEVVVKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKHDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED83579
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYNGHSCQPKIGEPPAFKGSEDKTKLEEWLDLIILWCEHEGVATDKQRIVTALSKLQGPAHQYIKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYTERFRTLGCLTEYDDSLLIDKLREVIPRDMRLVLAEKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAVKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKIEPTAATSDFWKKYM*
>EED83580
MRSSTSLFAPPSQEEVYFHSSTRA*
>EED83581
MPPKFPGKILRCVAQVFGRKRKQKQPRHDVTASTVSPATPPGLPIELFELIIDFCRYDRPTLRACALTCRAWLPRSRYNMFHDVVLHSMKQLGYFSGLVAAEPRLGALVKSLHVAPYRGHGEAYATFPFVLGDKLSRLEHLSIDLRRDFYPCVHDNFLASLSCFSTVTSLALRRVQFPSFHDFALLLAAFPKLAHLHCWQVGWVRKSYDPRAFAHMDCRLRLSELTMRGVDWSEGMVDWLLAYTSPAALVRVRVPLLLPLDVEHVERLLLAAGHTLRHLEIGIVPLNSLAARHVQSKEGTDSHMGPFPRLLQNTCLESLHLNLYGGSWAPGLLAQVASTQLRVLTIGVPTHARRRNVQHFDCCGVDRALALPQFAGLERVVFEYDKGAEEEWNSKLCDEILPRFPIARAKGLVQCRGVKRDPERENDVGDIYEGNGVGDTRSLSPSSLINLRRAAGFANKPYSTNSKNKRKPKKSSTDPEEFHPAHATKPANVKASPDSGPMSNLGMPSRVQYNCIEEDYLKSLHIRKREKALLNQALFDKIWDVLHDPQSILVGTPQFRWWVRKMFVLSYTRSTLSSVETHTVEDYSADSVPVVLHENRPVALKDQIYDVLCYCHDLAKHGGRDKTTAVVREHYSWIPKELIAQFVKACPTCVYKKTGNVDLALAMNTTEGLPGADACNEPSQILSMPSEEQSQRRVTTHCVSLRVSDLISRDSASPSRDLTQYWPTTSGPLHSPAPVHPMPPLQSWMDTALYNTQDTSSHASEVYLRATSNAQAWQR*
>EED83582
MSSPAAAPDKETLKLLLPLRYDGKTVIECNRFLSQLRIYWLVNTSLTTVELKVQVALSLLDGDARAWATPYFAQLASVQVGVQGATTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTT*
>EED83583
MDVPSAQQAGTADNAAASAAGSPMDESGDKAVHDTAHDGSPEWDEVPGMSYEEAAEAEEQALQEQADDDVFGTPGRLSDDVRQILWTGFAKMNTIVEQVSKDSGLPAARVQAMFGQQHARVNTARNHWNIYGRYFKMNQAQECKRLGLDAPLADTVEIRSKTYALFKEAHADIWRDILETFEEVEVWAANHTVGQRKRDFAKAVAKMRQLHS*
>EED83584
MRTSPSFPHPSWLPVKARSTHSTLRISSTSTSQTALSLHSIVASSSRAQTEHPDRSQRTTRATKRSDERNTRSDPEAPSHLDQPHGTLALSPLAPASLKLLPIKYEEISLQTLRQSLSLRRLRIYWLVNTLLTTIELKVQVALSLLDGDACTWATPYFAQLMSVQIGAQGATTPFRNKAAFAAAFKARFGNLDDEVAAQVELAKLCADKSVRKKHTAAEFSTLFKGPADHSGYGDLELCDKYLSGIPSRMYRKIELETFTTWQAAEKHATEVEQILDIS*
>EED83585
MRYYVRNEFNSPNAAYSIVYRMLAGYDVYAQQLITLGHGHPLWEPEPKEETEVEIGDVGYLRQGGFYRMFNALRDPQDPLNTAVGTPQDFEKFTCEAMQPHRVDNAIAPGPVASKTLRKIPVSEELNVHSIYECTDDQGALLLLETPAIREQLIKLRKLKNYFSKNISNWHTFAAEELGLDKKPEDIIFVRGWVKTTRWAMAVFTNQAKSAKISFEGDFALPAPASFSLSVSEHIAPHFDCRSGPRDRLHGAGQHPLPDPSWPADRCIFLHYIRLKKRSLLPDTIEAAAEPSSSNGGDRGNTMKGGSQEYLDITPSIFDDARAFLESAEPLIKVTAEKLGMLLLTSEEDPEEDIQSTYLETDTRSTDVSCHRMGNGFSGVLSKTGSDVTSAQVLNLTGTWSKVLKGQRGPMPPLSSPQYFALQYLDLRVPVNNFVLAELVSLEAMRRTSRSTYQPLGGSVVVYRPEKEKECLPLAMTVNTGGATESSCGNALETYGFHIYRWSLPPSSP*
>EED83586
MVSEHKIAPLPFVANFTAGAIAGISEILTFYPLDVVKTRMQLETGKSTTGLVGAFKTIIKEEGFGRLYRGLVPPLLLEAPKRATKFASNDFWGKTYLKMTGDDKMTQQLSILTGCSAGATESFVVVPFELVKIRLQDKLSTYAGPMDVVKKVIQKEGVLGLYSGMEATFWRHFWWNGGYFGCIFQVRGLLPKAESAKARLMNDLIAGTVGGFVVKSRIQGATKVPGVVPKYNWTYPALITIFREEGPAALYKGFVPKVLRLAPGGGVLLLVVEVTLNMFRQVLGPPYL*
>EED83587
MPYSPRIYAQQLFRLGQGYPLWQPEPAEGIEVEIGDVGFLDRGGFVRMFNALRDPQDPLNATLGTPHDFERFTSGAMQPHRVVNAIASGHVASKTLRKIAVSEELNVHSIYECTDDQGALLLLETPAIREQLIKLRKLKNYFSKNISNWHTFAAEELGLDKKPEDIIFVRGWVKTTRWAMAVFTNQAKSAKISFNGDFASPTTSSFSFSMSKPKHLQCNHHSGPKDRLHGAGQHPLPDPSWPADQCIFLHYIRLKKRSLLPDTIEAAAEPSSSNGGDRGNTMKGGSQVISVDPV*
>EED83588
MDALVDVFESIPATSASAPEPHEQFIEGCLPPQTDIPVDYEFQRDYGSTFQCCIIA
>EED83589
MDAFLTLAEPVPSDETDISRVPSDYEWQTNTTNFSCTIA
>EED83590
MAADPTYPLYPFVAFVGCVLALVPLPWHLQSWNSGTCYYMIWTSLACLNQFVNSVVWSSNALNIAPVWCDISTRITIGASVGIPAASLCINRRLYDIARMQAVAMTRAEKQRAILVDTLICVVFPIVCMALAYIVQGHRFNIFEVIGCYPAIYNTVPTYFLVYMWPVVVGLISAVYCVLSLRLLIARHAQFREFISSGSGLTSSRYFRLMALATTDMLFTIPFGIYELYSNAASGEIQPWLGWANTHFGFSRVDQYPALVWMYQPSIAVPLQLSRWIIPACAFIFFTFFGFAEEARKRYAALYASICNRLYALRIMRMGDKHERSARIPSASGDSLPPYSPKSASTSGFDSLASITAVSEGSSSASTETFDMKDAYGHEKC*
>EED83591
MIELASPRHSFPRTDEQRVGLSIDTHKRPNKRPSLSMGAREVGLIARLRPAYRCSTATKLVLPTYCPIL
>EED83592
MAGPTYPLFPIAAFIGSVLALLPLPWHFEALNSATCYYMIWASLACMNQFVNSVVWANNALNPAPVWCDISTRITIGASVAIPAASLCINRRLYLIAETQAISVTRSDKRRAMLIDTLICVLFPAICMGFAYIVQGHRYNIYEEIGCYPSVYNALPAYFLVNWWPIVLGLISAVYCILSLRHFTRRRAQFKQFLSSKHSSLTFGRYFRLMALATTELLFTIPVSSYAIYLNATAQPIEPWVSWSYVHYKFSRIEQIPSLIWRTNRQLAIALQLGQWLNPLCAIIFFAYFGLAEEARRHYKAAFWSFVKVVGFSSPVSRRGAQQLRLVIPYILAINSTEKSPALSKSPRLSLRWKNYQDTPRPLARMFVANPHLSSS*
>EED83593
MSDPTYPLFSVFAFIGFVAALVPLPWHLQSWNAGTCIYMIWASFASLIEFVDSVVWNGNINNPAPVWCDISTKFLIGAGVGIPASSLCINRRLYKISSLRATTITRDERRRAIYEDIAIGVGIPVLVMILHYVVQGHRFNILEDVGCTPDIWNTPPAYPLVFMWPVLLGCVSFIYASFTLRSFWRRRAQFNELLTTSSALTTSRYFRLMLLCCVEMACTVPLGVFSIYINNVGVQIAPYVSWANAHYDFSFVEKFPAIIWMSSQPFYISVQMGRWIYPGSCLLFFALFGFAEEARRNYELAFWAVAKCFGAQRPSQKNAALQSLRIGMDLKKSTFSSDDLPPYTPSTPARRKRRDSLSSYLTQSVLDFDLEKGTLSPASTSATLNCAETPTFSELSPQQLEYAELRSTYAPSTPTEVAVPSPVLPRPHTLIEREHVSIPPYHRPFTPPTVCLVASDALTQCTSIQMTIHTESTHSL*
>EED83594
MDAFTIAAPVPLEETEQIPADYDTGNGTTNYSCTIA
>EED83595
MIATAVAPLAFLLVTPHSPALFAQESCLTTSYHGQFGHDSVFLVSDDCLAWAGDFLEGGSVVPLEDMQGQQLVWLEHKAVDESLVGSSLDEITQFIAGLQHATPEAAIVSGAQQQHVLTNERPALLAVPSSLAHTIDAHLPRFWKATPIPSAPVSYVPVPADARARVRELLASIAFDPVVASVVGNISVPVMQADVRYLTGEDPKSGLVSRHSFSQGALDAADWLKEQFESTGATCELKPFLDGFAPNVICAYEATEDTTETLLLSAHYDSRGSFGSTRAPGGDDDGSGTTALLAIARTIARKGITFRKNVQLCAFAGEEQGLLGSKAYAREMREKDADLTLMIQADMLAYHAAGEPPQLGLPKYIGTTEVAELVSNLSAIYSPELMVGFTSACCSDHQSFHEQGFPATQIFERAGPVADPMYHNSGDLSDRPGYDFEQLRSIAKFATLLHAAGFDLPE*
>EED83596
MTFSDYKSSQGVILNFTAGFYAYDIVALREILRRHVRTTRLPLEVAEMVIDMCSDRSTLLACALTCRAWLPRTRYNLLRIVDIHDHRQLACFAQFLTKNKAFDALVAEICIHLPPDDPRMLSLFPALLARKVTKCTRLHILRASSGPPLQIHPSTGFFVLLPEFSHITKLILTSVVFSSLTELTSLVLYIRGLLDLRCTDVRWEQLPPPAETWGCRALAKGSGLLRIDLDMQPELLNQLSLRLVHLQLVSRVEVLHVTKIQPCLDDTINIGYLLQAARSSLRELHIGVIDPPDFDTSLMAPPMGLDEGCRENGKPEVNQETAM*
>EED83597
MELQQDLSELFKVVHRYPAIDNHAHAFLKVENRDAMPFEGLISEASGPALTEDAIHTLACYKATVQLAKLFGLPAGATWEDVKRARSAADYNMLCRMCMAPTRIQCILIDDGLGVRDMVYDYKWHDRYTASPTKRVVRVEILAEDILRDLINAQLSAGDMRVLEGSLRRGEMSLTQAINIAKGAFFENANRIYNLGLVPQGI*
>EED83598
MIPGVIQHTLGLSETQLVAVTQYHSGGKLGFPVAVGRMAVSGETLQAADERDVKGKAVYVLHTWKDALWDMGASRAESVPEPRDPRSDAEDAQDANGDGTSVSPSDAQNGAQSQSAGDATVSAQETQKNTAAALTPEDTSQHLRTALLQALSTTLRSSPPSTFPMPASTFWSAYVLPARPIEAAGADVKQSTFKSVKVFLKAAAKEGLIKLKDTKGGDVVVTGVFPQHPAVEEHRPVRTVQDVDAKAQKAEARERREREEEERRRGELHITELWKPIGSTLGWFASTGKDTSNLYTIADLKAAFDAYVAEKNLVNAQERQFVNVGEDAALAHAVARKNEEVEFMRREEVLARLREHMQSWYEIQVEGKDAVRKKGQLKPIQVAVKIRQGRKACTLVTGFEAYFLGAEDLADELRKLCASATSVSSVPGKPNEAEVMVQGKQIKAVADLLVAKGVPKKWIESADMTEKKK*
>EED83599
MSSNESYVIIATNQNSQSFGSGRIDLKNIGEAEARKLMSAEHRALGYRPPPGSLAATAQAEAAMHPQATVGLPEQVLVEAAVEDAIRLEEQRTAGIDLNQVGEAEARKLMSEEHRALGYRPPSGSLAAQAQGAAAKHPDATVRTDPHDLQRAAVEDAAQIAKDKKGGVDLNNIGEAEARKLFSEEHKALGYRPPPGSLAAEAQSAATKHPDGSNGRDAATLTKAAVDDARAVETRSQAGEPPVSPLVSSALNFRPTNGALAVEAQSFVDTYMGGPNTKGVALGIQY*
>EED83600
MAAERTMRFSS*
>EED83601
MHPGPGVNSTVDGMRDATSLDPALSIEDDMKDLESIAYSSANALRGLGGRPQDHKNGPLEAEGALCKTDPLPTAPEKEDMEVDENRNEVPSHSIRFPETGISRKYFPGGGRIIFRNREEVIRVSSQAKGAADHSPISLQY*
>EED83602
MADGALKLVSVWRIPTSKHRETLPTLASYPRVQSLSLAGCMNEQVWFFVSPMSWLRMQEDHGPGILCTTDKAETQVARSSPAHTQNLPLVRFYTGAMANVQPYKTGNLVLCTPCPSLHATFLRLPRYIAMSGNRRRSRSKRSRSGSSSKSSAVNAEEPVSPSTVRSGPSKEMSEPSPLEEIQVVDRYEQPAQEVKTAQTQRESRRATTQRRSTWSAHEHGVAAKGSNNDNDGEEGKRDEAESEGDVPVDGRSRNTGPFPNTDSSQKVGDGHKLDGEPMMKVLLDALEAKHKPEDPWAKCAKEVWEFEESLVDKWKGDINNLLLFSGLFSTVLTGFTVPFYVTIAASEAFIFMSGNLSVAAADVGRTPIANWLIKLSDEVYSSPLQSPMIVVVAVLWFAALILSLGAASVAISISQWLHQYVNSASKTSQRSVQVWFFRRRGLTRWGVEQAVAMLPLLLQFSLVLFLLGLDILLWTLNTTVAVVATALIILLLVPTTVTSVIPSFSPDCPFKSSQAWWFFRFWRWAMRKLENSRFSLFRGAAGNEYVIRSICNRCCQVEKWRVSRTLPALGDWRELDNFCMQTLEDDSETKLQMLVEADLRAMDETFLGTVVRPCLQQAAVSEALPAFYKIVDHRAHDHAQDEEHTPIWWASEQDHQAISMLGHMSLDMLDKVASNHMDSGDREEETTHILKLVNSLLGAMPNRILTVYSRLLDVWVALNLSDEQRESIALLVKKHKFDTNTFQKMLTLLPIARRELSTRQFLRYSTVAFNRAADHPPSDFAQVREDIQGALAAVAEYFSPSDMESVAQEIGQARACLDVYEVFEACVRLAEHDASLFTKDVVDALAGCAARCPPGEVYNVFMRRSMERIQDSFDASHKSR*
>EED83603
MAARETSTDIRKCGKLAVVLSRLFFCFLVRGRGGRNNLQDQMRRSVSRLTTQLDSDRIRVENXVSAYKRVTGYTSRIVEVDADVVEGETDDVSAAADRVQREDELVDNFLGNRAAHPYVKLYARSGYVVLYLRET*
>EED83604
MDDKTQTVDVEAVACHIYGKNMQANGYKISFDRAKITVPGSTAVIHAPSPAASALNRQCLLPVGIAYDAASSLLAAVCSVSHVIRPIRPRIAPPLIAPPACSVRSLSTPQAMIASCTKAFEYTADNKESLRRSVAQLYRVDIVEEFRTAYIQVSGPGMYQDTDTSSCSRTLLDATEYPTRMVHGEFRAVYLHVFQCLETIAAQSSFNFCLRLRARGGRSLNLHFGDAICKTPARGDKTNSTRGEKRRGALIHAQDAAVLKTVWTPNNGPVIMMLQRRAWLVDHGYIRSDAQKAHDELVKLMQDKCTHFSDPHLLSNALIVVVICNEYNARTAPYDVWPDACLRAYLREHSLSEDTLSTSRPGLLQETTGRAEALFGHVKAVINGGVKAAEARFGKVLDVLTGSAEHARGRTGEWMQEGGQKLKDAGAKVPKTEL*
>EED83605
MKIMIDCQQRCMHLRSMVAITVALILLHQYSAFRLLSVRVTACWWGRYPRGVQMRLSTQHVDGIPTTGMLHKLRSVDSGRACSLGISLSGRCVRHPMARSRLKVAWVNLISKRLGIYPTAVALVLRECLRRLTGRIRRRRGETAVALVLQACSQETMLWTPYTERSGCAPYGPSCHRVYDLQYNTIRTSPCTPTLKACKDGADGDAQRTAWANVGSASDVTLLRRAGCARQYDALAGATP*
>EED83606
MGSLRESSQLKSCYLIPSAVAMPKAKPFIVTAKHEPTGLLERITIHNTHKFDNVGKPRRIVHPTIKPLIRRPFNPQHAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKAR*
>EED83607
MAPYIPFVPTKAFAIATEEEWRNAIFQNVTVSDEQANLLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVVELAQIYGQCDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNIGQKCGQAAEDPRGWR*
>EED83608
MATPAPGATPYFAQLASVQMGVQWITTPFRNEAAFTAAFNARFGNLDDAAAAQVELAKLCADKLVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIKLETFTTWRAAEKRATEVEQILDISRARRPDFGQHQCGRRKRRLPRHMLWLREARVLSF*
>EED83609
MSSPAAAPDKETLKLLLPLRYDGKTVIECDRFLLQLRIYWLINMSLTTIELKVQVALSLLDGNARAWATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDAAAAQVELVKLCADKSVREKRTAAEFSALFKGPADHSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRAAEKRATEVEQILDISRARRPELNNFFSARGRGRGGAHGGAPPSMQLRPASMQPSEKETSPAHALAAGSKGTVVLSALI
>EED83610
MSTSQTALSLPSTVANSSRAQIGHPNRSRKITLATKRSDERNIRSDPETPLHLDRPRGTLALSPLAPASLKLSPIKYEEISLQTLCQSLSLRRVQVKKESQSPSLRILLSPPRQQRSPPLIGAKPLWAPIHLFSALASCPALRLSLPTLQFRLRRSSTPSTVRDAYSKLGTVFPMPLTRSQAREAASRSAAENLDSSSRTQLTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEDPIPATSNLVPPTPSSFRAHAQPPIASSSRLSVIPTSDLAPPPPLTPSNAASNSNPAPPAPTIPSTTTTSSSSPAPTTTTNMSQNTNAPLMPPRGHSTAPTFDPSEVRLLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYCDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLARLETRLHLKHPDHYTDDPYTMAEIHAAATFILHGTSSTPMTVANQATASTSNTSTTVPPGMIKTKDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKHNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERDSFSFGSAGTPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVD*
>EED83611
MASVQMGVQGVTTPFRDEAAFTATFKACFGNLDDEAAAQVELAKLCADKSVREKRTAAKFSALFKGLADRSRYGDLELCDKYLSSIPSRTFTTWQAAEKRATEVKQILDISRARWPELNNFFSA*
>EED83612
MLRTDQLRTDQLRTDQLCTDQRAQPALISPCHLRFEPRSPSLRSGGAHPYGTLRYIDSLQYIANTRETNSSVPALQWAGTRTSPSSPPSNVAACQGQVHAFDSQDLVDVYIPDGPETIIYRCEQQPCPNRTPRSIPEDYPRYKAIRRAQHPLGPRSTLASRLASRHSRPVSPSSRLPQTVITDPDQARGDLPPDPAPEPEPEESEGEEGVSESESEDSVGSASPTAFAPASAVPDVCDPPAELPPVPSPPTPPRGRSSTRSSRSSASRRPPQPPPPPQRPPSPPTPIMSSPAAAPDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLASVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDAAVAQVELAKLCADKVRRTIPGMGTWNYATST*
>EED83613
MDQLIGERARIGIHNAADLGCYYRDFMAITKHLITQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYANDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCSNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNRSFCPRTIPGLMIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPVIMRSAEASGSGTSKGVVAPSSTSTSTAPPPTIPAALPAPPSPPAQSTSQPIATSAPPAPPVHPFANARDATYAPLNVQNFATPPKPSNDKGKEPAYKTIIPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVQRWNPVLQSSPTHMKPI*
>EED83614
MAIVTALRLSSTVASSSHAQIGHPDQSRRITLVTRQSDGLNTPLAPAAPSHLNPPRGIVALSPPAPDFLKLSSPIQVKREEISLQTLRQSLSLRRVRVKKEFRSPSLRILLGPPRRQRSPPRQQSLIGRPPQPPPPPQRPPSPPMLIMSSPSTAPDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLINTSLTTIELQVQVALSLLDGDAHAWATPYFAQLVSVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDAAAQVELAKLCADKSVCEKCTTAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAAEKRATEVEQILDISW*
>EED83615
MSNSSQAYKPPSAGGTSRVLAALDNPALFSMSAATTAQLSPTPYTATQDAPILGLKRNFEVSYASGSGDAYQKRRKIGTTGLDRENLPGSSFGVHMSSSQDGRELIQSYLPDAVWKFHHTFMRFAPTASLQQMSQLVGPSSTLPINSSTPTIQSGGFALRYDNESSISVIDRGGRDQDLRMAEFITKAIDNSSHGVTVKSAMEKLGLQSKRDLLPGLEIRLLPHQLIGVSWEGEPLLQLPEKHIDIVTLEFSEDERELYDNFEKRARIQINRFLKDSNVVKKPLASSISAVQRQAEGFEDPTLLVGSDADKEVARANTMLGPQWVMEVKKRFMARAKASQLEFDDEMDEPEPTCPVCGDR*
>EED83616
MRVSILGILGSFLYLAFTLRVAAQSHEGQQKPFAFRHSNNAHATKHIITPECSRFVEDLLEIANIPGLTLGIVHTDREAERLVELDAWGRKTEEGFGHDMSPDAFMATSLGLLMDDYANGRNVTPLPRSVAQFDWDTKVRDLLPDDWKLEDEWASEKTNIRDMLGHVTGMPRHDYSYQPGDTPQGVVRNLRNLRPGYELRKKWSYNNQMYIVGAHLIATYANMSYPEFALSRIFEPLGMASTTFSPDVAQRSGKRTQTWTKFGRRISFWFTEEIAHLKAGPGGIISSAKDLTSKILTENGQTKWVTVLLNAGVEPVSNTTIIPRSVFDEMTTAHSVVYGSSPAPDSSLIGYGMGWDRWSYRGHEIVAHTGGLPGISTLVALLPDDGLGLVALANADEKAAAERILMERILDDMIDFPEGSRATFVDAERYTKLELGGSTSKEVRGAALCSSAGSAPSPAELEPYAGTYGNAGYGAITLCAPSSDSFYCNDVFGDFAPFRPDPAVSSPMLLAAYPRVWTTHVRMIPCDGETFDLGFTALFPHGYGRNTSAFETFETGDAEGQAVFVVEEKNGKKTVKGFGLLIDQDAVAERKRMIGTSVEQYADAWFEKV*
>EED83617
MPSSPSLGLRITATSPFNKRNADIIFRSSDGVFFHLHKAILSLASPLMEMMLGMPQPLILDSSDLDDLSGLPVVPVSEGSRILDPLLRLCYPTPDPVLTDAGIIEAVFESLEKYEMEDAKERLKKTILSCASGQCLPDQMARVYAIACRLQMEDVARDAAVASCRWRTVNYVPEMDRISSGALFRFLEYRREHHQGSHPTTLQQTPDISQSSPDGGGLSLAQSFPPYILNSPSADVILHTSDGVDFRVIKAILCLASPVFADMLHLKSRDSDLRPDDLPIVNVSEDSGTIANLLQVCYPVEDPDIIDVDSAQALLDSALKYEIIIAIRFAKAKWKEALTSDPFRAYFIARSKGWVDEARAAARKAAILASDYWLPEMEEISANAYRELLDYRWTCQQIVYSRVHLDDARRHMSDAPRHWSCLTYGDPKQRECNIVRLLLSINRRLPDAVAGTDADVLVATLMWKMICDGAGRHSVEHSIWDAEGLLKDLQDAVQQITARRCQATIPELALRAPP*
>EED83618
MSDEVAIIVKHKSTKAIIIFLLNRYSLVMFSAAALADFLPWDTQLRLFYLACSRITLTAFLLGILTVAVNLYLYIARHYEGVTRAAGMPFCIFKKYYSSTIYTECTQTEHYDVDAALTSSDVYSGIRSFDMLVLVVFWYKTYGIRQDAKKANGRPLLFYGLKGRFSALLVLNLSEIILIEEAFAYQTDFIAPCGFTQDIVDDHPTVHY*
>EED83619
MAEADTELALYNFTFTDQSAYVIFLPYRDGPLDSQWNVSYSQSAQDDWSYSNNLGKGISSHKTTLVGASVELSWTGTGVWIYGTGDRAAYTVQIDFDPAVLGQGDNEGVLFTQTNLTYGPHDLTLTVLNSLISITGVNITVGLGDSGTVLQARNIHGMLTGTTAVNPFFTVDETWSVVDLYANQSASYPCIATYTSGAMLSFTLNATVGFEIYGSDDWYQGLFTVTVTSSGGTSAVASVPNNTIQYSPRSGWTALNQLKYLATGLDNRETYNVQVQNLGNTFNLASVIAYDAVPRRRARNARDYPPSPEIYPQPEALVQPVPPLSKSELSSTLDSTALYSSSADGDLHAPVPIVQNPDVASNMFDRVGSAFYERDGGPLILPPRKASTHRKKCISRQRVDPCLLFLLLTTY*
>EED83620
MDLALNDVHVLVTGASGGIGLATCRLYLTYSKVGLEEQGAKITAHYNTTSSTLDPLLDEYGPARVHTIQANLAQEEDVIRMMNVKTEGFGAVQVLVVNHGYFPPNDVPVSRMTLAQWNSTLNSNLTSSFLVVREYLRHLEDATAAEKDKAAIVMIGSAAGKYGPFGHADYSATKSGMMYGLVMTLKKEIVKIAPKGRVNCIAPGWVKTPMAAHALKNPEILYPSLATTPLKKVATPEDVATQIVFVSSTVVSGHVTGEVIMVDGGMEGFLVNRPEDIVSTQAKAKL*
>EED83621
MQTPHMDPRDLSRFHVQSSDVISDMRVNVSEEGSDKERFLADEEIIEHLVENASSTILWTIHRPKRGWYIRLSTPSFPPGMFIPLLPLPQTSPYHADSALTFACRTNPPSYSLSTTPRTAFSDSARDSMDSDITVTEGPREAVHSYPPTPPPQTPIVVVRPPSPTAVQAKLEEIAPSPSSSSAPMKRLKRPPPSPLSPITHFLLTPHSAPLIPQAPPSTSLFTRVMSALKNNAPSHSYSFTLSPIPPLQPPVTSPGGQRNAPTPTPIPLLTFHDRTPVWTVRSSSGYIEVDRTQVRMLGVDTSFYVAVALTYFEFLEEKESVEDEWDRPGEEKNNLRREQLAADTARHVPRPAMARTNISIATRGSSFLSVFQILLAATWRAYNNMIFNYRTTERWIVLLESFGLGGLDLSRQQRREWHEVHDKHTG*
>EED83622
MYIHPRGWVYFRCDEYRLVVDEDIRVPETLERITSICKKENLALLSDGQELCIVGFNSYSALYLAVDHTQCTAEYGLEFSSVTQPIRDIPLSRDNLTQGTKSTVPFTKAECEELLRVLDVPHYSFRRAERYGVLTFQQTEINRRSTSRPHSVWQSSSRMSRNCLWILINGPLCGIPHSYLEHVQRAISTVLLSYRASNPLILSSQSYWNIYQMARVCAIMSAFASLGSITTGVFFVWRHQHNTKLSSSHTFAYIYNARKQILGLPGHSILLSLPAALLMWSLIGFMVALSAYSLQSVTDGSMADIATIIYFFFNVAMACWFITVRGGDKALKYGGGNAVKRNNEVNRTGGQGAPGCCLIYMRENPPGTDNGPLVTSWSGPALPWA*
>EED83623
MSRSGSLDDTEEIHQQFADYFSHGNQGLKWVARFCQAYVAHILLGVRASMEVDQLRRDGTFQSFVWPAQRTVLMKAHDGVRTQIYAQDASDTFDLDLWTEDIEVYGFPGTHFEFLSPNSGLGEALNCILCKLSRSQTSAINYMGAELTRSIALPQIDFPVYAPQYSGIVESSPATHAMENFDTYQTPLSSRYASKEMAYLFSPANRFRAWRKLWLSLAIAEKELGLSIPDEAIEQMKANLDLDAAQFEIAAQEEKKRRHDVMAHVHTFGQVAPAAAGIIQCAPNPMFSP*
>EED83624
MSISGPSKQAGASSNEGTIKSQKDLDRRKFGLEFLHPDWRKKLSSTDKAGVIRFNVREMEVAAGGPWERKYNNLFPWNHHAELLVTQGLVWKNYPDDAKGIAELSMPELDRMIEGLVDRDYPFEFERVDKEALKKNKLPVIICAPPAHDATFKRARRYFANGTSDRKGPPRRVAPASSGEHGDVQARWQAKRAKLEALRAQKQPVYDLQLDQWTLIRAGIGCSTRYRSPRSIIASTEERSMYGRLMPVQIPVTNLGHTKSRPKNHQWSVVIGSSCGPGQGSLGSRAGRIHVRVQYRSGHPRADQIEPEGPPETIVLNGMLQDRLALGPPAVWPQWPYAPAGPSGKASRAA*
>EED83625
MVRASRGSGRGSRSRRIWLIDRQAKVISLDLRDDLGHWIAQRLRHGVESREASSLEELARVGIPKEELRDEWKQQCVAQTSIRAHAPAHLKKELDVVLSLQADLDTVNKAIETTRTVLENASDFGNISLEFVRLLLMARDLKINIRKRAVGSFFEWDRLDQAVGGRHNPLGTKIHQQTRKAIAKRTPALKTAIRKFNRYCESLKELKQPEWTITVPLPLPTDLDALRDDASLLADVWIDPSQAQAPRWLEDVDVRKGIRALLLGDRCLEERRRLGREADNICRWYGSELAAAKLALATSSNADITFLLQQRVCELLLLPAKWKNPLVSPQRFDAQTTLASETVNSALGDPQVYAWPFVIETPLPYIIHQDDTDPYGRLEEEEPSYLEAEQHLVEDVFLDDASGDEGPPEDARSEASVMTITMTWETPIHVSIDDAPHFLQATAPVLSQSLQSNVHLDASDMSRLQNRSAWMNDSCINACMQLLQLVFLGPGVRADAGDATLWRNAASTRFWEKDVWTILIHYEDHWMLATIDIPRSRVAYFDSFAREHPWEGHIQDVMQLTARLLNIAVDKGHRIVHAQRAWAVYPTTLRELPQVAKYLLGRELRPGRRHNLCAIVIVHTLRGSLLPLGVSNLPMLPRIGEVLLYQPSQFVQPPFVPQAYTTIPAFQNAAGVQMYPGDVQARWRAKRAKLEALRAQKQPVYDLQLDQWTLIRAGIGCSTRYRSPRSIIASTEERSMYGRLMPVQIPVTNLGHTKSRPKNHQWSSADRSGHPRADQIEPEGPPETIVLNGMPQDRLALGPPAAPPPKASLTRSRVIEVVIRKSRTSQPTTASKPIDVDDSEDDNAPVKPRADKRKAINVEDSEDSEDEDAYQPSEGTPTPVKPRGAKQKALRNGSDTEDEPEDGTKKEPSKGKGPSKGKAPARSTKRSKASAGGSDVEQQSTPRMPEKPRAQTVKRPAPRPVHAGAQTRGGAMDTTTNEQCDASTEAPAQRQRPARHLHEDESSPEHAPPKRRHRPATTEGAPTEGPHDQEHHQKAPAQHDKDAPAELHRPQEGRSKRAHAPVPSSGTAALPPSLLHQRLAVYDDEDGNSTSTAGASGMLGHWMFSPPAPFAHAHDAPPGYAPPGYAPRPRPTLPHAPRYAPLIRPT*
>EED83626
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPCRIVRPTIKPLICRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLINRIDMQVGPSYEYEPPKLLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARIEKEEGSLEPEVVAKGLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWKGSGHLKGICGGTGPDIRAMRRQGGCKEGDHGDRRRAPLGPDTPLFGTRIPPGTSTQSPNRSISPSTLFDTFDGARRLLEARHGLPEASRSFPIKYRTSRHAFTTATGPKWPYVTLHLRVRHDVTLPMDLVVSTVLFINKDLASKDFVKYAERFRTLGRLTEYDNSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGLVFKNSGSDNGSAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGARKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPLSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTTATSDFWKKYM*
>EED83627
MPAPGPLHTSPNSHQCRWVYKGSQPPSGTKRPSPLPSRLASEISMMRR*
>EED83628
MSNDYVSRLKNGDLIIYDSTTEIRYQFIPEILCQYSRFDLSLRQGHGVVDGRLHTDQVFMPGVRGKAIPVDSLAPLVAPTEAKADILQPERQKLHQFLLETSARREMKQAEFVAKKKAARLQDRAEKVRSSSKLFGRILQFGDVAKARQASRTDDIEACESDMDEGRVVEGTTTSGGSVRETTKTSVSKKGKAVAVADAAKPAEAGTSKSGTLRFKKSKPVTADAIDKNAMVTEE*
>EED83629
MVYISSWQEFQEAAEALYEKAPTRARYCVKWRASEGKLVLKITDNTTCIKFKTYSSIYLNRFEALNLSLMQKMQNQRPKPASAPDVKSEPVDAAAREATP
>EED83630
MATTTETIVPLSPVDMMRAMAAKYSTDFPIHIGETVIHGSTASRLGRDVVLVTGTTGSLGCHLLETLVSAQDVGRIYALNRAAKDNMPLRERQALGLIERGIDTKILDSSKVVLLEGDLTKPDWGLHTETFLEVHHSVTHIIHNAWRVDMNAKLPGFEPVIRGLRSLIDFALTSPLPSPPRLMFTSSMVIMLSAFSARLHFFGFEVTDRCPTDGPRDRVVPEAPVPPELALMSGYSQSKWVAEQILQNAGARTALDPLVCRVGQVSGGPSGDWAPNEWYPVMVQSATQVGCFPEDPRPVNIIPFDIAATAIADFRKASNANHTVHLIHPRPVPWDSIASVIASELGVPLVPYEEWLGRVEAAARSLPQLTPTERKARMRALRAILLIPYFRSLQRTTTSSYSALNWPDPDVALAKQASPTLDDPNLRQLGPEDVRKWLAYWRKVGLLPSDRQARL*
>EED83631
MRQETSYHSLSLHHGVHAQNVLNVNALLTCLKRPKGKKRAAPTAPDRPRKKARRPRTEDKAPSSEDDEPKQFLVCEHTFELQYKWSEDEVARKVDEGGALAHSQKGVAEVMSKIGSQATDLGMLVLRSGSFASAGRIVALQAYTETGSYVLTLPDITFDFDAAAHDLRLSYLSDPLVACHRLSSAGRAVISARASLHPEPTADKSQLPFRIRVEISVSLNYPSIVKQVPSSVHNRVNEVDEAQRRVLWHVFPPCNSPPAGFAGNIDVSLLFSILGRAPPIAHDHHVQPQDLRPTLLPFQRRSVAWMLSREGQTLSESGSVEPSAASRSSSLFWEETQVEDEKWYVNRLRGVVSRTKPDEDEDALGGILAEEPGLGKTLECIALIMLNPAIGRGPVNKRWDEEAKVYVKEIKTTLIVTPASLAPQWVDEFKLHAPTLKVLVYDGWQKVHGQGKETSGKQKATREGRGGQSGAKARREAKKKAKVAATSHAGSDIETGETGPSAKLDEEVDGIVDWCTYVNTFDVCITTYNVLQQDLSVARPPPVRPRRETALYTTSAHARSPLITCEWYRVIMDEVQMVGGGRTHFLRVSTISNNPRLWSRLLLPSYVNDFVSLFRRYAIRTVKTEVQDELTIPAQTRYLVPIEQGPVERHVYTQNFYNACLDLGLDVRGIAVREDWEADTTVLRAWLRKLRGICTHPQVGQLSNRADRQHKSKGIKSMSEVLEDMRQQNWRDLMEDRRYKVQQLARIAQLEQVVKDNANRYQIALQTLLAAEKDARLLVNDVQTALAEHLKQEASLEASRNARTSQNDHSENSGKGKGRARNDDASGNLSSLSDDESVPFNKAGEEHMARRAALQNRLRDCRVILHQVLFFKANVYNILGESHADAEIEAYAAAEELRRLVLKGTEETAARAMEQLKSNPSIRELKNSELDIAVPYLDKGGPRSADLMEEANEFIESLLNEQSALIWEWRQKLIKLLTQSLTSKDEDEDADGQEYARSIDTQGEAEAYLEAYAALLSDRREALTAERTLLAAHDTKEAHIRRTKAAKKASRLIGVEMEAGEDGFKLQQHLAEQDVRPEIELLRKSLRDERKALTEDFAPGRALKSIVVDLQAVIARIHKVIDPEKELVRGAVRQLRDLIVEQCMPLLSPVLFGPANSHPAKLMDRLHSDLVQLRKAFNERISYFRQLQEISDSVTEVEFDGVLSDVIETARGRTDGPGYPDQYRCEFSRGHITPCAHIFCETCLKGWLARKEGKVCPVCRKGIDTDQLQRFSLKKKEDDEQLLPRKLVNNELPKSHRRIEYNFIDKRLLEDIQTMESLGNYGSKIQTVVRHLLYLELSDPGSKTIVFSAWADSLLMLKLWSGISCLRIDQHSGKENAAKRFRTDSSISVLLLHGERENAGLNVTCASRVFLVESVVNHAFELQAIARIDRMGQLRPTEVYCYYTEDTVEKSILDLAAKQGQSLYTRDNSAGTLNVAPFALASSKNAIDSPAKKKQKGDFVFKLRHGLEYYALNLRVRDRRRKIVTFSQLDQKQLTIEWWRRTGRALMPRPTSTLQLSDYPPTVYSTITILSPQVIETPMSGSESEVPRPVQSTLLPSYPWSTALQITSPQTDVRQWENDWVRAIDRVTKQGPVELFQSMCKLWDRCGEREREHVVKMRSELAKLQKQVVLWFVDIRVAMGCAFEEIWMLLNDGYRRYYISEGLLRAGSVGHWEEEARVLCPELTEANLALPDGQAMIDFMYHAYLEACRTRDSGSDVFLVANPWWDMLDVYLGRPLPEKENLVLDALAIIRNQYISEFVYGWLENLVHDIAERQESMPFLTHLFRRDPVRTAKSVINTPYRITAQSDSSSMYCAGCVGTRKEFEKSDNVRYPIGQGTDTSASLVLKLRSCGRGSLNARIGYAPSYWWLKGSSQSILRSITPIWMWTRRPAQFRMPTSVTGLYSSFRKWRTLPMLSTTHGSKKLVYATCAVHPDGQRSPLSVSLSLVKMGLKNLFMRVTNSLAITFVSFKIAPGKEVEATLSQAEPDAQTMLDTFVLNKPAASFIDDIHVRMRFDEDGEMASDFRPIKKLGKGGQGTVLLVDDKVTGTRLSMKVIRKKDVLLRHYPGIFQEQYVMKTMSGSAWVLSLLGSFHDSDNFYFLTEYMSGGDLFSKMKWKRKLLAPTALQYAAELVFAIGVLHENRILHRDIKQDNALLDANDHLVLADFGFTKAFGHPRSETLWKSSHDWGCEDDNSEGGVEEDHTKSRCGTRGFMAPEIYYGPEYSYPADIWAAGVTIFMMLNGRFPFGMTMDMGLAELFRRSKTLPVRFGPSANVSEDARHLITWMLEKDPIERPTIAEIKGHPYFSSIDWGQLARRERASGENIDARAREVKKQLAMETKDEKFLCDHGIRGSSGWWTKKSSSETLILKFLGCVRPPDVCDLRRLRTAALQTGQGYPLWEPEPKDGIEVEIGDVGYLHRGGFYRIFNALRDPQDPLNATLGTPPDFEKFSSGAMQPHRVENAIASGPVASKTLRKISVTGELSVHNIGATCKYECTDDQGALLVLETPAVREELHQLRRLKNYFSKNINDWHTFAAGEIGLEKKPEEILFVRGWVKTTRWAVAAFTNQAKSAKISFDGNFASPATASFALEASESIAPQHDRRSGPEDRLRGEGHHHARIQQQQHQQRQRGRIRGQGGKNHNSTRSSEGEPAWPADQCVFLHYLKLKKRFLLLKTIEAAAEPQDPSVSGDDDDTIEEVPARIQIQDPVDEVLDYILRVGT*
>EED83632
MDMDQPPEYASRLPSTSTFSFTAPFPPPSEASSSNVASALKQRRVSLATNSSPRIVPAWSFRDDTGVGVHSIDSSGLAPEKKGKMRRIESSIDRTDEEGALQPSTEKKPRKKWTMEETQMLVNGCNKANVLNCAIGIPVSERIRCGQRACMQFRTYFPDAYKQHYPNAKTHLSSKIRSALPDGSSIFEKTRSKKRRPFTEEEDRALKAGYDKHGTVWATILPRVTHHSNYAGDLIFGARSHQPQHQPFDYGPGFGFGNAGLGLSGVQPMQLHTPALPGIDEIELTSITLEDQGDVRHPLEEAADTAVLKDDGGASMTLSELGAFGVSPQTFEDIVGFSSELHATPPGTPQSTSRSMRAHSAMHVGSSHNRSISVPPSEHRVAVTRAAQTQVMKTRPLQPPPRSMSLMEMLPVQPADTMASQPQTFQSLQAYATEGWRQQADMYDLPFLDLHYYTSTNNPPATMPVDPNSVFAKPDADILRQGQALDLAETYPNASRTFGLPPSLTQHIPMMSQSASSTPPATTRMLPSHHRGQSAVSPQDLLLRKSSDNKRKRSSWDGGVH*
>EED83633
MAACVSAWFWTSLQQQAITMDQLTSPQAVFLDPRSFAVMGSGADFRGEAFKAHFNPTNLTPPLIQIFHPDFLSILGTSPSLRTIASIPGIPFAHEAPIWHPERDEVFFSSHCGADLGWEPCDRNNHISKIEMKDVDAALQASSSMTSPVNVTVTKLDIPDSRQMTWGGTGPYNSSLLLINSGRDKLPSSLALVNPAPPYNVTILLDNYYGRQFNSLNDGKIHPKSHAIFFTDVTYGFLKHFRPTPQLPNQIYRFDTDTGDLRVVADGLLKPNGLAFSGDGSIAYVADTGAAQGFLGRNQTGPATIYAFDVDSVSQTFLNRRVFAYIDAGVPDGIQVDTKGNVYSGCGDGVHVWNRNGVLLGKFFIGSVACNMVFAGAGKLVILAQTAVYVARIASEGIKLAFP*
>EED83634
MGIELPIVSILCAVLLLCLIPAYLIAGNVAATSLVGWLLLCNLIQAVDSTVWENNTSIRTPAWCDIATKLLLGARIALPAACLGISRHLRRRTSTFDFGSRRSIPWADYALCFVLPIMYMILHIIVQDHRFDLLQNYGCTASVYSSLPALILVWLPPSLLSIAALVGSSITLYHPTRRQAIFAGAAPSFSPKSNNFAFFRRPSRPAPPLDLGPQPAPEEDAHYNRPNSPPPRPSSILSEPWPRPPSAIPISPVVPVTIHPPSPRPGHAPSRPPSRASFSTSLASSTVTMSAYASDVERALRDSPTLPQFAPFADAGMPTGESHARGLSLAVPKRTRKMRSKDVMVTRNLSLSLRTRERAREEEGTGPGIYMTVVKETV*
>EED83635
MTKGVHIPKLSTRETLAGLALNLSHRFRVKDSDNVGLIRLERAKARKVKKATVFQVDPGSKVEAVELPESYRWVVEVCLNRLMHHHAQLYLKAQEEDIGEMLLIEVRRWLGYHNRQSTDWDEAFVYIDEPLDLSCYEKRGSHEVEEAQASEDDSPESKEMDDRMREGPMSSLSDATPHLAPSPLILPVLETEDRQGSEDTRPMSVGGSTSHPAPSPLLPVRTLEDRDTGHMSMNGSTSPPDPLPVHTDDADARWAAHIREICLRLKNSGSTSSSYGLAYLANTLAEAQGGQAPSRRLRE*
>EED83636
MDSFEFDGFDLEAFLLASSRHAEGFPLPANGMLVNFDHKSDGYPGYCVIT
>EED83637
MDTIVPAVGLPLASFLAAVLVLLPLQWHWRARNIPTLSIIVWLFIVNLTHGVNAIVWYHNVEAQLVVWCDITTKLDIGANIALPAACFCLCMHLERIASERQAKTTFTDKRRRMWTDLAICVCIPIIYMAMHYIVQGHLFDIVEDFGCRAEDYVSIPEFFIMWLLPILFCLGTFVLSGLAFVHFLRRRAIFARHLANSTSGLTPTRYFRLMAMSLVEMFWAVIVIAITLYFNYRDGLRPWISWANVHSDFSRIGQFPTVLIPTTELRWTYFLWWTTPVSAGLFFIFFAFGADAVKEYGSCIEWLRRVAIHRNDKNAPLAATLPSFCQSRCVSFMQRMSVVPLTYYLSPPQSHFIFVKTSKPESDTCPGPTDSKDSYPERPSTACSTTSTFTSPKHPAPCEASSDPFASSESSSYFVAMSPPARCPISLSQSECTTPITLA*
>EED83638
MDRFIPASELLPVTVASALEESNLAISADAAFLSDVPVDMDSFWGYGSFCTIS
>EED83639
MGACLDAYGCQLTIPLVVRRSAEMNAWARPVLSCCGLL
>EED83640
MSCSIDQLLVGLDILLFSSIDFRISQYEQTDKRGSRRAGHGSYFANFVCTAN
>EED83641
MDELCSIADFLESSYCEPRDISIPVNEDTPSRPGIYCVIA
>EED83642
MQPSFLILNSDRSALPKFRDAVVRRRMRRFVFCVLL
>EED83643
MDTLTSCDLSISTQAAPDGDIITYIIPVDEEHISNNYSHSWCTIT
>EED83644
MEDQSTTSGSSPTRARGRGKSRGGLGKYLRARGRGRGRGRPAEWGQRLVLDGEQAELNEEEQRELEQKFARRQLSTNADRYAEPEPELDSEGEELKEPDIDLSSFLERQRLSAQPSALLSPDEDEDVDHSLAHVVPATQTTVPSKKGKVQQVEWDASLEEMRREKAAAEAKWDLKTRFRAQAATQRGKPTSRGGSASRSSKQQDKVYSEAPLLPTEQKPEKPEREDMQDFLDDLLG*
>EED83645
MDAFFALANPVPAEETEQVPVNHDTGGGSTSSTCTIA*
>EED83646
MDAFFAIAAPVPSEETEQQIPADFDTGNGTTNYSCTIA*
>EED83647
MVPTAVALSGRSAWKGPFFVAFPNLRQALENNVPITTKARSCTILPNFVGLRFLVHNGKDFVPVTISQDMVGHKLGEFSITKKRFTYRATKNK*
>EED83648
MPNVFHAKGDQTYCPRLLVFDRKVNFGTLSAASGLYGDDEEESAAQSILQRKTIWIAHDYSRGGGVVEYRQERMPKSRYQQRLDDEAQDADKEISGQVKPVSDTDIRYWSDFNRVFLHPRTLQRLPDLADWESAEGDWKQILWKSLFDSLSKNDLAMRKVVNDALFINSLDGLATMTVPIHSPETWAAGEWLEGISLNRNSTHQTSAVLSAHIESVTLPLRLKGSAEDLASVCGTLNWGGGNRFAHLSGMLPLPPSLVPERDFDRKIHDFSVQLTTGSKVRPATVNRYDYARIYVSRGFSSFDRRQLDQWTESQRPLPQSIYAPAYPIPTSFPSFFTSPPAPSPAQPAHPSRVQNRLPSTRMLSSLHTTPQTSRFFGAYASAVDKCARLRPDVLGAMGVEKDEVRELRDGLWALRDEYAGADDSELEAEAETLGEDEE*
>EED83649
MASAWESKTQKCRERYLTASTYLSSRAFPSTLLSPTPSLAPSPDSHPVLLPGVDALNHARGQPVSWAVSTAPNAPSSISLVLHNAHPAGAELFNNYGPKPNAELILGYGFALPHNPDDTIVLKLGGASAAQHAQHNNAVAGWEVGRGALGAEPVWEAVLAAVCDPDEEDERTVEDELCAADALEEMAQNLYDRLPKGPPEGALRPEVTHMLEHYLEGQRDILQSLIQFARDKAREAIRAAQELGLQVVDEEDEEEA*
>EED83650
MHWVVERNTCGQPAVLAHHPYLATLPSPDKLLTPLHFIPVELAAFQGTNLYGATLARRDAWRAEWQACQSAIAQTNPDWADTFTWDRYLTASTYLSSRAFPSTLLSPTPSLAPSPDSHPVLLPGVDALNHARGQPVSWAVSTAPNAPSSISLVLHNAHPAGAELFNNYGPKPNAELILGYGFALPHNPDDTIVLKLG
>EED83651
MDVIHLAEESEVVQKVLEVCYPIKDPKLPSLEIAGAVLEAALKYDIDKVISCIPLGLHAFIPTEPLRVYALACRIDAEALARCAAKDVAIQELTSLHYVPELKNIPGVCYYRLVQYRARGIEQETFCRPSIPSPIPSTEDDDDVSALRTPPPRAAAATPHSPAFITSPTLDEVACTIDSLPPFDTADADVELVTSDGMHLRVYRSIISLISHALKDLLDVSEASASVDETGSLGVEPRKSMLVVPIVETSTIMQPLLRLCYPIGIPQQDDVELLISLLPSAEKYKMERAIWTIQSRWADFAVVQPLRAFLLAAARQWMQPAMDAIKQLLKHTIEELQSIYVADLETVPANYYRLVFQYHNRCSDAITSVCKSSLSWLSRDVRVTGCKGCRGPSGLWEEPRWLSDYVAKAVDMLVERPSSHTITEGQGFQTLIELATGKNHRASVEKPQTSFIPKAAPLPMLFNRSDADVILRAVDQVDFRTHRIILSMASPFFADMFALPQTKECASDVIDVIDLAEGSEIVQILLEICYPTQDPELSSMDIARAVLEAALKYDMYKVISFMSMALYTFIPTEPLRVYSIACRIDAERLARWAANSVTAQDITSQKYVDEFKDIPAGCYYRLVQYRMKGIKQHTYCCPSPPTSSVTEGSLTASRTSPAPAGAACAVDPSPPFDAADADIELVTSDGMRLRVYRSIISLISPVLKDLLDISESGANVGCSPEIASLTSDDHPRNPTLVLSIPENSTIMEPLLRLCYPIGIPQRDDAELLVSLLEGAEKYKMERAVWTIQSRWADFAVTQPLRAFLLSAARRWVEQAKLAARHLLRNTIEELQSIYIADLETTSAEYYHRLFQYHRRCSDAVRAVGNASDAWLSEDIRRIGCPGSSCCNSYSKYEPRWLSGYIFRAATLLIERPSSYTVTEGQGFREMFRLAADECSRCMTRIDVLQAMSQALGKAVDERLEQPLAEISTLHAQTWLSIIDFVYIDSVATRRTSSHLLWSIMAIRKNKNRASEAQPQTPSVPVPKPSPVPIFNRSDADVILRSADQVDFRAHRNILSMASPFFADLFTLPQSQATTDTSTALNVIDLAENSETIQTVLEICYPAESPKISSMDISSAVIEAALKYDIAKVVSFMTTILNSFIATDPLRVYAIACRIGAEDLAGQAAREVSLQDVSSLRYIDEFKGMSAGWYHRLIQGRLMGVSQGSYCRPVSCTPAAHGPPVAPATVSGQNSYPPPFDAAEADVEIVTSDRAHYRVQSSVISLMSPVLHDMVGTTSSTAGGYNGEPREQTKLAIMEDSSDFEPLLRLCYPAGIPEWDRIELLVSLLPVAEKYRMERAIWIIQWRWPEFAASQPLRAYLLASARRWWKPAKHAARNLFRHTIE*
>EED83652
MPRATSDRFSRAHQPSAKAKSNAASSSSSSSGAHNPIFNTERFGQHILKNPQTAQSIVDTANLRPTDKVLEVGPGTGNLTVRILEKAKHVTAVEMDPRMAAELTKRVQGKPEQRKLEIMIGDFVKATLPYFDVCISNTPYQISSPLIFRLLSHRPLFRTAILMFQREFAMRLVARPGSEMWSRLSANVQLYAKVDLVMHVSKNNFRPPPKVESSVIRLVPRDPPPPVEFGEFDGLARIVFGRRNKMIHANFQAKGVMEMLESNWRTWASVNNVMIEDGVDMKKKVEEVLEETTFGESRAAKMDIDDLLKLLSAFHDVGIHFA*
>EED83653
MSSQSSSPAMSAPATPPSIVTNEVTVVSGDFLRAAEPSIVSGATQRRGSVADAVGIDLDAIGKGEALYDSQSVAGKLTNEQRKHGKSCPKSTEYWGSGHHTGNPTKLPDPVKLKELARQDAVRILAERKTNVDPDSPTKANGNGVAKAAKISPPVGGVNLATISAAEACTLMSHEHRALGFRPPPGSLAAEAQAAAAKHPEGPGVSVDPDTLKEIALRDAERIKADRELNIVNEVSVSTLGKVGAERIAEAEEEALGRSPPPGSLADEAKRAANAHPEGGSFPVMDGDPERLEDAARRDAQRLEAETQGDIEKPVEIRVEDVAAVTNGVCEISIDGGEKKENPEKYAMKNGVGSVLRQSTMQRTETGDSVEIVGDVL*
>EED83654
MGIHHALLYFANRLLPHQRSGAMSSLIKCVNSISGFAPASLEGPSEWMGESILLEEIKVFDRDGQAAQDADVLSVQSQIGSDGKGGEPNEANEADAGGRASVTYEPLPAESKLDEELVRKVLWDALETKQKPDDARAKCAKEVWEFEESLVEKWKEDINNLLLFSGLFSAVLTRFIVPFYVALAVTQTLISMSVHLSVVAADAGHTAIANWLISLSADSQSSPGPSSTTVAVAVLWFAALIISLGAASVAISISQWLHHHVNGTSKASQGSVRVWFFRRRGLTRWGVEQAVTVLPLLLRFALVLFLVGLDILLWTLSTAWWFFRFWRWTMGKLENSRFNPIHRRVRVDRGHEYVIRRIWNCCCRIEEWRLMRDLPPLSDWRELDNFCMQTLEDDNKIQMRMLAEADSCVMDESFLSTVVRPCLQQADISEALPTFYKILDRRAHDHDEDNTTLWWAAEQDHQAVGTLGHMSIDIFNKVTSSNMNDKKREQKRILKLVNSLLGAMPRTMPMRRTSDEVRVYIAPLVVRYYPKFNDGHVDKSDIQTVLTLLPIALRELSIVQFLQYASSAFHWAARCGKIPVPFGMEMVAQESRETDAWAHADTVFKACACLATQDASLLTKDIVDALAGCAARCPPDNVRLLPRLLYTEDGEVTVKSRSRAARGALWRVVILRTLTARPIIAITVFRLLIRAVQGLVFDGRIILLRGRTPSTTIAMGAFLRCYYSVFFVNANSEVYLEAFERLGKLADGFAQPFTTDRMLVEEYREV*
>EED83655
MHEVNAKCAREARGTSHEAIYRVGVNTTRRRFGIVALDLRSSITALYKAFSPWLPWNSGGDPAVVVGGSTTDGLLAIDVEQGLPVHDHLAVPQWTSRAGDSYQPSGSTTAAASIVTSNAHDAPGDKTEGDPHDKAEGDPHNRVEGAPRDKVEGDLHDRVEEDLRDRIEEVARDRIEEVVRDGVEEVVRDRVEGDLHNKLDSEQDKSDTKQEDSKFTPAPVSTGLRDLWSICAEKVWHHEENVVRKWKDNISTLLVFDGFIVAFYPMLRPDPQTEVLLIISAQLGAGSYKLTDQQQASLNDAAATGIASSSTKFTSSMWFASMICGISTASVAIAVGQWLHHHLDRPSVMSQKSVQTWWYRHQALKKWQVHLIIDALSFMLQLSMVLFIVGLLRQLWTLNLTVAAVSTTMFVLFVAPTIISVFVPAFAPDCPYKSRTAWLIFWIFRSIWQAQWYVKARKVANWREFEDYSMRTVTPTEGSQKDIMILAAADEVIMDEELLTAVVQPWIQQNRFDTTFPVLCRILEHRAHEIDPRPDAPWPQLKWTSVRQDSAAIIAMAMLCVHLLSNKKDFPSGDAKVSRIMDHLLHLVHVMPLTDAAIEVCEQATNVLSRIPQSWGYGIQQKQLIPVLIELAPDADGCFLEYVVPTWIEKIDPKEVATALTIVQLLRDNKHLKEGHADHAMAKIILTLSAKAEHGPVQLLLLDELRVSLKGMPMRKQPDAYCALIKTIIVGGALLKEEREKRIDIAYTFKYKFPVGVDDVKALLLLLSPDSELKVTDMLKLSSTAFNLARGLSPSEAVDVVPAVYAAITAVSEYLGLPDTHFTQRKLQESNAVILFDSLFRAIDGLFRTHSRLVNQEVVDSFATLSGVPGSELFLQQSDKERCSAKTSAIKRLENMRERLAQNHNCPAYQTSIGEPTIAERYPEGDVWRSSVANARGYALLGFEVSKGRRAFLVTSDAMRGEITGSTPHKEAPEQHKRSKK*
>EED83656
MAYPLY*
>EED83657
MPSTTATGPKWPYVALHLRVRHDVTLPMDLMRATRSYHFWSPPRGYFRQEFAAFGFPKTSPVVTRLQAREAASRSAGENLDSSSQTHSTPSPTIPGNFDRDEEDEIDQELQDESLLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDTEVERRVEEQKRLAEEEARRVEEAAKRAKAAEDCRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLDKGKGRARVDEEVAELSDDPSIKTPRTVECPFVMTEVDMATAATVKRQAGQKCDRCAGYRSAPVDCVWVENATTCERCAQFQQGCYFDKVSVLGKTRKTRGGGSTTKKRIRPTSPGPSVADSSGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGAVDED*
>EED83658
MKHIALLLSAVLCCIPLPVASQLAASVAYAPVLTPCPSGVSLLREVGTVDQSLSDGEHAYVAGRRSEVLPGAWGSYLTSVLKSTTGVTSLPEYVTDILGGTYGERGYPHFGIATSGGGYRAAIFGAGVLNTLDGRNTTSVQAGTGGLLQAATYLAGLSGGSWLVTSLAQANFPTLPDLIFGPSASGKDSFGGWLTQFDLLAPSDDPLVDGVYLLDVVAEIMGKHSAGFPVTISDVWARTLSRHFVNGTDASNFWDDTLKHGAGLTFSSVADLNTFANYAQPFPIIIADSLSPNGNESNVLNETDIDVPLTNPIYEINVFEFGSFDPMLAAFTPTEYLGSPNDSLCTTNFDQLCFIEAMSSNAYNVYNTSNLWLRRSRN*
>EED83659
MAKGVLNSDGCFFVGTMLATWLYGCSLAQTMYYMKTYSKDRIYLKILMTWVWLIIGHANPVVVLVLPSFFISNIWRRSLVYNISSKGLVSGGNLNFDFITNRNNCLESIVQLLSFINLGKNNSMPLIADTIHVMAPLPVLNVRHHIVDSVADAFTPMSDPVSISSL*
>EED83660
MDTAIPPTPVGCVSMRHILLSEILAVTSVMRKNSRWALSTHSFKSRESALANSLGLRRVRNVPEGNATRRGSTEQELMGGFQELKRIVKDAEDVRTLPLTTLLGPFLAIIRSPLSTGPITSAALTSIHNFFVCNLIHVSSNNLPAALSELSNSISRCKFETSDSSGDEVVLLKIMAVIQETLCGSIGHTLGDVEVCEMLETVLTTCCQMRLSDPVTEEAKLSSNGYDVVEQEGGMSISNNSIAEVADESGVSGSQSAETLAQQEPLEPQAQVQREQYGLASIIELLRVVINVLDPNDPLHTDSIRLTALRILNVALEVSGTRICEFPSLSALIVDQACKFLFQLARSDHHAVLQATLRTIATMFETMRPKLKLQQELFLAFTIDRLAPPAPAKASSGLGAKSAGASPRPSTPIPPGLDSETEKAPSTPRLLVAPARGDTRELLLETLAQISRHPSFMVDLYTNYDCDMNCENMFERVIEFATKGIYPSQSLGGHEGPQQNAQGLCLDLVLSFVNHMAFRAQGQTDPWSTAFTSPKELQHTKSRKKLLLTGTARFNAKPKTGIAFLEENKLIYTDPNEPRPLSLAKFLKSSARMDKRLLGDFLSRQENNEVLKAFMGLLDFGNKSVAEALRELLETFRLPGESQQIDRITETFAESYFATNPPEIKSQDAVYVLAFSIIMLNTDLHNPQVRKRMTIEDYMRNLRSVNAGDDFSPEFLRNIYDSIRKREIIMPEEHTGQAGFEYAWKDLLARSRQTGDLMICNTSLFDIDMFKAVWRPVVSAIAYAFITFDDDYIIERAITGFRQCATLARHFGMPDVFDYVVVQLSQATGLVSEMSTSQVPNYPVVDNDGQPITVSSLSVRFGTNLKGQLAAVVLFNIVNGNGNALREGWTQIFEMFQTLFLHSLLPTQMLQMEDFLGGVSIIPLRRSQPARAAPRSDGLLSALSSYLMTPYSSSSETLVPEATDSDVEHTLSTIDCINSCRLDGLYSQIMQLDSDALVAAVRALEALAHERTVARLKQEADEMPQGDAVAQDGPYALPYDPASVFLLETMVSIASQTPQYIEEVWPVIFEHLSALLSTAMQYSILLIERAVVGLLRLCYILAQKPSLRDQVFVSFDVLAGLPPQIASAVAEQIVAGLTRIVRDHKDIVKSQTEWNLVFALIRATIQHAEASRQSFELVSALLSDAPEQRVTPDNVTGLVTVLDDFVTAASAVVEAQQQGRRIQTLNTSNSPVVERGRKAIDMLADLKRFWAPFMENTSIPQEQVWRQYCLPILTSLGRQCINVSREVRHAALVHLQRIILGPHLPLDIMNHSQVEEVFDKVLFPLLDELLKPQVLMRDPLSLPEARLRASALLCKAFMHLEAREGQQSDIRVLWMRVLDLLERLMHVDRRDPLREAVPESLKNVLLVMSATGILVPPSSPDSRRDDAQQQLWTTTHEKIERFLPGFLDDVLPSPAPSPLPYMDTSLPSAPATPIPS*
>EED83661
MNPSTSTIVEETANRALAKSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDNSSRTHSTPSPTIPGDFDRDEEDEIDQELQDDFDEELIPSTAEERTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEYPIPATSNLVLPTPSSFRAHAQPPIASSSRLPVIPTSDLAPPPPLTPSNAASNSNPAPPAPTIPSTTTTSSSSPAPTTTTNMSQNTNAPLMPPRGHSTAPSFDPSEVRLLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSSTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTPATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDQIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSRGVVAPSSTSTSTAPPPTIPAAAPAPSSSSPTQSTSHPITTSAPPAPPVHPFANARDATYTPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTILSGVANRIF*
>EED83662
MSPKVWFITGSSTGFGRSMTELILKKGDIAVATLRQPDALSDLVAKYPADQLLVLKLDVAKPEEVSAAFSSAVAKFGRIDVVLNNAAYFALGEVEGTPDEIAQYMFRVNFWGAMYVSKEAIKVFREVNKPAGGRLLQISSVAGIIATAGLGYYVASKHALEGLSDTLAAEMDPEWNIKASCLPLTQNAAHQPGAFRTNAIGNLVKLPQPPAYIKPTLPANITRGHLQTPFGADPDKAAEKMYRLSELHDPPLHFPLGKDAVVVMRKKIAAISVDFDQYESWSDDL*
>EED83663
MFCGSELKVGHNLRSCTTAIEVASCKLEGQTIVMIDTPGFDDSERSQADILKQIADYLEFSYGHGQKLTGLIYLHRISDDRFGGIARENFRLFSKICGDDAMRNVLIVTTMWEEVHQEVGERREGELMNKEIFFKGALNHGARMCRHHNTVLSARNTVRELLGLSPRVVQMQHEIVDECKDLPQTAAGEELQSELE
>EED83664
MALARGGFGSRTAIGKQSHGGQSHRRPHDGAMDVGDTFYEDEKPEDIPLESYSESDRQHEDAYDNGIGRPKFPDPVTFSSGQADYIRLGKNLSTDIDRMSDKDVQPWGNENGFKPSFRMKCADSSAPLKYYFASKQLNIPSKVTKEGLVRKVDDCLWEIISVSVSFSDPSMATHIIDICSGITQWG*
>EED83665
MGWTS*
>EED83666
MPSSSASRSAATREYNLQQSHPWYPVPVLARVSSYTSMGNALVPRPYKSQRGHFRGNEPISYRRPGMPQGVLLSACLAQEFRMEGMNEPAFPSLPGVKSQLRMNLSTRLQMQASNNPYKVHGNRGPENNEWKIGPDHIRFEDIVLVGVDHVSHSSLQAQFEIIPRR*
>EED83667
MAKIMTKQVMQGLVQEQEVSEQQLVLLAASNVTISGIRPSYDKNGNSYPTCGLTCAGKYKIAARGSHGSGLGHGTAWLCVMSRLTTSKQNLRRRSSTILDMSSLRVLLSGLCCISHLRHDLSQYSLFWRGRQHEMQLLLPPGETEASQSVRADVPRRVACKLPNVDHSTVLMVETKFNNAWKPPNTVTKPQIKYVYKIIESVTLTKPYDAYRWGMWARRRCVKSFPSSCNSVKKGLGAELRAQLAGTPSRIPHRRDAKSAKRIEIGGIHGISNCIETSSH*
>EED83668
MPIEMLSLIIENGFKEADNLTRVRFVLRAASVSHTWRQLVLSTPSLWTSIHVSLPYRPPITFLSTMLERSRALSLDIDIDWVHPGLPHLRRPELQYPPELGADYIHGVMNLLTGHMVRWRSLDMCTDYDEPETNLLSLLIGTAPVLKKFHLYCMDIFPSTEDDDDAVDLRRFEAPHLISLHLESLPSDEDLARAIKRFPSVAEVTWEEKSEPWLRYEGLQLMQIFQPLRALKRLTLGGLDFEDWNPNPPSNTAVQTSLPTLEVIEFYNTEYYILGDILVNILAPCLRQVIIHDAQHVDDIGSTFQGFWNHSTRFPRLHSLVLDRATSSCGRIPDAGALWAVFGFFHSIRIVTCKHRRCDPEAILHSLSFAHRDGWYFPRLKRLTVYPTSEVIVDLLRRIVHNRVQAEALAPREGVTRLETLEVHSEVPIDQSDADDLAKAVSTLKWMSEKPDWEVNKDRFLGQYYGVELRFILHSSAWDMNLGGSWNSNRRNTSSIETVWVTEVVTSTGLSTAVAVVEPSSFVTSLLLSPPAPPSPALPVSSLSPSPAPSVFTEILTNTVTATLTEPPATITVSAPPQTVTDVVTMSPLPPALVPQTAWSAPSQMTDLAAFNVTNFASGQKNLHIFDVIPVDTTVVTLAAMANAVVGNLSSVNAAPAQSNSTAVMQLFYPANSINPANEPQGGADFYATPLDLHDAQNVSLEYSIFFPADFDWVQGGKLPGIYGGHTGCSGGDAAVTCFSTRLMWRAGGAGELYLYAPKDKQTAALCSTPPQSVCDAAYGLSIGRGSFNFTAGGWTRIRQTVALNTPGQQDGGFVLEVNGLPVINRSDVYYRDAPAPSVNPSSGDGDDDGDGDGDASDDDSDDSDDDSDDDGDSDDGDSDGSLGDILGNLLARVALWPERGVLVLRDAIQDSQSTLLPSPTALAQLQVTDAAASAVLAGFMPTSQANVTVVPEMSLVRSTSTVQAALITEVVTPTATMTTVVYPSATPNDIMFAIEDVEEASQPVRFTGLFFSTFFGGHEPEFATPKDQYAWFKDFSMTINN*
>EED83669
MPIEIFSLIIESGSEESDSLMRVRFVLRAASVSRAWRQLVLSTPSLWTTIHVSLPYRPPITILSTMLERSRALTLDIDIDWVHPGVPYLRQPVSQDLPEPDADYIHGVMNLLTGHMVRWRSLDMCTDYDEPETNLLPLLIGTAPVLKTFRLFYEDTFLSSEEEEEDAAVDFRRFAAPHLDFLHLESLPSCEDLASAIKQFPSVTEVTWCEKLEPWLRYEGPQLMQIFEPLRALRRLNLGALGFEDWDAWPPSDTVVQTSLPALEVIEFCDTAYHIVGDILANILAPCLRQVNILDAQHVDDIGSTFQGFFNHSTRFPHLHSLVLEVSPPSIGCIPDAGSLWAVFGFFRSIRIVACEYRRCYLEDILRSLSFAHTDGWYFPRLKRLTAEAEAPGEGVTRLETLKVYGKVPIDQSAVDDLKKAVSTLKWTSGKPNWDVDKYPFGQYHSIELKPSVSPEANCDLEPERRLNWNPDSELDQEGIIRKAQSPS*
>EED83670
MASSRKKSKATPARTAGAPRTPPRSPKSTAARLEEIVASTGSTPASAKVSAQVTHAVLGTEGKDLRKKYQQRLNNEMVGHWVQVKKRDFMTDHVPGDEPSEEELAELKSVCFDKKVFKGNDESKMYTIFCKGAKKALDLCPESGEKLVAKNTSRYPDQNNDDEGGKHRPDVVLYPAEKTVQDRYTFTTKELKKIAAKEKQAREKAHGGHTSTQQELSRLDAEERKKYLARTAWGWASLIVEFKAANSDGHPFSTSVSPDKWLPNTELSAETRGQIADYAATVLRYQPRCFCFMIVISGCSARLLRWDRCGAIVSEPFDFVEDPELLMTFLYKYGRMSQAQRGYDPTVQEATPAEIKTMKDWKKKAVKTKRMSKYHAQCFEAAMGEAWPIYKVIVPKEDVVCDASLRPKDAGGSSTDSITATSDHEYLFGKPLNTTRSPTGRGMAGHAAYDIQNRRLVYLKSSWRADLEKSHQEHEIYIRLWQNGVRYIARPVSGGDVRSSRYSDDSVQRTLTQKYIKAADPKSEAVGRVHYRLICDQVYRPLETYKNGFEMTEVLCYAILAHRDAWEKADVLHRDISVGNILLEDYIDEEGNPQVRGILNDWDMAKLRIELGGKPTQASRSGTWQFMSALLLKYPDQKQHEVADDMESFVHLTNWLVLKWHKHEFSGQTRRLFDHVNDVYDAFSRYDKFDVGGENKLKQMKCKDAPFEVVHSPVLAQLMESLAKLCYEHHNAIKADVKRMGQERRKKNVQIPAVQTSNVNSVGRYKNPFASEPGVYLEEYVALVEADQAPEPSKRVMDDHAHLFTIFQRALLKHSDSRLEPDKTQDQFKPFADPFTGAQTRYGSMKRGPDHDTEDEGPSSKRAKGSAKNA*
>EED83671
MSQPNHDFLAPAEYGLLADFSPWPSPADFSVTLPVQNTGSSFIEGNHAAEMALPVYYSPYDSSQAATSPLNPVPTNSVATWQPQVERNSSQSTPVTPLRRLRVPQTIQASQNSRPFYSVEFQVGGSCGVRIRDVLKERVRVDRSAERVFDSVGVRQFRLVIAWPGYSNSGTYIPVQSNGGYINRGRLATLICLHIARFMNRASAREWTIGRRGIKVENVWLLSVSPALSNIWLAEIEVQL*
>EED83672
MAAVASSRLATLTRLRCSIFQTSYNPTSIRTGAKYLRARLRGPSMVEYYPPEVSIAQFNRMSGGDWRIVDPQEDMRLADVEAKKRRGKGAPKKAKSKGAYIVQLLGYGICTSGC*
>EED83673
MTAHVREKQQVAPDESGIGPWSEELVRISHCTTVTAREHLVSGAQSELAMEIRLERLRLQEALCARDAVAKHFTTACTSIREKTATIERLQYEKGDLGKQLKLLNGRQATLYAPNTTTQIAEDKRKLVTEVARLAEVLHGMQDEISKTSKPDWEVSVHRILSLIHDSHSLGALRDATNDGPSLLSPMSPSPAMTRSPRTPQADLAMEFQRLTIEDSTRADHTVEQAHAGGPDAMERIRARNATLAALPLPSETPPDVLRPIVIPSPFTFQDFLGTTTVESTTSWCPEREEHGYFLTPMYKCHTNPRVTTAHQWTAADIDSKLDKPTECFYNKDGKWYYAGVYKAFWLDQLSTHEWDALSTETAQALIKETLAGRRNTSAQNAYETGQLYAAGALKVGCIGLQCIGFNEGLYVMVMEQAGKCGQTGRWRIGTSCGGHSPGATWTTMTMPLSPKMGSAIATSGARMESKLDHVVDDSLQFADVLLWPEEVSLLCRTQVLHQAGRSSKQMRQTLGALHRALRLGHD*
>EED83674
MSKASIDNELRLRGEISQYMYGKHKAISWALQRLSFNICCPRDLSFDLLSLDQCKLDSMAFRTPVDKEVLKLLLPLRYDGKTIIECNRFLSQLRIYWQVNMALTTIKLKVQVALSLPDGNARTWATPLFTQLASVQVGVQGVTTPFANKVAFATAFKACFGNLDDATAAQVELAKLCADKSMHERRTTTEFSALFKGLADCSKYGDLELRDKYLSGIPSRVY*
>EED83675
MSLQQQEWLSGTYATLAADISPFGWVDTCRTPPTTHEGKEFASVRGGPTVQRVAVHGMAMRAWSSVGMGTLAAPLAGMLGIIWSMQPCLSVAAGRDGAPGYSGGHHMCGSMSAPHGWLWKGVVELETAGSPLLQYDRADRCFVTSTKRAPIYPRGGTWCRATGPNPQVVAHQELVSYYQRHPPAHPEDVFTILRIDIEPIQTAESAQSPIYEQPLELPEVQYVPIKIPDIEPPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVTTNNTRLSDEAKIAFTVALMRKDLGKTWVDAYYEKSAGGVQTSLPL*
>EED83676
MPRVPSVSPATGNAVPPDFIHTIESSFVDNAGRTLLLRGVNLSGADKAPAGKPSYILDDFWESGENGEGSFIGRPLNLEDGSADVHLARLRGWGYNMIRYVVTWEALEHAGPGQLDYEFMDYTVQVLRKCKEYSFKVYMDPHQDIWSRFSGGSGAPYWTLPASGLHPRHFTPTQAAIVHCEYPTASEPDPVNFPAMIWGTNYGRLASQTLFTLFFAGRVFAPKCVIDGQNIQDYLQSHYIAAFSALADRIRDAGDLLDECVIGWDSMNEPYEGFCGYDDLNTVPTKQTSTLKKGTFPTPAQSFRLGMGRAQTVDNWKFGSMGPSRDGSVTIDPRGLKVWADPESEPDGVHPRWGWRRDAGWQLGTCIWAQHGVWDVETGDVLVPDYFKTPPFVTNHSAHFIETYWLPHWQAFATRLRQSHPESIMFVAPPVFAQPPAIGEELLRGRCCYSAHYYDGLTLITRHWNWFNADALGLLRGKYSSTLPAVKIGESAIRKSLQEQLGILKDDAPILGPYPTIIGEIGIPYDMDGKRSYGYTDGGKYKGDYSSQQKALDASLNAADGPNALNYTIWNYCPDNSHQWGDGWNMEDLSLWSPEDLRPR
>EED83677
MSQTTNTPLMPPRGHSTAPSFDPSEVHSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQNRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQAIASTSNASTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQATVHIHENTGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTTAIPRSAEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPAPSSSSPTQSTSQPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQRSNQVPRRRHP*
>EED83678
MAYLLY*
>EED83679
MVVEMGGGYAAWSVATWGMEDTKADEVASSMLCTLLRMEDDVSYIGNEFQGKRKQGIELEKAWERERNAVQSSFVRRGDTMVYARAVSSRYLYEDLPAPASLRDMRCVTHEGRVRDRDDPAMFEEIRKYLQEGELPERCANDSRVRGTFVRLARSFILNDRRLWRVSKGELPKLVVLDVERRREIIAEAHNDCGHRSRDPTFVTTWYGA*
>EED83680
MTASQPSSPSRPRSNTNTNPPTDATVLALQNAQRLLATRMTTVAPVPMPAPGSRRAPRFKGKELEAFLVDFEKLAKRAGLKDDDLPTEVLSYCSTSVRELLRRNAKFKGKDWDEAKKAMRLFYRDKSEEQVTVVGLRDFAERMRRKNKVNTSRALDEYAIAFGKRMGDLVAQGQMPDKERDVLFFRGLGKDIRTAIRPELRQLKGKKPLVLDPPTMEEVLKEAQDHFNVLDIDYDPESEDDDSGSDTDDNDSELSGNESNSSGVSDSKGKDKKRAGKSNSSGVSDSKGKDKKRAGKVLQVKTVKTRATTPDSGVLALEGVARLAEQVRKLTLTMGQSQGASSTVNSGLTNMPSSFSEGGRFCWGCGKKEGIDLDHALNIKRCPQTLDLMREGLVRFSQETGRLVRADGSQLPPSNGMPGGFAAILRREQCAKDRDREAPPHQQNKAANVFAMGLMRDNEDVLRGNVFAASCEEVFSFPVQTRAQSKAAGKETLTNEIQKSVRFEFEHVGGKPGEQSKESTVTVREPAAPTRLTPAKDKPSLESEVRPHRANSETGWREREQKKREAQREARRQGGGDERPKLGKIPHFRFTSDVQDGISLDGVRDKILDTSVSLPLREILGMAPELQKRFAALTRTRKEVMVQSIKLQEEEVVKSEEEEDKQDRSDELGTAMVAYSTAEELYGLLERYAGAVAVGSRRYYAMACGVLEGKFGSEQVTFLINSGSELNLITRRVWEQSGVDMDSDGSRWSLKGINGDPVPLLGCCRDASVEIDGWHFDHHFFVSTREFGNYDGILGQPWLQWHSTQVEYNRGGGAVNLLIYPTGDRADEPIKLKILGSTHQRNSDKLIM
>EED83681
MGPTGSGKSTFTNAVCDQQFAEVGHGLGSCTTAVQPIPFKFAGEQVTLVDTPGFDDTVRPQADVLKEIATFLEKTYERGKRFTAVIYMHRITDRRMTGISMETFRLFRKICGDDAMKNVTIVTNMWEEVDEKVGQKREEEIKSNFFKDAFDHGAKMCRHYGTPDSAKDIVRQTLQLDPRVLRVQDEMVKEKKSVPDTDAGIQLLKELDAETERRQKEI
>EED83682
MSQNTNAPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHKFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTILLGIANRIF*
>EED83683
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNEQLFELPDVQYIPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILMKLPEQQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNCTENDYHTLHANFVKGLPKELYVSLATRVARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAGRKPVLLLPHQGPQRQGLPQESGRTTRGWKAEPGRIWEGRFPCQN*
>EED83684
MFCKASLLIVALALVASASPITQETGIRIPLAKRNSLTKADGTFDRDAAIRQRNLINLNRNVGLEHFNEGAYIPPLATVPASVQARQSESLKDQEENTEWTGTVSIGTPAQKFTIDFDTGSSDLWVPSSSCSGCKASSSYKASSSSSSKKKSGTFQIEYGDGSEASGPIYADTVSVAAVKVTGQTLSAVTSESGDLVGDAADGLLGMGFPAISSLNADPFFQTAVEQGAVSEGVFAFKLASSGSELYLGGTDSSLYSGSIEYHALSSSVGYWQIGGASAIVNGETAASGFQTIIDSGTTLMYGPPSAVKKLYGNIQGSKVYDSDEGLYSFPCDNAPSIAFSWGGKLWSISADDFNIGSAGSGQCVGALGGQDLGLGTNVWLLGDTLMMNTYTAFSTNRNAVGFAELS*
>EED83685
MLGCSPPHIIHKSVRREDQELFARAIADELVAHELGLDRLLAARASENDGLYTRGIGRNKIRLQGLGVRVSPGYTHYARAAAQAHTARSEVFSFEPIPKYAGPDEMHRSSHSHFPRVPARLNGGYAGDPSSMSYRIWEHTNIMENGVQPLKPAVPKHVGREHLEHLRLGIEAANRKRAHHLAPVIGKAMEGIEQIGSSLKREDQELLTRAVEVLSARELDEELYAREGFALDELE*
>EED83686
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRDYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHHFQPLLPRSIRAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSRFLQATPRSTTIPADRQPNPGWTPKGSCRRCGSSRHWVRDCRKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAVPIPPPRSANPEPPTSSVAGPLRPHPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQPNKDTVLLLSEPIRGLDGKMIYEIPVAKGTEVLVGMWACNVNKSLWGEDSLEWKPERWLSDLPDALKQASVPGVYSNILYYAFSGFKFSEMEMMWNMGPVNYPTVGKDNNTPRLPLKVGVYQAP*
>EED83687
MTRTYQSGIPAKPYREDPGQPHWYPSVFNNEQVRDFIRTVLEREPANLQPPVPSEPISFTLTVSNPTESGSLHGWQIYQLVTPGRLAKLMVTIQGASVVVKTANVASFAIRHRALNSVSHLNIDGDDVLLSNLIKNSSTDNAYFSQREGSWKDLTTITLRSVAHRT*
>EED83688
MVQGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNKQPFELPDVQYIPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLHLDIPAPEPFSGKAEDLRRFIQCVLSYFVTTNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILIKLPERQKNKKTALSLGNYVTCFEQLASKAQLKDAEVNGVNCTENDYHTLHANFVKGLPKELYVSLATRVARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAGREPVLLLPHQGPQRQGLPQESGRTTRGWKAEPGRIWEGRLPCQNQGTLR*
>EED83689
MKHLALLLSAVLCCIPLPVASQLAASVAYAPVLTPCPSGVSLLREVGTVDQSLSDGEHAYVAGRRSEVLPGAWGSYLAAVLKSMTGVTNLPEYVTDILGGTYGERGYPHFGIVTSGGGYRAAIFGAGVLNTLDGRNTTSVEAGTGGLLQAATYLAGLSGGSWLVTSLAQANFPTLPDLIFGPSASGKDSFGGWLTQFDLLAPSDDPLVDGVYLLDVVAEIMGKHSAGFPVTISDVWARTLSRHFVNGTDASNFWDDTLKHGAGLTLSSIADLNTFANYAQPFPIIIADSLSPNGNESNVLNETDIDVPLTNPIYEINVFEFGSFDPTLSAFTPTEYLGSPNDSLCATNVDQLCFIEAMSSNAYNVYNTSVSSQRTGAPVGDANLAYKAAAAVMELVVEAFSQLIPESKVELDVAIVPNPFHGVAPSTYIDSNETLLQLVDGGEDGETVPFMPLLVKARNVEVIFAIDVHRRQAADTEDNWTAGLSIIATQDRVAFFTDTYSFPPVPPLESTFLEYNLTKRPTFFGCESTVESGEPLVIYLANGGPPLGQPPLTNTSTAQFTYSADEIDKMLSQTFDIATQGIPEGLEKDPLWPACLACAVVDRSRRRERIERSGVCESCMERYCWS*
>EED83690
MRSFAIVCLSIYYGTVKIDRLHGIWEDLWSGLKTASGPHSATHQIQPSDTIYIEGSCITIVDTPGLDDTTMTSNEAFGILVDFLIRQFDKNEPFHGVILMHRISDNRIGTSTRQHFRVFQELCGHDALRSCAVVFNMWDTVRSDIRNAREAELCHRDVFLGRAVSSGAHVFHHDNTEESARKILRHFAKRDPITLLLQHELLMHPYNTSVRLALLGDRTKSPRGGVAARCSTIRTPGQKEGETEPDYRAARTHNHIHLATGNRGSFTAWPHYQIPNATASAVARYRECKRSSTSQIHECLSQVLVVDGGQKRFGFPLYPSR*
>EED83691
MKSISMSSPLLFLLVLCLSTFGLVSAAPLREYEYWARSDPYAEAYYKARDWAEYPTFAVRASNPAPPAKPPTLNFKWSKNAEDNLKSQTAEEFHGQDPKTAQENIVKDYWQRTAAVAHATTAKIIRAAHKGGTDPTEPDHITVGFKDAKKNEISGYAYHVYTGRT*
>EED83692
MPCYDPSERGGRSAAKPFALLRAAWNRDREDSIHHGLERLVFLVASAGRLLRSEARLLGACV*
>EED83693
MSDYYLLTQNNVWLPNEQMTNVHDGLSLEWALTTSSDSSFQERALVYFSSRGSQGIPLLDLSKLDNADDPAFSAESVGTPGKVQKVQIRFIVREYLVRSPDKMVTLFEPTGCVDISPTYAPRQVTIKTRTNVVTTKRLAEFVQKEIREVYERFAVSNGSEKILGEQRIKLVDIFLVGIKLVSKGSIEPILEYEPRSSR*
>EED83694
MSLHSRWNTLCIFGVLPSFPPFDHSPVILPALEILEVTSGGSYATGIMRLFASISAPKLHRLVMIDRWSEDTPLFRDLQQQRDHYPCLRSLVVKGNKYVSAFPNCRTLWKTFQSGIFLSIKVVATTASLEESPFPTDPGEPFNPDNMLEDLASPHDGEWYFPKLKALTISHTSDVSGSALLRLVANRMQAKNTDPDKGVIQLEEIRVHSNTSLDQSDVDELRKSLSNFVQTREGLDWEECHSIDEYHAIELSLTHLAELSEHLRDQLDQPVNTNRPPARSDRDLLRERLVSRPLALPSVSHQMHPHRIPSCSSCRLGAPEARAPRAPPSSLAGWPLAICQRRHHSVRIDRTFPSIERAPIEVLNAVFDAVFDEGQKSLDRFARVQFLLAVMSIFLPWRAAARDIPALTLPIGLVQLSHTQLLDVYVVKIVRLISDGMHTINKVLVHKRVPSRIPDVQGSRSYIFETMHMLQCRPTSHSIPAGWSDQSIINEGVRSLTSNGLSGLQFQGVELFTDTLSSTSGVGNAQEFVARWLRMQSLLEEIEFLDDCDYSVAAASLIAEDPNPESGSRALPPAGPHIFVSSSCRRTSTGITTVPLSDLTPLDKLPIEIFSLIIEIGFEEIDILTRVRFVLHAASVSRTWRQLVLSTPLLWTTIHVSLPYRPPITFLSAMLERSRALTLDIDIDWVHPGLPHLRRPELQYPPELGVDYIHGVMSLLTGHIVRWRSLDICTDHDDPETNLSSLLIGTAPVLKKFRLYCTDIFPSTEDDDDAVDLRRFEAPHLISLHLESLPSDEDLARAIKRFPSVAEVTWEEKSEPWLRYEGLQLMQIFQPLRALRRLTLGGLDFADWNPPSDTAVQASLPTLEVIEFYDASYYILGDILETILAPCLRQVIIRDAEHDDDLSFTFLGFWNHSNRLPRLHSLVLEVSPHSHDCIPDAGALWAVFGFFHSIRIVTSTIGSYSPDVLLDTLSTPKKDGWQFPMLKALTVHYTSEIMVESLHRLVRNRNQAEISVSGEGVMRLETLAVHSPMPISQSDLVDFTQNVSKFTWAPTKPDWDINKDHFPDQYCAMELNNGLVPAADRIPFDMLQLIFEEAVESSDPRTRMRFSLAVASVSRTWRATAHNVAVMWTTIYISYLTPPPIEVIRQMLQRSQARPLEVYVDWVLNQAPSHDEPGVYWKPRVALAHSAGPHNMSYISKAIHLLTDHVRRWRTADVMWTGPRKFLAMASGKLRIAFIHPDLGIGGAERLVVDAALGLQQLGHEVDIYTSHHDPEHCFDETRDAHFPSISSTPKVVYPGINLAAYETKEVDGQDPDVLQVTSTQDRDRPTLLSLNRFEGKKNAALAVNAFARLRKNLATGSPGNMRLVIAGGYDPRLEDNMMTLVGLIDGAKAHTLTFNILTPSTSHVTIPPFDLTPTDPDVLFLLNFTTAQRSALLSASSTLALLYTPTNEHFGIGPVEAMVCGLPVLACNSGGPTESVVDAPPDERTGWLRPPDAEKWADALREIMALSDGEREALGERARRRAQEHFGMEAMAQGLEGALQEGVAMGPVMASSALMVFWAILAVLAAFLVRLIS*
>EED83695
MLQPSYSDIQTTHGIDTEQRSLHGRRYGYYDGATGLYEFGWARSFHFCRFYKGEAFQAGLARHEHYLAAKMGLRPGMRILDVGCGVGGPAREIARFADVTIIGLNNNDFQIGAARKYTKHAGLDNLVSFVKGDFMKLSEQFGENSFDAVYAIEATVHAPTFEGVYGEIMKVLKPGGVFGVYEWVMTDAWNPSITSHKELANRIEIGNGIPEMRPLDQARLAMRNVGFTIEHEEDLAERPDDIPWYYPLEGDVTKAQTAWDYLTVWRCSWSGKIVTHYGLWFIEQLGVVPKGTWEVGETLKIALDALVEGGRSKLFTPMFLAICRKPTIKN*
>EED83696
MSNTIVPHPQLTIPAQPGLQHQPPQIQKKLLAIGEPFTDKYFTWKVEGYDRRQRLRNDPGRDPQQPRSTVFVPSSVQPSLPLGEQGKARTIPETREPSDAQIHDGSRGSKYDMLDLSSGRSSGRDALPSRGQSAALHRTRTGRQRDARPAAPPARLAPQACSSPASPDPVMQELRRTVRTTYTPRRSYPLRPGADGEGRLDGRSQRRATRPTLPPFAHLVRTTASEDAAQQQPQHHSGPSSVSAIRNAAGGCHREDDEALDARVSPSTGLCSFFLSSSYEQIVSMCVMNAVTLCKYKECPANNRYKQRHAVQVSGHIFRKTGQGSGETNTVVRCSRQFASHRHAVARTGTQDGTSGLWGSARRDGPRPAVVEEEHKQLRVATPLEAGVRLTQELAKRTALVHKINRLRGTHEHARARHTITHDGFARLKHQAPRNVGRLFCGHHAGRVGFLAVQSLWSSQGPDESRHSPRIPSGVSPGTHLTSGSYRHTSRRPAGKPEGGPDGEDLTQICTEFEIDMMYASEDIYGTTGVGAAEIKARVMIGMGSGRWAGSCGICGIDMDSYEAQTPFSHSTRQRALWWRRTR*
>EED83697
MYMQYLRAGKVLYSQTFHGYPLSDSNQKRPSSVHLLQKKLAHQSTGTKGMCIANCLGKRSTRLVRMGHGYNYGNGEETLYYRRFGQGSLRTVYERKSWRYVYIPYHVNPDVCWDIGIEFLEEHDGCATDILLPQQASSGFPLLQICERVAMSPEPHIQTPESEDVSTEGQSSVDTVFHANFVTQRVRVPQEIYASKDRTFKPRVISAFRIHGAAGIPLAAILRGETEGLEGRALPALPDDMTCTKVSLRILWPGYEPFTKQIMIRTGGRTVSMDRLVEVIAKVLVEFCQKRALVPTSDPAWRLGPGSITVDDMTLPNLYTWSANTENGPAAAVDLEKACRENNGGASLKIGSSKHAEVDTIVGNAIVNTHCVSFRDQETQTQGVQSRCRHKCNAMVYAVTAFVIKSTNIENDADVEGE*
>EED83698
MEGCDSDSTPPEYATQPLRTATTAVIAAVCVVAACTLLLIVLWRTWRVRRPSPPLLQVSLEKPRLWDILSVRADAKAEPWTMWARMLPLSVMRPGEVLLGCTPPRQDGYASKPSRTDGILQVVVVIEMPAQRRYKGQKAQGASASGQSIQDMGGLWNTDLLCATHGHCQELRTVDFESLSLQISYRRKHAYFDRSIDKLANVAVNITIVPLKADAWNHTKALQRRHYSAEHSTSHLNHLQ*
>EED83699
MTLLEPLQPAATQVPPRRTWLELEHARQALGXAPEQLEQLESQDWQEEDVVSKNCDWAQVGRQRPFVSTGRSELQLEHWLKEPPEHVAQSGWHARHEPEELKVLDGHEETHDPLDASLLLAQVKQKVDDPAQVPQEESQAMTEIARRTHTITLVLVVVGDQVRVLAHALAIRRYAAAVEAIRARATNDAVVRCRAVARLTERGALYALCAVTEGLAGADRSAGCGGLCCGALGGIAGALRKTRLAGNTVARTVRTFGAAKCTDWALSQCMNMRIHHFHSPSQFPLESRKYPVAHFAQAVPLDAVVHPALHEHAPSEPQTPLMQSQLDGGLLTSGTRHFPVPCKPWSHFSQSAGQGWHVGPKNPSAQDSHEEPVKPVGQTHLPAVEHTPAPAHGGEHAEDCISSSARGLFSVLDGSCDTSGTESQKMTRSFEEEPANDAHMLDARAKEPAELCVCLELFAEEPLVGNAVKVAWPEKFALGYNAIPGCSSTFSGSETDELAEKPGDAESEALEDVRISWRSAGLVVEEYCPGSKRRFVAAPDADRVAPTAKYQDAGQTWEQLGKLTRCVRESFEALAGNADDRHGLRSCVSWEWDGKKAREHVVQAKGGREWREEKDEQRGKASSAPEIDCMDFIAMVRLLLTMLLSFASLLYSTHCSILGKRLAQFGNRRPQASIDALMLSKDRSDKRSCTSELELLQITFIVFIW*
>EED83700
MHVYILSAIVLAVVVVFYRLLQSDPARTISRIYLTNLEDVLKLLHSPGIPLPELLRTRADANQRLRRAFHLESTFVSADPAVHSAFVKAATELISPRLTWSHFAEIAVHAASLCLPSSQGSIALDIYVQRVCMYVVLVAVLHADIDDSSSHLVEDLDLMTRGITDLWQQSKTTANPSAEILAQLNSRLRAWLPTRENPIELIIPAYETMWRVVAIAVALIGEDKHARTAFEEYLQNPTQPQFTQFYGVLPSVQAIVLEVLRLYPPTRRISRVVTRSRLPSLPTSIARLFRLQHETTVSADIEAVQRGSVWGPTAQEFDAMRHHPARCTDVQRAKMLAFGAGRLGCVAKNWAPQADVGPETSRLHPGSRRCPGVQLVRAYRMVGKDRKAQSPGSGSRVRFPTQNQWTLRYTKSDINLLRVLPTLKKLGLRTYRNGYMPLLPNVEAVLGHCSAYNILFIVGRRLQELHYYADSGIEEDVADWFTVSERFRVLTETSPAVEVIYMEHVPLFCLQAPFEHWHALRPENPPPCRFAVLSKLMVSDSPARLRDLFVAMKTPVLWDLALNLSADDDYPNVFRDCCDCLKTMVARCSSSLQRLTIVVSLPHTPKVIPFVDFFPLLFGLHNIATLTAALTGGKTSKNFESEDMQRMARAWLHIDSLSLHYKLDVEVPNVLPVDALVPFARYCPDLRVLNLPIVTSNMPMDLEDYPIFSHKLRILSIGSSIPQGPAHIIALFLDRLCPCLDINDCHLMLDDYAWRDLVERLAAIQAAKSLESGNVSASAQVV*
>EED83701
MFNDEGLGGMTARIRNKQQAAPDESGIGPWSEELEHLVSGAQCRTRESELAMEMRLEGLRLQEALCARDAVAKHFTTACISIREKTAAIERLQYEKGDLEKQLKLLNGRQATFHAPNTTTQIAEDKRKLVTEVAKLAEILRGMQDEIAKVGKAHIPGSARGHRTPLLETENACQTSKPDWEVSVHRILSLIHDSHSLGALRDATNDGPSLLSPMSPSPAMTWSPRTPQAELATEFHRLTIEDSTRADHTAHAGGPDAMEKIRARNATLAALPLPSETPPDVLRPIFIPSPFTFQDFLGTTTVESTTSWCPEREEHGYFLTPMYKCHTNPRVTTAHQWTAADIDTKLDKPTECFYNKDGKWYYAGVYKAFWLAQLSTQEWDALSTETAQALIKETLAGRKNTSAQNVYETGQLYAAGALKVGCIGLQCIGFNQGLYVMVMEQAGKCGQTGRWRIGTSCGGHSPGATWTTMTMPLSPNIGSAIATSGARMESKLDHVVDDRSRKQQKAWEEHDPLH*
>EED83702
MSDKILLQQPCMIIEHQAAMTDVQAREQDVQLVAEDWIVFQIHGHIRGDDGEIKDLQVGAVSSEGNKSINEENIGHLDIQFIMQAQRSDGEVDDVVKTEKKWNEVDKRDDLGSLNLVGESETTRLRQIFQVLETGKGPQNRTIQTSASSNQVHMPQHMPVFERCLKTKQQDVLHNPYLPHVQNQHCPERIKCRVLKDLADTGCTTQCALIYLEKFSGCLLVRDQLLENEMEDVDDLQSLMHRRSVVGCLSSHRPRADPRRGVCTGSELWGEFSGVLAGRERMYTESERLLGTWSRLSNRCVAYLLHGLEAGNASVNHHQDHDEESSSEDIKGFKAADLERHEDLWYRIHHQEMSFPFIVSLLRIAFKYDLADLYKDTSVRLKAYYPTTLPAWRDVCATKLTKPSADYAISVVNIARSTNTMSILPAALLHCTRVAYAKFVNGVRQPDGTLESLSAEDKVRVLQGHDRLLAAVQDRATWLFDLACSSGCSGKDKCKTALSELPSQAIREGFFHVMWNFSAPFNLSGLCPACLSMVQTASDEMMHSIWQDLPTFMDVTVDHWGKLSMQELEQT*
>EED83703
MSTQAAVIRDVDEVAAVDFHLAERGAIIRPQLAMQGPDIVSDNDDDDNVPALNSLDVRVSTMWAQFTLDIIRKAPNKKDVSDPSYLLLTHEERRLASDDLFKTTALPFTHACYRVVDNAMWGKAFDNYFPVDPTARTGPTQNFGSVLYRSEWSVIVSQLGVDSRTTVRRELKRKFDDFIWIPYASDRIWATTPQRGKIWRQLPEGPRVCAPHLYVNPRFAHKHFTLRAASNEIEEDSDVDST*
>EED83704
MLTYNPLEWASASAKDQLTGSSVAIKKIMKPFSTPVLSKRTYRELKLLKHIQHENIISLSDVFISPLEDIYFVTELLGTDLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILINENCDLKICDFGLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVAVDIWSAGCIFAEMLEGKPLFPGKDHVHQFSIITELLGTPPEDVIQTIASENTLRFVQSLPKRERVPFSEKLTCKDPVAIDLLEKMLVFDPRKRINATESLSHEYVAPYHDPTDEPEAGEKFDWSFNDADLPVDTWKVMMYSEILDFHQVGDSRLNEGVPEGALAAPEDPAAASVAATAE*
>EED83705
MSNDLQWLLLRNNNAFMVKKVQEGPVFSREPGNLTNLHSFKYSGLANSKVIDVRPTEGGVEIATRKKDASPHSVSSGFAKTTIRPRSGSRRVLGAAAKQAKRGYRPDLRTATLARASAILELQKEKKPSPPKKTRGKKAVA*
>EED83706
MDFIIDIYSSDNLQDAMPVHAKTPTRIIANPEPTPTDIPAGESASISRTMFARIRLVDTGVRPPAAAAPAEPVPFAVLLEPLYFGVVPASVVPIVAFLVPVVLCAAWVVAPFVNAQLARVADQARKEIVLMKAAKRKHQ*
>EED83707
MERIGAHSHIRGLGLDDRLEPRTNSQGMVGQAKARKAAGMILKMVQEGRIAGRAMLFAGPPSTGKTAIALGMAQTLGSDVPFTMIAASEVFSLSMSKTEALTQSLRRSIGVRIKEETELIEGEVVEMQIDRSLTGATKTGKLTIKTTDMETVYDLGTKMIDALSKEKVTAGDVISIDKTSGKVSKLGRSFARSRDYDAMGADTRFVQCPEGEVQKRKEVVHTVSLHEIDVINSRTQGFLALFAGDTGEIKPELRDQINTKVAEWREEGKAEIIPGVCVPLPYAVQGTIVKLTTVTLFTQVLFIDEVHMLDIECFSFLNRALENELSPLVIMASNRGMARIRGTKFRSPHGLPVDLLDRVLIVSTQPYTPEEIQEIIKIRCEEEDVNLADNALQVLTTMAAQTTLRYALNLISCGQVIARKRRSERVEVEDLRRAYVYFMDEKRSVQWLKEQQGTLMFEEVEDEGAKKDAMDES*
>EED83708
MPRAPRNHSKTYKVPRRPFENARLDAELKLAGEYGLRNKREIWRIGLILSKIRRAARELLKLDPKDPKRLFEGNALIRRLVRIGVLDETRMRLDYVLSLKIEDFLERRLQTQVFKAGLAKSIHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDFALTSPYGGGRPGRVKRKRAKAAAKKDEEGEEEEE*
>EED83709
MRPIDEHREAKRRKVINEFHETERSYVDGLDLIYSHFLSPIIASLDTPQQLLDRSELTSIFSNFIDIWNLHRSFYTALTTFLDSSTSTSDVPPAPLSPVLLAHFPYLSLYTPFVTSFSDALASYAFLLSAHHAFALFVAKQEADPRCGKLKFRDWLLTIVQRCPRYLLLLKDLISCTDPDDPEYTQLTAVHTLVSKITSSLNASLHTHAQTLALLALQRNTANLPFRLITPGRTFLKRAPLMQLEGSMTREREFLLFSDCIIWLANADGDLISNKWEHSGNSSPGGGRPALVRTRSKSDADMRVVADALRRKESVLKFRLPVSPKRKARHASSGTDERWVYKGYVDLVDVEVIVSPPREADDERRFELLSPQQSFAVYAANEEERDEWSTAIRNAKASLLVSLNVMQPNSTLTSSSSTNHLRRTLQALPYAPEQDLQHPKRGRTFFILDESCKDSHKPARACDACYDTVFPVLDYPRDRVALSGTTHAHLTLSGLKSMPSLVLSDRSSNSPSALMVVDLESPKRMLTRIDDDEAGVEGGEAGPSVPAIRLKHAPRPRSYVQILEDFQEHGRASMGAPQHSAGPSVSSFSLRTADDPDERDEDASRELSPASSSYAGSSLPPTPRKENTVRRHKRFSLPALALQTSSVTARPSVVGEGSSRRFSLMLGKGGTLSQTRGTSGERASRLPGDDFGRRESVAAGKLSELLGRSQPKAADTPANAKAPPASAPELESSAVLKAVRAHEHDRQRARKTVEVERTRERKERKRKAGAGTGAARQPKVGRKGSADAKGVTDAFSTFVEENLPPVAREGDDDAAGAGRWSPAHATEVDITVLIKPAKVHKRRGDDFEVIPAVRSVIVLDDSVAVTPEVDEPWEHISAEDGEDGVADPPPSYAQIAASAV*
>EED83710
MPGYENSDASSEYSTIEAAFDPNTGTRGHNSYGGEYQDPLRSSWMSTDQALSYNDAYMSGMYSLPSAMSPVAADENRNLADQYSSLVDPYIKQEEYLSGYDKYNQENLGYTGAGTASSVSAARPLSAAGPLSSQHTAHTSTAGMTHRTPAFPRYDLTTQSSAYGVDAAPDVTASYSLQPGTPFTSYEANIPRYVHPAQVSPNLSPATAYVPLEQTAASPAACDPRTTFNGPGPGPSPPLSFTSRSPPSQRGRSDSDVGSASVSPPLVQTVAPGKRRRTVSFTSTSSGDSVHQTDGGESERENSDEEGDSDDEYQVPHLRRRTVSTTSTSSRAEYPSRRVAPPVPVPNLTKKSRGRHVPTAETVDSGVGKDFSRHDNLGQHMRVHKSSSSKQRRFTAV*
>EED83711
MALLGLFSKRDKSRPPPDPASKSTSSSTSDSDQLSVQASVQASSVYSGPNGASSSKLILGFRGKKSHPPPGPHTDDNGFLRPPDLNSHSRPPRFSSSKSESGHDYLGPPPSRSDLFAAYAEPSSARSTRSLPTTTEHNLSHARTNSRDAISLNPQVSPSNTVVPVPPPKKHRGMFAWATRERKKSKVAPPDVVSPDDSFNLKSFRHVRPVSPGPGEVPRPPSSLSVAGMTPPSRPRGSSIASADSSQRISVAAFREAARRSAANSPSPSSTDLARGDVSPVVRPPSGLSQAASPQSGRRSMPRSPVSPTSDTTSSESEEEDDEAEDSAGSSTLRPKRATNAAASGTPRTVAKGTSNELGHRARPTPPRMTPSTSVQSSTSGQESMYNRARASQSTSALMPNAAARHVAGKKPAPKADTSDDSSSDSDDSDDAPLVRFVPPKRPGSAMSNSTSASRSRVPPKPLIDISGLAPPSLYQQASSNDEKAPTPPAKENLSGKERERNKENIKDIGKENERGNEEKELDKDKEKSSLSSTEKPTLNDRLARLAQTVAGGRSSTSHEFPAKDDDKAGRGRQPKRSQTAPVEQFAAFSDPAPAVLRLPPSPVTPQPPSPTRQKQNGRSLSTPNAMEGVKDLSDPAPIVPTPIRERSPPPAFSVTSRPASQLSLASHTLSPASLSGAAATVQSQTHWQALTQSQNTSTMQSPAPSMPSGRAPLIPDNGKPPSRGFTGGGLLASPSPNARSSHAPSSQTSSPAIRAARSRAATVGQKSLKPTMEETAAPVPVRPFALRNTSSGSGAGDASSRVSSSSVSTLSNTSSPAAAPGASRNSQPRLRASTVGPLGAPPVKPFAGPGFRGNSPASSTGESSSGRTPITPVDGSEVSYAPKDREQGKRRTDATAARRAHRKSASVTFNEPERERERGRDAREEQKEERAAASASAEESRRRERRRSEAKAALELGRIVNGHGPTVNDDDDEEDRPLNNMPPRMSMMSSMMGGLSPSPMAPMNPGMNSASPMQWQTQPGMLSPQQFMYSNMPPNADPAFLAAHQQAMMFAKQAFQMAVAQQAMAAAEEEWERGSTVAASMVGGSGGRGFGTPSMSPMYPNQAGFGMGMGGMGGMGMPMQGGWGGMMFPSSAQSMYAGSVAGSELGVNGRGVGWGSRSAYGDPSGNDRSSMAFRGSAYGFQPPPMPSRPESFGQAGQQIRPGPRPRTRTAPTGDAPAATSGANKRQPPPSSWKAGARPA*
>EED83712
MAPNFLSKFVKNSPAHNLIGQNTQRSRSPSPAPSSQYPPSISVESDSPSGSRPRRHTTVGSTTPSFQGSTESFPNVTVVPPSPRSTNYGSDITDEDPTPRSGQSPNKFSNGSAPPVPLLPNLSSVRPMTNDESISTPTPESTRTAFADQMSDTLSHSRSTGNLREKARAPEVSSRNINHPRSATVVESKSKESLLPSPVKKASKGSKTRGSASPALHPLQVTVSENGVLTSSPTSAEFPLPTSTSSSGYSAKTLPTYPTITTSLLAPDNSDAASTYSTNSNATSSKRRRGWPRKSGNNGHNSAQPGSSPAMSTTSLAPISPKRKTTGAGTSSSYPNSDLSDRESFHSGQELLGDQSGLEDDDDLDLDPDDIPVTGFAVASARRNQDFHELFPTVPEGDYLIEDYGCALQREILIQGRLYVSENHVCFHANIFGWITDLTIPMTEIITMEKRMTAFVIPNAIQIMSQSAKYTFTSFLSRDNTWEVLHNIWRLARPEGSSLGSRVQSPRGSIDMLVSDTGSIAPPSASQVGEKKGKVQNKVTQCACGTNGEHLSEVAMENHGVPPFIITIVADLQISDWMPTADDPKLLYRQMSYIKPLSGGFGPKQTKCELRDETLHCDFDNYVCMLTTTRTPDVPSGSVFSVKTRTCITWTSGVSTKVLVTTQVEWTGRSFIKGIIEKSAIDGQKEYHGDLDKSMRAYIHEHQSEFIPEGVDPSVVEETESQAAEMSPRPSMERPSIVDQQVRNSRDFKRDQRGLQWAYDTFEGACKVAQQSTAGLLELIRDAWEQSTSTTILYFVIVFLVISNVWTLAMVGGREEAGRRKELKRMEEREKWVQGIVQALWEELVASKNTPGGTALSPSPRPIADWREEVGDINTALDIIEDRVRNIRESLAELD*
>EED83713
MPGVNSEPKKLEGYDFYCQVLGSPKYVVAPMVDQSELLVYTPMINAKACMRYAGPHNILLSHHYQMFAEGARKGYRDQNFNITLGEEGGPEDRPLIVQFCANSPEQLLKSAKVVEPYCDAVDVNLGCPQDIARRGHYGSFLQDEWDLIYELINTLHRNLSIPVTAKFRVFPTVEKTVEYAKMLERAGAQILTCHGRLREQRGHNTGLADWEKIRAVKEAVSIPVFANGNVLFHSDIERCLAATGADAIMSAEGNLYNPAIFSLAPSLADASTSTSTSTSASASSSAPYLTGLHPRHTHLALEYLAIVQAQRTPTSPSAVKGHLFKLLRPALARAPDLRERLGRVRAEKGEPPRAAFARYADVVRELDARLQEDVRAAGERGLEELVVWDAAAALPLLPHWLAQPYFRPLQPDPAEGAQAKGKQKAQGDSLSATAADGEAAPGAADTAADAEDVLFAASNAPDGAPQRLDAQVAGAGAKRASGARTPDPDDPVGAEDAKRTKLDGAADGAIVAG*
>EED83714
MVLGGHEQLLAAEEYLHGPGRQRSNLPVHLLQRCAPEKIRPSRRARDGARPRASAHTGAIEFSAARTAEPNNVRTFPAGARRAHSFNGGWTRQLRERNSRYARSSDPLSDAEYEQPSPTSISTSSEAKKGATKPEAGGRATQDGIDKAQTPLRGAAGLAGRSAVVCRVEWGWKWYENRTGACHGGRARWRPSGKPVMREVNGCEEYPRACRAVDRDGGDTRTRWQCRDWASRGGGNRHTEARLGTRTSICINGQKRKCARAHAIDAKASLSKTACGRVRMALASEPVDVRARSGWLRKLGSDGGENVGIGWAGKAAPFETEAGTTGRNPGWSDRQGQAPREADANLPAHRWDNGVLSVRTRRAVLAESSGYWEEIGLQSVCGCSNSAARSDHITSPSSSTVTTTAAPRSHRRTRSSGNFSDQRGPGAFVSLGTLPRSHKKAVFHLGLHDDDAAHDDDDAPQSTPRPSLAHVSPHRSYLSPTNSLRLSMNNGRFSPSIQPPSRIDIPPPAPASVPFPTSSPSSPGGSSSPFFPSATTNSSPPTASLTRTPSTPIILSNGKPLKPSLKSSSSSPNIADALGRATTKHLRAQSAPSTPGGPKNVHFADKDSGLETVRVFSRSGKPASLSKPSGEETETETEAEGPNVLSGSNSFPFPSIASAELPLYEIDTSSGRTSSVPVPMPSPYANVHLETLALPRTRPPALRGTVLVRNISFEKRVAVRFTLDDWQTTSEVTCRHVVSLPSLPPPFPHPRTVGDLAGSIASGDRAAKEDDEXLNWDRFSFTIRLEDYEHKLAERTLFLVTRYAPGCGGEWWDNNSGQNFRVGFRRAPSSPATHSQNFAGMGLGMGVGLGAGEIHSQQRTFSAPSTLRTTPTSGALAQPEGPEGENASANARMIALAKAQVESVRQMHMKSKVYVPQLMRSASNPLPTTPPADLLSVYAVDSRSSSVPNSPVQGYINKRLSLSNYVAPGSASSGSSMVTPPLTPPNGGSGRIRSASLPVGVGLSADLPPKESEAPIEEEDEDLGDRSALSQETNILSPDTITGGQPVTALEPLDFKLPWTGPSGFGAHMGTGLGIEFPVSAPEVPSQTQEQLLSPPSSPSHEQASLGLGLDVGEQGRQASSAYTQHRNAPQSTISISLPSLSSGSSSPTSSGASTPALRDPTYAALIRNWCFTGSPTGTPVGRGTAAAAPPVSYGFPAFGSGFGMMDAGMVGGRSVASVRAALCVRSLFNAAPLCGDMLLASTSWVAARVRLPPPEYVHPALPRISPQGLLHTTGLAYPYPRPLLPNPTIPIATHDLS*
>EED83715
MIVDVWFHCSAGKRRIHSLQKLTSGLPEASEPQASQERTTGAPGALLDIPQTRGGDVMRLNLTYFTGFKAPGSIGAFVAWPTSIEMKDQTRGVGVHRQSGSLMMKVALRIYRTKGHRSGQHTTPSPPQLQAATQSACCRRQQRPGGDRSKHDVARPFHGAERLGYVEGKIRPVRQTRIPSVPGPWEGCAGPVITACTRDRGQDGGGAKSSATVELADENRASTSTNGSEDMRGVEYGLYCPAEMLGQTDEREACAGGPSGLPESSSITSRHAVANVGVCAGFERGDSRCAGWTRSDETGVCAHTTIRGAPCRDPEQPVLGATDEIP*
>EED83716
MDIGIESSDFTPADMLSYDDSVAYDDQVPNQPVTEPVHTQLADRIGNTKVYLLSEAADSPYRDNAILFRGTPISHLSTASIKEYATHYDSHPMALEWIDDMTCILVFDSKAVARSALRRLTKSIAEEPSAEDGSVTAKPIPVAIWPPEERINKSLGKGEGLKGAIRMRWATRDDIKKKGAKKESEFYRKYGSKAGKLLRSEVGAPDRDDEPQRKRRREDPLDKAVQMARLDDELDAFLAEDDVPQELPSPPSKMRSDHMVSDRKSLLQRTSVMRARPDTLASRITAELPRRARSHRHSEREDDHRTSLRRLPQRDRRDHREDDSFGGRGRPRPHKTQQDLDDELEAFLKERE*
>EED83717
MSPFHAAIKHSNRDNTSPFAYDAAGAMIELRYQFKEEQKDATDARPSKRSRATFADVTVLPFREVMRRARRSHTSDFAQGEGEKYKYVCSFISKRETVEAAPEIKGEASEVEAVIKAEPKEEPLSAEELAATLEKYRNPHAKIKKDTQFDGAMVTDRLKAIGFERYPIDMEERLQQFLFNRHYIHNLYGGSFVDTFPSPSDEKVAIHGLDDFMFITLDLHPHAPLNPGDPGLFLNMVPADGTWGNARQVVRVFVRLRDTPKALWQYSENYRMYPSESLERSLLDNHRWEPTLEEMEELVSDARLREIASYLSWQEISAAYERNEEVIMLYIVERVQEIGVWCKKCVGYDVEFQRLLVDNADRFTPPAGKRKRLMVPPMWEKGGTEGLKPPIHVGD*
>EED83718
MGVITLARRIPEELLSKIFEHCVQDGWTRAPIVVSHVCSAWRRAASAPRVWSHVYVNCDSPDMLGRTRFWLAMSRQAPLIVTVMAPWNPERQLAEVMDLLLQHAHRWRTLSIETGSISRVAHLLRRVARSLPSFPLLSQIGIRTIVNFDAVHDEGVSDVGEFTDAFSSNHAPNLSTVCYISNAAPLIPIFPTHIVELNLTIQESSFPRPLSSASLITVLEGVPALRSLTLSMPLSYEHPFVPERDIARAITLPALESLTLYGPTDLNEFLPHLRTPALRRLHLRSLEDLGYRQQPIAPSLLQFLSSAHDTDDTLPAFPDSDLNPDPRTAPPIELLELHDIDLSPEAFAACFAALPQLRELRLHESSIRDATVRLLCAPAGLCPRLARLDLRWCGLLSGRVLVELVRGRNVVDSSPSALPAADRITEVAVINCCFVGEKDVLDLATLTACRVVMRGADDYCCTRRGP*
>EED83719
MSRRMSLPLITFPDQRCSHMILTPPTCKPDLINRRKRFKSQRMGGVGEQPTLRLMIDGQHLHPGVVAQDCRAYVRKDTGDTARPPRALEVASDARQVALGGREATANTCTPAWLPGSAGPLNESSLAKQRDHRAMRRSPLCAVTCDGQDLHPGLVARSHATANTWGPEWLSGPAGPMEETPLAEQQDHCAAGRSPRCAVTCDGQHLQLGLSRSLGIGGPLGGTSLAEGETVSRPWVRRSAWGGGVVLTDGQ*
>EED83720
MLSKADRLMENIYISKLARYYIEEDAGWKGKTPHFSSSRPRYLPSYIHWPPERLDCRLKESCPDNRTRDGRFLPRGHMLFLGAYHIAARQLEDDHYGKDTWNAASAGSVTAKYLQAFERRVSRHFRYQVNFSKEAVIDFVFALWLKYGNTVLTETRLAVAEAAQRQRNKVARQASQNAAKAMSVHPQGHLCPLVVERVAPQSLADSVAPLVPVAQGLATRRYPLRIMVPWHGLRAIRLRDAVLYQCRMVVQPCTHLIPWQNGTRTAFINMCNAQNQHMYDRHHMSMATPSDSIRI*
>EED83721
MDIREPDGPPWTPQFQSDAEYEYFPQQVSQIPFDIRNNGSALKQVFLERQRSLSVTLPPPLPPKRPPSPSVLANFTPFSKEVPLPIFCKPMDPQSIANRSAEARIVGQYLESTCYGVFSGSCAFEIAPRLHLPPGLEWPPCQLRSFVEILLYRTQLLPTVTNTALYLISRIRDPSLRGKLQGTSGAPSIHIVFLIAMTLASKLIQDDPYSNKSWIQASGIQIKVVEFNALERRMCELLDYKLTIHSRYLQNIEMELGTYKKQTDRRSPDLPRGRALSFQPEHVGTTSSVRAGIALVLVTLLLRIQCRSHSHSQPDPRNLLAPAHASTASNQSRSGYWAAVRSHTAPPFAAPPAVHPQPRTQPSVNSSLPYHSATLDMRTINRRCIYGTPSLGPPAARPTAIVEASSRQAYVDPAPPPSDASATGSARFHGLAPRSGDQLQYLNDRQGRPLNMTIYDPRIYSCGTALSLCEKGKKERRTVVVQSTMSITFIEHGNKRKSLI*
>EED83722
MANYSYDEAGNMAAYFLLTFLSIILIPLSLSSLPSRKSPTVSGCQCGQCVKQRENIRKRERGSLFTPKLRRKTIFVIVGWTAVAFLAYKVATTEVENKWKVDDISVLNTDDQNSDDDISEPEEDSLAGQMALMRGGTVKKRHGEESDDESSTDDDQDKNSDGSSSDRHISISNPYLDTNFSVMAARDLDATDSDPKTREIEVELDAPQFPAAPSGPIRLAAPPPRCLRMGMTIRANTIRGSLLKISALSRQTLQYELLVLLTKSTHQLLVPHEDASLRLWEWIHYAACYPWLVEDLEDAVGHTDRCRT*
>EED83723
MSIVLNPAPATPQDPLPQASGANPDMVIDAADNGSQEVVIVPTQPQDAPEAPYTLDPVGKITPIDGLSTAQLVQATADLFAEPATMAVRGVFMAEWCDIYGVTAPSSAASATSSPPTAAEVRVPHAAPSTPAVHWSDNVENFALDPTTPTPHARQHHVDIPHPSRGILETTAMTRAPTLAREPLIETLREASSATVVT*
>EED83724
MALPLRFVIFAMAASALCALLLAASACTGPSTASRGVPPDMVRAAKRFVPATTRGLSLAGYVATAGLGAGVGAARTSSGALPSVGAETFLAAGGLSLGANDRASGKASTEVEVETDS*
>EED83725
MALPLRFVIFAMAASALCALLLAASLAQGPSAASRSVPPDTACAAERLVSTTTRGLFLAGYVAAAGLGAGVGAADEGGNSEGRKSEDRKDNGGKGNGGKGNGGKGGDGNDDGGDSENGEDAYGAAQKDAEEDASSTEGHRIVDTKERRAALRVMHIPSDAPSRFRNTCANLLTVKLLPERAEPTPPEIMYCEAAKRKPATALPITACHAAASEPAYSFIVLEHRKPNGDAGVVTLLRAAGCASTYDALGSIFGTVR*
>EED83726
MINRTLPYFLEDRTGAYTGSDFDDIYDRLFLRVARPTTQSPSAGDTLMVYNVGRRSSAQRESRPPARAPSVVLEFGAGGTLGKISFAGSSVSMPMGQYLRKTSMFGGSLSRKFRGSDGEEYRWTYKGQEGQEWSCTDSRDYLVAHYNLKPPNKPVFGTSGNILTIYEAHARMSAEILASLTIMRHIAALGL*
>EED83727
MSTAAPRLSPPFRADHIGSLKRPVALLQKRKESEEAKCTQEELRLVEDEAIRNIVQMQRDVGIKAITDGEFRRHMFFDGVFDNLDGMKYIPVVPLDWFMDYVPDVDAFKKHASFKGAASYLCESKLKRTKPFYIGQFEALKTLTKPEEHKNLKITMCAPEWFHLRHGPYAYRKDVYKNDEEYFADVAQAYREEIKALYDAGCRNIQFDDPLLAYFCDEKMLRGMEERGIDHAALLDLYCRVYNRCLEGRPADMTVGLHLCRGNFKDGRHFSEGGYDRIAIKLFQEIHVDTYYLEYETERAGTFEPLRWLPRSKSVVLGLVSSKFPVMENKEELIARVHAAAEVIANGDEKRSREEALNQICISPQCGFASHAEGNPVTEADVVRKLSLVVETAKAIWPDA*
>EED83728
MSPPRSPTAHVSLPSINELFPEHLLTNPPPGTHPYSPSSYRTSPPAPFAPSSTHSSPNIPPIAGSSEHPRLPHSSAFEYPRLNGDAAMAAITKPRPAFRVTMSPHEAGSAMDVARSPELSHYENGDEQRRLAFPPNVYLSVPTTPAGVSSMQQPDEADNVPGSEEKRHRCPHCNKRFNRPSSLNIHVNTHTGAKPFVCRYPGCNRRFNVNSNMRRHYRNHLTARRRDAVARMMPESPSPPGMSASPSRSPEPPHHPTAGYPDPYAPAQYHADPRGRPYPGPEYTYGYTYDYDRQHEHEHEHEHERRAYPYSPPPSARAKSEAGDADFGVPESSVRDERERCRLRANSSPVPRFREERTRPRASSCNVPGCDCATPISTALRPAFTEYAPPTARSAERHSARPY*
>EED83729
MTAPAICLNPPFRAEHIGSLKRPEILLRTRKAYSEGRCTLSTLRAAEDAAIQTAIQMQRDVGIKGITDGEFRRHIFFEGVFDQLEGMKYIPEDVPAFKESKTTGVPSYVCDAKIRRVKPFYISQFEDLKRLTRSDEHKNLKITMCAPEWFHLRHGPYSYDTSVYQNDEEYFADIIRAYREEIADLYAAGCRNIQIDAPLLAFFCDQKMLQGMEERGIDHVALFDLYCRVYDACLKDHPADMTFGIHLCRGNFKGGRHWSEGGYDRIAIKLFREIAATTYYLEYDTERAGTFEPLRWLPRNKSVVLGLMEDKEELIARVHRAAEIIADGEEPRSLEEALNQICISPQCGFASHAEGNPVTEEDVVRKLALVVETAKAVWPDA*
>EED83730
MLSAVAARKARLEEKKPPADLSKPTSAPTSAPAQVSTLASRSSSGQQNEGKSKPPLKKRKSGEQGPKPSKKKRKQQGKPPGEKRPRYFEGDAFKTQEDLIVVPDDDLDTDASRSEIVQLRDSSDEEDDGSGEDAAYSAELLSIYQPSRPAPDEPPVLSTFRPILDQNMFHLTEEEMKQCNLPSGQRGTLLVLSLGETLTLLGTCSLIVLHGSISLYGATLHASSTVHRVFAPRSSPLPVIESLPQNRHPMDISPALPSRIRAAATAGRAAVLLQDIQTSVEGLGLVCRTFDGVFAPSRWQSSSAVPDLGLNSVKLVTHHTRDLNPLLVPHSWEAETSSILTRDVSTSGYASARLVCLVKGPKNAGKSTLARTLLNRLLTKYRRVAFLECDLGQSEFTPGGMAALNILDKPVFGPPFTHPSIPYAAHYIGAASPRSSPSHYLESIQALVQTYNLDVQHGALVEEQDILDDRISDLIPLVVNTMGWTKGLGADLSRKIEELVEPSDIFDINPPVALYSAADHRFLSTMSYFHAVFTSDLKPPQTVMASSWDTTLPLCAQRPYEVDSQAAFDHVILTGAGMEDVVPSEVHRVLNGAIVALVRCDPGAVDIDADGSTDPTHVFRYRQGSAPPSPFSSNCCGLALVRSLPHSPPSPSMHLITPLPPHLLQSGRVFVKGELELPIWGMLDFRSIDNGDVAGVERSRVPYLKWGKSEAAGGERRRIRRNLMRKGQV*
>EED83731
MGDDARKKTLYGHKYGVFIVDEAHVMRTPNAAYCAARELRKISVMSMAMTATPVLTMATDVWHIGCLLGIAKFDNVENDVKLHEYKTKLAAALRADRMHAKSSGADKNIVTRVVRGKEVNKLVESTFSTLVDDIMEDIRKRFDGFVIRRTLHSVDWRKKPISGLTPYKEQVIMLTLAEEEYANLDNIADEAANNSKGGAVVYSNGKSFYLAVRRALLHMACNPEFIWTMPATLQDWQTQSTAKIVAMADLIRYHLESDGRCPLVNSVTNADDEASAGLTPRAKLHLHNRLVPDASDAIAEAGDPVNDSKPDKIVLYMAFPSTFSVLLPILRLYGFAFVTVTGDMPPAKRAAMLKQFARGGRDGARLLILSAVGLVGVNLAIACILIIVDTLWSAQQDQQLIGRLWRDPQAKQVLVYRLIARNTSDVFLNNISFDKSIMHHAFMGSSMSLKRVFDPQLEIDVDDSELQGEIVTDFTEEQIEPPCVADKPGPKKLTAAEMAEKKQKEKAEKRAAKEMEQASKRAVKEKEKADKKAAKDQEKAEKKAVKDQQKADKKADKKATKGKQKERPAALEPERSASPAPPPTRTKCIPQKTLSQEVVSSSDDERQGRVLRRNQDLVDDSEDDAALPGAEGDAGRPPSLLGLLARTDVDDLDAVPKQADPSGDAKSTQLPEDIELNDSYPKDILRADSTKVKSTSGQNPFASDRQVPTQYFSARRVPRHGGGTSSELLGSLTPPIRSGLQVLNDHVAMLDSSATAAPHETQTSSPLTSIDTDDVGGSSAATASKHRAEGPTGDSPPAKRHHNRPSYAPQRIPATISSAPSFAQLPKPRAKRT*
>EED83732
MHQDAHEFLNFLLNKIVEEMEDDRRLGYPVQATIVHRLFEGVLTSETRCLTCETVSSRDESFLDLSIDIEQNSSVTACLRQFSASEMLCQKNKFFCDSCCDLQEAEKRMKIKKLPNILALHLKRFKYQEDVGKYIKLAYRVAFPFQLRLFNTVDDAENPDRLYELFAIVVHIGNGPHHGHYVTIIKARGTWLLFDDDSVDTIKESDIPKYYGESTNGSAYVLYYQAV
>EED83733
MTQTCHYPKDARGSIYGWRIMYMAPSASGWGNAMQELLLNSYLAYGSGRSDEVSSQLPGDSSAQMMFDKWIEKLSTADRCVEIDRDSLQIFSIWIFGSKRVLDIYDSLTKSPILSDFRWSPLIESAFETNRPIFSPASGLEPYIPALPWFGASANPYPPLPGLLVLHVRRGDFASHCEHLAKWSSDWNGFNQFPTLPDRFERLPSAGWGETTPENLDLYMRRCFPSIEQIVAKVEEVRATPAGRGLRHVYIMTNGAKDWVDELKRALGKTGHFKKVSSSRELRLSWEQKYIAQAVDMLIGQRAQVLIGNGFSSLTSNIVMMRMANNLPPESNRFW*
>EED83734
MPHSFGYRARTRDMFKRGFKEHGPVKMSTYLIAYRVGDIVDIKANAAQQKGMPHKYYHGRTGIVYNVTPNAVGVIVNKVVGNRYIEKRVNIRVEHIRHSKCRKEFLDRVQRNHDEHVAAREKGEHVTLKRIPAQPRTAHVVSTSGNAPQTMTPVRYETTI*
>EED83735
MSADLPKRDAVTAAFKHAWLAYERDAMGDDEYHPIGKSGSNLTSAGGIGYTVVDSIDTMIIMGLDEEYQRARTWVAEKMSFERDGNFNTFETTIRVLGGLLSAFHLSGDSMYVERAKDLADRIVPAFSTKTGLPLSQVNLAKRIGVPDNDNRGLVSTAEVSTLQLELKYLSLLTDEEVYWEKAEQVMKVIKDARIATGLASIFLNPDDGKFAISPIRLGSRGDSYYEYLLKQYIQTNYTEPVYRDMYDDAMTAVHTHLIKKSEEEGLTYTAEILPERDTQGKITWRMIPKQDHLVCFFGGSLMLGAVTTGTTEQAASVPPRAAELTAQGTRDWISGVELVRTCMETHRTATGLSPEIVHFKTATDHIESAHDWYIKGARPGHTPYDARYILRPETVESLFIAWRLTGDPQYRQWGWEIFEAIEAHCRVASGGYASVLNVDALPVALEDKMETFLMSETLKYLYLLFSDESVLPLSEYVFNTEAHPFPIFHPTRGTGFF*
>EED83736
MVSLLAAAVCFWINTLLLCSHALAASLQPQIPSIASFTSAGDSFQLSPNVRIIVDSAHGLEGAPSALSYAQTFRSDLMSVAGFAHVPPVEVLPGGTGFAGAPVIYIAIDPTMQFALYNGEPTLEGYDFEITEYTYTIKAAAAIGAWWGAVTMLQQVALTAVAGGTNISLPTGAGSDSPGWEVRGFMLDAGRHWFDTAFLSELCIYASFFKLNEFHLHASDNLWNPDFLYGTGNEAWKDLYAAFRFQPPPGSPIDGLVPRLNESWPENEFLMFQQTCSEHGVTVIPEIDTPGHSLAITQWKPELMLSGQPDLLNLSYPATIPAIKSIWQQFLPWFTSSEVSIGADEYDASLGDAYVSFVNEMFGFMQAEAGKSIRIWGTNEPSTEIISKNITIQHWDFPDADIPVQLLAAGYNVINSEQAFLYLDGKYSDGGVYPYTLSLDLMFSGAPDGGGWAPNIFSPNDPSNNTSPNELHLRGGIMALWSDWGNNATTMLEDYYQLAQSLAVVGEKTWAGSGMRASELSRAEFEAAYPILNAAAPGQNLNRVVLPEYGDVVYKYPFTYNTLTTPYASVGPPYTLQFSVMPDTHSSNADFLFSGEDSKLYVANLTFEATGQLYPLGYVLPVGTYTDVEIHATADYTYAIINGDEGNPRYWYTVMDIWGEYMEVANMSFAAPAQQIGGEGFVGSVKDVVLTLGV*
>EED83737
MLRARLAQCSRAVASSSTAHTPSSSLHTSAILQASALRKRKSRVAEKANIEKRDERERAAQANRPHVVLGYRPGDEVKWQSCDLARVIVTERDILAAPIPPMEPPKSDKDVHPPAYMNYGVGPTEKELLFDVLPTLSVQGTALRREAVLRMSAEEPRFDINKIHSDQFLETQKAIQLTRLVDLRNANARGIAYENRKRIVAEFSEPENPTDTGRPEVQAALLTLRIRTLWEHLNNFKKDISNRRSLRRLIHQRAKVLRYLKRLDRDRYDNVLGRLGLEPESVEGELVV*
>EED83738
MVKSREDVITGFHEQVNMSVDELQKWLDDPKSRKAGTGVGIESGHKIIEILKKNPDKDPEKYDEEDIDHMRKVVSY
>EED83739
MGKTHQVLTAPAPATEIGPVRTYTAEQQDKIRALREYADTLLLPESDSYHEWEKRWLDRWDTVPRYMRAAKWHLDDGKRRIKGTLEWRREFKPDLIPPDEVRIESETGKIILNGFDNQGRPILYMRPGRENTETSPRQLRHLVWCLERAKDFMPPGQDSLVIIVDYKSTTLRTNPSISVARKVLTILQQHYVETLGRALVVNLPVLLNFFYKGIAPFLDPITRDKMRFNPDLFELIPKEQLDADFGGDFEYEFEPVSYWEQVVSHCRIASDGTRVEEHEHEQGERPADREAEKADMSTDEVDSPYV*
>EED83740
MSSGQMYGNHYGNSRHNGWSGSHHHGPDEDTSTERDYRSTDPSPSRMRHMSGMADHNMRHNGSVHTLSDPVPILPYWSAKRHLTCGNPTPPPPWAHKMAPWRSTSKLKTANAALVLCLNIDVDPPDVVKTNPCAVLECWVDPHTLPAQKALDIIGTNLAHQFEGLSPKITFKPLLDPAYDDLRKYCHSLRKTAKEDAILFYYNGHGVPKPTPSGEMWCFNKEYTQYIPVSLQEVQSWLLSPCVYIWDCSAAGHLVNNFLTFAKRRDNDATLNRGGYPEGTPPFSESIQLAACAADEQLPSCPELPADMFTSCLTSPIDIALRWFVMCRHLPDNITLDMVMQLPGDLKDRRTPLGELNWIFTAITDTIAWTTFPRDLFTRLYRSDLLVASLFRNFLLAERIMKSYHCTPHTYPPLPATNTHPLWASWDLAVDTCLRQLPELLKYMKPGSIPEGSLTSRRSTAARHPPTGPAEPPYTYIPSRFFSDQLTAFEVWISRGGSALTKRGPLSLPETNGDPSQNLESVGEGVSLLPSDVDAVNDHHLVPRKPPDQLPIVLQVLLSQPHRLRALILLSQFVDLGPWAVHLVLAIGIFPYISRLLQAASPDLRPVLIFIWARIFAVNPSCQVDLYGNQGYKYFANVLAERPDGGIPNSSEHKAMCAFVLSAIARDYLQGQNACWREHVFDACCDALDDGDYLLRQWSALCIAQIWDGNDEIKMYGVDCGTQDKLIALLNDDASEVRSAALYALGIFLGASGSQDLNKLGGGGSGTQLQLEERFHLRMEVAVVTGATLATKEDASPMVRKELLVLISCLVKEWRGYFIICAWIYWEEERGRMDPNHSRLQEDDPASQAVAEWLDDFGDDDAFREESRVYLSSFFTIFAVLLELSVDPYQEVAANAQTIVDYIVALLLESPFSGLQSTTLHDPPPHIVKGSTRGAQTRAPSLSSERNQQTPLSPYTSPYSQPSTPPPSAPSQRSSPHPSPRSTAEVEHQPSNYSPSDVIKALIEEDTERLKARRRTASSHHRHHHHGAVPGGGMSSPASSTFSMDSSIIMGIGTGLGIRDALPLKSRFYDWCCDYFKEPQMRQPETDEPGSVEYNYQIWRQQRNERVLVTTRQQAEIAPNRRWDRPVSTIQITGLPVNLAFHSFDPHLIIANEHDTITVWDWMQRKRLSQFFNGNPQGTTITSLRLINQDVGGIILAASDWKQTGGSLLVGGDSRVIRVWDAHTESQVMDMDTNSESPVTAIVSDEASTSTFLASFADGVIKVFDRRMDEEDAVNVKWHPLLSGHFLSGSVDGEVKLWDIRGGEQALQTWDVHPHGLSAFDVHDHTNVFVALIISHTICHPTLRRPPQATALPRFSQGSQVQAGLQGSQFEMVRAHTKQTPDDKEKSHKKKSSSKKKSETGQWPCKINGCNKVFAREADLKRHQRTTKLHSMPGFHNGVIIPPVEQDNVKNGDDGDGESSGSKSPSPDSPKRSGGEASSSKSVSRSTQPVSSGSGNYYRQHTMTTYPPRPGMIMEQHYPPSIGPPTSAARLHQATWHHPPPWPEGPPPPGMYPMGPPVPGYYHSPYYRTPPGMIPYPPPHHYPPPVHMSPEFAHHSHMVNGMPYPQPYPPEERPASADGRESEGEEEASSQGSPPAIDPSLDGHTEALHPSAAEAAKGDKPPDGPSPEALAAIEVALATMRARNEDMEDDVSGGDSAAQIDNEEAPSQEGGGGEEDGGQNIPPVGAVDEDKSDADAEMDVDRSAEASSGSAEAAREQSTDEIVTEDGVTMLNPGIASIAPTLVNLQPSSFT*
>EED83741
MLPRHRLDSQTANEPFTRS*
>EED83742
MSSILPDTTNPATLLSKRFRPEDIPDLSGRVAIVTGGSAGIGYYDALGLARANARVIIVSANQEHGKQAEADLNKALKESSSTGKVEWHGVNFSTLKDVDALAKKLAEQEERLDILICNAGVGQAPYALTNDGLERHFEINNLAHYVFVLRLLPLMKKTTQIAPPTTVRIVMQSSEMHRVAPSTTRFSSKEEINENGDGAMLYGRTKLGLIYFARELVKRKLTDLPPDRPILAISVHPGTVDTDVQKQWTESYGAIGKVLDVLSRAVGKSAEEGAEPSLWAATSIDIFEGNWKDHQGNYYSEAYGKPGTESKLAQDSQVSDNFWNFCAKLTQELLGEQLE*
>EED83743
MVKLCVSASPAPAIGAAFDLEDEKVDLKHSQPATKRARRVLADVSVLPFNEVLRLARLARENLSTAIVENVPSANAEAVCAEMSIKEEPKEEPLTAEEIQAILNKYKNPHAQIKKNLQFDGAVVIDRLKAVGLDPFPIDLDERTLHSGFNRYYIHDLYGGNPSETFPRPAKEKFKFTGINDLMCLSLDWNPHAPLNPGDPGLYFIECAASGAWPEIQRVFVKTCTKPKALWKHMGYYRMTPSESLSCEEFSRQPNIIKQTWANGILDKGWGCPVWTRIWLRRQNHGEPSPEEVEEICSNKLRLAEIKKTLRWQEISEAYVRGDEELGVWCMKCVGYDVEFQHSLVDNVGSYKPPEPGKKRKHQAEQEDTEAISVAEGLRTRKSSKATGKGTKGDSRAANTRSKRRQPDSDQEDYSEADIEQDDSTVRRGSGPL*
>EED83744
MTLVSIFTAITVLGLSVAGTPTFDITTDLDMSSPYGTTGQTILGGWPIAEVYHLDIVNRDITPDGFTRQAVLAGGTFPGPLIKGFKGDNFRIHVHNYLTNSTMNKTTTVHWHGIDQHRSNWADGVAMVTQCPISSGQSFLYNFNVHEQTGTYWYHSHLGLQYCDGLRGPLVLYDPHDPHGHLYDYDNETTVITLSDWYHLPAAQIQPPFIPDSILINGLGRIDNTSDPLTVLHVQKGRRYRFRLISMACDPNFTFSIDNHTVTIIEADGENTQALPGIDSIQIWAAQRYSFVLEANQPVDNYWIRALVEAGDTTPPGLAILRYEGADEKDPETNQTTPVNPLSEVNLHPLTDPAAPPFNPDDGDKAIELNVTFNDGLFFVNNVSYASPPVPVLLQILNGSRNPFELLPKGSVYGVPPNKDVEISIPGGVLAPITHPIHLHGHSFSVIRSAGENKTNVINPVRRDVVNIGTTGDNVTIRFRTDNVGPWILHCHIDFHLNTGFAIVMAEDINGTAELVHPSESWEWLCPIYDSLPAIDH*
>EED83745
MAPKNKGKKGKKQDDDDFWDKAGTSVANNNTTPPPEAAEGPDDDFKPAKKSGFSAFAELGVDDGDAAAEEEDFGGLMSIIKASAKGKKDKKDKKAGKKGAAEVSFADGPSPGEGSDGEAAAAKGDISAPKGPVQMSAEELADEEWGPVKEKGKKGKKKGKKSKAQEDDEDEEEKPYGAGEDGGPKVLSKKEKEKLKKEREKAKKKAQAAAKKAAQGEGAADAASAPAPPAPAPESLEKEDEGEDEGEGGGAKADSKKKKKKKAKKDDEPAAAPAAASGKKKAGGISALRALMEEKKRIEEEARRREEEERQRIEEEERLAEEEAKRKEEEKQRRKEKEKAKREQAKKEGRLLTKKQKEEQRMAELRKQALLASGVQIEGLQQQHAGGQAPKKVVYGNRKKKGPTSVTDSGPATRETSPSDDVKSDWDASSDEEKPAADVKDSWDASSDEEDTASKPPKAAPASKSNKSEAAERKAKAHAAAVAAQSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQIGATYFPVDAIKTKTAVLNKEGTQDYKIPGLLVIDTPGHESFTNLRSRGSSLCNIAILVVDIMHGLEPQTLESLRLLRDRKTPFIVALNKIDRMYGWEASPDNDFRSSLAMQTRSVQREFEDRYQKIVVAFAEQGLNAVLYYENKNFARNVSVVPTSAITGEGVPDMIMLLVNLTQQRMSDRLMYLSELECTVLEVKVIEGLGTTIDVVLSNGILHEGGRIVVCGLNGPIVTQIRALLTPQPLRELRIKSAYVHHKEIKAAMGVKIVAPDLEKAIAGSRLLVVGPDDDEEDLMEEVMSDLTTLLNSIDKSGRGVCVQASTLGSLEALLDFLKSSKIPVSGINIGPVHKKDVMRAATMLEKAKELACILCFDVTVDKDAERLAEEMGIRLFKADIIYHLFDAFTAYNAEITEAKRRDAAPQAVWPCRLKTIAAFCKRDPIILGVDILDGTLRVGTPICVVKTDSETGKKDIIDLGRVTSLEINHKPFEIVKKSQAGAGVAVKIEHAVYQSAKMFGRHFDDKDELYSHITRQSIDVLKARSATSFKTDVSNDEWLLIKALKPRLGIP*
>EED83746
MDEAEMMEPELIKALFEQGLMGIETSTDHGGAGSSFMSAIIAIEELAKVDPSVSVMCDVHNTLVNTVIRTYGTQEQQDKWLPQLAESKLGSFCLSEPASGSDAFALQTRAVKDGDHWVLNGSKMWITNSYEAEVFLIFANVISVHNGYKGITCFIATKDMGIQIAKKEQKLGIRASSTCLISLDDLRVPEENIIGKHVLRVCHTAQLLMLYDPQIAIECLNEGRIGIAAQMLGLAQGAFDKAVPYTYQRTQFGQPVGTFQGLAFQQARAAMEIEAARLLTYNAARRKEEGKPFAREAAMAKLYASEVAQRVSGAAIEWAGGVGFTRETGIEKYWRDSKIGAIYEGTSNIQLQTIAKLIQKQYS*
>EED83747
MSSPAAIPDKETLKLLLPLRYDRKSVVKCNCFISHLLDGDARAWATPIFSQLASVQIGIQGATTPFVDEAAFLKAFKARFGNLDDAAAAQVELAKLCADKTMREKRTAAEFSALFKGLADRSGYGNLELRDKYLSGIPSRVYRKLELETFATWQAADKRATEVKQILDISRAHRPELKNFFSARGRGRGGARGGAPQSHAALASINAAIGKGNFPGSCFGCGKQGYRRFECPNCKDKPYTKRADARATVAL*
>EED83748
MAAPKFRIAICGGGIGGLALAVVLGKYEQKDAPLEVNVYEARPEITTFGAGLSVWQRTWRVMQLLGMEQELSDASVRPPSKGLGPGFVYRRGDRETDTYNYHTVMLPSDMVGVLMNNIPPSYKIHNSKKLQQYVEVLDDNGNLKHYELHFADGSVAEADILLGADGIKSATRMCMYKLAHERDCPQDVDRDHCPRCHIATPKWTGIIVYRSLFPTERLREMRLGHQGLRFTLCPF*
>EED83749
MPDFLPLSASRRPRSPRPPRRPSSQRWSAMCRSSSTTTFIRGCSLGCSPPKSVQM*
>EED83750
MNRRVKRILVYALVGGAVCVLLVSVIALPALSAAGFGILGPLAGSSAAAWQAAIGSVTAGSAFAILQSIGMNAAIPALWYVPSGAVGVGIGALIGWLTGGRNRPAAGATAAAPGAGSTIFAGQATGYPSGFGRGEGTHVAEVTMAASGDKTASGDKTASGDEVAVKASLIDTVCKGGIDDEADSVGDSRTPQAGYSRRTTQ*
>EED83751
MSSGTPQTTTFTDEMNMMNTLVEKLNIVRANHDREILACVWVCGKREVDRNTRMRFYRYLITCYDTAPMPDALYGKNPRVLAESLDPQTFAEAARIHVDADIGGSFIPPFMQEVFQGNPDSWKVARDDLGQLLGSI*
>EED83752
MASCSIQGDLDGWMNLTDPNDDTLTYNATGLVTELTSKAGAIWGGYLMPWDKWVYGITIGQRYLIENTTLGIPAIVQSEALHGFTNNGTTWPSPIGLAASFNAPLLHAAAATIGTEAAALGFSQVFAPVLDLSRELRWGRVEENYGEDPFLTGEMGLAYVSGIQEGTRPNTSATAVARVAATCKHFAAYGSPQGGLNIAPVAGGERELRTYYLRPFERACAGVEPALSLMSAYASYDGVPSVANYHLLTEILREEWAYPYFVTTDAGSVDLLISTHGTCATRECAAKDALENGLQMEMGGGSYTYLTLPDQVAAGTVDMRYVDLAVATVLRTKFALGLFESAFYGDPYPYEDYLSMLRTPATRELLHQMEQEQIVLLQNNNSTLPLSKNIGSIALIGPQVNRVTLGDYVFHNATLNCLTPLQGFTELLASTYVRINFAQGCELWSNDESQIPAAVAAAEASDAAIVMAVQAVGKPTIVVFVSGKPVAEPWIQDNADAVVQQFYYGELGGLAIAEIIFGDANPSGKLPVSFPRSVGTTPAFYNYLKGGRPIDPGYVAPNGTLVFGHQYVLDTPVPMWSFGHGLSYTTFNYSVVTPNQFLAGFQKVFIPYVTLGGSVMPRVDTDTCLVVGVSAGGSETVTIQINSTQLALWSLDNTWVVEPGDFAVKVGTSDTTYLNATMTVQ*
>EED83753
MATDFWASSHFKRWIFDRATLRQARADDLRYVDDPEHLDFLAIFFANLISKLGKKLQLRQRVIATATVFFRRFYIKNSYCETDPFIVIAACCYVAAKAEELPVHIKNVVSEARQIFGSEEYGVKSFPTDNSKLAEMEFYLVDDLECDLILFHPYRTLMMLCGKEGSVHVSEAEAGEVGAGIDDGRRFWGTGEGKLELQDGALQMAWWVFIINDTYRTDLCLIHPPHLIAIAAIYLVLVLHNSTRSLIQAQSSSSSSQSVSRSSSHSQLQSQPSTAATPRRSSRSNAATHRKQPQDIVGFMAGLNVSMAHVATIAQEIIGLYALWDRYREDGTA
>EED83754
MEDEFDAVLEDDSAQAVAADVVRLWAEACAGRQEPVVQLEQQAERLRGKRVQMEEGVGEESDWEDDDDEDEDDGEGEGATEAPRLLDHQARPPRQEPEVDDDGFTMVKKGRGK
>EED83755
MRGVSFIASFSVHRYPPAVWGDEEDEEEDVEWDDEGYEDEDPDLAEEQEERERMSVHQSERGDPMGMVMEPDDGMSWEDGAVEAAQDKTRLQLAIEAEAEAQRARQQQQEQLIAQQRQQAEQEQERQVQMQIRQRIEEQRREQQAQTQVQTQTLRTQSSREQLGIVDVAAGAPTKTLDPAQATETRKISMTPPVARVEDPRPSPTGSSQGSQRRQSDERKRSREEAEAEEAARKKVNGSFTDKGKSGPVSSGGKPAGGAKLRKERDSTDDESGRDRDKKKKTGGMFGGIFGRRKDKDKSRDKGDNASVTSGGSGGEFGRESEESGKSLREHGISSPPPPLAEPTSPVTAMARQQQQQGGYKPQPGQQSQQAPQTPPQAATAQISQHVSTLRQRDQQQQALYQQYLNRSPSTPPEPSYGTQSVAAVLGGSMSFNSSMNSASGLNVGSSRPRPGSLVLSPSGMDGQGVGLPDLSVVRVFAGDQLQTEATFKTVLLNNSTTASDLVRQAIQRFRLPAGEDEKDYYLTIKQVEGSSAKLLPHEKPLGVFESLVEAALELPKVKRSSVGSISSVASNLSMHPAIKKLSMNDFTDDSAVKFYLNRKGDDMNDSFLGEDGDATLLVESPQDDNGETSPKARSQYLTVSGSQNSVGAERFSSPSFRFALQLVIYPDDLPDDMVFDPLTEAIVFKHTLRDRSQSSMIMSPGISQTMRKKVFVFPKNVTVAEVIELGLERFGILEGVVDGGDEIEDKLTKRRSSSRVRYGLAVEIGGKGEEFGSGLLTRVLTNPS*
>EED83756
MPQMQEFAINLQQNFLEVQKACVKEIREVHVVPLVDNKYTHIYRCVQHVAPCLEWDIARSRTRETRLQILRDARLQNVVARLRQKGWEDELDMMDLEDYGPLVDHPGVHVAQELTDNAWSKISASLDALMQEYRMHDYQKVLTARLTDLSVLLNEIYAEPWRTRVTEFKPHFVDIATMAGVQQIIRSSLSEDLEVEDEQEFRALLPSLTAHWQASVAASLRPMLKPFVVSKPGIDMLNLAIAYFDCTNCCSMIAYPDVLAHGCIRREHDVRPRDTDEAQNTMSVYEQIAVNVAKSQKWSSDILRAPPASQMQFIRSLVNRCGLDPDRATRADMDALDVSVYRVTSSLKFTSTWHRAIQNEWDLFRFSYVTELDDVSAYTSDETTARLKAEQEERIKQASYAFWCCSMCTEPAGRRQNWEDMRKHIIEQDIPLLTPANLGMKSRNRTLRIIICTAILTPGNMEVASYAQHKVSLN*
>EED83757
MDGTPTMNMCNHVLDMIASPGFDTPPSANAEQAPQPLDWVVSPEINAAIAEAAKAAYTLATSQEMGAVRTSYGKRAIKAFGVSPDSWAQLVVQLAYERLLRARGERRSGGTYESASTRRFFKGRTEVIRVVSTEALDWVRSMDDPKASLAEKGALFANAARVHVQRAKTAGQGQGADRHLLGLRKSLREGEPLPEMFTDPLITRSSYWVLSTSAINSPHFGPYGWGEVVHDGFGVPYVTGFDEVPSL*
>EED83758
MVKRTGPKVGQVEGILIDWDLCKYQAQLKIGSNHPAHSGTWQFSSAMLLQYPMKLRQVSDDLESFVHVLHWTILMWYKHSLSGLPSELRSLVSRTYDEFETFVDYDTGGIHKYNNMLLGALPFAKLSSEPLKSLTDKLAEICKEHYNASSTKEQRAKLEDIKIEKGKKFESQSPAAPVEWEMNRRRRRQFSQRRCTAAARYQYQA*
>EED83759
MLYPPGANWNGVILPPSTRLLKDFKAKTGGQPSKVQLVPANLGTVCIESHLYGVFFLLAVTSIYFLVRRAKHNMRRKQITTGICKSLFKRPMFAAAIALLITITARWILTVTRLFQAFVLYKGGNAPLEFYGDLRETTEVFKTGFLIATLVFGDSMLIYRLWIVWGHNTWVIIFPCCTLVSLMICGVGITYQFTQRIPGEDVSDIAAGRWITSDAVFTLCIFRAFLYSQGSRSFNIFFFAAYQSHSKLQFNAVDIWCPVAGISFMLINVRVGLGWAQKAHKIPLTSIDASMLRQQIDNDSMPMRPITVNITRAVDHIKDKEQDAAGVSKNEDFVDEVDMGHHHPQDVEGWTAFLSLRLQIVLWDSSANYVHLGLRDWRWAYPSWSCIREEIVQLERDSITRASALLEHIAHDVVFASYVRKMAVYVFINDAEDKVLGARLSQIGGNLGLDCPDALAQVLHLRDLELQYSEGVDEVETVIQRCPNLYSFGLASYTGDHEGIMQVFARNSSALPHLTSLRHTGYVSLRENTFSLDGLVEFVREKALLRRLDFAASYRWAELKTLLPFLRSSKTLDILGLSLQAEVFRKDDARHLQAHLPSQIHALRVHVLIKSLEVDADMWHGLVSGLVIIEPRIRLTVSQWTHLPELRFIYVHEEPHGRGPVLDVEQLASRAPRLQVVGGNSRFREVERGSDGSVALSAPWPMF*
>EED83760
MPISLTKESDVDVLIIGAGPAGLMCAMALSHAGVNVRIIDQRPAKVTAGQADGIQPRIVEVFQDLGTSVVLQSYGLADRLFKEAVQVHMAAFYNPSPHGGIERTDRAADVTAPTARWPFEMALHQGGIEQIFLDSMASKGVHVERPIMPTFIELSQNETELRDPSSHPAKVVLKHLEVPEGKSDTEIVYAKFVVGCDGPRDNLVSSGAHSWIRKEFGITMEGEQTDLGPKASSDIADIGKSDYIWGVLDLIPDTDFPDIRNRCAVHSHNGSCMIIPREGDKVRLYIQLADQDVLDSKGRVDKSKVGPEKIMEVANKSLYPYVMSTSHPIDWWTLYISTVSPFVVIQKLTCAIVGQRVANKYSVHERVLIAGDACHTHSPKAGQGMNASMNDTHNLAWKLAHVLRGWADISLLKTYEFERRKYAQDLISFDKRFAALFSGKPRTEGSEDGVTHEEFMEAFQTFGLFTSGIGVHYQPSTIVNPKHQSVASNLLIGQRMLPHVFVRAADCQPMEMQDLLPADARFKVVVFTGDTTDQVQLKKVRALAEEMDKPEGFLKRFGKSNGSAFDVISVSSAKKKYSNYTDAPPLFRSHWSKFLLDDQDMYKRTSAGGGYEAYGIDPHGAIVIVRPDGYIGMVAPFDHLLDIDNYFASFMVPA*
>EED83761
MGSLQRPPYEDRERLRDRDDPRDRERDRGERDRDHMGPRAHPSQMSLHSGSAHLLAGAAGVAVGPGMNARPPPPPSQAQMLSGPGTGPMGIPPSYGMKERERDRERERAQERAAAIGESSGARRERERHNFNEKERAREREWIREREMDGLRLDGPGMADIESERERERYHQQARAQHAQHSMHEQPPPDQWSRFTPSRSSGSVPGVIMGPDAQERERRLMEMERMERERERGERERRDREMWEAEQRALSKEKALRDKERERDERRMMKAAMTREEQMDERERERVMREREAMWLKEQERERHERAQRERMDIDAARGHPAAQPHEHHHHHLVHRHHQSPSASSQGHAHPSSKAGKQPANTSHGQPGMGPGMPQGDVHPAFELMHERQQQAGYPRLNGGQGPPMAHVVVPPPRQQQGHLQHSLPSGHLHQHTHPHAHTPGSAPPPGYAHNRQAEPLFPPNMRPSTSVSVPQRSPTPFYTYSQPPVHLGTFIWPHSPFPYLDFPGATPEASPSELTREIHATILIPSGFLLARRPARPRIWGGAAIPALPPVPPAHPHPYGQQTRPHRMEIRGVRRVYTDDSDLFLCAVHAGLITWSEARRAKSDGRDLRLEVRITKEVRFIGGLGSHSLKLPSHSGPGGAMMVDGDPEDDGRTLLSAGWGNSHDGAGVEILAAELVKVSIQ*
>EED83762
MPLLSRTSLITANGSTDALDVELPMAALPAKNADKHPHTTVTAPVSSTTLHATLSLPPPVVQGSVSSGRRGKKKSARVNGGLRVHWAQFKRRIGTGTAPSTSSALEPDDSGDSSSNAQMRQDAQHEVEDDGVDEVVVDREWSDEIKSSSITHSEHGGTPEKSCNQLGTSTDRESLAFHVDGMWASCGLLIFLRWRVWPTVHQFFAHHFVDEKSEMHYRKENWFLGKNLALWSTAFLIVNWVLALGFIQRPVVLPDKIFYYAVSPAITFPVVIFVMWDFPRDRQLLYQCWLVVACWMWSLYQIIFMHWCAYYSQNPHCGSKDFLTLFYYTSAMQTIGLFGLRLHRLPALLAAAVFFTLSCGLILPMRAPFVRSMADLINFLLFQAFILYIHYMRENAERRLYTLRDQLKIQFRAMQKAQVNERKAADSKRRLT*
>EED83763
MPLLSRTSLITANGSTDALDVELPMAAIPAKNADKHPHTAVTAPVSSTTLHATLSLPPPVVQGSVSSGRRGKKKSARVDGGLRVHWAQFKRRIGTGTAPSTSSALEPDDSGDSSSNAQMRQDAQHEVEDDGVDEVVVDREWSDEIKSSSITHSEHGGTPEKSCNQLGTSTDRESLAFHVDGMWASCGLLIFLRWRVWPTVHQFFAHHFVDEKSEMHYRKENWFLGKNLALWSTAFLIVNWVLALGFIQRPVVLPDKIFYYAVSPAITFPVVIFVMWDFPRDRQLLYQCWLVVACWMWSLYQIIFIYTSAMQTIGLFGLRLHRLPALLAAAVFFTLRRSPFVSAAPAVLSCRCVRHLSEVWLVCGDLINFLLFQAFILYIHYMRENAERRLYTLRDQLKIQFRATQKAQVNERKAADSKRRLTSYVRVPLNTALLAVQNMEAHGSVPKAQEIEFKALEGSLSMMSKGAEAATSNRMDSGRFESVHKPYAFHQVMQSMFVPLQMATNARGLEFAQDLDKRIDEVTRRALYEALGESQSVIDRRMTEEPDGDGIVVGDETRLRQIITNLASNACKFTPAGGKLTISTKLIIPQLPPGPDGSDTSETAGEDGRDSRPQSVASLHVPPPQGADQKQTNDDELSPPFPHRLSATQLTRHNTMHNKPPPVEWIVVRIEVSDTGYGIRPKDMVQSKLFSAFNQTEQGRLQGGKGTGLGLALVRQIVKLSGGRLGVKSKVGEGSTFWVELPLGVGIKATPALLMPREAREFIFDTTSSVTKTMKTGSSSKIELLGDDLDTRLPLQSDPPRQASALHSIMEQGGLVEISTRRGERSPVLTRTLGDVSTGTQPLAEDITPPCATEPLPPVSSESSSSTIRPRLTQLPKPRTFLIEPPLSPAGSATTASTRNGSTTSGSTQDGPLRVLVVDDDLLTRRLMSRMLTRIGCKVATAENGEIALEMILGSHATPSSEDTGSAGLSTEGTTASSAVADSSEEYRYAVIFLDNQMPILSGLDVVTKLREMGRSDFVVGVTGNALLTDQREYLEAGADHVLTKPVLEKSLKSMLVIADERRKERILSTAEPPQLAAAPSPSPSPSPPSSPS*
>EED83764
MSHIVALLIASHCFRTILSLPCKPD*
>EED83765
MSDPTKLEETYITDAGFGHITWTPSTTVDSLSFVKALEFRMISEVDIRGCVHRNRYTERDDEGTDETGLPVHTWLDALVNGLPALLEDHRFNIEKLTLDDLVWGRIAPPTQTSLLFHLHNITELVLSKMSFENANQLLTILGSFPRLSTLEMDKCSGLLVESDMLQIARAVPLNLRHLRLDSPDSSYGPVVQWLADGGVSVTIKKAFLVWEEVDIPALLNFFRTIASSLETLTYMQRAPNKLHIDDEPIQMNLLDDGNGPTKVDVAAAQELKRRTRECEAAAEANNLLDRHPIQACATAHLHVRITWSRLAPIAIKLLCQLSSQAECVSLLLDFSHSTPDDAEWGLVGEMLHALATRLIAGNRCALRLRMYPAVFEKLPRVAAEGTGWDFSLTHDEWDYDPHRSDSMLSRCGLTRLGAQYYYVSLSTGCEIEWRLMTISPIIHWKREIEDLCLRSTSNGPAPVLAQTATRPFTSVIPFRISGPPQSDSAQRVNNISLFSKHDPIKGTYIIDPLLPTVPMSTGLFSGEHNVRKIGQEAARDTRTPGTSFDGGSDNVSDVNAAFRTRRSPITLDLAVVGDKPQGSDKSRARIMASARHGNIAVNLFQIQQSRCVDLNLPFRQGNMIVLLPPDFDGPITIRQRRGRSAISFLPAFAERALAVFSVSGYFI*
>EED83766
MVKETTALLYRMTKYGRDDVNDVGPTLDARNASSPFDKPTADIILRSSDLIDFYVRKAILAEASTSFDRLFRLPPPSGANHGQREHRNGIPVITMDEDSETLDLLLRICYPGRTPSIIIDNLHRLMIAAAKHSMDAVVEVAKDRMHALATESPLRVYAIALLCGLKEEAKFAARCSLAHSVRRVYAPEFEELTAGAYYRLLDYHMRCSDAITPLVDAVGTPSYGKSMDRAWVFVGNANHPGSACARTKSFCIIDASGGQKSHRATAWWWNHLAKLKQATEGRPSGQAVLETGVDDVVEEASVCNICRVSVAADMRAFNEFIAAEIDEATSQLELDILDL*
>EED83767
MALIRALSEVLANLGTQDSPCQTSANTGHMASIKRCFDIPPVSRAAGLLLSLTWWDTKKTYTTANAPVYDTQLYKHSVTLGCFSMPYAPADIFLCYNINGANGDRARLSTSVAMPVRDNRVRNMTVLYHTVQDLYHILVRLSVTIQIYADIYEQGISLTITTSLIVTEQTMANQLQQGQASSVIPPGMEREDRMYTALSSGFFTISNINFNRLIIYMRDLCLKRNWGEAAKALTEAAGLDPTNEAPISSTRGFLWEWWIVFWKTHSAATEPRGTDSPQTGAQSPPGVQSQTTQPSSASNYGTHSQVSAPPCNPTASPHCDSSRIPESTGTGTLPRPSAPISSGLPTYLPALNHIGGSQHGTGSLDHGKRRTPPVQHSSSGKRTCTFPPYAAPAPWAMPQSWQPIIAPGSQPLLQQPGAYDTLAQLSQTQGTIDPSLIISDEPFDINPEFAVNSGAWPFPMPHASGGGH*
>EED83768
MSDAAHTNRMVAHRRLAGRGPFRVPLLIWDPFLYLQSPSLCVVNIAPFTHCATDLRGGSVTMAVQYLHPMHSSSLAFYTVHMRTLAYGHTAVSLLQIASLTTNAGTQGSVNAWVHGVYFPGIGFEEAEHFTGAHHGAMPPPATSATSDTTIIPKPTDSSSTEDPHSSSSKSSTRESTTSTSQRSSSTSHSSTSHSSTSHSSSTSSSSPAKESSSSSSSSSSTTHSSSSSSSSSSSSSSRSSSSSSTLPTTSVVSTPTISTPPVLTTTLVPTTSYVPTYTPISSVTVTGAAASPSSTGSTGTVSTGAVVGGIAAGLAGLATLLFVGMYLWRRSHKEDGGEIDDFNARAFKAQSVILPDEGMPSRSGSTYRGGHGGYRSPSPPMMEQNMANVPPSFVGAPPMHQGYDPQAAYYNDGYNNGYDSGYNTSYYNGYPAPPSFAPGQYIATNQGQVAPPSPVNPFVAPFGQVPVDVPMDGSPYDYAYRAQSPPRTPPAALIPGSPHVTRQPSMGAAQSLARQPSAAGALGQPGLYNQPSDIAAQLLSRRPSAGVAQYPGQQSPRTPAPEATVGPDPHYVDLNRSSVTPFQAAQYTEISRRLNIAPPSPMSSPHEMNYPATSSGLGPVMEDSDSGSLSRQASLRDKDLPSEPPAYYDQEDGSPFSDSEEVQEVTLHSHSAMYDSASKHDSFGPLPLPRFDQHARVPSTPPILPEIHIQQRAFSPVSADYPIAPSSARPSPLSSSFGLPSPPPQAHVASTPTSAYPPNIAAVANKATHAPAGLYAESAVRRPDTVYTLYDDDDAYGGI*
>EED83769
MSEHGEQMYRLSDKHPSIPKEEDRDAFVSTRNSSLASALYIPPKKAISQIVHAVRLPLEQGNGRLVVQIPQGPSLAFRKRSSPACSSQEETPILFTRPSNAQIHCKQQGIGENNSPREFYAVAVVEELRTEIQLKNIRRRRIRQSSNTVVSP*
>EED83770
MLLDTMLQECHLALRSPPVRTVPKLQRLTFVFIPLGVKKASIASACIVPVSVHSDSYLQALPLAMSSNNETRSDYTGAVKATGDGEVLGVLPQLPQPRADVETTYLLPKWLYIRDISDRYLTVRPGPVYGTATLRSGDYDRNSIFQAVQKGNGWWRIIGNNGNSLMRYYSGWLSCDGTEGNGTLLLQKFITSADGSIYLTDNAGPGGMYLSADLDSHGRPLYYNYIKDNSRFRIIQAAVKNEIYDVKYDISGAQVRDAPPLIVLSTSVRNDSDVTVTQTLSYQYSKSETGTWNNTAGVTLGASATFKAGVPFIASVEWEISVSASYSHEWGGSVGTEKVVSESTSVTVPPHQKAQATIVVRNAQIDVGFSYREEILYANGEKEDLQKQAHQLGAHGLTSPRAADIRDMRCLIPAEAIVDHVSGSLRQTEFREFVAMNRYDAGALLTQALR*
>EED83771
MVIDIMRDDPAALKACTLISRAWTKRARDLLLERVYVQTNSSLQHLSESLRKPRRRVSLDDTRELHVHDNHENPFAHIVPHFLAPHTSKISTLCLHDVKWDGLESRFHPSFFDYLPLFTSITCLAVSSCTFRSVCDLERLLAAFPKLNDVNLRDIDCHTVADPNAGYKVPSGSYRRITTVYYNSLTLRDMPSNVVASLCDWFTASPASVDMRSLTIVDEPRDRFNDQPWTEHVTLHLSSKLETLELSCCSFDAQDWSHACTKLNTILLVIRRSNVKTLRLELAVSPSTRSPCAGDMSLEDIADADMRENRDIDRQYESEISIELMRQCSALTEERAKEFFHRMMFTLCGNDKWNARGVFQCSRRTGRNSSRIEFYRQHEHHKVEKVGIPHFDEMRAECQRTTRYQSSNASEQEQLSNELQGDGFVAPLGDKNTCWASRLPVVPVVPTTGAINRRLYDSRKVAATFPRLAQTRPNIHVRNSDTQAPEESKEASSDQGMDEGPMTSLGCLSLSLRDLFDFKIPQGWKTGSGIFAG*
>EED83772
MSSPGQPEYDIRNNHPWYPDARRARVAVDSAIGDAMLSGTDPQALCREKVPQEPYKGQETSFVSRNASADYPSTEGAFGLGIPLTECLKPAVKSRPDMQVQAFQELPQKTTKITLRINWPGKYEEYRSGQYIVKNAKGIISKAKLAEIVALEVQMIIRNQKLVVKDGAVVDPVWKLGDDGIRFEEIYLTEIRHVSKASLQPHFEIVRRT*
>EED83773
MSARSATPASTPSLVNRRLASLLMVLEAPPTADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERAPESWVEWSRGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAAAIEKRQAGQKCDRCAGYRSAPVECVWAENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARHRALLHDMDLDLQKMEKAVLAKGGIGFVRGAVDDE*
>EED83774
MSARSATPASTPSLVNRRLASLLMVLEAPPTADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERAPESWVEWSRGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAAAIEKRQAGQKARQRRRAAGDQPPKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARHRALLHDMDLDLQKMEKAVLAKGGIGFVRGAVDDE*
>EED83775
MMRQRPR*
>EED83776
MFAFLPIVSLCAASALAQSSSSVFDFLEFPPAGTPDPNSTLANSVARPPFSLTSNPALTEALLHYDTGFYGELELVHAYYNYWPVGVGVASDGTVFTTFPRGNETYTLAVFSSPTTEAPWPNEEWNTPPAFANESSPGYSVATEKFLLIQSVVVDGLDRVWALDTGRPEVNGSYLLAAVPGGPKLVGFYLNGTNFATYTFPANVVYADSSINDVRFDLRGPGYAYITDSSPNRPGLVVLNLATGESWRHLDGHASVSPDTSFVPVFDGVPFYLYPVTTPYAINNFGLYAADGIALSADGQYLYFSPLASRHFWRIPTSYLKQQPGPTNPAAYLLAKQAVQSLGESGSNADGMETDSSGYIYSGAPEHNAVVRYNPATGIMEPFVRSPFIQWPDTLSVATLQSGGSYVYFTCCGSDQTIRTGLTSARGRGLCSGHPSTPVKQRSWIDETTAQLDLWDIRLHDADCTMISFDILPYELFALHIVKSHGLAKTASMERESGYCRLIEGAGIVTHLRAEGREPRTNWRSKLRKLRTVRDVNIRAWSLGPPSKLVCSDIAIRACWNNRCDGAASAFVHELAMPVRDNRVRGLRPPATRAPELASSFLTTRHDDGTPLERQLALPNEQWMRWSTPSDAHLDAMCLLAVHSLASSSPNVDAVLLQILALSNTIFSAQPDSKYASLALWRERLSHQDAQLIYLTLADAAEPIAFLFAHPRLHDPSLPVSSTTESLHVWLAGVLPEYRKHGCLALMMAKLAMHAARPLTVCTTPATYPDMYRWLVRNGWLEERGLADGKLMLAKVVPRPAQAA*
>EED83777
MSLFQGQGVVRTICFLYALTSALAIAFFSPSVPAWIQQTWCKWVVPLARLFANEALILLFKGGPTDGAVLFLFRKARPMASKVTYALRRIRPSLTTPARLLKRSVAVFHSSWIPETAAAQFQLPLGRYVLDDSSDRCAIATPSSTLSTQTHSSPGISSQRSLTVESSPLTPPRIQNAPRKRSLPSDDEPVSEPRPRKKARNMDFDSEAEVLFIVSSVAPRPAERLKTRSRKIPEIDFRRLAPHSSRLPPLEYEPVKLKPMPKSNVWNTLLDTNCSSPRVSVSVALHALRDVSTANSTRFTPGSTHLGKQLTCSYTKKVRH*
>EED83778
MDERAPSSSLRGNAIHPTPIKPETYYGEGTFDPPSSDEEADELLEKGGRESSEQAERAGMRSAMVDGGTLLVGIRKARRPASLCFLVGSLVTLVTLAAVIGIFAARSYTGTPFRIHGTKHITMDHVFNGTFSARREGILWVPEAGDGVFATQMDGHLTLVDLKSNLTKKLVDFSDLKDEHGRRLYHSSWKLSADMRYMLLKADTVKLWRHSSHGNYYIHDLQTKNTWPLVPPTYPPVTTYATWSPTGQRIAYVVNNDIYVVPSPSSSAVPIRVTTTGNTSMFNGVPDWVYEEEVFSTNYALWWSPDSQNIAFLSLDETAVDEYTYPIYNPKSDSYTVVPYPEQIKMKYPKPGYGNPLVSLHIFQVDKYMKSSTSRVRPADAVTAASLALDWDGRQSSDNSIISEVAWVGNATLLVREVNRAGNNGTVAVFDLTRAGTGRGRVVRRLGKDGEQGDDGWIDADQKVYPISAALSPNGHSAYLDIVPTPEGYNHIALFSPADSSAPRFLTSGEWEVTGDILAVDAKRGLVYFQAANPSSLQRHVYSVPMPAISSSEAVAPTSLTDTSSPGVYSAEFSPEGGFYLLSYLGPQPPWQKVIEIGKHGLEFVLTENPQLNDTLAQFEMPVVSYSTIESDGYELNVMEMRPPRMDDSGRTKYPVLFRVYGGPGSQLVSMSFSHDWHDYVACSLQYIVVVVDGRGTGYKGRKLRNPVKDNLGYWETQDQINAAKIWATKDYVDPNRIGIWGWSYGGFMSSKVVEADAGIHTLAMAVAPVSSWRLYDSIYTERYLNTPSANPEGYVTASISNVTGFHHVDYLLAHGSDDDNVHFANSAHLLDMFTADKVRNFEFRMFTDSDHSINRRGANRELYEFMTDFLVERWGKGGKRRSW*
>EED83779
MLSTSVLLAVICAPLILAVPSPTETAKRSTCTVDSVSSASDLSDCSSVVISSFTVADGDTVTMSFAKGASVTMTGEVTFASTTASGPLITFEGTDVTFDGDGKTFNGNGADYWDGEGTNGGKAKPHPFMKIKASGTFENFIVLNTPAQAISVGNDAALTINKVTVNNKAGDTDDLGHNTDGFDVSASDVTITNCVVENQDDCIAINSGSNIVFKDNTCSGGHGMSIGSIASGKTVSGVTFSGNTVTDSMYGTRIKVDAKATSGGVSDVTYSGNTISGISEYGVLITQSYPDNDGTPGTDTTISDVNFVDSATTVSVNSGAYRVTVDCGNCDGKWDWSELTATGGDAGTISAEDATVSSFRSVSR*
>EED83780
MDDLSVQIPVWDLIRRFVPGKKPTAEVKAKLAELKLSVNDFTAGEQKMYSELCAFVQSVTDICVEGDAPEWKLVARDTVTGADPGKRNRRIDGKKKPDISIFRERDEARVTYQPATKANEGAENMEQAQMSLKAAESWHRASLVIECKSSNSDGHPFSFRPASTCKSSKTPGTSDKECNGAEPNPQAEKDVFLPQTDEAIESRGQLSDYAMHMMRSQPRQFCFIVVVAGCYARILRWDRAGAIVSEAFEFVEDPSIMVTFLYNYMTMTQEERGFDTSVVAAPRHEIDEMIAWKVGMVEDGRLSDYHTERFKEAMETKWPIYKVTIPREDLISAAELGRKVNKAGAPKDSSQSGSNIPAEDLTLLIGRPLSMSNSPTGRSTIGYVAFDMRGKRLVFMRDSWPLDSPLRTTERTVYKDLWQKRVTNIARPISGGIVKNGDKIHRTITQKYRNTVHGKDTRARIHFRLITDEVYEPLDNCKCSYELILVLSDAIKGKANIMVKRTGPKVGQVVGILIDWDLCKYRAQLKIGSNHPAHSGTWQFSSAMLLRYPMKLRQVSDDLESFVHVLHWTILMWYKHSRSESPAALQEVVLETYDKFSDTLGYDTGGDNKFSNMLLGALPFAKLSSEPLKRLTKKLAGICKEHYNASSTKEQRAKLEDIKDNIGKEPPSQDPVAPVEIDIFVRGDDPAEHSGSQGGVESSGEEGDESSGEEGDESSGEEGDESSGGEDARALLDTSAKPKLRGHSWILKAFRSVIENMEKNRYTQITIDKVDKPQFTPATESATQQSTRSRKRPSQSSNESNRSSKRQRTTKGVRTTSSSTDPTLQTDSNESLDGCA*
>EED83781
MDSTAHSENSSNHQDIHPSPPPDETELAKNKTSPLKKTVATRQRYLTTGKIGEEDRPEFRYNLSDDMMPYFAEVEADGFLETHLPGEDFKHNARREPLRFNTELLKNNEREMAEEFVHVASPALKRGGSKPLVAKITADLPDSTESTGFGQGGKKRPDVVLYPNNKEAVKDYTLSKDDIEGLKQGRDKRKTFKNSKDQMEGSEYDFSRLARTCWSWVCVPVELKADHQQSAFGFGNDPNFLPGGRKRRGARGQLADYAARILQRQHLLFFFMIAITRNEARLMRWDRAGAIVTQPLDLRDPDQADKLLTFLYRLSRAKPEQRGCDRTVQRATKKEIDLMREAKDSIPQGDYRLKRLNLAMAEGWPVYKVLCREDDVVSVDAWRATSTNTDSASSTVPPPSLSLANIGSLSEDPDPFGPGTSSTARTASKARNRPAVHYRCFLICKHDFSSDSPIGRGTRGYLAYDMKTGKFVYLKDSWRVSTGNSEIKVYQRLHERGVENIATPICGGDVVDIDGTLHRTLAQKHNRKAEYIHCRLVVEEIGESILDYPTSKDLVAVMYGAITAHQQACEKAEVMHRDISEANMLMIPDPNSPYAGVTKRGILIDWDLCKSYAQLRHSAKQNNRSGTWQFMSALLLLRPGFKQHTIADDLESFMHVLNWICLRYHKTTHQGLQGHVSSVFDGPKKENPEDRTGGGEKIFLILTGTPSAILSEVSALKDLLRNLAKLCQAQYNATDLTPYAGLYPVDESAPASFYANRKVPGFGVPGNMESSHKRVEDPAFSTHKQIVDAFEWALCGLAQHYPKKEDDKFAQFAKIDRSQYSVHSSFSGSKRYSEELGDGGDEEQPLAKKLRPARTNRQPSHTLGAIIENEATRDQPVAGGSQAAGHRDG*
>EED83782
MPSSYWRPWWNGPHVVRVVIRILRLPFLLPFISFLVITDVLEGSWCAKFGCKDIWQPAFPLSFRLWPIALLAAAINAGIWLCWMLGWEAVVWCVKRRNSRGWRSPRQNGSPRYSDDEGEGQYILLPDGERPSMSSPPMSPTRRSRIRRAWNMQDIWWWAQVVLYASIALVGVWETKHYEHPNDVRFRPALQRALSDRHPSPQGYGKGEKIFIAASFFNNQDVLPYWTSTLLAVITYLGPANVFVSIVESHSSDNTPALLTALADTLAARGVPHQVLVHDDAAVPKPDDLSFNNRIEFLARTRNRALEPLVRTGGYARVLFSNDVFVEPESVLELLDTHDGEYDMACAMDFGHFGAYDAWVLRDRLGHLTSSIWPYFIDAPSIDLMRKDAPVPVFSCWNGMVVFDADPLLPIHLRSNRTLSRDPPPSPLPPTHPAAHDASLRGPSPALTPPIAFRWAQRELGECFSSESFLLPYDLRRQFGLQRIFVNPRVVTGYGWHWYVWHKWVMGHRVVRWWVREVWDGAWMERKKMVVGGEEGVWVWDGGDCHPWW*
>EED83783
MSEPDLRSDIPIAESTIVGVICSDTFNFGEHKGPIFPPEISDMFIDALGEDYDHATLAACSLTCHAWVHRSRFHIHSSVRIDSSSNFSRLKELYSPERGLANYVRSLSIDACDMQGDGLPAPHPWIADNIALLKHFTKVKRLALDGLSWNDLTDETKTTILTNYPMVDDLWTSTCDFRHPRHLVTLLQAFPNIKSIRMEALITDTVEWELMGDNTGSKLHLQWLDVGDVCTMPSVVTKWASSYGNLSIENIHISWSHEDPKDLSCLLGCAGASVKTLSLTLDSCITSHLVGSGSVRNHINLSQNTGIHSLKLYLRLESCDIPNLSWISDTLKSVTSRHLSHIAIYMAVLRVDHLIHIEWDTIDTALSNKLLEGLTDVTLCILRPRKPHESDETSAFPRDWMAEKLPKLMGRRTVTTVFEEQDT*
>EED83784
MSSRDRRTELGELHLCRGSIVEVAETGSERCEEGRFVGKGRCHSLSPSMDSGELGENGSGPGSCITIKEAKGNLDLQLDGGEGRVNRPVDEQLGDEAVAFDDRFSIGWRRKKTLPATRGTKDCPWTKATVVTVHRANFARNECSGGLMAETAVKVPEEVWRRGYICQGLLTWRRVLSARRAEQDPSVFPSRLTSSRDTPQAQALVQGLEGDLLALDWDRRQFGEVGARLLLATVNDSLRAIWDVNVDEVLRVEGVNLALIGSHDGWGEDGELTPTAPEVTLGFAIHVGPSTAFG*
>EED83785
MADSLSTPQTSRPGSPSDFVPHISTSDDELHPSLPKVPDTGTDHLKQPVTPTRDSRIVDEVQGATPGSAKASAQQTLALKSVQGKQARPEWLTRLDKEMKDILVQMPVLDFLQRFVRGKEPMAEIKAKLAEIKLNKDKFTVQERHMYNDLCEFINTISDICSEGGVSGLKLVAKDTGANPDTSIGGDGSKNKPDVSIFPERDETHATCQPAMKAKKSTAKKNTAKKSAAKQGAANAKQAQTPFKAATSWHRASLVIECKSSNSDGHPFSFRPASTSKSTKPSGTSDKSTNAPGTSDKSTKAPGTSDKSTKAPGTSDKECDSAAPNPQAEKDVFLPQADEAIKSRGQLSDYAMHLMRSQPRQFCFMVVVAGCYARIMRWDRSGAIVSEAFEFVEDPSTMVTFLYNYMTMTQEERGFDMSVVEASDDDKKEMIAWKDRMVQDKELSDYHIERYKEAMETKWPIHKVTIPREDLISAAELEPKVDEASAPKDSPQAGSDIPTEDLTLLIGKPLSMSNSPTGRSTIGYVAFDIRGKRLVFMRDSWPYDSPLRKTERIVYKDLWQNGVTNIARPISGGIVKNGDKIHRTITQKYGNAEYGKDTRARIHFRLITDEVYEPLDNCKCSYELILVLTHYLAWTKADILHCDISRANVMVKRTGPKVGQVVGILIDWDLCKYKDQLNKGSSNPTHSGTWQFSSAMLLQYPMKLRQVSDDLESFVHVLHWTILMWYEHSLSGLPSELRRLVSRTYDEFETFGDYDTGGFDKYNYMLLGALPFADLSSEPLKALTENLAEICKEHYNASSTKEQRAKLGAIKVQKGKKSPSQPPAAPVEIDISVRHYNRVEHQGGHAKSSHTEDARPLLDTSTTPKLQEHFWIWHAFREVIEDMEKNRYTQITIDKVDKPQFTPATESATQQSTRGQKRPSQSSGESTRSSKKAKTTHGTRTMSSSMGTIFEEDEHSGDET*
>EED83786
MSPATTPKATGIELPSSSVTDNRALIILRSPLPPTKGATYRSDESSTPAAPHSGTRIPQPVASTGQARGDPHLPRGTEAVAQPSATPQAIPQSSLGPRLERSPKTEPRNTPPHSWAGSSSAVTALTSVPILRHPTSGLPQLISPPSPPRGRSSTRSSRSSPGGQSQQSPSPAGSPSSPSPPVMSSPAAVPDKEMLKLLLPLRYDGKSVVECNHFISQLLIYWTINTAVTSWSYAHLPVLPPSTVAACQGQIHAFDSQDLIDVYIPDGLETILHRCEQQPCPNRTPRSIEEDYPRYKAIRRAQHPLGPQSTLASRSALWHSRPVSPTSRLPQTVADQARGDLPPDPAPEPEPEEGAGKEGVSESESSDSVGSASPTALAPASAVPDLRIYWLVNTSLTTIELKVQVALSLLDGDACAWATPYFAQLASVQVGVQGATTPFANEAAFAAVFRARFRNLDDEAAAQVELAVTSWYGA*
>EED83787
MVTRELGPPPSSPSLPPSKSGSRERQPPSSTKKPSFRHSRPDLAISTTPLRHRWSLPNSALTKPCARSAPPQSSLHCSRVRRTVPGMGTWNYATST*
>EED83788
MRRLPLSTYSIGKERIVKAIARALQTKVYCDSRKAAILRCQADPELHDLLTKDPLEGGVHLVPLGVIASDRLKDYVERFKGHYIKAVGFRPTGWTFSAPTGSDMVPSVSTIIARTQGRTFTHAHLSPMRNSTAALQVYGVPYSEHSSFFELTCFALSLDWGRMIATVNVGSEKSRNKMGTWIERWEVERKKRAKGEMVQHRAQNYW*
>EED83789
MADQKTAPTNPMKELRIDKLVINISVGESGDRLTRASKVLEQLTGQTPVTSKARYTVRTFGIRRNEKIAVHVTIRGPKAEEILERGLKVKEYELRRRNFSETGNFGFGIQEHIDLGARYDPGIGIFGMDFYVVMGRPGARVARRKQKKARIGFQHRVKKEDTMAWFKQRFDGIILAK*
>EED83790
MTTPKKLVHWALDMSFSGFGSPLSDASTEANSSSSLQYINSQLIAHGFTHSPGLSLDGLSKEDTDKVVKCLLGMLGQRVDDMSRTEDLTTKLRTLSYDHERMMSMYQAATERAANAEREMNVHKSRLAATTRSLQSAEAAHKHTTGELQRTRTTLQALRTAHQAELKKLEKEKDRMVDRWSKLADVQSKLCNASSGMRCANAAVIDAPDVQLRGKGQGFLDLALEQAEQARKELYDQNRKLRGLILSAANEMQGVLHATRTAVSPAEFHDDPVPLTFTSLFTMPPTESAGDKLSSLLSSLRESVAQLSRASEGPRTEATASRGGGPAEDRKPHDTAEVERLETIINALRKELDEAQKQASTYAAQTQELFDRFAADERLMQGEVGEMSVDLMTAPQRDEERARLDARFKELDEERKKFTEAAVRLGREKAGLEAERIKFLEEKRTWQVEQILAELPPTPAPTSSLVDPVPQEAPAAAPSPRKSVRKSPRKPRASGGLKKVRVSRRSSGLGVGLGPVSPKKITPPFETEVIPTSPSKQAPAFKTSIALPQPQPPLRAPVFVLPPPSPASSLDQGARLSSSLIPPLPAAFDIPPARSAPSMTTSKSEELFGALEPEPSTTIATSSSAPTLGSSASAPAPVPSTPVVRRPFPMAKPLAAGHMRHAYSPVKPSPLSRILMLANSPDSPNIDRPLLDALTEDAEEDSDGSPTPAPTVSTPIPAMPPQRSLAAELGITEDDENPLRDREAQANVKSKATGPVTKLTAKGKGKAKAEPMPVSRTRPAVALEKENVNRAKLYGASCS*
>EED83791
MPAQQNRMGSLSTVFPFRKHERAPTQQAADRARSDALTMSSQLPRAHLASSQGLQVTEERTSAVEGDSTPAYALTDTHARTYRPEVLKTIDGALALLDPQLRELSLDIWRHPELMWEEAHAHDALTSFMSARGFAVTPHYLGLRTAWRAEYAHVSSSRSPWSSRPRVIGVNAEMDALPGIGHACGHNLIAVAGVGVALALKAALETHDVSGRIVLLGTPAEEGGGGKIVLSSRGAYDEMDACLMCHPSGGPLRHASLGPSLAIRTMDIEFKGHGNFGIVEKRDRGSISAFDEDDPLLPTVPMSTGLFSGEHNVRKIGREAARNTRTPGTSFNGGSDNVSASPKSINPIPAAQ*
>EED83792
MSGHGEQMYHLRDNHPNIPEEENECALVSTSNSSLAGALTLRSSVPYTVYTAKEGNFRPLLPITYCTNGVRGVPLSACSNRDFLERPDMHLQAFPGLEKTPKIQIIISWSKYPVYTSRQLRWKRIKSHVTRGELAQMVAEEMRLFIIQANESKANENVAVADAQWKVGGLDGINLNDIFLVEIKHASKSRVQPYFEIVPNH*
>EED83793
MLTRPPFQWWSLLLASWLAAACAQANVTLLSTASEIVYSPPSCNNSQAAACAGAWQVIPSPDTAGAYITSTNGPTVGSGDLIPQLFLNFQGTGLDIRTSTLSTATVNVTLSTQDPTISITREVDSAAGLITVIGLPEDLVTTLSLTTNPFPSTTLPPSTVIPSFAPTITIVPVIEESQKQTPADIVAEVLGAILGVTLGALGYAAVQFYLRLRRRRRTQTQTPA*
>EED83794
MDNAQRVDDLWYDDGSVVLIAQDRAFRVHRSILSQQSSVFRDMFSIPQSSDVVNMDGCPTVELADDAFMLEHLLRAIYNRFFFLPCATQSANEVVRIGTSIASLSHKYDIPPLMKEAIVRLEEIYSTKFEDHNRYRVDEDPMDDGTAIFVINVARRIEPSPLDRILPVAFYYCRRTGQLPMSPLVYGIRRGPCSPPEKLSDEDLARVLRGKSELELSMTLAFTEVLLPPDANFGTRCRNLLSCRDELNQLMRCVMASIPTAQILADCPDHFYTGLCVVCREHVKRALSSSRRKVWGNLKVRHVWLVLMDESPRVDAYTLQIRSGMIDEFWLVRREYRKLSISQHRTIQTPGNRKRRWRPFRTRISLNVKELLLTTELLRDLSSTLTTVPARLQ*
>EED83795
MHLVAHFFLLALAIECVCASHAHLDDSRTHRQSQHSRLARRANGRCGAQRQDKTEQNLNDASIPSFVLNVTSNVINVQSACGPTGATPNVTPISGPNGNIDWLNCGVGDGGWRPPFVTANDIIAKDLDAALLEPGSPFTACSAYVATFKKYATKYGVPPILIAAIAMQESTCNANTVGGAGEQGLMQLTQDKCGSAPNGNCKDVDYNIKTGTKYFSTVLKNNNGNVLLTLGNYNGWPAGMTYGQATAPAKGPCCRCQNNLD*
>EED83796
MRPSTVLATAAIVAAPLAAATPIPAAPEGSEAFSLGTAWDVAKDGYNAYEAVKGAYDSFKNGKREFERDFLNLTPVQPNTLWYHNKVYREYHEPEPAQPAGAHPYAHERVHGGADERVHDHDHERVHGYGRKGHFRHTKGHGRKHRTSYKAHHAHEHERRPAGYRVHPDHEAERRPAEHEAQPQHETEHRPEQHNALPEPTAAHPTVEHQARGKVDDIDKGINIVSDAANAVTNIHDAYESWKQSHKRGTASKIEDGINIVNDAANAAQAVHGAYESWKQSHRRGVASDIEDGIHIVNDAANAAEAVHGAYESWKQSHRREPEPYVLPSSRWRHLAIARALLDELD*
>EED83797
MAAASRSSESAIAGALTSSARDAALSEGAAGLSSARNIDVAKLERGDSWEIRAGARSGPILVAATGATLALTLRLRRGDSGEGGGVLRTLTLMLTSGRFSSATGGGDACEIFASRLLLGLAYPGGEPATGILEPGWVMETRWKAIPSNLQDRGASHVRPDAIPDRPIANALLGEFGLGGPPRTYCAGCAPALAELHHEDEEDDEEDGQQNYPEGDEIMSAREGCGEGLEVSCPVSRGWRSTAQVECTNAEKTNVVVTTWTSPIKVSLRWMKLPSMIYAVRLTGSSISQAKK*
>EED83798
MAVQAGPSQLRAAALLVRYNVPTRHPATLRGIPAGVQTTTEVRATKHRVYSQSLREALLRLRNIAYTPRLFDGFDIYPRLALFLLPIAGAGHGHRKNVVRATPPIPAHERTAAQPARLDFALVRTAEINQRTAGTPLEGLRVAHVRVIFALAHHYPLHTDQPLVYVEWFTPFGRVDASSGLYVVSPSSRMHRPYGEVITIGRIVRNCHLLPSFGKAVDSRWTVQTVTEEYVSHRMDLLCETAIDGASYPRLP*
>EED83799
MSQNTTAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLGALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFIAITKHLIAQNRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGGRHLRVRGDRHPGRQVQA*
>EED83800
MLRMDQLRMDQLRMDQLRTDQLRTDQLHTDQLRTDQLRTDQSIAYYTSPTLERQTLKYLRFSDLVDIYIPDGPETVIYCCEQQLCPNRTPRSVVQDYPHYKAIRRAQHPLGPRSTLASRSASQHLCPVSPSSCLPQTVASPSQVRGDLPPDPAPEPEPEEGAGEEGVSESESADSARSTSPTVLAPTSAVPDVCDPPAKLPPAPSPPTPPRGRSRTHSSRSSTSGGPPQPPPPPQRPPSPPTPIMSSPATTPDKETLKLLLPLQYDGKTIIECDRFLSQLCIYWLINTSLTTIELKVQVALSLLDGDAHAWATPYFAQLALVQMGVQGVTTPFQNEAAFTAAFKARFGNLDDAAAAQVELAKLCADKLVREKRTAAEFSALFKGPADHSGYGDLELRDKYLSGIPSRVYRKIKLETFTTWQAA*
>EED83801
MAKSTGWDPVLLISQIVTMQTLHYLTMALLVPPLLIIFADSRVLDYDGGAANVGMIMDWRELAGRPTSRASQSTWGAWNTVWSGGKQLGSAEASDRPRFGHTDPMRGWIIAACWVAASTADIYYLYTLIRRPRLILDFSVTLLFSHLVLTTYYSNTFPTSLFFWGVMAASTALMIVVAEQMCVKREMTEGLAVVTANDGDEMEMGTLLRRD*
>EED83802
MLGILTILVIFSVSILAVPFTESTQLACTVNSVASASDLSNCSYVVIESFTVPDGGRYRHLESFPTLYGAFVTMAGEVTFANTTCEFLLLAEGTGITFDGAGNTFNGNGAYYWDGLGTNGGKPKPHPFMRFVFKTSAILNSPAQAISVEPAGPLTINHVTVNNTAGNTEGGHNTDGFDVSASDLTIMNSVVENQDDCVAINAGSNILFEGNYCSGGHGMSIGSISSGKYVSGVTFIGNTVVNSMYGTRIKVDSAATSGAVSNVTYTGNNISGCTKYGVLVSQSYPEDASTPGNDTTISNVNFIGSPTTVEVDSNAERVTVDCGNCYGTWDWSELTTTGGEAGTIDADKATVRPIMIVECPLTTLIVQINGGSF*
>EED83803
MAVDSYQETPATVETALEFEFVETPRRPSEVLPEEVLPKASGFATVDIPGATNHFDAPRALPRVVAAKDLPSTPPSEPISAPGVQDRSVPVCQFVQDFAPCGDLPDDVLASFASTKFVTKLKADKETDMYVPFCENIQTPLDLSWKNRLGAGVQEKCYRLVAKYTGQNPDNSINKDDGKKKSNIIIYPDRPDARKCYAHADGSYRGVTSWAWASLVVEFKKATTKYLPFGIPSEASHETEAAVPFLPSNPLSKQARGQLIEYATNVLRFQPRQFCFTIVIVGSYARLIRWDRWGAIVSTSFDFINDPGHILFRFLYKYGLMTQAERGYDPTVVEATDQEIEDMNAWKDTATREARLSSYHLEFYETAMDSRWPIYKVIVPEKDLLFTESDEGPNGSFDGIDEDGASAVYTYLTGKPSHTTYSPTGRSTKGSVAYDIRRKRLVFMGETWRVDSPDIKPEHEVYVHLWKHKVRNIDRPLSGGDVRTGDLKDPKNVQRTLTQIYAPVEEEAERPIGRIHYRLICDEVYVNLTHYKHSLSLATALLDALIAHKDAWERAGILHRDISDNNVMLQVDAAGNLVLGILIDWDLSKPKADLPKGPTQVARSGTWQFMSALLLKYPQKRHELSDDIESFVHLLNWLVLRFHEHGLSDDPKGLMDHIINTYDKFHDNNKGEDVGGEGKLLQMQSGSVPFKPVAHRQLKDLLNKVAIMCQNHYNSHSVNEEIEKLSGVVLGSVPQNDQERADERPRDYSADICTMPAETAASRPLATSPDLASHQAIIRIFYEAVITNKSQWVDDSSTKKDQFIFGSYGTEQSLNLTTRSTSKGKRKSEGSISGASKAKRSKTSAAVGSSSSKL*
>EED83804
MDMSNIAAIGQIPTEVRKKIFQYLSDDRGSLAACALTCRAWYLLSRSHFFHSVHLLGSPRYAQFEELLLAAPYLAAYVRDLSITMDGTFTWLDQEAPRILLRLNRVEHLKFRNWSATIMSDETRRNLQMYFPRVRTLVLQDTAFAGNDFPLVLCACPRLSSLQLYDVYWSHRNRTLVSAVVNSRQHIQALGLRHISPLASAWLTNGVFEMRLQKLELCWGDPAQLPHVRHLLKEAGSTLEYVVIAPSFDVANSAADLDGCLADIGLSANTALRTLRLVIETARSEAHAWPPKVLEQLRSEDIRRIELVLLLPYEGRLSSVDWDQIDLHLSRQAAAHPKLTVSIDVHMAWTEPSEKAVAHEVAARLPRLTVETKRLEFDCTYTACDIRSAWPCLHPAIVKAP*
>EED83805
MDSTAHSGNSSNHQDIHPSPPPDETELAKNKTSPLKKTVATRQRYLTTGKIGEEDRPEFRYNLSDDMMPYFAEVEADGFLETHLPGEDFKHNARKEPLRFNTELLKNNEREMAEEFVHVASPALKRGGSKPLVAKITADLPDSTESTGFGQGGKKRPDVVLYPNNKEAVKDYALSKDDIEGLKKGRDKRKTLKSSKDQIEESEYNFSHLARTCWSWVCVPVELKADHQHSAFGFGNDPNFLPGGRKRRGARGQLADYAARILQRQHLLFFFMIAITRNEARLMRWDRAGAIVTQPLDLRDPDQADKLLTFLYRLSRAKPEQRGCDRTVQRATKKEIDLMREAKDSIPEGDYRLKRLNLAMAEGWPVYKVLCREDDVVSVDAWRATSTNTDSASSTVPPPSLSLANIGLLSEDPDPFGPGTSSTARTAWKARNRPAVHYRCFLICKHDFSSDSPIGRGTRGYLAYDMKTGKFVYLKDSWRVSTGNSEIKVYQRLHERGVENIATPIYGGDVADIDGTLHRTLAQKHNRKAEYIHCRLVVEEIGESILDYPTSKDLVAVMYGAIIAHQQACEKAKVMHRDISEANMLMIPDPKSPTRGVTKRGILIDWDLCKLYDELMDGAKQSNRSGTWQFMSALLLLRPGFKQHTVADDLESFMHVLNWICLRYHDTLHEDLQAHVSLVFDGSKKGDLENRTGGGEKFLRILKGIPSAELSDSTPLQVLVDALVELCQAHYNATDLTPYAKFFRKKAPIPASWFEDGEHPDVLGFESSEEQSENTHEPVAAAVDTMKYPPFSTHEKILRVFEKALLVRADVYPIKQDDKFAQFAKIDRSQYSVHSSFSGSKRYSEELGDGGDEEQPLAKKLRPARTKQQPSHTLGAIIENEATRDQPVAGGSQAAGHRDG*
>EED83806
MSPPSQGHGDGQAPSDPFASFSRPRELFTIASEVLGVAERLPDGTQRAYWANWAESVFKQMKMEADMDAWRGPLTAARGRSWLVMGSAPVEELELALERGDAGVLGSAEAEEAREGLTMAVSFFERAKGAQDGGEDVGPLLAEALFTLANLTEDDNKREELYSRARREAGERLAQELGLDHADDAMDES*
>EED83807
MSYEPPSSRLKALQGSVSGFPEEDLRQGGRNNAGYFSVRLEQTLDKGRYCIVRKLGYGQYSSVWLARDKGEDRFVSLKILTCEATKALSGTLPLSDELGLLQKIADGDQGHPGFRHNIKYYGSFEFLGPYGKHCCVITEVLGYSLEYVRRLNPSGDRRVQTNTVKRVVKQIVRLPGSDTRAVDEPFNVLSCGTEINPAVVPIVWQMLPLSTEPSIREDRLEAVVSHVGHSHERDRHFQEVIQPAALRASEVILGYRWDSPADIWNLGCIEDHLVRMTEALDTRFDVEFLSKCIHRDQFFTADGSFAHFDAHKEPTWTIRRLLETFSLEQDEVEIVEAERFILRCLRLVPERATAKDLANDTWLE*
>EED83808
MISPFIAYPGNRRRRLPPEICDLIIDWLWDDLRALKRCRRVCKDWVPRSRRHLFHTVRIHWPGSPFFGLTRGHPIVSCVRHVILWSPDTLNVLSVLTRAEHLSILGWGTYAMSTQARPTPAPSVKMLTLGYGNGHMFPWDFDGIMRMLPNLARLELTCLTGLPHVYNTSCTRRPNRAKKVLQGLSVCGTDNHITFWLTRRLLIRDMTNLELSVEHKDDVAWLSYLLGIGRGPQHLSLFFPSISGMSPETLSSDADTQTLHLHCNYSTLWAHRASDADPILIILNRVSHLALAAVRIHIKLAKVHLHEFGCGSSTLAWQRIDEHLVRLARNASPPLSVEVTVENLFEWRDWKDSAASFVESRMLCSRSAALDEHEDKSMVNDTYGQSRGLNSVEQYQVGHNQH*
>EED83809
MPTRPRGPTYDQFETFVDYDTGGFDKFNYMRWGELPFADLSSEPLKALTENLAEICKEHYNASSTKEQIAKLEEIKKQKRKKFESQSPVAPVEIDIFVRGDDPAEHSGSQEGAESSGGEGDESSGEEGDKSSGGEDARALLDTSAKPKLRGHSWILKAFRSVIENMEKNRYTQITIDKVDKPQFTPATESATQHSTRSQKRPSQSSGESTCSSKKAKTTHGTRTMSSSMGTIFEED*
>EED83810
MADSLSTSQTSGPASPSNLVPHISTPGDELHPPPPTVPYTGTDCVEEPTTLFQRPRTVDKLQGSTPGSAKASAQQILAVQGIEGEALNAWRKRLSKEMKDIMVQMPVSDLLRRYVRGKEPTAEIKAKLAEITLNKDNFTVQERHMYNDLCVFLQAVSDLCSEGGALEFKLVAKDTGANPDTSIGGNGSMKKPDISILPEQDEASAICQPAKKAKKDTANTEQAQTPFKAATSWHRASLVIECKSSKSKKLPFSFRPASTDKSTKASGSSEKSTKVSGTSDKECDGAAPSPQAEKNDFLLQKEGAIESRGQLSDYAMRLMRSQPRQFCFMVVVAGCYARILRWDRAGAIVSEAFEFVEDPSIMVTFLYNYMTMTQEERGFDTSVVAAPRHEIDEMIAWKVGMVEDGRLSDYHTERFKEAMETKWPIYKVTIPREDLISAAELGRKVNKAGAPKDSSQSGSDIPAEDLTLLIGRPLSMSNSPTGRSTIGYVAFDMRGKRLVFMRDSWPLDSPLRTTERTVYKDLWQKRVTNIARPISGGIVKNGDKIHRTITQKYRNTVHGNDTRARIHFRLITDEVYEPLDNCKCSYELILVLSDAIKGKANIMVKRTGPKVGQVVGILIDWDLCKYKDQLNKGSSNPTHSGTWQFSSAMLLQYPMKLRQVSDDLESFVHVLHWTILMWYKHSLSGSSIELLMSRLEVKRLGDT*
>EED83811
MAGWALSAAHSRALQRTQGDLSTPREVKETLEKNPIDALYCSECRTLREKKIGHENAGRLPWDPLGVMSVGLQFAGTRRSSGSHLRGAELESWAKRWWEGGSHGSHLRMFSTLRQNIRETGVTQKMRLHSRFTDVAVSHGVSTQHHNRDVVTHPCNAEFEQHLLIPMVIWQSSGERMSGTYNKPHSDRLSGSVAMNGSKLVRHTTLKTYKPMPYEHQAWYSLLVKDTFSGLWLRQLLYVEIIRPGSQHECTDACNQGVCTGSTGNACQKGHRASVLLVTYVVIRARQSIPNSRVVIEFDHFHCTDRGWSLMAFAERIWLQAFWHRIKGEDKHKPTVDKVGRMKLAIRR*
>EED83812
MGPGRRGTNVNAMLPQNEKILGARLHVTPPNGVRKVGALREDDLSDPATGLVEPPPPEDGLRRVGYEARIGPRVGSPLLAMFERLGQNHRVEPQELDDIVFRPATLFVKLLRAVIVRRRPQGRFWSFDSDSVRRQRRLD*
>EED83813
MFFIKELSHTILLHPSYFGPRMLQFLESKLYSDVEGTCSGQFGYIIAVVSILDIGKGMVISGSGQAEFITRYRAIVFKPFKGEVVDGVVNNVNKMGFFADVGPLTVFVSHQLIHPDLKFDPNSNPPSFASEDQIIEKNTKVRLKIVGTRVDATEIFAIGTIKEDHLGVID*
>EED83814
MTSTSARSISSPRSSSAAFPTDTEGEPSRLASINRQCHPSTQVEMLRTLSPRGGAMDTTTNEQRDAPTEAPAQRQRPARRPHEDESSPELAPPKRRHRPATTEDAPTRGTHDQERCQEAPAQLHHDKAAPAELHRPQEGRSERAHAPAPSSGTAALQPSLLHQRLAVYDDEDGNGTSTAGASGMLGHWMFPPPAPVAHAHDAPPGYAPRPRPTLPHAPRFEPRGLPVDSKTLYGYEVMDPRDAGHFYRPLPPQFRAPEFRAPGLMTPDGTYIPSGRVYLHFYEDFGQAAATAFADVPQGVPYAGFEAAAPPACGMPGTAGAQSRDEGEQRGDAGPSMQA*
>EED83815
MTGLGKAPVIADASAGHSVQGLGTRGDPRPLAPPTAPSAHRQRPIDVPTECARRLRSIVPREYRSPGSGQWIASCVPESQRRGHFPLPTPRASQTTSPTPNAIRRGHRRMCRGKQLRFLCGRQEQGRFILCNSAKAACRGKRYKRPCNRRDEVTALLVPVNKFCPRCKKAGQTTPGTVLRPTCPRDW*
>EED83816
MSAHSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELHVAAAIKQLAERASESWVEWARGDWPELATAIYAKVERRLEEQKRLAEEEARHVEEAAKRVKAAEDRRLEDERRRKDEEERRLEDEHRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTVERPFAMTEADMAAAAIEKRQAGQKCDRCAGYRSAPVDCVWAENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRIIRKERAHIARHRALLHDMDLNLQKMEKAALAKEGIGFVRGAVDDE*
>EED83817
MATICEPGSTMHSICFLLSTNAMIERIAFESRATVNSAGCSPVTNGDFIERWKISSASFGWITGTMVLYAGSLPLSFEGFGGVAKFRTFGGEGGAGNAAGIVGGGAVLVEVLEGAATPFDVPEPEASALLVTTGIVGFFRCRISKLPPISPHLEPSCLHVFHPATLKSAYRRRKGGREARTGTGDDGAVMFEWWWWGGRAGERGGEGNGDRSAQSTFNNGFEPM*
>EED83818
MAVSLEDITALVRRIQDMSKSLPQTVPESTKEGKLYEVMTTMNGDSHWETFNRRFDNLFGEHCRDKNGRLYHLRRGLPHVQKPAVDALRASNVAENRSEDTGLSAQEGRTMLRACTDTSGSTTHAVQTEQDSSDTQTAVDKHTSAAQVSARDPRKQTMSKPRKVSRALLTGAGQLAFGVSPVPAFSLASDGLEEIEEGERHGTGPLPNLTQMKTKARRALAAWMKTTTMKMEM*
>EED83819
MNADTGATDSTDNQSTSAGFERLGTTDDQPMYEFPDGDLTSLFEQSGQDIATFTSDPFANSRARSWPPQEHPRCSTSSPYDRQHRRACLGSSLERGFFAVCIGIGSRGIQPGRESRSDPREEEGAVQQPQFDWTFKTVNFPIRIRLSIKRIPTIGLTIGHDIRSLKSSGFTNETPATASTLDPKTHYHTYAVTRYFEPNASRTNLTVLVSRHATQITTKANDDGTVTATGISFLHGGTQEIHCNKEELSALEPSRVEFDRRVSESSLGSTQEMKRSTIVRHHSSMQLASRAYTGVIGRLPYNKNGLLSPKQIVTCFLPASSFFVLLLPEPAWDKNWSWENYSSVYPVEEPAAKINVLQGFISQLKSKGFALVADMVYVQQSAGRWSSAECMPEEIIRKTGGKRFVRHLA*
>EED83820
MPTRPRGPYVHLPVLPPSNVAACQGQVHAFDSQDLIDVYIPDGPETVIYRCEQQPCPNRTPQSIAKDYPRYKAIRRAQHPLSPRSTLASRSASRHSHPVSPTSRLPQTVAESSQARGDLPPDPAPEPEPEEGAGEEGVSESESEDSVGSTSPTAVTPASAVPDVRDHASGLSPAPPPPSPPRGRSRTRSPCSPTSGGPPQLPPPPQGPPSPPTPIMSSPTTTPDKETLKLLLPLRYDGKIVIECNRFLLQLCIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQVGVQGVTTPFRNEAAFTAAFKARFGDRRQAPLGPDSPLFGTRIPPGTSTQSPNTSISPSTLFDTFDGARRLLEARHGLPDASCVDLGTSSAFGEQ*
>EED83821
MSSRSATPASTPSLVNRHLASLLVVLEAPPTTDTALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRIEEAAKRAKAAEDRRLEDEQRRKDEEDRLRQAAEDERRAQEAADEELARIAAAEGLLEKGKGRAIVDEEVAELSDDPSIVRAIPAGMLFRQGVCAWEDKENAWRGFNHEKAHRLGLEQDDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDNE*
>EED83822
MFRDLRTRHREDRAELRVSVAHRRRCRRTSKAKSKCWETESKCRAGCECRKEVNRGPEGLGAYHRLDILAPEPFSGKVEDLRCFLQCILSYFVATNNTRLSNEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQAHQILMKLPERQKNKKTAPSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFSSTSGGRKWELGAVLNEADWKLHRDGNLCFYCHIKGHSAKDCCKKAAARQGGGGPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED83823
MRIILTLGCGKEAPGHLERECRSRPMTRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAVPIPPPRSANPEPPASPIAGPLRPCPDTPVVFRKVDPDWTPDSTQWTWDSSWPRQKHLSGEEWRNVGRNARNEWFDKEEDDGTDWELYGDGEQCKDDKKPLCQLLGKLYILNEVDDDQLRTLKLLMESPRSRRSIRDTTAQNSFIKSDDVLSKKFAKQLEGVSEEEYRQLENMKDLFEFLHAVQPDSEEKDVKSAKRIRKRYNLNAAVALSTLTSYQGDPKCLLSQSDEESEAESVEAVPRWVMLKRFAASIFKQCGEDEPELYYIIFPVSHSGDKADDDPRCERPAIVAGVVVIVVIGRGLHELNAAIERSTKQKSRKLLPLTALSVVYKSSLPWLLFPFTCPFIRLLNMFITTAPKQETSPELAPPPPPLPNPSTGSANPAASLPALWGYLQPALNHIVRSPTNNTNKPPAIDVSYHMGMHTAVPNKPPRDLPLVYVQMPQRRPKPATDLYEQINSFYADAARDLFLGASTDDTTLVHYLVTCFNHYAAGAQSVSRLLNYVNRHYVKCAIDEDRGWLRLADMLDAVARTIQEDDTRDKIQKRLRERRTEEPGSCLTCRPPALIVQTAKSDHHRGTRT*
>EED83824
MLH*
>EED83825
MDIIYLAWLGQGDSRPAVFSPESKLCIQALPRDADGLKSKPNLVVKRTLNAKSTKGWRINARIAELGVQVSNLWFCGDRVLDVHLGVSRAEPPEAPRGSVLQRLTESYSVLQRLTDREAWSIAAAPRGVTSEISVLFTTAIFNTLRTPLSCRASSRPIASLMFSPSNTICSLSVPSVASRSAERATIRSTRSITLGSSETPVSRLPKLAGDGLDWVLFKAQFKATVSSKGLLRFLEGRDRKPAAPTAKGVDFDADDKYENAFDIWTAKHEAIRALLFQTILQTLKIRILSVPKASDAWNIHCLQTTRRSRGIHSS*
>EED83826
MRNIGMSANRAGPGRNSAGFRGTVVSTTQSIKWRKPSFLGQDSLDERDSEPLGEDDNVDIDNDVFEVMYRETFRDIKVTSRTPSRNGSTARSVSRDPVSAPASTIVGRAPTRSSQYTVDAINQGLSLNTSRKAQTSATSSSFLQSRDVFQPHLLETVPVPTQMEALQKRPRGRLLKKKDKLIAGEIAVTPNILGKRKAINAVATFQQEEELENSIQMNHKHHRGVSWPGVASRQAQLQGVSLVSDFEGRGKVGLFRLHNEARLLYTCPSGASSTDATRTTAAQEPDAQAEERQHPTFMLFTGFPSIVRPSISVQYSISITVSHNAWGWHSRYS*
>EED83827
MAEGFKKGTRKEARKWPLDCAEALTLGLDGSTLAGTGAGKTAVYMLPLFLPGNGDKMLVVVSPLKALKETSTFRERRYVVKDAGRGKPISGEASFDLPMGLWISSGLLAKAFHVNLGNDRPNVQYNVVEMENAQDFDALMRVLNIAPNARLEDILKTLIFANTRKLTQRIWRYLRDGLDGEVRILIATEVAEMGCRQPNKASEELASIPTYRKVVEEGLRVWLDAAGCRRLFINTHFNNPPPDVVWLYSGEPQRPRENPSSTETEPQVRSGKYHEKAIEALSQWRQETVLTQYSDTPTSDEGLLTDDMIRILAHDARLKSIADIQRRFVDSPWTFVLKHGHDVLRVLERSDHEHRQDRQACRPGRSVVNVDIENVLSLAEDRRDTEVRLYIGILLAY*
>EED83828
MTTRGYNSSDTRKCMSEAFKSRTGKNARKWQLDAAEAMILGLDGSGISGTGSGKTIAYMLPLFLPQNHDRMLIVISPLKALQRDQARRFRRVGLPACTVNGDTWSKDIRDEIRAQKYRAIFISPEMALKHPESREVLQDMGLAKQLVSFVVDESHCISAWGGEFRPAYGELGKLRTFVPHGTPIWAFSATMTPSVLQEVEAKLHINPYTSFHINLGNDRPNIAYKVVEVENSQDFASLLDVLQIGQVGSPEDIPKTLIFADTRNMVQRIWRYLREQLGETYHGVIDFLHAYRRQRGRQHAMKRFETGGIRILVATEAAGMGADIPDIARVVQFGAPSSLSVWVQRAGRAGRAEDTTATAIPMVEKSAFQAAKHRKSKRRKVPDRIVTSDVTDITCRKVIEKALRAWLEASGCRRDHVNDHFNNPPELITKTYFDPPRSFSKPTGHDCCDSAKGLLSDDMVRLLAHDARLKTVSDIEHRLCDPPWIFAQEHGEDVLAVLAEVDAKHRRASARRRLPVQELENIPPHSPFVSVNHQIVGWSGDDRSRASSSA*
>EED83829
MICADFALLRLWKAVGLRVAEWRTKFSNLQDGKDIVSSTEETSANLRLLEKGDLIVCMLMQPHLNHVADSGLSETLKHCIGYYHGPFNKQGKRIEERLFQSGAIQAYEGKEHRYVDYPVMDVLQMTGQACRPTEDDKSRFEINRDRTIVADEMAVSPFHLGMIAAYYHISSCFRLMAKSALSLDEFCINFADEVMHSYFIRHILEDCRLQQPADRRWCLLGIHHDYGQLAMFIASAWRAAQYTLNQETR*
>EED83830
MSFSLRPAATQPTSYDVKDGRDSQRPDGVHVSVESDKAAGCPCEAKYRALAIRVLVLCFAIVASQAPSPASVSPADDVDAAHTAHELAAIISLIRLTPLRRNVNIEVEHRVVSQLLTLVNGLKARSNIVITAATNRPKSIDPALRRFGRFDREIDVGISDPTSRLGILRIQTKNMKLGDDVDLEQRSQRTPTVTSGQISHLCARRPPQIREKMDLMNLDEDIIDTEVLDSLDITMENFRFALSTPTPCCQARHPRKNRVGHPTSAGETSEGRGIMRRVDLGPLVGRERGFRTREQVPLSGGMTGVEMAPPPRALVVPRLQERQTSEGGRRRFAGRGRAVTQPERQSKTKAALRASSVPPAHHARDRKGKSGAVPTTLEDKDSAMEIDEKEERMPPKLRKGWIRKSFETLRDVYQRDAGDALNVSHNEAGAAGERGRRARRLRGWTWMRLYLTRESSRDIWCSPFVSYLPLMCWARARNYADTDPPAPQIFIKDVKCSNGTFIKGERLSPEGVESEPCELKSDDMEFGSIDIVGEDKKTIIHYKVAARVVCVFTEQEAQAAARAEAQAGPAAYGVGAGGGAPPAPGAFSFAPGQPPNAPGQQRRPSLQQGLIGLGDRDGNMRAPGKSGLTFDHVLSRLQSELQKSRNTGAELHSLTSVMNEIHDTLGGNLAARSRRTSLRILRIFHQLCLLNCGNSSKNLNNNSHVDKIRSLETMLAEHEAIKHEVGSLRELMEEMDMSRRRSGNPSGRHQYGHDDDMAHDQHYMSDDDDNVHSISTIVPHELDQVNKEDEEQLAVEEEEEQRRRRREELGCPRTPEPTGMATHSVAVSPACSRASSYSRWGSEQLLDAAVQEAGQRLRDSLMEMVNEWRKNIEG*
>EED83831
MGGALKPVLLHSPLPLRLPTLMLPRLRIAETRQAVRTLEAATSSLANPSMLTFGTLSAGGLSGVVLTEHCTDSPIGRGTREYIAYNLKTGKFVFLRDSWCYAKLKSDIKVYERWHRKQVKYIATPICGGDVIGQDNSPQQTCAQEFYATKIVQKYIHNRLVVEEIGISLLEFPTGQDLVVVMTHALVVTHLTSEVHREAWEKAEVMHRDISAANTLMLPNAGPDAGGVNLRGFLNDRDLCKYKKELGAATQRSRSGTWQFMSASLLRGPGIKTHEVADDLESCMHVLNWLCLRFFTTMRSGLHLRSYVYNSYEQHTKVGDQCTGGVDKYQAIRYGQAMATFEGKGTPLKALVDALALMGQAHYQAVDPSLNSNPTGNTILGVDRHKDKMPDIYRLTTGTIGIEMHDPFADHKAVVKAFQDACAAHAADFPAKEEDEFATFKSKDIHTEHSRASSLYQSSAGSNRASRDLATNSSSDEAEEEPPEKKLKLMSTETSRHLPEETLPSIGK*
>EED83832
MCLQVVDIEYADAGPVTRRYLLRESKTSTTLKLTIELGYVGGVKHYQPRPLRKGGIVVSVTGLNNDLLRTIVVRELGDYTHDMHPHEHAFMRSDGSVDGDALTTYAGLRSTEDPIETLFNLLPRTGTWDDLEATTVYSSLTSYIEDPRALPQAQQQPVTGTVGPRDFNGRDARVRSAEYTADKSEVEQGQNGYLEHT*
>EED83833
MYNHVQYKMYRDVQEYIEMYNDVQRWMCKNVHAHDRRIEQRPLKVKRVSASGSNKRARRFMEASGAGKDQIRSGTRYYRAAARHVGEPVVGHALARLFQHVDRAEHRLVGGHAAAVPATVLQKRQAPKNFAEAQTCEEGGCMGIRTGGSSMCLWEKLSLE*
>EED83834
MYNHVQYKMYRDVQEYIEMYNDVQRWMCKNVHAHDRRIEQRPLKVKRVSASGSNKRARRFMEASGAGKDQIRSGTRYYRAAARHVGEPVVGHALARLFQHVDRAEHRLVGGHAAAVPATVLQKRQAPKNFAEAQTCEEGGCMGIRTGGSSMCLWEKLSLE*
>EED83835
MGPSRRNRHNEGKHPSSSPMPVASTSRALKRSAKGALKLGVDSKLHPGVMKTEDLECRLAKAKLVRAHLQAEVVEKQAGVKTVERDINELLTLSANLAQSLFQQLVEDYSCPFAYPLTTDCGHTYCALCVLKPIFTHCDPQSGQWDHNINCPKCSRPLLYNKQDPPGYLPSLPLTSNKTAAAAINEIFSVITRLCDMDNDNNNANGICKPAWHGWLPGGAT*
>EED83836
MKGFCFALGTSNPCALCEMVIEVPTVKWADIGDLDKVEQESQETVQYPVKHPDKSLKYGMSPSKEAMFYGPLSTGKTLLTNAMANEIQTNFISIKAGAAAPCVMFFDELDSIAKARGGSSGDAGGAGDHVLNHILTEMDGMNVKKNVFIIGVTNRPDQIDPALLRPGCLDQLIYIPFPDESSRLDIVKATIRKSPIAPALDLGFLAKNTTVSLRDAKLSIRESVELDIRRVRKKQAKEEAASGDAKMEALRGCISRRRRNLPAALYRTRTSDMAGAPVPAGNAKFGEDTQDDDLYA*
>EED83837
MLAPSAIACLLPSRSSFSLTLYLSLAVFRVFRNTARNRRRRALRNLGVEITFAWTASATQDIHEPRLAAGHVASTPLYTAKQCGSFKLVAFGHHLPGGRVPHAAALLVIQRSFNCVWTHTGMQARAFKPVRFQLTRHVEIGHQTNAKTVPAECIGYFDFKVLFRQHTEVWEEAAKIFIKDVKSPNGTFINGERLSPEGVESEPFKLKSDDIEFGGIDIVVKDKKTIIHYKVAARVVCVFTEQEAQAAACAEAQAGPATYGVGMGGGAPPAVGAFSFTPGQPPNAPRQQRYPSLQQGRIGLGDRGGNMRAHGKSGLTFDHILSRLQGELQKSRDTGAELHSLTSAMNEIHDTLGGNLPPNLPPHPSNLPPVMPPQSQQQQQESEQQQAPAAPSPSDSDKIRSLETMLAEHEAIKREVGSLRELMEERKREMDTSRGRSGSPSGRRQYGHDDDSHYMSDDDDNVHIDEEDEEQLATEEKRSSRGSRGKSWVTRACQSQRERRTRSSSPPPAAAPAPIPAAVPDNISKRLTTLSKQLESALELSRSLEAQHSVAQSTISLLELKVTSLENLVHDTRSQVQVQTEATEQLAEAMCSKQPPDSAAQEAEQRTRESLTEMVNEWKKNVEGRWSNVQEKWTEEQEHLRRAKDEWETCIRVVKDGVGSNVSKVESMLGTLAALLAQQHSFLNGNGKLTHSGGLVTPPSPRSLSAESTHPRQRRKRSSSSRGRLRSRSASMAASMEVGSSASSISGDTTESYSLTWRRLPWTADDLSISNTELHAASDRATTAGEDELTGNLKGMLFPIIPESSVLNHPLSSSDDASGTATNSQMRLPPNDLVYRPVYFVEMR*
>EED83838
MSRSQVARIDTSALATIDPEDHMILDNPELPTATNWPELRYHVILSEYQEKGETMAMELVQYLDQHHIKPDFRGLIFCRTIAQAAMFEETFDILAYHSDKSDEERTQPQPEEDELANLAWCFQSVDVNISQAQWMFKKAHKPSSVKTYLEQNNFINRVHNSTSVHNFTHLDYQAYQSSDVDSEYEGASDMDYIIAGYEEVELDNASLLTQPADKRPERGPGPVGAQISAQCGTERTQGPVGAQISAQIGTRSRPHSGPNRRPKGAISAGTVPKQYREP*
>EED83839
MSPPTTAPDKETLRLLLPLRYDGKTVIECNRFLSQLRIYWLVNTSLTTIELKVQVALSLLNGDAHAWATPYFAQLVSVQVGVQGATTPFRNEAAFAAAFKARFGNLDDKAAAQVELAKLCADKSVCEKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAADKRATEVEQILDISRACRPELNNFFSGRGRG*
>EED83840
MPRACAVIALGASQSFASARDLPSLSSEASSAFVHINITTHHSIVMRQKTQEKLMRRPTHPRPKIATMTAMKCITIDELSPELDDAMGTSTDDEQPVPMDAIVVSSDKEDPPPATSKRKPAAARVPSIIYISSDEEDPAPATSKRKPAAARAPSIIYISSDEEEPAPAATSETKTAATSETKTAAFTDAPAATSEARAAAAMDDGRDMLTF*
>EED83841
MPVRDNRVQYIHRAGSLYTAIAASGAGYSRARALRRNVPLSHWKWLREVLFRRKGGGRRDQREGAASLSSVEGCRTVDERGRALWDLMRGEGGLHCDELQEDLKVIASPELPSVKLMICDFSSRVADSHDRDVDVKRASVDMLGDTIMNGKGARGNKGFKRKAAIWKIKVKEFINNSFEFVKDHIRDGTADPCFTMLLQKLQTMTTMLHFILAMVQHPEVLAKTQEEIDRVIDPGRLPTLSDRPSLPYIDMPRRLMEDDVYNGMHIPKGSLVFGNVWRRRGGTTFRNPMFWFGRRQCPGMHLIEQSLWIVMASMVATLDISKARDAAGCEVEPEVVFDNAVFRTPRPSRCDIRPRSEQPLRVVHQAADAAA*
>EED83842
MSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGTDDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYTDDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSSTSTTVPPGMIKTEDISMIIESLSRTIATLIQLTTHATHNHAPAPRQQAAIHVHENSGAEQTCHYCGNRGCRVGTCKFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNLAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEVLERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGAGTSKGVAAPSSTSTSTAPPPTIPAASPAPPSPPTQSTSHPIITSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQCSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVVSAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTTPTTAANQATASTSSTSTTVPPGMIKTEDISMIIESLSRTIATLIQLTTHATHNHAPAPRQQAAIHVHENSGAEQTCHYCGNRGCRVGTCKFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNLAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEVLERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGAGTSKGVAAPSSTSTSTAPPPTIPAASPAPPSPPTQSTSHPIITSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQCSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVVSAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSE*
>EED83843
MNEQLPIQSQFLAKLEHNLHPAIVLGTNQTETRRFNGLTTLIFGHDYLEDDSSLVQKRADIVHTAAVFLEKCYLVKYTRRDGSRDKNWSWENYSSVYPVEEPAAKINVLQGFISQLKSKGFALVADMVYVQQSAGR*
>EED83844
MPDLDDTQAEIAIAPLMPYSDSTHLTNCGSASLWPIYLYFGSLSKYVGSKPTSFSAHHLAYLPTDAYEQAYGTAATADVLRFCKRELFQAIWLLLLDPEFMSAYEHGIFMKCGDGIMRRLFPRFLTYSADYPEKVLVACTRYLAECPCPQCLVRKADISWLGTYKDAKTRSCPREDDATVHALMKHARNWVFKHGIPLGSKKIANLLDERSLVPTRSAFSTRLSKFGFNVYAMLVPDLMHEHELGVWKAVLTHLLRILYAQGQGRIQELNRRNVSGLKKLAARDYEDILQVHPSPHNELILDLLWDLATWHALAKLRLHTEETLLALEVTTVSVGQQLRKFVAETCESYIMTELPQEEAARGRRTAALKKSQENVSSQSKGKSRAPHTAGNVATTGRSTQRKIQKFNLSTSKLHSLGDIASAIQQYGTSIKSQGESGVRYCYDESVSNQAKHTGTIARESVKTRIATAKSELLEAEASSLCGAAAIPFSTPDPLLSCTSPDDRYHILRDTPHSETIQQWLKAHAGDRAFENFTPLLKDHLLSRLMGRPYDGDENSFSPEEHDTVRFERDQIYFHKYYGPRLRGR*
>EED83845
MPDLDDTQAEIAIAPLMPYSDSTHLTNCGSASLWPIYLYFGSLSKYVGSKPTSFSAHHLAYLPTDAYEQAYGTAATADVLRFCKRELFQAIWLLLLDPEFMSAYEHGIFMKCGDGIMRRLFPRFLTYSADYPEKVLVACTRYLAECPCPQCLVRKADISWLGTYKDAKTRSCPREDDATVHALMKHARNWVFKHGIPLGSKKIANLLDERSLVPTRSAFSTRLSKFGFNVYAMLVPDLMHEHELGVWKAVLTHLLRILYAQGQGRIQELNRRNVSGLKKLAARDYEDILQVHPSPHNELILDLLWDLATWHALAKLRLHTEETLLALEVTTVSVGQQLRKFVAETCESYIMTELPQEEAARGRRTAALKKSQENVSSQSKGKSRAPHTAGNVATTGRSTQRKIQKFNLSTSKLHSLGDIASAIQQYGTSIKSQGESGVRYCYDESVSNQAKHTGTIARESVKTRIATAKSELLEAEASSLCGAAAIPFSTPDPLLSCTSPDDRYHILRDTPHSETIQQWLKAHAGDRAFENFTPLLKDHLLSRLMGRPYDVLRINYTTYDMRCTQDSINPRTHSDIMVLAYEDDDSPAANTRPYWYARVIGICHVMVKHSGIFATSLKARRMDFLWPKERNRDWVYYYVNMHVDRDMFMRYLGSGIGHKGAGASTAATKITLQHATESMPQGASAGSAGEEDVEMGDEDDTFVDAAEDEDIILEEELEYGYRLTEEDGEDELHEDAFGEFQEQIRDDEDSALDPEDGEDDWEEIYETEGFAQL*
>EED83846
MATPAPGPLLTSPNLCRCRWVCKGLQPPSGTKRPSPLPSRPASEISTTQRQHK*
>EED83847
MLPSFVYDEKSYDPHNILKGLFRGFFLVRVFRHIFTGPRTALKVTDGPIAGKPWNAQILGMLSVTKYSIAYAAVQARQLLNSQEEWSVEDAHFNAEHFFYTILAIFDDAAHSTWYEETIDWWNRRVFGNSYTGSDRLTHDDDSDDEESDLSLLKAQHAAR*
>EED83848
MPTRPRGPTPYQVVTMGVQGVTTPFRNEAAFTAAFKARFGNLDNAAAAQVELAKLCADKSVHEKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRAAEKPATEVEQILDISRARRPELNNFFWPEVEGAVGHVVVHP*
>EED83849
MLCTDQLCTDQLRTDQRAQPALISPCHLRFERSSPSLRSGGAHPHYTLRYVDSLLYIANTRETNSSVPALHLGSCCIIVKSSIGPYVNCESQCHLGRRQVHAFDLQDLIDVYIPNGPETVIYRYPAPEPEPEEGAGEEGVSESESEDSVGSTSPTALAPTSAVPDVRNPPAELPSAPSPPTPPRGRSSTRSSRSLTSGGPPQPPPPPQRPPSPPTLVMSSPTTAPDKETLKLLLPLRYDGKTVVECDRFLSQLSIYWLINTSLTTIELKVQVALSLLDGDARAWAMPYFAQLALVQMGVAT*
>EED83850
MLCTDQLCTDQLRTDQRAQPALISPCHLRFERSSPSLRSGGAHPHYTLRYVDSLLYIANTRETNSSVPALHLGSCCIIVKSSIGPYVNCESQCHLGRRQVHAFDLQDLIDVYIPNGPETVIYRYPAPEPEPEEGAGEEGVSESESEDSVGSTSPTALAPTSAVPDVRNPPAELPSAPSPPTPPRGRSSTRSSRSSTSGGPPQPPPPPQRPPSPPTLVMSSPTTAPDKETLKLLLPLRYDGKTVVECDRFLSQLSIYWLINTSLTTIELKVQVALSLLDGDARAWAMPYFAQLALVQMGVAT*
>EED83851
MSPVPLCKEEAIPRLPNPGYTTKPDDFGLFRAYSVRPAATLSSPQQAATCEAQTLEPESRQPPGYTLRGFGRNAVQCLSKAANYIKDSLFYPFLNEMVFRLMEWAHTGSNLKSDGEMQRLIDDVLLAPDFDLGDLRDVRITREWERLDLMDQNDDLSGTLDTSDGWQESSVKIALPKEKSCHATEQDAPTFEVPKVYHRNLVDIIKTVCAEPDAAHHQFVPFKHFWRRPRATTNDGSNTATEDIRLYSELYDSNVLLDEYEKIKIQLSQTHDANVPDLDDTQAEIAIAPLMPYSDSTHVTNFGSASLWPIYLYFGSVSVHSEQTDIVLCPSLGIPTYATADVLRFCKRELFQAIWLLLLDPEFMKAYEHGILMKCGDGIMRRLFPRFLTYSADYPEKVLVACIRYLAQCPCPRCFMRKVDISWLGTHEDVETRSCPREDDTTVHALIKRARNWVFKHGTPLGSKKIAKTLDGRSLVPTRSAFPTRLSKFGFNVYSLLVPDLMHEFELGQGRIQEFNRRFRRTPTFSRDTIRKFDRNVSGLKKLAARDYEDILQCIIPIFESLLPAPHNALVLDLLWDLTTWHALAKLRLLTEETLAALEMTTISVGQQLRRFVAETFEREIPSSSHGTQCRDDRAQQPAQDQNFNLSTSKLHSLGDVASAIRQYGTSDNYNTQNVRHPVVQCEHHRAKRYFARTNKTSYVKQITRRERREVLLRRIRQQLGETHRENRARESMKTRIATAKSELLNTESSSLCGATAIPFSTPDPLPFRTSPDDRYHISRDAPHSENIPRWLRVHAGDRAFENFIPLRKDLLLSRLMGHPYDGDENCFSPEEHDTDSINPRTHSDIMVLAYEDDDSPAANTRPYWYARVIGICHVMVKHSGIFATSLKPRKMDFLWVRWYGQDTSAPGGFGTRRPHRVGLVDEADPNAFGFLDPNEVLRAAHLIPAFHYQQTEDLLSPSVVRQPKERNRDWVYYYVKMHVDRDMFMRYLGGRIGHKGVGASSGSAQEEDVEMGDEDDTFVHAAEDEDIILEEELDYGYRLTEEHGEDEPHEEALVCFKTRPSHYIPIPLTATIKHKLGRKPTTASTRYKTPTISSSSDVTAARPDVSQRGTSEVVSNGVRSLYRSKEGFVSVSEMETSIEARVEKPQCAVAQSGTPGTRQCRADPDAMSRCHARMRTRGANFYKYGGQEWKIEATT*
>EED83852
MTAPYNFYLDANLLETRKALQTLSTLGQRLNSLLEEWPDQMVLQHLRFRCTMILSFDLSSPVAKILSAVEHLLLQIEDWEMYANRTNSLRSYQQNLTVLVVEWRRLELASWQGLLKAQFNTFADGVHDWWFKLYEALVRGSMTAAAEEEAGRVDASTQYLNELVPLVDDSITSCPIGQYESRLQLLSSFATFIHHLLTDKADYLNRMLSRVYRIIQFTVHHFEQFVPTVQSVLAARQGELEKEIRDYIKLASWKDINVHALKQSAQKTHRQLYKSIRKFRDVLQKPVVDLLKIQPDTEGTRRHQQVEQPLRLHATVSSFPSMSSTSSLPPHLVSLDKTYRVFDSVVASQVSASSRILQSSALHDLAEEIITTSRTLSKESVSQAGTAQQRIKMLKGLLNRNRRAWSDLLKELQRVGLAANVKPETLADLRSLRWLREQKVSSGDNVYLYLLAHLLPDFRATLSNHHDDIQTRELQRGLLKLRPSSRTSKGLSNAFIRDTQKLLLIPAQQSPTVRSRAKVPQAVLDDVRQFIRSSGKLAARLLQLVAVLQSSTPPILLQEEDNLLRQASQHVGETLIALARWGSYGNPISYLTKPIGAWLHGMCSSQLLTEKGASTDSTASLIDTVLLSMQAVMSAFTAEESAEAQDQDNYVRRNRCCIAHSKRGCPDGEKQNRAGRILPFLDRFLEFAEHQLLRQREWTRALFDYVTASVMLTIAREGFCKPPDSDGAASGLGEGVGDKNVSKEIEDESQVEGLKDEKDDTDGEAERAEEDDAIEMNEDMGGQLQYVPDAEYEQQEDDSEEQNDEDLDEQIGDLDAHDPSAPDVEDADGDEEEEMEQNENHGEDGAPLDDYVQEANTLELPEDMNLDTSEETQEPMPDEDIDMEDAEEPIEEPTLDREGDDTTSDAEDVDADESAAPNDGTGMQQNEPLDEAQDANHTVAQPELHAGQGNESGASQGATASASGQGSMEIDVADRTGEGGHDDAPQPGEQGGCILCWNNRCDGAASAFVHGRSDAHKGQSRAGEGSGEQSSGTTQSERRDASARPRTQEFRANPLRSLGDTPREISQNLEDIMDNEGPRDQLAQAQSDAPSQMEYLHPEDSDELQALGPAMNEKSVNLEELKFVDETAQSEEPMPLDEEDHESSDPQPLRRNLESTLQSERTSQRLSDDTESALTASEVRSQIPGTC*
>EED83853
MSRDATWHQRLKYFPRAIAERCSNTQRLVSPSELSFGRLLPDQYSIAFVQILTMSMSFPGVAYLGAGNVCHRLRPAARLLAAMLLAEDMTYGSSGQYIRRLHIETLAFESCTPADLRTILDHAPYLHVFSDHQSVQCKQIEHLLDPCCSLEALLRLVANTTIRRLSWTNYDDTPFPLHMHPLESNLAIRLEYLELSSYAPNTALQPALAKGAAQRDMNVSLPSLCALKVSLDNATFASLASWDMPRLTKLSMLSSDFCYTGAGFAQFFHAHGSKLTQLELGYSSSPMDEHYLTTPRHILNTQQTGAAGVRRPIPLADWCPNLREYICSADSRWHWQTRKWITPHILLPAHPNVQLVAIHDVHKRLLDDLDFSSASVSVDGDTAYFKLFEQMSSLLCRDAFPNLRFIRDLSVKSHCMRTDCGVWLEDYSGINITARNLRQARLNQVQAWPSWYDIYDI*
>EED83854
MNTHGFSSADLTEICQRVAKLAIRESIESDIRRAQEKQTMVPASDDAKMEEDEDEDEDEQDPAPVITWVHFEEAMKFARRSVSDVAIRRYEMFAQNLQ
>EED83855
MVLGALKIPTALGSRVDNLMRFETVLPRYFGQLTSVNSKLHSIKDKFEEIRTEPSFLIRFLGAKGYVEKLKDLEKDLKNARTDLETHRSQTGWSPGTGVNAGLWTCNGCSDNRWFKLRDARRHQAGRMALQGDARAKHRFCVLVFENQDGITLAVKVHQALKTASSWLSRECTYGLPTDARATDRELEEPPQGISSDRYVASKYHGQRVGGFKYHDQRIGEFLSESQHGSGLLLKGDALSLYSHCLAEGHYGDSVWLIWDLAACIKQGAGLMATLYISFVIPFAPIDKRAVMLKTLAIGLHDMRADQLRAPYPDGIAGVTFHVIPFPLAKMDNAIAFPPGRIWESGRTSKQASAGGRAAGPDRNVILFGTFAIAKEGPTRNPSNISRSGTRPEGAGTAYGEGGQECEDNAIVGERSDEGEGLFAHRERRVGKEPKHRLAEHTTALTPLRDSVKTPVHTITAGCGCPEDGSFANALKTLCFELKHVFDSVRLKELSCLIHRGPTGERGFKFTMRHQPPRGAMPVQIRALLLPDLKHVAIVFFVVEYDGQFQLILPNDETRAAMPTPTIIRYVEVQAVAQDASESDQSLIEFALKPFYPATKMVLTIVMLGLIATYYVWLHLNKPNKALPPGPKPLPIVGNITNLTAQELWLRAPQWAKSYGDVGYLHVFGQGLVFLNECGCENTVTFTQHGHKSRRQRRPMQHALAVNSIRAYQPLLEVETQDLLKRLLRDPEDYVGNLRRYAGGLTLTKLYGYHASTNDDKLLTLADECVDILSNRIASGGGIWPMDIFPLLQHLPDWSPGAGFKRKAAIWKTKVKDFINNPFEFVKDHMELQERCEKEMDSQHDVDICWTGNSMYSVSIDITMTTMLHFILAIVQHPEVLAKAQCDIDRVIGPGRLPTFSDRSSLPYIECVMSEVLRWGVPVPLSLPHHLMEDDVYNGMYIPKGPLVYGNVWNMVRNPTLFPDPDTFVPERYLAPADEATMRRRDPRNYVFGFGRRQRPGTDLIEQSLWIVMASMVATLNISKARDAAGCEVEPEVVFDNAVFRMPRPFRCDIRPRSEQVLRVVRQAADADAWMRGCVLVALVG*
>EED83856
MGLPTARDAYISPIPTPARDHVTRAGSDACPTYPKVNIGFIGRLQCCFTTGTRLRVVGGCTRFINQKVLEERKDPKLNDDDDTTASRFVSIILKFLLLGCLGELNEEPYTELRSSLMDSVHNKEPLIHAQAIMALSKLADSEDISDLGEDEASIMEFLLDSLTYDTSTDVRRVALANIPLTPRTLPLVLARFRDVDPAMRKLVYSHVLEPHCTLETSIIIGPTHPRALSIAQRELIARNGLGDRDESVKVASGKLFATWLDVLHAEGVKRERDEEEGARGNVVAFLHLFDLAEGKVAEDALLSVFATRVYIFDNVDYDSKEDSCHMVLSKLTSIPPDNFWTSLSPERARVFVDHCIATKDDARLESPLLVVIALAFRIQATHNDLLQLFQQEEEYQLLRGAMDDPEEEQRARKEERRIDVEFVIGEMLKLAVNLDYADDIGQRKMFQLIHELRQMTDCTGGMISLDILPKSLHVLLVLSPNERDLIRMVVDVIHELRDPSEPEESSASRLLSLLIPLPAHTISCSARPTTGRARADDMYLRCRTLVIMMFERVNGSPQILEDNSPLEGILGELIICHGSQVVPAVSQPAANGPGGSVVPYMFKICTSAARDCRRRQSRFVKTSPTKNALRKERIWGVACAESSWSMAGVRLIVPPPLSTVSYFLIPSVAEDPTFLAMQKEIMPAGEGMVDVQLLVVYRNDKNVPWLSDPDVTAEEFVTNWFAANSPPREDTIREPHSA*
>EED83857
MHLPRSIFSQRQLDLFLWLLRVNGVDDLPSVKTMQSLNAALQRMCGIDTIPYKGALGHNYHVNSLTQIIAQEMANPRVRPHLHFYPEDHGERPLAEARQAARWLHEMPNELLTPMARVRNQDYYIYEPAMLVNGVIPREFMVCA*
>EED83858
MSTTIFPRAATTSVPVFSPMPHIDLSQVPRSPTRPTVCLRIDSEDYHDPITTTAGPITPARTSVESALLSMSPLTPVPVTPGAPLQHTSSADQPAVARAPNHDVPPSNAVSRLPRLTTKLALSSAVEESTKKTTAAKGKKRAPPPVVSRRITRSVSSKTMSQTSLAVHLRNVGTPSRVNSNTVSSNSSSTPAATTSTSSNTLPSAQRKQTTLFSFARPTASSSAKANAPSPHKMRIAPLPPAPKAERTSSLNSSLSSLSSALQRLNMPPPSRSSTSMGFNREASVPADMGARSRDDGDILSAAGAVQETLRTPTLQKAATVGNLSRMLLGTRQSTLMLPPPAPSGTAGKPKGLAFGINATSAQASHRRIFSVGAFHGSAFGGSRVTHKASKKTSLPSVEGSPVKGGAGPSTSQAAVHEEDEAMAGPSSSDEHNTFTVIESEAGPGGPNAPPGSEQPLRSRDDSRRASLASHLLSQLLTPHPQTPDAQPFSPAPATAPGHSHVLRTTSTSHLARTAPGPTRTTSIGARVSGRRAASMAPESPQDSTAGAPGQLDVLKECTIFVDVRTDDGDDAGGLFVDMLRGMGARILARVGQTCTHIVYKNGLMSTLTRYRLLKERRPLVVGIAWVVECAEQRMQVDETRFLINLDEENVAGVNKLSSGGDEDAADRIRAPSRPPDELARSLRMV*
>EED83859
MLSENELEILFPLPSSPPAPHLPQHFPGVTHGSKVAVTEVLKSNHIQRHAFVNERQFHNSVSHASHHLLAIFALGGPAPVFDAIFDVQLTRTLPAITSPGQITSQNFYEHLGEPTYYNAYLSFFREAVLQKGGSAVMEEYIFAPSANFDDSAKTPRRMLSRMLAVLFHPMIHAGNGLEFGIPGLIAEGKHDCLAQAAVHPIEGSGLLVESDFSSVIGATDRLSSLHLDIASGEETQQAGVHVFEILARVLKDDRFSPAALGFKPYDDLIFPPPYEAQVDALRSKEILEYTDKWLVDGSDNKQVQLKIEELCWLNTVMYAAGGWGGRKNGTNGKFNADFFLMHSVTSSLFLPSFVAHLSPTSTAVLLRAYLRTSLVWWVARGRPTLPIRDFYASTSATPNPYAAQLAKTDKTALPLATPAANAWLPLIQGAIMHTDEHFCKLQRALAHFASRYGHRPAGHFAGMADACAAIDGLELLDGTLFLRAAGLTAEQIVDKGEAPFFWDLRGFFA*
>EED83860
MPSMDAMASMVLDPAFDMQTYLVGNNQRRACFTMENTSIMAQTESEEPPEFLDLMSPAISADARPLHAVSRGCQSSRHRVGQIHKQKRAPYDSVHSASNGNINKQRGPAPSYGTL*
>EED83861
MMKPTLIHEQIPDILTFLTHVNMIRKFAHSKAIAVLKWNEHYVRHPQPDIVTLTKDDRLLLENLAIDSDDAQQMFRQIVNDLSRLDVCRSYLYSESNTIWTSRMNLYFPGQFPLFGQTEQDAERIRKTYLFHYDLTDKEKEEVRATGMHCAEYIRDAASFQENAADYCASRGLRESADIEDLLPLPEEAATIKQVDNYLQTVKTLVEVLDNLFS*
>EED83862
MATSHEFSPSSLALLPNLAFSPPIGIYIALWLDPVGMAEELDIPSVLTAAQAMTPRKYLGYVHVVRDFPLPSRPWHRCHIRFVGEGMPEEQPHKGILPDMCTPIEPNDAHPAGREPLHPSRPFPYPNCYQHHFIDDLVRVRTQLIQYDNAVRLRPREMRRHMNYENGDWIIRRALVKEMEDREVASPKDDAEILVFHLRWKSTVRTRL*
>EED83863
MPRVMICGDLVWAHKESEELFSGLADVVRMDSADRADFLAGLKPGGKYEGIVGFYRHNVSSAQIGLFDREIIDGLAAAGVKWIAHNGAGYDQIDVLYCKEKGIFVSNTPGAVDDATATTALFLLVSALRCFSKAERSVRVGQWKNAHVAGEAHDLTGRTLAILGMGGIGLRLAHLVHAFPMRVIYHNRRKVPHAPEWCEYYGSDRLDEFLASAEVLSVHVPLNDQTTGLVDEKMIRKLPKGAVIVNTARGKVIDEAAMIRALEDGHLGAIGLDVFPDEPQVNPRLFEFPNAALLPHMGTETCDSQKKMEIRALTNLRDFLTKGKGDDLIPEFQ*
>EED83864
MASAKLWRRFIRALEVEDHRGHEAQISFTESFLSNDDLLPVPVGKRTWAAWNFVSFWVADSFNINTWMIASSMIQLGLSWWQAWICVWIGYGITAPFLVLNARPGAVFHITFPVVARASFGLWGSLWCVLNRAAMACIWYGVQASIGGDCVLVMLRAMWPSVNNIPNSMPESSGTNTRDFMCFLLFWIISLPAIWLPIHKVRHLFTVKAIVVPIAGIMFFIWCIVKARGVGPIVHQPAQIHGSQLGWAIVVWFIAVAFIIAQMGTNLTANSVSAGCDLTALFPRFINIRRGGYIAAIVGLCMLPWNLLKSSNNFTTYLSAYAVFLSSIAGVMLADYYVVHKGQYCVSDLYDAKKDGWYYYTYGFNIRIFPARGAAKKFEEVDLSAYAANEPDAYYDHNNDMKNHVDGIQLSV*
>EED83865
MNQALSNRISALIGEDRMNTEGEVNGVWNAYARLCSLHGVPMIDLGHLCRVLGLYLPTNNLNNVISPEGSIVGGGKTDIMIWNYTYNAANTGITQSFPVLLLEGKRVGGDNFDAIKAQLRSYLDDSGFDRCWAIGARGQKMKFWRYIRDRPEDKMRPRQTRERECPAVIERCDTK*
>EED83866
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTNVFNKLKTHNPEATNATDCAALEAYLSARHEYNEAVKAADKAIDHHKQLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIQAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQAMPRSTTIPADWQPNPGCGKEAPGHLERECGTRPMKRHVSAPPEEPARHVGVVVDNVFLEEIINEAKERKEKERQTKAVPIPLPRSANPEPPTSPITGPSRPCPDTPVVFRKVNPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNAHKEWFDEEKDDGVDWELYGDGEQ*
>EED83867
MSELDSSDDIALYWNTLNHMLVTEPQTVTGPWRSLTHKHVRMHRAFEIRIRDEIKCADDLHSRVVGVVLASGVQTDHLPAPQKLLERLRLIVSKALELRKLIGKAIICRHFQPIVVDTGATYDAAEMEDESCGDRTDSIEGSLDIC*
>EED83868
MVPGPQQTAESSPTGANPQTIHIREENARLRKERNELKEWKQYAEGDIAGQRSNLNQVMAENSHRQMFHEAEIAKLQRDHTYALESLQMQLREMHARCVREVEAAQTTIGTQAQNINDLQRALDAIAIERDNATALLQTRTEELRGADAYLSKTDSVPEAQVRRMVEQLNAEIFQTSALIADNCAPHLSQNVLQQGAHDQAAVQRIAGVIGDRMTSILRPTPRREEPVSIQLALQACLVVHTQRIIQQWGPGASETTNRSLQSAWKSLYHAGTLRCVSW*
>EED83869
MAVGAEALLPHIVDQAEDENWTKVECGLAANAFEASLFQGSAIVGFRAREYLKHQLSGSRYGQDQDVDEMARQFDKTTKTIFRSPEDTAYIRFGSMRDKDPQYNIRNGAEVASIFKPSIEATKEGINRQCNDAADSISTGYSYVCLFYAPLDGIMTACRNKAVAEGAISFYLDHFVSVRVARFTYGANVRICYNPADPEHLRRVDKAYVDDAGIKRVKDAFQVVLPKTVCASVSCYTGQLNHPRWTDLEPALTRATSSEAFTSLCTVEGDTSLVPHVPKDGPNGVYYTQDFHLILSFGLTELKAQLSWRENLTVVDGQSLSRIHDRMFVISDSVSPIPDLRKVINEDVLMRFNPTSCAEYLISIYFTAKPILIDLPSQSIHFGKVGKKLAAEVGNGADTSTSGRWATKDREADAHCNPLVLSPSFQIKEATGITEFVAVYLCLQK*
>EED83870
MLGREAVVREGGEARVGGCWVDSSRGGLPAVANGTGGESRARVGTLDDGGSAGGPREKRSFDPPPLYAYSASAIDILEDSASAAYKTFLKEYPEYQLTWILDALRRSDFSRLDRSGETYVDYMGGSLYPESLIRVHTGFLHRNILGNTHSVSNSSKLSSSCANEAREAVLSFFRAPPGYTVVFTANATGALKLVGESFPFSEDSCFVLGTDSHNSVHGIRQFALQKGAKVHYIDSTDCGGMDTSEAKAVLGRHQPKNKHAAPSLFALTGQSNISNSKNSLSLIKHAAAQGYYTLLDAAALAPTSVFSLSETPVDAMAVSFYKMFGFPTGVGALIVKEEFLARLERPWFAGGTVDVVQAPGTIVTMTSDLHERFEDGTINYLNLPAITDGLRFLSAYLPFLPLRLSTLMHYTISSLSALRHDVNDVPVVRILSRIPSKRLRAVGEQSDTGSVISLIFQFPSGEMMPNSFIEYAASRQSISLRTGCMCNPGGAASILGLRDAMAALPADVTLRAFEQHMGHELGVVRISLGLASDFRDVFRVVQFAETLGRSEARGAMWEQWLESKSGHAL*
>EED83871
MADICLTFSDAASLLEDLGNSCRKWRLVLECIEDELLPTLSDVRMSINAQRPVNRLPDKILSEIFRQVPPSPLALYMDGLCISLRKFLVWESFFDFKDTGTLLPLTHYHLRSQSAPLRVLNIENEHLDVQQLWRTDGQHIQSLASYAGCDFDLPTSYAHRLQALAAWNCVLQGDVSNLKALVLRAVDWHLPTSLTNLTHLYLVRKRLRVVDLFRILSIAPRIEDLGLARISAEDAFDPHEDIPAITLQYLRRLTIDRPDTNILSGFFSHVGLPARLAVNLEHCEVSDLQWLVHLTQNDADLLCISAWTYSVVAAGPSRAVRFSCRHSLEGMVRWIATLPSHFQLKDLWIASTFNWDGFDETVIKRIPWVETLYLGSAAYDTFVRVLGDDPTCWPKLTKVVLSEPYELSMILKFAETRAHLGLPLEELECHKRRSTVSEEYLQDLEKIKLHVGVVRLLENDPIVLPLPGVCTDGVPSPYFWPDDWGYVLSRANYYFPVFSDA*
>EED83872
MQLALQLTLSTLALSALACPGDDHAHAHADHVPLTPPSKPLVWSDFKCLWVAARAPAPLIPRTQLQRGLWGLSSFVTHMKQIALGKDVDLLIDTSDLHDVNAIMLGSDWHVLPADRLYCARISILACILAIPSRSNRQTVTEQLQGFRYIIPLYHFKKCRACPDTQWLWVHVDEFPTAATP*
>EED83873
MTNSDHWEPAGHDSKGHTLWRCKPCRDGRPRQKWHLSAHEQMMVHQASVERIRRTLITHVDQHPALTPDHEPALAQIDPGLRTLLREFVWPKAGEEDNHTHAPPHVPLSSPPPFDWGLSATLAMYTFFTNFGFQVQQNLLPWRVELIGFALAGARIESAGLQGVGRDGSMNMHVDRLGSAPPFSAQNQRLFTRGGGTPAFTVPSISLLCTLYISPGFIMQFKLVFFSPVSSTQNVLRHLFQQFPNNVGRIGAYGGCAFVTQGTGQFTPLEGANPAIGKVGAPERVEEDRVEVLVRSDSDDRTAVSRVIEELKKVACLLFVRGGKDVNAHQVHPYEEVAYDVYRLEDF*
>EED83874
MTQPESTQILASMIRTLGGPRFSPGDFEWATSLPAGKDLIDWLASQATTEASEGHAGINSTVALQSIALHEAEIKDSRREQALSESELLETETAMLRHRLKSVKIASKEMAHTVKTLKASINGLDDQMRRREERLGDISIQVDTALSGSVNAASRLLSAADSDREKAGAYLKACSARLAEMTELRAQIAEITKERFHELDAADRSIPTALEVQREAERLHQQLNIIESPSGPEPGPETAESEAQLFCAELDGIAHQLMSLPEDVEKDQAVSDILATMEAEHDASTASADVDLVQELTRAWNSDQMKSMTARERVVDETMNMFSQCLLPPLDTLHAHLLATKGHAFEAEALVSALVEELEEVVDDVTSIRDSAGKDKSVQPQALLEDELRDELKQLQSLRPSDANPLVLLDEADLSKELASIPEWLSHAEDAEREWVVSLLDRLSSLTHNRASLLSTIYANSPVTTSPPFLPSATQQKLENDLQRRVEQLSNLTIRLEESRMTDRDERKLDAFIGKWART*
>EED83875
MLIS*
>EED83876
MLFTVLSFVGWAFVPDQVTRRLLPIFHRFYQSLLGLPAPAPTTPLYIRHYRYVYAFTVFSYILYNFWSAATSMAPNYYELLGVEPTADENVLKIAFRQFARKYHPDRVGPQGETMFIEVRDAFEALKNPVTRYAYDRFGPEAITWMQCTTIREYVRHGLMQSAGFYI
>EED83877
MSGNLLAKILLNLIGSETAVKRSKAPKLHTIASRDGVIRTLSNVIKQTFLLGIRESMLTRAVPHRRFTARLQNTALTQQDGYVRSESWKCLEPLAPSSTVTLSWGFNNKHVCMEGSPSYNIHGAVVVRCRAHDAGEYSSACFLAGGMIHVMPRTARLYRAGLNLIMERECATRSSHVLIVGAGPTGLVLALALLKNNISVRVIEKAENIPVGQRGAVIMVSTKVKRYLRMLTRALYHNKPRSMELYNLLGVWPDIQHRAKVPPLMRVYKLPGGVEPVVTFAMDPHVDPTPSTPFMNFCMFGQDHVQEILVDHLVRHGCDVEFGTELCSFEQNLDTVTAHVLHRRGDEEINEHITCRWLMGTDGARGIVRKQLGLSFPGVTLPLSRHLIIGDIEVKGLDREVSRRMLSLYMLGPHSRKVLAFWGDADTVFVTLRPTEDEGYFSIFSGGNIDIPKAVAEPDELLRVLRAGTGRDDIDLGVIRWISEYRQVLEWDNHAAS*
>EED83878
MVDTLRKGRVFVAGDAGHVHSPYGGQGLNSSIQDAINIGWKLVLVEKGLALPSLLDTYTEERLPVIAQVLKTSSELFDETIAAKRDGKTSEKAWYRGGYLHQLGVNYRWSSVFVDER
>EED83879
MTDIDFTFSEAASLLEKLRNSCREWSLADLTCIEDALLRTLSDVRMSANAQHPVNRLPVEILGEIFHQVSPPLNNLDDPSLEEFLVWDSLFDFKDTDALLPLTHVCRRWRDVALDTPTLWTTIYGSSHPDAISEYRIRSQSAPLRVLNVREVKSCDVEDEISDVEDEDLDVEQLWRTDGQRIQSLASNTGCDSDLPTSYAHGLRALVARDCVLQGDVSNLKALVLRAVDWHLPSSLTNLTHLFLSRKRLHIVDLFRILSIAPRLEDLGLDRISARDAFDPHGGIHAITLQYLRHLAIHRPNRNIVSGFFSHVGLPARLAVNFERCQVSDLQWLVPLTQNDAKSLYISASMSSVIAAGPSEAVRFSCEDNNESGLVPGIAALPSHFQLRDLWLAHSFHWDEFDEAVIRHSPWVQTLHLGSLDYTALIETLGNNPTCWPKLTKVVLSQPRKLSQILKLVEARAHLGCPLEELDSHEWRSLISEEYLQDLEKIKSHVGVVRLFEGGRIAFPLPDICTDVAEQMG*
>EED83880
MPPLSPSTVPTSTREPHTITASPGTATTRTSFTARTSSLCPSPNPPADTPVSPSSRSAASSTPRSTRSGTPSVPRSAT*
>EED83881
MRWRHGVAWFCVQERLDLRDQMTLSCRPAARTTESSTAAVKC*
>EED83882
MDLALNWYNLGKATKETKTQARASHATAPSALGFQSTDAAKYWLSVGRGAQVWLEPQAATSVTSVSITTNFKSQLINIADIRFTLSEAASLLEKLSNSCREWSLTDLKCIEDELLHTLSNVRMLVNAQHPVNRLPVEIHGEIFHQVPPPLTTNLEDPSLEELLVWDSLFDFKDTDALLPLTHVCRRWRDVALDTPTLWTTIYGSSHPDAISEYRIRSQSAPLRVLNVEDEDLDVEQLWRTDGQRIQSLASYTGRDSDLPASYAHGLQALAAWDCQFQGDVSNVKVLVLRAVDWHLPSSLTNLTHFYFAQKRLHAVDLFHILSIAPRLEDLGLDKIIAEDAFDPHENIPAVTLHHLRRLAICCPDRNMVSGFFSHVGLPARLAVNFERCKVSDFQWLVPLTQIDAKSLYISAWTHSVVAAGSSKAVRFSNYHDFGRMDRWITALLSHFHLNDLWIASTNKEDEAISGRIRMSRGTRHGP*
>EED83883
MAFLNTLSNSSSLEDLGAPEAAAEQDPALAPRGWWKAQADRDQTAGLEESLAVLRDVLAKDHYDTVEKSVTSSFRWYLDFVVSSQGAAMAAILAALNHYRQLEKPAVHPPFLIDGQPPHLPL*
>EED83884
MSATQPLPVLIVRIIDRDQQFHIGQRGAGIQPRTLEAYNLLGVLPDIVSRGMDLRPLRFYKLPGGVEPSKTFNMFPLEDPTPGTPYINTRVLGQAKAEQVLREHLATYGCHVERGTELCSFEQNTEHVVAHLVKRDGDEEIAETVICSWLVGTDGARGIVRKHLGLTFLGEALAGQIVFGEIVVKNLTRDYWHCWGEFGSKMAILRPTEDDEIYNFIVSGNIDLEKIYEDYDTLVQTLKEISDRQDLIFGEIKSKSNNSPNVRVVNKFSEGRVFVAGDAAHVHSPTGGQMLGVNYRWSSILLDERHPQQTSGKEKPLIDAYGTNTSDGLHAGDRAPDATGLAVVETGNVGAETTSLFRIFSSTRHTVLIFSSDSDQITAVLKLAKKLLSRFVRTVVVLPRDSAPLSATSGADVVCIDQDGHGYTGYSAGTEGATVAVVRPDGVVGALVGGPTGLETYFRNVFSNVKA*
>EED83885
MSAAQPLPVLIAGAGPSGLVLALSLVQHGIQPRTLEAYNLLGVLPDIVSRGMDIRPMRLYKLPGGVEPASTVNMISMEEPTPSTPYVQLTRTIKINPLMLGQSKAEQVLREHLAAYGCHVERGTELCSFEQNTEHVVAHLVKRDDDQEVAETVVCRWLVGTDGARSIVRKQLDLSFLGEGLSGKGVFGEIVIKNLTRDYWHCWGSFGSNMVILRPTEDDEIYTFIVAGDVDVEKAYEDYDTLVQILTKLTDRQDLDFGEIKWKSNYSPNVRMVNKFSEGRVFVAGDAAHVHSPTGGQGMNSSVQDTLNLGWKLALVERGIAPQSLLNTYTEERLPVIANMLKQTTNLFKTLRNAAADGGDASKAWAQPRDMKMLGVNYRWSSIVLDEGHPREALEKDKQLLDAYGADTSDGLHAGDRAPDAPGLALVEAGNVGSETTSLFRIFSSTRHTILIFSSDGAQIAAVLEATKKLAPRLIHTVVISPRNPSPSLAVSGADVVCVDRDGHGCAGYDAGPEGITAAVVRPDGIVGALISGAAGLEAYFKNVFSGVKA*
>EED83886
MSFSHPLPVLIYGCHVERGTELLSLEQNDGHVVAHLVKRSGDEEVNESVVSRWLVGTDGARGTVRKQLGLTFLGESLSGKFVFGEIVVKNLTRDCWHCWGSFGSGISSLLTFRTDTRVVLRPTEDDEIYNFIISGPVDLDKIYEDYDTLIKAIKQMSDRDDLILGEIKWKSNFSPNARMVNKFSEGRVFVAGDAAHVHSPTGGQGMNSSVQDAINLGWKLALVERGLAPESLLDTYTEERLPVIAHMLKQTTDLFQSTRNATASGDGAFQGWTRSRDLKMLGVNYRWSSIVLDEGHPREASEKDKQLLDAYGADTSDGLHAGDRAPDAPGLALVEAGNVGSEMTSLFRIFSSTRHTVLVFSSDGAQIAAVLEATKKLPPRLIHTVVIYPRDSSPSLTVSGADVVYVDRDGYGFSGYGASPDGTTVSIVRPDGIVGALVSGATGVEAYFRNVFLG*
>EED83887
MNSKNFFVALLMYALSPWAHLLGGSVEKWFTRGMIQLDKYLTRRKDGPENKLKASFMRWWHDRFDVFYWTPQELALDAFHAATGYTSWKRPEHEEICARSRVEPRVKREGKVRIEWYPSIFAWKRLVRECEGLPFIRKDEMYTYISREPNEDLNLAEIRRKLNLENIHIIDWRRGTLFTPGNQDRLSLVAFNNLVHVEKKVPTRYFGRPERQPMDTLYVIEIPSEQTLALRHRRAQMLRRWYAKLLGPNDTRAGILWFAMISWAALTSKERIPLPGYYRAFRKVSYFRDVCMILSLLVSAAISLLAAALQEVDLLRYSAVTLAATVFFWNTNPRAVDCLDRAVMDVFYVLSRPVLCCYRILWDVVARSMRRVGRVLVDSWGVVAWGMCEAGWLLVEWLVHTLGYDGEVEVGEEEEGEEDL*
>EED83888
MQLFAKSALAFLTLFVGLTKAQINGTGNLFYFVPSTGACGFTNSPDQYVAIVSGDVFNSYPGATDDPNYNPICEHNLTVTCMHRHSFELTIELELTVTSDKGTEVSAQIVDYYTSSPDNNVGLSSAAFKVFGRPLGEISDVTWDIV*
>EED83889
MSGFHFNFDSPGFPVFSASSQPPSTPNRLNSGTPGGGTLPNLTTGVNPPANAAPGSQSQPGAGPPLISPLAIDRLAQDMELDDKDRKKLHTFVSLATIDMTLSKADIITRLYILATILSEISERHRVAEAAKVHDLASLMADIRIRLQMTFVLMPEQKVWCSRSYIDLADELLAQTNIRARAMDLIIEPTRTSFKMYHVELKAALREDQNILLLTNVFGNPVREKILDVHTRRVCSNIRNTLREDLRDSVIGDSVVTLTRFVYGILYKFKRAAVDTQQEHGYTIHLALLRRFAIEHPELLNVVEIEEDDNSPGGDNDENTPPRKRRRTTRVHKTRGSGCPVNGKDFWSQVDEWFKKEVATRGTTLTAGGWKAYVKETIDLDNARFAPAAQGNRSSAATEQELCEVTNGGITAHQYGSADGGLACMLSGARLQAGNGLGVKMMGDRM*
>EED83890
MLDEAEHELCELAQDVDLNELQTRLSLEGTTDDTLDSNNANGCVDEVVKLTALEHTELEEDVRPVRLILIKIRKLAFTIVNSSTLPLPTWKLMLEELNLPLQLMSWNVAMHWNSTFNMLDFVSQYRIVIDAMTGNRKHELYEWEIAGQLRNILKSNTSEVYQIAMVLHPCHKLSYFWSMGWEDDWIATAKSIVQDEFCHLYAALVTNVMSLSMSL*
>EED83891
MAKSPNKSTKPANKSTGIEKSTSDSTPTSDRRDVDSAPTMLATPISPTSGPAGTAEMKAQSARGASVPDMSAVHELLHAFKATLGTLGSTIDILGEQPARLSEVTQAIDAEHQIRVVREQVEQQHGAQEEEMQKVKKFVSDEVKAQVRDALRAQVNRIVSGIVQKEITKRIQAQLAVQIPSKLREDAREFKQKLLEAKINLHNSEARRMNALVPSTALDDLWRVEPRHGAAQGSDFVGXVGGSVIDLPTPSPLFPANASALINLKHDDLRRLLHEYQLTEARPIAQGKPSPGLLRQDLKEQDINTFLSFIGVGPDL*
>EED83892
MATSHEFSPSSLALLPNLAFSPPIGIYIALWLDPVRMAEELDIPAVLTAAQAMTPRKYLGYVHVVRDFPLPSRPWHRCHIRFVGEGMPEEQPHKGILPDMCTPIEPNDAHPAGREPLHPSRPFPYPNCYQHHFIDKLVRVPTHIIQYDNCVRLRPREMRRHMNYENGDWVIRRALVKEREDREVLSPEVIVPHDEENAGVPPAMEVDDEENAADTAGHKESVQEDRDDSGDPPDLMQALAIVMTAGDRLNMDIDTLPLVRISLDLTEGDKFEDPRGFLKEVAAMTELIQQARTNIIREYKARNPPMATPQMQSDTKPEQSFEDSMETANVAPPESTHVESFVQDALVEDLSSVVDPAAQDGAPEGLVRRNTAATHPGDTDISQNAANAATESADHMHEPATAMARGLMSIRRLVQTGRDIVKLPRISAKDLNPVAIVRASRQRCSALVGRIRRVLRLRKGRDVPH*
>EED83893
MTAGDRLNMDIDTLPLVRISLDLTEGDKFEDPRGFLKEVAAMTELIQQARTNIIREYKARNPPMATPQMQSNIRLEQSFEDSMDTAKITPPESTHVENSIQDALVEDSPSLIAEDRISGGLMMGNVAAMCPGDAADTATESAGHMHEPATAVARGLMSIRRLVRTGKGIVKLPHISAKAVCAVFFVCAKAVMFHAE*
>EED83894
MAPSLAFSTPIGIYIALWLDPVRMAEELDIPSVLTAAQAMTPRKYLGYVHVAVNHFILPDRSRIRTAINITLLMTSLRPREMRRHMNYENGDWIIRRALVKEREDHEVAHPEVIVPDDEENAGVPPAMEIDGEDQAADTAGHKESGSPLLQYDRADRCFVTSTKRAPIYPQGGTWCHATGPNPQVVAHQELVSYYQRHPPAHPEDVFTTLRIDVEPTQIAESVQSPINEQPLELPEVQYVPIEIPDIKLPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRCFIQCILSYFVATNNTRLSNEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTKTALSFGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWDYGEPMDIDAAAVASTFASTSGGRKWEPGAVLNEANQKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRTRIKTLSTDEKRELYEELTMEDF*
>EED83895
MPLALLDSFRGLLHCLMPGTVRGHPDGSIRSYNGETAPLMHGLQDDLRKAKEDGDVWKRRADEERESKRQSEAAYSDLQRRYNSLKETVRAIETEIVVLRERADTLKVVEEELRMTKHLLRSQEQQNITLQAIHAKTTALLETRSAELHDAQQYLTKADQLSDADILRVVEQLNSEIMQAGSGAVDTAIPAELVGAKMAELLQVVPHSDDTFCVQLALQAALVTLARWIIAKWILWPDCDDGDIRGIYAGIRMNQSQAVAGRWRALTRAHAKTLRDHDAGAELHKNLTVYVAHILALAGAQGSPEDILGLVQARHSDRLRSFVNACLHIHKAIGEELTSADFETVAGACGARFEADCMKDEWGGSTEENEEGTVLCTTAMGLRRVEKRDGGEINSIMLLKPGVALDSVVKEMTCTERDLM*
>EED83896
MSSTARGTVTTPPPSVASNFTATFTITDGVTTRQATYNGNLSASTQRFDSEPNGVTLTYSKVPSGNCNHEGTIGAGNINLTLTDPDGIKYVVAGKLIDGPSTIIAEGSAADARAALHVRVRAGECGGVGAWQVRLGRLMAGRDVDRVRGLYHWPGGRELSIEQRRICPWHWVRCVNPSASADDCGTRLRTHGASTSDRTPRTWHPYHVGVPHGSRGSKHDMLQSVSDAGYAERVDTDDARASSSSPARSDVVLCSAIGAASRRLSFGLRLAGHLCVATIVVSVTEVAPVEEADHRGLAPSRFKISGKPACRGRRADGVFLRPQIQAFRITQRACAGLKVACPRPLCAGVSALRLCGQVVAGAEARSVDEGSRSVLGTGADAHGQWQAGRRRGCTCGRGFAGASCRAGTEPAAARRDMQAAALTDSDIAQSVPCARVQKTPRPYATARVRAASSSHGMAASARHAMPTCTAGLQGGNSVHKRAWRHDHRSLRRTRYPRTSEVLAVGFGSRSQKDITTGLCGSHASKERREAVICTVAIGLRRLESPSSARRTPKAALWLNSGVVLRSTASTFAYVENPSERIIEGLDAVLMNWFGQTNRRLSSKLQLKPPKARTRIPGKRASVQIDPSIALNACEARRDSAPTGLGMNALTSLRSLNSPTRLAFAANTVGTSRRLWCISGVGSVGCPPGADRIERRVLLNGTSPRVDSISRR*
>EED83897
MPAVKKSEDAANLGSIDNQSSSNDDSSHSGIRLTDTRGTKIRHALNVRYRLRELCVAPSFDEPHSTLWAIFVNHNQCAVSSWTTLGMASGAHWSQENNRTSSCQERACDSGEAGKSRDQKAPILALIRQGSHREHGRQVKVDDNLTRDEQERNDAATKQIYETGVKRSKTAMTMVLPEAGAAARVRGATKTSS*
>EED83898
MPESLTPLPTHNSPPGNASKLLAAMPFSCSLSGLRRILSSFSLPNLFQNGQWQEAQDYIKTLETRVKEEAEARSRSEKDREEAHKKNDSLGKQLEHTRRETEEAQRGAQEAQRKMEEAQHKAKDVQRGAADVRRGTDEAQRRAEDAQHGADDARAQVAKQEESLHRLEETLAQVQTDLQTTKQHLDDREQQYTALEAAYRKTTHLLEMRTAELQGSQRYLTKTDALSDTEVLRDVERINATILHDATSIADSVSFEPSADRALPADPTALTQLLGVRMVELLQKVSHHGDPFCVQLALQACMAAFARDVLPMWTTQSENDTFLDTVYAGIWEHETQAIAGRWRTLARSYLHRQLREQGAIAELHHWLATLVVQILRLAGAAGTEQEVSHVVSVRHTERLRSFIDICIDVNKAIGEGVLSADFKVLSADCGSGFNEDIMKDDYGSAASMGTGEGVLCMVAVGIQRLDKQDDGQRRAITLLKPGVALDSITSELTWVEDSSERKVEASTI*
>EED83899
MRSRQSRAQRKSHIRRRSTSPAPGEHIEAKRQHLKRRRHKAHKQKPQATEKRPSTTIDHATVKARRVLWAAMAAETRDIVLGDGQYVEERLMSAVDSALAQPPHQGPEPAACTPVAHDISMQVMLSQQGTTSYPHYSTALAMWPQKPAFTAPRPATTFEFTQHSTLTAARRIALTMPDLRSSNHSQTSLGILSYASRRRPGGGYLRGGDEQHERIARHSSLVASLTAPAAQEFYKEHRTFWQEDGSGLQDHAMVYSPGVVVLRRDRDDSLALVGANATTVELPPPTDSIGGEFIAPYLVNVVSSVPVNAAAVRSKHVIKPWEKEFFENGIRHAMKERMARILRLLEKEGNRVIVLGAFGCESSQNKVETIAEVWAELLVSGHLNKEGHRIEARFKDVFEKVVFAVPGKLFEPFRHAFELRVLETRISEVTVSVVIRGGMILVPTRWIPRCDLFKQWWHIREYAKMIAKDAITSYATVRGSPPGVGLSAETCRRGAMEDTDAQQDHSSLPTPCNPFDASKASSDALPGQSANEDSINVPNHQHPTTPPRPTAPACSSNKPDTPAVCSDSFRTGSLSERQDAVLADIRCLRCMSVDEFIAIFLPTLPDDIDIEHVLTALANDPQGALDSFNQTPQEKKEADPSLKEDKLFERIVLPDGVFCKKGGSPSSFYNIALLMEFKIFDADKPNYDTCRKIIYAMQHIMSVDPCRRFVFGITIENASVRLWFCCRAMVVCTDGFDFVKVEMGWDPTIEPILGPGKRRYRINVGDECYETIEELHGGADVLVGRGVRVFFVKDAQGNTAVLKDIWLEDGRMTEFQIYTAIVEDIARRFPDGGKEKADRFLIQRFKHSFVQVNGVDDHTRNTMMRGKDLDLERHKIKLQPRKPKNNDVRQSKLFPVACDEKPKQQSMKGLSVPGLNVEEAPIRHRRHYRVVFKQHAIPLFNVVDLGLGFQALDDDMEALKLIHDSGMACWVTSNGTLQFMAAETMDREYLFLPVQLEESSTMEQCTAFILPSQRLNKTSAESTPNVGTFRHNYLHDIESMWWCGVWLLFFNCPKGYEETQNDAEKRQAATARVFPGVLAAAQRHFWLTHVEKLGEAFDALAWVREDEDFVPPVTQMVWVTTKIVQSYTTYKALPASVAGSSETSKFAPDTTQVHYDVQDTFVLAKKHLWEKKIHPETPGQGTGKEETGDHAYT*
>EED83900
MLFHAVASSLVLAVPIAAQQIYDIWSTTWQRDSLFTYRDLSPNPINFTSLGSTGTSDIVVTDSTTYESMVGFGAALTDSSAKLLSELKSKNAGNYWVLLKKLFDRIGAGFSYLRIALGASDFSDSVYSFDDVSGDTSLSHFDIDKAPSYLFSTLNDIVGINSYLKIQITPWSPPGWMKDSGTMNGGKFYTSYSDVYANYLLKCLQGYKSKGFDIWAISIQNEPLNSNPSYPTNLIDPFWESQVATKLRSLMNSNGFSGTDIIGFDHNWSDADGYPVELMEDAESAFDGVSFHCYAGSVSDQDTFHNAYPSKNVYFTECTGEFNSDWWSDVKWYSNNIFIGAVNHHARNAAMWNLALDGNGNPKLPGTTSCGGSDPCRGVITINSDGTYELNQEFCVIAQASKAILPKDQGGPFGRRIKVDVGGNQNLVVSSFVTERTSSSDWNRYSLATYTFPVGVTTLWWYTAPQ*
>EED83901
MLLHAVASGLALAAPIVAQQIYDIWSTTWQRDNLFTYKNLNPNPINFVSPGSTGTSDIVVTDSTVYQQMIGFGAALTDSSAKLLSELKSTDSDTYWDLLNLLFDPTDGGSGAGFTYLRIPLGASDFSASVYSFDDVSGDTSLSSFNINNAPSYLFSTLQDIVGINSYLKIQITPWSPPGWMKDSGTMNGGKMYTSYASVYANYLLKCLQGYQSKGFSIWAISIQNEPQNSNPSYPTNLIDPYWEGQVATQLRSLMNENGFSDTIIIGYDHNWDDAGEYPVELMLDAAAAFDGVSFHCYAGSVSDQETFYNAYPSKNIYFTECTGEFNSDWWSDIKWYLNNIFIGSVNYYSRNAAMWNLVLDGEGNPKTPGTTSCGGSDPCRGVVTVNSNGTYHLNQEFYAIAQASKAILPKDSGGPFGQRIEVAVNGNQNLVVSAFVTHRVSSSDWLRYSLVVLNQNDDNNGTWDPVNIEATIEFRGQQATYTFPVGVTTLWWYAASE*
>EED83902
MVARKPYPGSHRKLVLAFDIGTTFSGVSYSILDPGEIPKTLGVHRDVDFPDKKRMLAIQRSRLCYVRAVGAQAQLPQLVDQAITEEWMKVEWFKLHLRPVTEVLPSDREAQRYSPLPERKTIIDILADFMKYLYSCTRTYVIETHASGSIIWASLEGHTDLVFSHPNGWGGPQQEKMRRAAIQAALIPDTPEGRARVQFVTEGEASIHFCISNGLAVDAIKDGKTIMVVDAGGGTVDISTYALNNADDLTMEEVAPPDCIFQGSTMTVLEKLAESKYGNEDDIEEMFKHFDRWAKPVFQSPEEASYIRFGSMRDKDLAYGIRNGQLTLSGYG*
>EED83903
MSTESGSLDFQEEQEKSEGITVQPDLIHPTEKDGDLLAKNVRPAAERQLVRQLDIRLMPTIIVIFIMNYIDRSAVTSARLQGLTQDLHLTEVQYSTVLAVLCASYVPAQIPSNMVTKNYTGIVLCRIFIGLPEELAFRSAVIYGGLLISNAFGNGAISIFIGILAIFILPDYVRLAEDAGEADEDAAGESAFVGLKLALKDPKVGIFAIMNCSQLLGLGFINFFPTLYSRCIAVLQRRDPARPPWIFATIVCAVNAWSADRTGERFFHHCWPWWGVLVAYIIGASTTSIGARYFAMFLMAAGYSGFALTAVWVANAIPRPPAKRSAAIGIVNGIGNIGNLISSYTWRTQWSPDYHPSMFIGIASLTFSTILAFVIRCILVHENKQLERDETEDPKGARRERIEEAARLEGLTFEEALERKRGFRYLY*
>EED83904
MVENYLTHLIHNSFVCTLPDLNNGRPLGYDIEHFLVKINSASSDNLNRLFVIGASKCLDKTKVTFNRRDAPIPVLSVIVWIRSCLTTSTIFILISFKHLSHKPNTARMSLLEHLPVELFLSILRFVPLQYLYSLRRTSRTINNIIAANESYVYHHAALLHQYVNSADIGLSEIPRTGTAYPVRDTSTWKSFCELKRMYISFGLVAEILESGAQCFSLDKNWLGKGELSTRSYCTNDRDVHRIKVDEDRGLLITSYIDGGIRVTDTKTDERLWALSMSYVRPNAHVEYEKGFLIFDRIGHCKEVWRLSEDYIATDLPHNVAPDDSQETAWREAEQRFQNTSRGHFRPWALLTPPQMTRAFRFSYPTLVVMSHMSAFLWDIPTGSLAQTLHNVQDLHGGVLPLGDVMYVDVSPQHVFVCGLVELRVFNRSDGAVALRIPAGRQVFADTRIALTIPDVLRCFASWAVGSHPPPPPHAFMAAHVSRDGRDLVALISDNRLLFLKDFERVVRGQISLAEATLEVELISSTLNSGGIADWPVYLAFEHGRVSAVTTSGVYVFVLDAAEHTRCDSDILPQGATVSLERIQFKHEIPQHASFPNLAVAHVPQLKGQETLSEVRCLQMTATRLYLACDKQYLPNKGKERAEIALDVQRSYGRDEYIGPSNGPATSAATYATLPDAAFATWDTANTDEDLPGESTIFTGELGYSDEEEDWEDELDENCEDWEDHIEPYIVEDYDDGGMDMDVDEDGGEGKFQRPSAIQRPDYCIIQAKN*
>EED83905
MDVFTSFSGEPVVLPDRFAALKRHICTDPERMMHSWQGLLRELEGAVEEVAEKGAELIPQVSYSDVKHGLTDAQVMDIKKRGVVIVKGGVPEEEALGWKESIKEYIGANSERVRGGPPGKVVFYELYNSPAQIRARTHPALITTQKALMSLWHAPSQSMSPLEVASLRTPISYFDRLRIRPPGPSVFTLGPHIDWGRSDERWEDPAFRACFSRILASEDGEGWRSHDSFDVAPRLAWKQDFTGSGGNCSVFRPWQGWTALSHTGPGEGTLRVLPLLSLTTAYIILRPFFRLRAGAPPHSLKAEDWEIDLESTVFHGCAPMKTQELSERSHPHLRLDKTLLSIPRVLPGDQVFWHCDTVHAVEDEHNGEGDSSVLYIPAVPLTYRNAIYLREQRAAFFNGLSAPDFPDGEGESMFVGRATANSVETLDGQRLFGLAPFEVPFDAMQGEARLIETVNSLL
>EED83906
MTENKDGLGQGFPVGRTNLRRIPATGRHRPRTLLRAMRRTWRNNGQKGLEPRTPRAHSDAFCPELPTSDRWSIGKRVGRRWVHDLDTRARHADDRGGETALVDDTLDSTPWRADRLARSAFAAKARTHAPASYAGSRAGQQGTAGPEQNARKRAVARADHASASGQRSALDSLLGCAARPGRRWDGIRTARGLRRDAAADRAWNGTRITNRPRGYWTLLAGRGVLPVSVSSRVAYYIRDCGRRAKAEARVAEWTTLHAGAVRLFRGLELSEMISCVANSVVRAQKTQWIHQRQMLQIWRIREGCTTGFSFEAISSKRKLGPETSNKGQDTASDWPAPLPSGVAVTEHRWLMTDS*
>EED83907
MARTNGRPMQLLASYTGRDSDLPTSYAHRLRALVAQDCLLQGNVSNLQALVLRAVDWGPPSTLSNLTHLCLAKKRLHIVDLFHILSIAPRIEDLGLWGIRPEDAFDPHEDIPAITLQYLRRLTIDRPDTNILSGFFSHVGLPASLAVNLEHCEVSDLQWLVHLTQNDAKALYISSEPYSVIIAGPSRAVRLSCKDDLGVMVQWIAALPSHSQLKDIWIANTFNWVAFDEAVIKHIPCVETLHVGSLAYTTFIGVLGNNPTCWPMLTKVVLSEPYELSKMLKLVESRAH*
>EED83908
MEFLSQTYIALRGPTGAPQSASETIARLADRLSPSTLLGDRRAAVLSLKGLSRDHKADVGERALPGLLDVLQNDAEIDADIGKAVLETLNLLCEADDSANVQARESGFKHTDLVLADEKAAHKLFVLLADNSFYLRLSALQFLATLLQNRRQVVQGYFLKAPVGPTSFVAVLEEKREIIRHEAIFVVQTLISQSPEIQKILAFEGAFERLFNIVASENGVDGGVVVQDALKCIDGLVRFNQSNQSYLRNSPLPPLLFTVLGFPPALPHDTPPPQNFALQLWDDPRKRANAALVVGIIGLLTKSGGGNLQDPLTFACTRCLLELGLASNAPTAIKTQALRLLPTNFSNFPLGQILTTPYVPVPETNGEEWDRLEPSIALDTLVELMLHGEYNGVLEGERRSKEGVELRAAALGVFQNFVQREEIAEAIVQAMVSPSESAPVTPLLDALIAPHTTPLRTSSVTSTHLASLLFAHLLRASPQNKTLALSIVPPTAPSSAHPQGSFFVPADGGPAPAPPEEPEPEPEQEESEALIQILSEHLSLAFLARGRADTSDKEAREWDRLLVGYLTLLSQWLWEHPRAVRGFLEAGALGVLVEPINHMTDTDALIPSLCAFLLGICYEFNREPGEITRSTIYPILTRLGIDMLGGRILLLRDDDRFKAIGPDTLVLSMPAAPSHLHSGPAVASKPEIEEGEIWFDWPFIDFWKSNYCMSPLLYPNALGSSPGANTHHFYDDIAYSRSSSLKDVIRNQATEIDSLRSQLQSVSAAQAEDDREALRAQISSLITQLQAAEEKRRDEEKEQEDLLVLLDELNNKRRRDKAHMREAGLEVSEDESDEDGEDAE*
>EED83909
MCKHVLNAQVAIRAPCCKQWFDCAECHAETQDHRLQKTSEMTFMCKKCKKAFRKDMANYEESDEFCPHCDNHYVIDAKTPQAVLGVEGDDPRINARMLRDERAKQDPSRSLFAQDLSDRIG*
>EED83910
MHMYDNLVRLLVSDAFLACLLPIVLSFVSLKLVGTPSFAELRNILSAVFRGNRSTPKHFSLERATLSYEQYVRLSQAELAVTRSSYGRLGRAHKRIAQKLGYPEKLDRLQQSILLNARVTAGIAGLARKQFSSTGGEDVSDNHGDLGRVRESLKHFVRDWSVEGQHERTRIFGPVLNVLKQVTSEQRASTKVLVPGSGLGRLAWEISQLGFDTTANELSFFMNTAFRFLLSEETTQHANQHVLQPYASWFSHQRTNDVLFRTVAFPDVTPRLSENLHLAECDFLSLRPPLPAPLHHEGADDGYDYIVTLFFIDTSLNAIQTIEHIYALLRPGGTWINLGPLLWTGGGKAAVELSLQEMLQLAETVGFIIHDGLGEDNPCRQRTIECEYTADREAMMRWLYQAEFWVATKAQI*
>EED83911
MAAAARLLHSLPWLFLAISESARGSPVLTPPLHTLSARGLQVNNYNNGTIKVIDPSSGASIAQGSVSDGSGTDFSATAIIWLVYCFAIGASLAFTGIKFPRVTNGAAIGITATACVWAAMINTESSKSLHDLVLTLVPVCLFVPGFIFGLFPYGRLSGVILITIASGFSWGARICLFRSDLLVREVYGDWLIGTAFALMNVVLVPYYERVAVNAMKAIASASVGTFFIGLGVDLIINKQAGMSFGLRLLCDRNKAHYLDLVYKGWKPGTSTIIILAVTLGVVPLLAYAQHRAFPQAYVLPERDINEQYKTRPLPRPAKSGEEKAQPAIAVQEVCRTHSFYRDSVLRDSMYRDSTYRDSMYKDPSIYTVTPPVTRPQSQVMESYF*
>EED83912
MVRVSVLNDCLNNIVNAERRGKRQVLVRPSSKVIVKFLSVMQRHGYIGEFEIVDDHRSGKIVIQLNGRLNKTGVISPRYNVQVPQIEAWVNLLLPARGFGFIILTTSSGILDHEEARRKNIGGKLLGYVY*
>EED83913
MNIFRFLGDMSHLASILLLLYKIQTTRSCRGISFKTQALYVTVFVARYLDLFYEWVSLYNFTMKVFFIASSSYILYLMKVKYRPTNDPSIDTFRVEYLVGPCVILALLFHYKFKISEILWSFSIFLESVAILPQLFMLQRTGEAETITTHYLAALGAYRAFYIPNWIYRYWTEDLIDPIAVTSGIVQTALYLDFFYVYFTKVLQGQKFELPA*
>EED83914
MSSNPTAYAEAWLRGPADHPFYTRTYLPPDSSAPRAAVLFIHGFAEHIGRHEHAHRIWAQRGLAVVAFDQRGFGRTALSKHEGWRGETYGKTSHREQIEDIEWFVRYVGKRWEGSPVFLAGHSMGGALALAFPTQARAPPDPSTTARLAGVLACSPLLRQTTPVPRLMRRVGGAAANVLPWMAFPAVVPVEDLSHDPAMNEATDRDPLIRKQGTLRGLADMFNRGEDVVERGYRRWPRELPVLVIHGTADKVTSPQASQEFVEKLDASDKKLSLIEGGFHELTHEPDGVKERFWDECVEWILAHANAGAAPVSRL*
>EED83915
MRMPTMYTQSDCIRRYH*
>EED83916
MATGAVTPVLIVGAGPSGLILALTLLMSGINVRIIDKDPEFHVGQRGVGIQPRTLELYNLLGVLQDVRATGLDMMPIRLYKFGGGIDSLKTFYVSPPVDATAAVPFPNPMLLGQNRAEAILRSHLEKYGCYVELGTELRTVVQNLDYVTAHVVRKDGDEEKTETIACRWMVGADGSHSAVRKQLRLAFGGEQRSEQSAVGEIEVQGLDVDFRVLLQYTPHLQLDTWQGDVATDGDSSPHIRMVDRLMEGRVFLAGDAAHVHSPAGGQGMNSSMQDGVNLGWKLALVEKGLAKPQILVTYDEERMPVLREMLKLTTDLLNKRTATRADGRGGELGLTNDDILKQLTINYRWSSIVLDERTPLVNPAVYDPYGLLAGTVVRAGDRAPDAPGMRDIRTNAKTSVFKIISASCHTVLLFSHDQAQIKAISAVLRKFPAHAIRSVVIYAKDGPTTPSASEDDTDLVLVDDEGYAYTGYQVCDARVTSIIVVRPDGVIGAIVLGVQGLETYLRLVFSAAAT*
>EED83917
MSFSHPLPVLIVGAGPSGLALALSLVQHGVQVRIIDRDQQFHIGQRGAGIQPRTLEAWNLLGVLPDILSKSMGLLPMRFYKLPGGVEPAKTIDLITPEDPTPDTPYIHAQLLGQAKTEQILREHLAKYGCHVERGTELLSLEQNGSHVVAHLVKRSGDEEANESVVSRWLVGTDGARGTVRKQLGLTFLGESLSGKFVFGEIVVKNLSRDCSHNWGSFESGMWDILHDPYQAYSLSTPNVRMVNKFSEGRVFVAGDAAHVHSPVGGQGMNSSVQDSLNLGWKLALVERGLAPESLLDTYTEERLPVIAHMLKQTTNLFKTTRNAMANGEDASKAWARPRDLKMLGVNYRWSSIVLDERHPREASEKDMQLLDAYGADTSGGLHAGDRAPDAPGLAVVEEGNVSTETTSLFRIFDSAHHTVLIFSSDGSQIAAVLKATKKLPPRLIRTVVIYPRDSSPSLAVSGADVVCVDRDGYGHSGYGASPDGTTVSIVRPDGIVGALVSGEAGLEAYFRNVFLG*
>EED83918
MSVTQPLSVLVVGAGPSGLVSALSLVQHGIQVRVVDRDQQFHMGQRGAGIQPRTLEAYNLLGVLPDIVSRGIDLRPMRFYKLPGGVEPSKTFDMMPIEEPTPSTPYINTRVLGQAKAEQVLREHLATYGCHVERGTELSSFEQNTEHVVAHLVKRDGDEEIAETVVCRWLVGTDGARSRPGWFSCAMFWTDLLHASYASIGTAGAILRLRYDEIYTFVLSGNVDLEKAYENYDTLVQIMKEVSNRQDLIFGEIKWKSNFSPNVRMVNKFSEGRVFVAGDAAHVHSPSGAQGMNSSVQDALNLGWKLALVEREFAPQSLLDTYTEERLPVIAHMLKQTTNLFKTTRNPAAHGGDASQAWTRPRDMKMLGVNYRWSSIVLNERHPQEVTEKDKHLLDAYGADASGGLHAGDRAPDAPGLALVEEGNVGSETTSLFRIFSSTRHTVLIFSSDGDEIAAVLKSVQRLSSRLVRAVIVVPRGLAGLPIVSSADGVYVDRDGHGYTGYGAGHEGITVAVVRPDGVVGALVSGATGLEAYFRSVLSGSNA*
>EED83919
MSLQIHRCVYVFTAAYGVSYGPIGWVLPSEVFPLSVRSKGVSLSTASNWFNNFLIGLITPELMELSPSGTFLVFATACFLGYLWSTYSVPETANVSLEEMDAVFGSSAGREDLLLKQQGTSSISSPDRGRSLEAHWRQLFYTEDARIVETEKSYTT*
>EED83920
MSGSVKTTCAFRKDALIDDMALARPVDSSSFYASVLTGGFSESVLSDIFEENTEIEGQEVTDAQYGYESDSDLEDDDVEIELGTADSGNQPAGMSAAPDAVLKKADHDVGEAIDLAMESGNKSSRPPTRIRPRCPGRTVFVKDIAYKTWQALLSYLYTGRVAFAPLRSAVQSSNDRTNTVNLRHPFDPPLCSPKSMYRLADKKQYGLEELKKLAYDNICSQLTADNVLPEVFSKFTSR*
>EED83921
MLLSTVLFTILALALCATGAPSAISGSPHIVHDSRRNIPRGPVRRAEPSFILPLRFSLVQPNLGYLEDFVMDVLHPESPNYSKHWLAAKISQTFRPAKESIETVHLWLVESGIDRASVRFSQGGGWLEANVTLKQAERLLQTEYHVYQSGEEGGGQHIACYEKYHLPEHVAKHVDIVTPTLHFDTKPKTSVPQKVDIDKRDRPVNMRSLGQPELGVSIPKTAATVETIYKGIEKCDEQIVPDCLRALYNFTFTPVATGNNSIAIIEYNSQTYFPSDLDLFFANFSPSRVGQRPVLDSIDGGYLQTKDQDESVNGESDLGLQYAMSLVGQEQPVTLYQFLRNLLDALDGSYCTFEGGDDPQFGATYPDPNGVGYEGPEDCGTVTPVYVMSTSYYYNEAQFTPAYKQRQCAEYAKYMPLRDKCWSDIISSYVSTDASLVKVYEPESACEQVIYSGGGFSNVLDMPSYQKAAVEHYLSNYPPPYFSTIYNTSGTPRAYPDISANGSAFMETFNDITYGSNPGCNTSGFSAEPGWDPVTGWARRTFPSSSIFGWHCLRVSSKQRCRSEQAWTNRKLCCMDDVLGHRESGREYSLESSPFFDDNGIARGLAKIASLESYVRRIDTLDICMKILALTKKTAPSETTLVERISADFASGQPGPITTVIFAGSQLPRMSTSLKKKKGRQVVGYQHFKTLVDLQLSKAGEDAYAVDSGSSVTSSSSITVAPQTPAILARTRQQTIHAALNLSLRGGIFIDTRFYLFSRRSSSGTASTPLSVYASSMALMNASPEFQTLLSGGFAESLVSDNDAINADYASQRTSLAEYGYESDSDLEDDDDDDDGDGDVHESESGQRLDGQHEGNDSNAECAEAVGLEGTSASTAAYLPGRPIGTHMQRPGRTVFVKDVAYKTWLALISYFYTGNVAFSRLRSTAAEMEKVNIVLPMPHDPPLCQVNVPTCRQGSRFNTCNRRAPAAQRRCRDGIHFEFGSTSRPFPLLVLTISPVLEGRVNSGFMEDHDGSNSSRDPPFRGQNTGCI*
>EED83922
MLAITRQERLSLHTLPYDLLLNIAQHLGWSDIHAVQVVSTQAFLLCRALPLEGFQRLSDLSTEQLVSAVAKAIRLESAFLTRTPRPSYALRNGVSGKSWYKVISAPPNEEVDWLSPITSSYSLCATKSGKVVCWDVHRDVCLAEWDPRERWELWKCRVDFDNQTVFFTMAKVLHRSLDTCKMQINEL*
>EED83923
MRSGFLRAKKSNRRASAT*
>EED83924
MSTEKPFAGDEKSLDGVNTTTPDPTIAQETSRAPAAVIIPDGGLQAWLSVLGGFCVLTATFGYSNSFGVYQDYYVLSGASSSSNVSWIGSLQLFLMFFVGLPAGKLFDQGYFHHSLAVGSVLYVFS*
>EED83925
MAPQSTSHAGVFLESEWIGSGKKFTKDLPAYVKTALEAELSLPPAILSLVLPGSIKGFEPEERDDDETLEWLDEPATVIRGESGFVAANEVNLNDPDLLEMLATSPPSSRAGNSQRPRTDVQEDSELVNVNEPAEDETLYALACNSDAP*
>EED83926
MVYGVYFAKEASISVKRYAQQNTVQWKNSSIGVSQCITVAQIVNRPQSFVYNRRYFVVDDTRWILCRYLLVKGSSADTAQSTLVQGSADTRDIPFIRHDPKSSFTFFGKQIQVPEPSYTVEKLLSDRRREPLDVQYDEEDLSILEGRSPVKQTQPVDDWTHDPEWVRTSVKNVLPLPGSATRKAKAALQRAFKTMLREQQEAKSMKELGWYIPPDMISGNQLRWIVELHSFDEDLPIAQIMKMECATLCRA*
>EED83927
MPDLQPSARLYTRFHLSYYDHFVLGFVSTWLWRASSSIQLLFFKKYIGENAHMDVGVGTGYYTAASAPQLAKTKNVTLLDVNPNTLELAEARLLAAGYRGTTETVRQSVFDPLPVTLRGRFDSISLLYTLHVLPGTFPIKASHVFANLSAGLASAGVLYGTTVLGKDAGHSWLGRLFLRRLNQTGIFGNATDTLGNLEKALRGSFEEVEIWQTGVVAFFVARKPFRK*
>EED83928
MDVRHAHDTDIYAVRACPHEDGADLVAIGGAHSVEVLLVTPTSSKLIANFHVGTRITTLAWSSKVASPSMSDAWSVEIAAAGENFGLFLLTKSAKSMEYVFSFGGGLSGHHGKVNDMCFCGGQGEDSARYLATVSDDKMLMVWDLTPDIDIPSLGSPDPNTELTSEFDRPQPTALVSPFPYPLTSVTSHPTTSKELLVADCRGSIFLTDWRKDPDENAFESWRGASIVELVDPRAFVDTSMGISAKSPGSVSWRRDRPNIIGASYGTTFSIWDLTDLHGGKPNITGVSFPDGSAQFKWCPTYPDYFAISSRSPTKGAVIHVHNIQNPHGPLASFSMANGPLYVRAFDFLAARGVPRIAAAVGRQVVILYIGDES*
>EED83929
MALSTSVFGQGFHLTASSRMRVDDWPPVAHCSLHVLHVLPPDVYADPYELALRPAYSARLHPASDLELPVAAVDHADSVLILDVHAPRTAPDVLVDVPLHARYGNPAPASYHPIALPPPLGFWACPSSARTLAHPPPPPPQLQPYLPLETASSHAISLIPSSAADERAHIVIPVGTPDHLPLVDIGTVSVMLLMFVYLVYASVSTAQRLHSDHRANKD*
>EED83930
MPSAQEQGVAAVAQALLDWLESASATSEDAAEERSQAGTSDNDEHQDPNDESQGESMNSHFIPSVPLMLIDGDAHADPPEPPHKRARHSRCDEDSRMWFPWHDRILDLFLWLLRVNDVDDVPSVKTMQSLNATLQRMCGIDTIAYKGALGHNYHVNNLAQIISQEMANPRVRPHLHFYPEDRGERPLSEARQASRWLNEIPHDLLTPMARVRHHDYYIYEPAMLVDGVGAEIEVSEAMLIKNFPQLRGDATHLYDIPDPTHIREYRDLLTGVTGIWNLTDPAIGNRWRELAHGHCTVSFAMWLYCDDTSGNLSKKWNAHNSFLFTAAGLPRVEAQKEYNVHFLSTSNLALPLEMLDGVIDQLHNTQENGIWAWDSSTQEPILVIPFVLAMLGDNPMQSEFACHIGMRGKLFCRACWVRGKDSSGDADVLSSQATDRGPPAPATSDVESEVSEASGASNSDVGSSVRGTTGPSSGKKKTKHPRKQVFEGMGAMIRRITDFIKMRTETGIKDTYQLHFLDKLFNSHKSRQNETTKQTALDAAVASLPPDITSPVWHIKGLDPHQDTPVEVLHVVLLGFVKYLWRDAVQNQLKNQEDKKHLVATRLTDLDVSGLGISMLAGQTLVQYSGSLTGRDFRAIAQTAPFVLYDMVTPECLETWKALSKLVPLIWQPEIPDLTTHLETLEKEIQHFMLCMARWTIRWFNKPKFHIFLHLVEHIRRFGPTILFATEAFESFNAVPSRDIAHAFAQGNRVRHLMSGGFFRINGAAAGETSSLAQAHDSWQSIGVGAQQLIDGEGSQVVLRYLGLDRKQQHAPGQKLPGSLAGMPEARVKTCKQVSLQNGDICTPNHFVIVQDPRDPVKTYVVGLMQSSYKRLSTGDAGSSCTVLALKD*
>EED83931
MWSHALNALKSELKAYAKKRNSFLHVLHEGMSMRSWWMKMQQDDDAHVLGVLAIKLYSVVPNSITDKRTMLTITWLNSARRSKQDVQTLQDYIKIRQWYHWDPQSTKKAPTVSWYVMDKCRNLGSSDRGNTNKGRWGRSTGSYRSDGEQGSNLRLGTKVETEVPRAAEAGLYTGEDKGRLCTLVRAQLVCAQHADAALGAVDNNVSISGI*
>EED83932
MLSNYVGEERFLKGVSIYLKKHLFANSVTKDLWEGIGAATGINIPKMMDSWVKAMGYPVVKVTETKDGVHIRQDRFLETGPAKPEDNETLWTIPLALLTTKANGETVLQSEIVLDERDKTIPLDVTRPFKLNAGTVSFCVLEEPADFTPEYEPSPVESLSPATDSTVDLQPELRLNQLPEGPKIWTAVDLVVTIGSVKLHLYDHSATAEQTLREHGIARFALNGNSLRMKVLCNGSFEAQLILKSLTMSNTRPGNSRFREIMPAAQHERNQVMLLYSKRVDGSALAVVTVDAPHIVFAVDPVIALLEFFTSTSSLDIASQPGQDIGNDQDTLAQPTPTRIDFRFDVHDASVSVLQNDADPESQAIHLSIKQVSLSQQGILALGVERLGMSLMQMGTDAADSVRFMDDVDLTVSLDSRSSSYQRSTNLAVSFKPVVFRASYRDIDLIMNIVNRAVELYGQSVQHPQESGKLQAPTAMSTPAQRPHGVFFVKYCSILLQALTIEADEDFLYALYDLTRIKGLSWGEGQEDVLIQTPNDIPEPQAFSAGEELYFEVLELQPIKLSISFMRTERLNGEDTLTTRNPLAVVINAITMAVGNINDAQLEMNALAIKDMRLTFPDLQDRIMHHYRQEVLRQLYRVLGSADFIGNPVGLFNNVSSGVADIFYEPFNGAVMHGNSDLGVGIAKGAASFVKKTVFGFSDSMTKFTSSVGKGLSATTFDPEYQLRRRMNQRRNRPRHAIYGVTAGAEAFASSIASGMEGVIMKPLEGAETEGAKGFFKGVGKGLIGYEAVTKPVVGVFDLAANLSEGIRNTTTVFDNPARDRVRLPRLIPTDGVLVSYSERESLGQYWMKDLNNGAYRNELYVAHINLPGNDNVVLLTASRVLSFWSNKLRLEWELPFTLIQGVTIEDSGIRFAHKTGRDHDQFVSIPDKTSQAWFFSQVATVVKAFNARRRMDS*
>EED83933
MASAPLSDLLSVPFGPFRDEDVLTDACKSVPSNVLGLHDFMLEFRGKPIGLTQSEAYQPEYRKESAERVRFRGVLEIKSPSWLTLVARKSIEEEIHRRDRVLAERGGRRVPSKSPDLEWIYRQRGYNANVIKVLIQGMKYLPAVFLMSKEVPNGLRIHSKHNQLIPLFQYLSRYRTLRLLSDLIKVHAQTLSSTGDRTAPREDRTAAWFADLYEEHMSRSYFARTRRLIYDSGDSDIEPRSGGKLKPGHEHILRHIPRAEVLSLLAANAKWVLEEQSARQGNTRFLDIEVWNTWVQESRAARRDAARQGAKWGRDLVETSSDDSSEDERPPRMMRNKPKSKASTGSKAVARRSPSISERMVVDNVDLSVLRSYDPDFSPPSTPDASDSESEHSLPSRPSTPPNPSIYALIHPAFFNPPRLPTDDFTWVCPVDDCHYRIAMLNLTEGNCAKLDPDDAQRLKAGNWRFREIWVQGCFRWMVSCHFEDHLDKEGIVVGEDGRPEWKNPRHHESTPWPPSRIVQQRARANPVKEESD*
>EED83934
MLARRLPRLARPLARLASSSSSTPVPAQEASSEATVVPQAPNYPATWSTSQQPRPQGQSGPRFEQTIMELQPSPLSAMELISKEPIRVVHGRKAVCDGGGGPLGHPKIFINLDKPGPRPCGYCGLRFEQAPHHGHEH*
>EED83935
MSFTAPTPMVYLTHPNNPATFLNSPERSEDDELGWLPRGNPTAVTKALPFPKSKTKSKTKSKTKTKAEPAGSESDINGGDESTRDGSGKNDAEGDGQLKGEPAASA*
>EED83936
MSETQRTVHQPIHPDIAGRLDPEYAKFHNANSAYLVPLHLQSWDPAVRQKPAILGGSDPLKVGDVKDLSLGGWTLGNISTQNAFCTHMCKHVLLYSGASCVVVAVDYRLAPENPYPAAVEDAIEALGWVYQNGKAQLNADVTKIAVGGSSSGANLAAVLTHKAALADPPIPLAFQLLVVPVIDNTATTSGVPYKSWAENQNTIGLSVGRMLWFRDYYLPNEKDRLAWESSPIFAPEESFRKAPPAWIAAAELDILRDEAIVYGEKLQEAGVDVEIKIYKGAPHPIMSMDECLQLVDSSCRTLLMLWVEPLKRSEFL*
>EED83937
MAETERQIHQPIHPDIADKLDPEYAAFHNANNLHVVPLHLKPWDPAVRNGPPVAGGAEPLKVGDVKDLSLSKCSMRVFTPEGSAPEPGWPVLLYFHGGGWTLGNINTEGSFCTNMCKREPVYRLDTAQDRR*
>EED83938
MNVFQGELIRLITEHRAAPRMASSRHAGQSLNYFQQSPVRGQLEVPDGYSRSGWRYLQCTDTPNELRVLLPPRTAQIYGNLLRAELVELAKDRNASWDRILQIRHLKDRMIRKCTRLARASAPVPAGKQSSRSMFFTVHAPPDFRLKEMERWFRMQGAEIPQDAGAPGTSSSSPYCCSKCNPAGAQASTSQTPHRRATSVSHHGASQMSRRGTVSHPEVSQPVRRGTAAPPRHSSVRRSPERVPLRRGDSSSQATTLLNPSPPPLDGKPAHAHKQQLLNAFNKAGRVPSVMREADAQLRVRSVERKRSAASDASAISHAARLKAKSPDPLPIPFRTHDPDAAPPTDSPSTLLDELPEPEPMFTASPEPMASPPNGQMLPTIHEGSERGEGEGDEAHRPLPRRRSSLKKCGSISRLSIASNTKSVAWAMDRDWIEQMSNYMKTANEAEVLGHELDGLRVGYQQEVETMKSLCSNVRDASERIRQEMEKLQRDEELVRKQEDRLLHTIEQLEQKENHFRDKVLSVLEETKRVVNLCDKKRELNEL*
>EED83939
MEHIYLLLRRFRYRHTAAQLGHSGRAQELA*
>EED83940
MGFKHNNVLHQNHFRKDWQSRVRTWFDQPGRKLRRRQARKTKAASLGVRPLTLLRPAVRAQTVRYNRKVREGRGFTLAELKEAGIGRKEARGVGIVVDHRRRNLSEEGKALNVQRLKVYKERLIVFPRNAKKPKTGDSTGEELTAPTVRTGLPLTDSYEHETPRKITEEEREFEAYRTLRTERAVARHEGKRKQREAKKAEEEANKKK*
>EED83941
MSAHDKEYLQDILDGMTLSQQARAIGQDDEEEATQVGLEEDNYCISRYNKKRDHDDEDYEPGSSPPDSSQPNSAQPDASHTVEPPPYELGAYRTRSYSRLLSDVPTEPVADIEDDDDEDGMYIPSQTSSTSEVSHTSTSDLEAGVESTSTFPGDKLDGKRLWLFSQLLREVDSTRHMEVKELLLG*
>EED83942
MAEEGSTRLVLLLPGILIGDEFPGDCEAFEEAVEFNELEQFLRLKEEWKPFEDDKPALPAQPVGVAGAYTPAQMHPHHQPTPSPQPSPLKSKAKATASKAKGFDAGEELGDSRLQGVNVTDDDLLALVEELGLGGDDASDLVKGLTGDSHTPAADQEAATTKVDAKPKPDEVKGGETKLDEGKEKLQLEEKEDKDEIRERKSEESAQPKLEETSEST*
>EED83943
MVPKNAGEPWTAQKSEQCIEAYNNVLTVGFGSRHEKMEGDIVWLGSPWSLMLNMKEADRARLRVRRVDSSNTRAARFCPWTSSHVILRTLTATTIMADAAAASLDIKQLLGRTPSSLELSTYLSALAATMSKQDATIPDVKSYSDAVYFNYFMLGLSLLFKPINGYKPKTNVKREELKDADLVLDGVDVYNVPTPKAAPASGSKLKPTHATYPISPLILSLSSESSENDSKQRPAQMTINPGTTGKEFVETMGEPDRKGGGAGPSSGSIGIWCEWSKDGVMVEFGGDESRGPQAWERGKDAVWKVVTIFPPK*
>EED83944
MQAIKCVVVGDGAVGKASTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKTISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSLVSPPSYENVRTKWYPEITHHAPSTSIVLVGTKLDLREDPGTIEKLRDRRMQPIQYSQGVAMAKDVGAVKYLECSALTQKGLKTVFDEAIRAVLNPPPQPKNKTKSKGCIIA*
>EED83945
MTSLLCLAAFFAFFAFLYYGLVRQKSQRLPLPPGPKTSMFGSVDLPKTYPWKTYAQWKKTYGDVIYIHVFGNPIIVLNSAKAATELLDKRSSIYSSRPQRTMVSELWVIALDWLLPFETQKFKSTSMGWDWLFSTVPYGTWWKRHRTLFHQYLNANTTPNYHPIQTDETHVMLKNLAATPDNFLHHVAPEGDAFVALADKALASLAQSGIFGTYLVDYIPLLKHVPAWIPGAGFKHQASEWRKLNRAMLNQPIEMVKRDMGGSSVLFRYHRIREMV*
>EED83946
MFLLGVKRIIMMSVPVGRTSLPQTPSSVGSGLASVPNSPIKRSTNPAAYPVPCMMFGPLKKRENGTIVFATPVGNGHVPMIALSDMGFFARYTFDNRATASGQDLAIATEMVGWEHLKTTFEKVTGQKAEVVSQTIDDWLEDRYAADRPVAHEGKIGLDTTTWRLNFRGWWALWRDDIVKRDMEWIRKVNAKGHTLESWMREQNYGDHLWQKIDILKITEDGHMGILPGKERLQKL*
>EED83947
MRAGDGAWELEAGRVIAVDRGKGGVRPDRVHLKRDALLSLRLSREGPWCDGSTKHRAEEERANEPALGYEARHGVGSFACARVYPFAGLSLRVACVMQRAPVWRSGHSWERAASADCMQGTRVAQCPAAVPASMSCRRRCHEPEDARADAVPEGVQPVIRVWAGRGSKRKSARGRLRGAGLVGETDMDVGALCGGLCRHGSGRQEREVKGARRRAGDSPVAGVQTTGQGLRMTGNTLLFLALPSRRSLAISRSRPARVNIGVRACPAVGRFSMHGCTSGLLRWWKTPQRYTRSPDDTASLQASHCTSPSGRPRKPRDVQNARSLPCRPPRAVRPAPYSHSRPGMRATKHYCCTPPPAPRGRAWTFGHAEPGPVDIARAGNAPLRCPAARRAGTEHARETVRVARAKHTRVAVGALSALEYASDDRRRRRCHAVASLAQDMAVCGCSDVRLPRQEAGLFADTYDDDRPLTTRGHDVPFGHTSLGLHSCRADNACVTHAKHRTGRGRMHVWFGGGQRRGDTREAKAGAPANHARSSGVAGGYASGCVVYAAGPGAVIVTELAVGRCGCGCGREYGGQGAAEKTSDTAACVVQELGGRERDTFARKMRVRDCSNDVSRRRASSQESVEGARSEDVPYTSGSAVAEMEVLYTCHHVRDRVCARKAHSRAVPQLCGRWTQGPPQNDMDDEGARRALESDCRAREEICAVVVRGAQDRLELVRERGTRLVRVELYRGVAPGAEQTMTTSSAARGRCGTRAVGGRR*
>EED83948
MRMDVLSRAFPEVRKVDVYDCKPVYKSEGPIWASLDYVRGDAYSLSCWPTICPTKHIELRVIIVLGNPSYGQHQREAVIQILKNASPIRLSMGVTAGADPQFWEQVVEALPRLRILELTMNLETIGGSAETLRALQRWSNSLSAFKDLKAIYVQLRIDSVIRQSSRHTSAVQPSEITKTYALSMLPNLLSLTVPALRLCSIAFRRLVWDQNGKVDFVSDPVSWWRIGGSGAGRMAQSVSCDAGERVVAHVCSLIQNSSNDYDDTQHWSALW*
>EED83949
MPKVKPFIVTAKHEPTGLLECITIHNMHKFDDVGKPCRIAGPSYEYEPPKPLPNIHFQRMKILLCTSEYNEMFAATADRLEPVFARMEKEEGNLEPEVVAKVRRIGDGFDKLYHGLEKKACQLTNRHWRVIKRNLKRIGHVSFEDLSSRLLEICNELASLNIIFKYEV*
>EED83950
MLLDLAHLRELCFMDSSVYLAHGSVRALNPQAFRDMYGPPEPNGRFEDVKLGDQGYWYSTVSYQQDLTEHLSCDTLEEGEAVLPKILHCLTKRWWPAVQYHIGYKCIWLNAHRAKGTLWIEAERDRQARRRAPRAEVCGASGARTELNASGHAGWINPRIGPGVASLSSLSELSLEGLLTNHALLMLAQVNPKLKKLSVTMSRGDLDPMDVFEVLARLSNLQTLEISDATCPEEEQRPRSQRSRLPVVASITWLDFHRWWDVPGLVHIFPNVRELCISRCDKPH*
>EED83951
MRRGIDIEDDSDTPLDSVYAAQLSEHLDQVRAHLAGQDGPTPSPSFNAPRAFWTSTEKNLFFHALSVHSRARPDLIAHEIKTKTTTDVCIYLNLLEDAARNVGALRSEDRDAAIEVSDNWIATEEKYARALMRAEPAAEEEALQQQREEDMRIHRLAIRAPKKSGQERDRDGEKARKAAFDLWLYDKQKDWETEDALRDFDAPMLTAVYRVLREAEEAGKEDEQLMDPVLGDASLLGSTANPSAIPARAPDPEGTQSLVELSPGARRRLQKRLHMRKKRAEAKGVPLDETAGRLKTGRKSHKGASKSRSVSFVTETTSPTLEDNGAHEDNLAHKEKDDQVDPENQTVQEPQRPQKVSGATLPYKRLEKLEKLGLNAERLHEDGLGLLHPIGISKLMQLYNRLHDVPPSVGSQISGEVMKLLNAHVIHFTTQLVHRTVIVREQEQLAKMHSLLGRLGIEPQEDSEAGGARERESQKDVHSKRRQQQQSSQSDHSESDSSSSGTDEDEDDCDDGDEPSDAKDEDGNIGDDEAESKLLSAQSLHRTIFRPFMRLPSSVRSGPNSADVQYTSAYMPYPLPSTYAEPFREEELMPEDTDTDALLEELVQEEKLDKRDREVDRIYEKDLWHKFNSHEATWSAGENQDSGDEPDERVGNKRKRKEQSSEDEEVKKGQGTGLRFCRPGGRIKSSIYIVDSD*
>EED83952
MAEEAFNCTGLEHVFDAVDKVDVAQFAEPNSLFYNCDKQLCPNRTPRSITVEEGHFIPIRRIQHPLGPRSQYASRSISHHVTPIPSQPASPGTRIPQPVAGTATPQAISQPSLGPRLERNPKPEPCDTPAYSGASSSSVVTSSTPVPVVPHPTSGLSPVPSPSWPPRGRSHTRSPQSLPGRQPQPPPPPPGHSPSPPLPVMSSPTTAPDKETLKLLLPLRYDGKTIIECDRFLSQLRIYWMVNMSLTTIKLKATPYFAQLASVQVGIQGVVTPFADEAAFAAAFRAHFSNLDDEAAVQIELAKLCVDKSVREKCTAAEFSALFKGPADHSGYGDLELRDKYLSGIPSRVYRKIELETFTTWEDADKHATEVKQILDISRAHQPELNNFFSSQGRGQGGVRGGAPQTHGALASINVAVGKGNFPGSCFGCGK*
>EED83953
MGRIQKVMVAMENAKEVEEAKAQVRYAHAGLCVSLTFVPSFHTPVVGDNIAFPDSHQLLGTISIMGCLLALDNPVGMFISGVNLFTFWSGLIVKFNDDCLDAPKVYKQNLKENLEILYYQFTNRKIVSI*
>EED83954
MSCCHLIWNPLIDHRSSLASTRSYTPLKVVGDGSFGTVWLCDWHGTLPPNTPMSAMQCGAGARPEYAGKRLVAVKRMKKRWEGGWDECKKLKELESLCAITYHPNIIPLYDFFLLPASKELYFVFESMEGNLYQLIKTRKGKPLAGGLVSSIFRQVVSGLYHIHASGYFHRDMKPENLLVTTTGLYDYRSLSPLASPDAPLEKDVVVIVKLADFGLARETNSAPPYTEYVSTRWYRAPEVLLKSRDYSNPVDMWALGAIMAELVNLRPLFPGQGEIDQVARICEQLGDPCEDYGTDARGKPIGGGKWTRGVRMARAVGFSFPKVCPVLLFPRFDD*
>EED83955
MASSSSSSPEISAASAATGSSQSTIQYAHLTREEVLEELSSRFILNLPGEELASVERVCFQVEQAHWYYEDFIREQAPSKFPSYTLKTFSEVLFRVCPPLQHWAHDHERAYESFMKYKTRVPVCGAIMLNDTWEKCVLVKGWKSSAGWGFPKGKINEQEPRTRCAAREVLEETGYDLEGQIVPEDVIELSIKEQSISLYIVPGVPEDYPFKTRTRKEISKIAWFRLSDLPTWKRSKSVPGKFYLISPFIGPLKAFINSRKPRNLQRRPRRSDSMQIYQELHQSSEEDSMPIESCQAASNDPHAQESSSQSSSADNGEPQTPSPQYSAPLVHYEVKQPVIQAASDAGDSLDLTGVDPHFARLLSSLTLSASTTSVPADRLSIDQSQLPSSFTSKDAAPRPMAAAESSGRSYATKESSVATGFTNGYSSSVTPSTTVSPRSQSRPPTTTPMRSSVQRLSNSSPQPRIGSSSSAGDYTVGTISSAVVSSSPRSGAADMTSSKQSPKLARPRHGSKVSADISPYLSRPRDISKEMKYISMLENVAKESDKISHQRIHASPSYQSMLAYPIEPPSGMPGPSISVPPVSLYGRLDSSLIYTSGPTTLETFASGVPPVPRQIPLHGAPIYDDPFTVRPRTSAAFHPLVYPPSFRQPLDESIRRTTPGIEHGQMPRPLMSGNYLPPGSVPHYTQPSSALHAQPMAGAPGVTPLRVVPPPFPAAVYGEQPPLSAPAISPSSTFAFPPNAPRPANNAHLLSILNTPSTAHRTVPVQAGLMNGMGPR*
>EED83956
MDPSTDTPDDMTIVPPSYADDGLLQATTAVKNQRYDTDTIVYPTEIRIPASTHSATCLPECVLPCVFESEALTSARPAYVPQGWTPHINPEGAPYWVNTLPTICIVTDAPMHKRDVYEKVLASTEMIQDFAERLDFKWPKNSELYVRPQEGNDGCAYYIVDHDYQTELWLQDMDTQVLDIPDASSMDHLNHLTSESSHFPYNADMCEKFVKILDGKYDTDPYTTWIAGMCFAGVKWSCFTEPSPSAPLACNWFTQFHGEDHARLHRFQRRCEVPLVVNNAMMRLCSRLLFGMPTDIQESLGRLYIDRVTYDMHWRTFISAQICGWRESAYLRGIGLHYKIRKCRISVHRIDLCGPRTGFLDCKYHPDAPVLQGGGVQRKHRRFWPVALAYSLPKALLYWTVASLAVHFLVAVT*
>EED83957
MDSIAYQETLDDLRESILPMWPQGVAAEESKRDYWRVRFGGSPWTCVGADAILCGVCIICFSMTLTDMRIQSTETRVSLVRGLGTTGILVPNHGPNGMRKQLAQTLRPLFPRKVQSFGANEDGVHIIEVKRDGLRSREMSKNIFHACMLREFNHLGYKLDGSVQLGKKGPLGFGSRREAWIFRSIIRRQEVRLKE*
>EED83958
MDDPAAGPEAAHDGEFDPYAAYETIHPPPAASLGMSRNDGYAPARTSSPPLAAVYAGHSNHGHSHSTRSESTSSVGLGHMAHASGSSHDLLLGGMRAGSEGTTPAMPSAALNSSSGDIFTVPPRNPQRLLKTVQTPEHQDPGETSAGVRDSMTSSVYSMAMDDQTDEPSTSRPALEVRNLPDGASLGGDVSRAPSRYA*
>EED83959
MASSAKAVQTFGKKKTATAVAHAKEGRGLIHINGSPINLLQPEILRLKVYEPVLVAGEENFGVMDIRVRVKGGGHTSQVYAIRQAIAKALVAYYAKFIDAYSAMELKKKLVAYDRTLLIADPRRMEPKKFGGGGARARRQKSYR*
>EED83960
MPRSLHLNPPFRAEHIGSFLRPATLVQKRFDYEAQKCTAEELRALEDESIPAVVALQREVGVKTITDGEMRRGAFYEGMFEKLEGMTPQYVPYVAIFNLMGMTSCWSMYCSSKIQRKRGIHTEDFAFLKGLVSPEEVKRIKVTVCGPTWMHLRHGTEYTYDKSVYKTDEEYFADLIRVYREELAALYELGCRHIQFDDPTFAFFCADSMIVGMEQAGVDHEALLSQYIDVYNEILKDQPADLTLGLHTCRGNFKGMHYSEGSLERIAVKLFNDLNIDCYYLEYDTERAGGLEPLRHLPLNKTVVLGLVTTKTGKMESADDIRARVESAVEIISQGSPKRSREDALNQICISPQCGFASVFEGNPISEEDERSKLGLVVEVARQVWG*
>EED83961
MSRYLHLNPPFRAEHVGSLLRPAKLVQKRFDHDAQKCTAKSATRRRGQEHFGSCMFEKLEGMSIIPNLCEATKSDGPGKIQRKRGIHTEDLIFLKGLVAPEEVKHLKITICGPTWMHSRHGSEYTYDQAVYKSDESDHSGRADDYFADLIRAYREELTELYDLGCRHIQFDEPTFAFFCSESVIAGMEHAGVDHEALLDQYISVYNAILQEQPADLTLSLHTCRGNYKGIHFSEGGFDRIAVKFFNDLNINCYYLEYDSERAGSLEPLQYLPLHKTVVLGLVTSKSAQMENLGDIRERVEKAVDSISQGNPKRSREDALNQVCISPQCGFASVFEGKPLSEEDERRKLGLVVEAAKQIWGPHTGSPQAPGQALEKH*
>EED83962
MDSGMAFMGDTEAFMDVEPSQSYNPQISSEPRTSVSQAGGIAEAVLTKMDHLYEEMRALVASVPQARDPFRDLLVKVHIRRPDRDAWTYLGRGIVSQEGAGQPGQSYRIVVRSAASKKIMTSFGEDAAVQAEKRGNFVVIGCVEGNRVVSWSLNAQNNSETLRLLASIDLACYSRKHLVTDPHNAHRRRITRIIKDDRKRRHKRRKDQDSMVAAFARTGLSSEAPEPLAGPSTI*
>EED83963
MAPLYPAIQKSIITAISQPQFSAALPPLSDNAWAKLLAPYDRSHDENERLEFLGDALMYATIGRMLYAQIPDGTPNLYTNIRAALHSNATFSHLAEKLDILAVSSSVLRALTIRNFGEAAAAPILKTKPEIKATADLFETVIGAYYLERGFEALCVWVKEIYRPLISVAQKTYIEWYEFCSAFNALSSATTGMLQGRSAIDMTRIQNISYTGLTRNREY*
>EED83964
MADSPQEGNQCRICFDGEDPELGRLIRPCLCKGSISCGYKYHFARTRVVGIATNPDDERETTTYLYGVYSPVDVIQGLVRATVNTFVDDKLLDDTILSKGPPSSHIRAPGKPPGLLKRLVRRFLLGLPVVGAGSIAHMLLSIPLPFHWVRLRTINRGRDSRDIMALIIVTVVLIGAARALYKVYRLTERLTQRLLLRAEDAILEVA*
>EED83965
MYDEKLNSRSFAKRASPRKDRLPTMYGSGLFCHTCRMNQTLLANLLSSYLPPPEDPEYEQRAQTLPEYRRSIEARYPPVCANCAPTIEEEIQRRDHMARTSALNGFLRASKPVKRKVERTQRDKDRLAREIAMWKVRGALWAGCLLFALVGHAAIACAKFPRRIPHSLKLALPVIALISLIWTAWDPTYASLKRAQFQGRMVHQRGKKKYNILQSLAWLTRLITSFTLGVSSFGEPWDKLQLWNDIESRRTRMFSSACLLVEVLPILAPPANISLSKPVFGKPSLVTSLTQPHEADLSNAMDIEDDDESPTGPRDPDAMDWSPIRPLPSRDRPHALNGRSHFGQDEDGDLLRPQRFFAPEEPTGLESLFANTIHLADDEQENAKRQTARAKGRHQHQHRFLRYIPRWPWVVALSVIPLLGVAYKLWADRRESAQTIS*
>EED83966
MPRRDSGESDLKGTDKTRKQRCKSASENVKSFETWATYQGCEEVAFDDIPKAFKAEVKDVFDVNAQLPREWLDKAIGKATPELFSPRVRAADCKELLSDLQNVFSAWTRIKKMRESSRIWSEADYVANVAQCAISLPQPLPHLTITTQSVRVLNAKTASPDCALFIPARLIQHLSHDARSAYKVLKAHGSMASSGSVGGESSFRFQSTPCTKLPENPSFEFASTFWEDKKPDGDDLCCEAIACATCKCTYLRPGLGTGDSKSPCRLVDS*
>EED83967
MNPNPSDPPSPFEGRDNITLLNNLLQLARSPWLVPNDAASAPAMPSSRSSIPSSGINAAAAPNVNDAASNALASNILAALVGGSTAQANNVSILPQNTPNHINAPVFVNNAPKPPGPPVGSSTDDEMHLVRALFVSEGTGQTYRQAIESLHGVNNHTSASWKDYYLDHVRRINGFVDSLRNAPRPKTSSPSIPRSHTTQVNQPSSSRPVSMPSGIVTPVSSSSSKPHGFHSARAHALQSNLSFRDTSSSQGRYHTRKNGAAGERDRVHAQTSARDRRARSPSVVHILDSDGEESDDDEIQLPARQLRSPTPPTRVQHHARGIRFTEEDHTFFLKTLQWEFQNSPRASRDDVCRKMASKTRHHDADGWSRYWSRHKSVVDTIRKTAPASSSLSITNNDKRKARANRADESSDDESEDGQESDNESDPPTDEDAQKLGTTGDLYTDVELRLFAKHIARTPNWLSLTRSQRFGDFRAKAYAAFYEGRKKKIHNLAKKYRQKTAKYTDERVSTTKKPVSAATEQKPLSSGVIQNSLKRSREGAELLYLDISEPDAKHQRLGTF*
>EED83968
MNWASSLIRTTKYVGSPLLQQGVPWVFFIVP*
>EED83969
MAERTDNLLDRLFPLPSAAPSPLCPGRFPGITHASKVAVTEVLKANHLERHSFTNEHGFHNHASHHLLAAFALGAPARVFSAIYEVQMGRTRPASKISKSITRETFWYHIGDRTFHEGYLQYFSDVVLKDGAASAIEEYIFAKSANFHDTAKVPRRMLNRHFAMLYHPMIYLAYGLEFGIPGLVAEGEYYKHVLRDIPDSALISGLAQTAVHPLQMPGLISLSDYEGQDTATDRTPTASAVTRLTERFSALFLHGAQAEDKTSVVKRDHPNIGVHAFTVLARILQDDRFSASTLGLTPHTEQVEALPHYSKVGAELSDAILSYTEDWMVDGADAGHTEQKIEELCWAATLMYGVCGWGGRKQGFACLAVFNERYVDLLRLSMHGVTSCLFLQTMVTYLSPSSASLFLRTYFRMLIAWWVSRGRPAFPIREFYTSVPELPPRLESTAPNAWLPLIQMSIMHTDDHLCKTQRALAHFASQYGERPAGYFPELTSGERPSLDGIELLDGTLFLRVAGLTAERVAAAGEDRSLFWDVRGFFV*
>EED83970
MLLSPPLPVELLELIVEHLPQRDIPSVLRANSLFHDISVRVLYRDILEMSPLKCVTCLSTLASKPTHARFVQRLQIDWSGHKVIGTLLRLLQRALQQVKSLRHLSLELSSQDNQFNFSWLFSGVQFSLHTLATSARCDGALAEFLETQPLIHELSLRGFQTSMPFILSPSALPRLTSVRSVHAGIPVLEQVIRGRPVEAVSISLFAEDGYAILDTVRSSSRPIKRITVMALDNRQPSKLLLEMSERFPQLEALHVVVLLAFYDHQTLLTFAPFLSRFAHLRYVTFVAGFGARFDEEGEIVKQWHKSCPTLKTIILPKGQVWFERDGKWTCSF*
>EED83971
MGISRPPQKCLPPMIGMGRREPRKYLSSVGRDEDDPSKCRPPTIGTGRRQHGRPIPRIKLAPAKVSPSNDRDGVKGLRQMSPSKDRDGAKTQQGPANKLLQADGTKAPRHGAGLSVALGISTRLNARDGETNVRRHLASDNGLAQSSSSESGHPLARKTPLRME*
>EED83972
MGAVALDHQEPSVCVYLLYIQRIYQSFVANHVAVRARGLWANLAVLRKRCRRHESKGSLIEEQEVLNGHPTPVWSEQYAVVEGEDVEGIDVEGKDIEGIDAGPRACLRLFQTTLCRVFFLISMTLVVVEVANSPSPTQCSFSDPPGYVDLRLESETWVRSSCYTAPTAGTVFKLGGTENEAPNSIGPSLCFASSPLTERFYVIGFLDYTIHSNIMSDTVKCANVQANGGSCSYPACNCAEPPKSK*
>EED83973
MTSTSQLVVEHLIVHLCQDPSTDSLRKDCQAILKSRLQKVYPDARVVERIVQFATQSDHATPVDKGLDELITSLNDIEAEERVKGRRILNKFIVMWRLTAPENRGPEWGVKGPPFMDEASIVDSDDTAQWAGWPRALWSCMQGLGEHPTYRVSRLSGYPACDPEMADVMTAAGVEVFATCEERVDRPRPTKVFFSRKNWSDLSQPVEAASNPTAPSQRSEWYREVQQSWTHPSRKPRRTAVPFMPQTAIASADVASPVSAQASAKRMRIERLAKTALGSNVSDPYHHLDDRFDHWGQLFQPIFFVSPSSQQCCPTFGFNIEAVGATTYSHLEQFHRASSMLARAIQEKTVSAVVPVVGFYNGLKHDQVHVRDTEMVVVGKDEGVAGGEWDKARFGSRHDPSSFSTATYQSDTTRPNNTHYPYANAHIKETMSSSTSTSSKGIVHLVVHLCEEPQTTSRRIDCATVLDNRLKTLYPSVEVRFEASKFTFMRFIVPQIEEATREYRHSKAGSEDATQGVREALIAMQDLEKTCIDRRQLVFNKYIILWRSSKITTRLSWDSSADIVKDIRKTAFVAPENADAWEGWPPHLVYCIRGFGTPTYRGQVVCTFAGADVMMAAGLGKVKSGSRPKYDTKVKTTMTGPRQPTPRAPPSALCALKPKGEWGRQQLLASQPKGSFNLGSSDKVKFSKQEIAASSYTGTSAGSGKRKRSDAASRVNDEGVAAAEPQQKRARATAPTSGAAQSSKRSGSSKKTRAAETVTEGATTPQAPEASPLMRSVASTMTPSRSTPTVVVRPPVMATDISFDPLAPGNGPSAPTLNTSTPPLIRGPITHGSPQGAAFVGPSVLKRKRVEEIDASVDDVAQPQAKQRKQMASASASNANNNAVQPTETMREAVVGRRSHMPPPSSWSPTPASTAGSSRCSSLAAMTPLVPDAQIPVDLHATAHISQAPHARRPTTSLVNASTTALSLPAGIPKPPIIAADVSLEDFVPRELPNAQARKTHEKDDARSFEHTVVSADTSNGADEGYEFLDSDVDSLFDGPDDTVHLVEDAFTETRRPSTVTINESLHEAAISHAGMDIQDMGWQAGEPMVVRSFTVNTFPTPLDYYLVETWRPLEENPYIPSEAAAGYSTITPLENRSESIYGWFSSIFNQQSMREFVIVPRPVPPYEMRPTG*
>EED83974
MAGKIMWDASDASTDKRIMAQFVNLILHVFESPARDSFNPACAAALKSRLEGLYPAVGVVEHSYEIAPKNNLVMQPNQGIGDSVKLMRNWEASMNVPVFNKYIIVWRATCPSQRQYTAKPTIAKKLRDDGIFLSIISPFEFVPMEDCIMRHSLIANADSPAPWMPWWAERYYSLQGFDSATYRGYMSYSQLPQLMHAAGVDVTQCALMFDGFPIRRDDFRYQVTRFLATPPQMQVQPWSIRQQQIGYVGPVSFAPQPGFVPQTQGLAPQTGYALHAGEPSHAALTHLPGAWARQQQVQAQPRASTNLGVPRNRTIPLPVAQQIGSTPLAGVETHTINTPQAQGTWERQQLSAQPSTSSNIGVSHGRAAPLAATPTLPVNGRKRKERSDSTPEQSASAAVDGTAEPEAKRQRVFASAPVAGLSSKAKGKRKAECTVATVDEHDRASKSGIQGFAQPAFTDLQAPNPASSRDSSGESSMRLPTPADDPVFAALLQEIGLEPDFFALVPSLTGVDLYTPIDLTEEVHGLETSPSANEGTYAEEGVTQPTYVPTYPPDDTHSGDNAWYQDEIAGLMPSSSSSNPAIQGTDAEEDVAYLTYVPTYPPDGVYSGIKVWYQDVRTSVRPIDANEDVTNSQDDNPEDYVMFPEGPARVRYDEMPYQTVTQHWLDDSIRQLRDLEHEAWVDHYWYTDNFSAKIALYNFVV*
>EED83975
MLLRLLSPNLAGAVLISTLFCTAYAGAIVKVPFTSTIMLISSSGNSNVPTTNAGLKVTVQVQAGNDQTFNDILVDTGSSFLWVGAQEAYALGPYTQIINETFDANYGEGGATGTAYIDRVTVGVVTASAAFIGAANNTEGFQIAEPLGGISQCSPYISSQLLTYTQTAARSCRHRQLRSRHGPREHELQRRLSGYNTTPTFVQSLAAEGVIPAAMFGVYVSPLSASGEPGSTGELTFGGVDESKFSAEREPWGDRRDRLAPALRCGQSALASRGLYFGWGGEYAINTTLYSLVDTGTYFIGIPNDGLFYILQTTPDAELASSSLLEGCLTFPANASGTLPPLYVGVGSLNFTITPAEYIVPTPLYAALNITDADTIYTVLCPAGPNQYNLGMSFLEHAYSAFDLIGLAQLASR*
>EED83976
MQLNLHALVLASLALLTAAAPVVVPAPDTSVLARDLADTSDNTVALPVDVFSEGNTQIGHALSYIGQIDRRKDAVSARDLVSVDDNSVTIPDDVYNNGNTQLGDGISTIGRRKDVVFARDLIDLSDNTVALPVDVYGNGNTQLGHANSIIDEIARRKVIFARDLASVGDNSVTLPVDVHGNGNTQLGDGVSTIGRRKDVVSARDFISIDDDTITLPVDVFDDGDTLVGYGESIIDEIERREDVVSARDLLSIDDNTTNAPVNAHGNGNTQLGDWDSSIGRRSEQRPGLYLTDVVIVEAHVAFAVAFGALF*
>EED83977
MQLNLHALVLASLALLAAAAPVAVPAPDTSVLARDLADTSDNTIALPVDILSDGDTQIGHALSYIGQIDRRKDAVSARDLVGNENTLGLPVDVLGNGNTQTGHSNSISDKVSRRKVISARDLLNIDDDTIALPVDIFNKGDTQVGHGGSTIDHREDVVSARDLPGIDDNATTAPVDAYGNGNTQLGDWNSKIGRRSERRLEHVPVLYFTDVVIVAAHVAFAVASGALF*
>EED83978
MLRKPERKVTVNMHGPIVFMNPGDFQQFYAQMGRMVDDERDSDDEEAGVQNVKNEARLPQQSDKKDKAPGPSRIQQVTTDTNGIWPAKTLLKPKGMSIASQATKAAPQRATAPKEPHTFPMPPHVQQAAPVQTSFHPIWKPPVNPPPKADSGVKSMHGDFQGWQYWPRPLLADGNFKEMKYEERHYWDGGERVQSARLEVRRPATMAAGTEDGRSPAWQKPSGPRAVFIMLFLKKRLHSSVCAMRGTHDISRCRTWHLRAQTFMRVIPSCAQRRGSKPVKNKNNFHVHCKNNSEQTDQTKTKVVFLFVDTKKWYNIGMTKVPPDDRLFEEFLLSSGESTSTIKLNQTQPFQQHAALLQKPLNHNVGTLWLRPGPRRTGIDTQYHNLPKCLAVSAGSAKSGWARHKMAARSPTSITSWAISAETALIEGLSLSGKEEMNSSILAAASSSGAGDWRSLLIAIHHNGHLLVRYWIVDFLAVGSDVWMMENI*
>EED83979
METSGGGPQNNVGDESIDGGDGGGGGGGGGGGHAKERGSGRQGVAKVRDGRDSGVRFCVMAGVAGATGGGRLPIPFGHNRSLALRHSLVTMIPRSKLSRRVATIRRLARATHSKAQGTQDINPKEVLRQKARRAAKAERDERMDITTAMTPVKDYQGGFTRPTDLRLSNWWQGGAWRDKKRYEIPPAAAYEPHTVFLLESRYPVKHGIGRIDSIDHFVGVCREGDLPAVLARLNSPRAPSEARKAMDNARWPWLPQKKIHPVRWWEHGETPASVEEMLAKEDEEVAQRMYPQQQHSSSAAAPRPAHGLQASPARPELVATELLSKLGPSTQQRMFHFSFRASAAADAHSPPEGPGSFKARMDIPGSTWARPHKPSQDADDNVVPSYYVERKRQRDAVAERKEEEGGLMAELSAGILSEGVAAKTRAREEKIPVEVRDPKTGTVRHPSGFEPPTPETHFHPAAAKTPTEDHPILATVKQLWDERPANLDAPEVPGPNLRVEQEFTDRMVQQGADADADADAARVLVNHARTEQGPSGTFDALSGSGSTTQAQRTNIPTSSWDTPKRAARWQQHPEDVVPTYYIERKRQRNSIAERKEEEGGLMSELNAGILGEGLAADMKHREEKIPVEVKDPKTGTVRHPSGFEPPTPETHFHPAAAKTATEDHPMMSTVKVPWTEVLHLKNGGGSRGFHSSAAAGAVAMPHDTLDFLALSRAPEPIVDEAQNEHEDEDEDANAIGAYEHADSEVQAVRRQYLPTLGAEPFWRPLLTATFSTRPLAMSFARLSRGLARGTPFYTTVSNEDRKCHSSFPTRLRNLRMKRMQELTFDLARLLRGDRGGLIGVRFDPSQRGRGYDGEGFDDLLPAEKRNVNIGVGEWYQLSAEVKERFVEGARELDLTDSVEVFGLTEHGARTDGKLWRVPPSRTVVKDEQNNAVLIP*
>EED83980
MWLPVKAKFTHSTLRTSSTSTSQTVLRLSSSPASSSRAPTEHPDRLSKITHATKRSNERNICSAPAAPSPPNLPHDILALSPPAPAFPKLLPVQVKHEEIFLQTLRQSLSLKRVRVKKESQSLTCRRGPGGRLASGGPPQPPPPPQRPLSPPTPIMSSSAAAPDKEMLKLLLPLRYDGKSVIECNHFISQLLIYWTINTALSSIELKIQVALSLLDGDARAWATPIFSQLATVQIGVQGATTPFANKAAFTAAFKARFSNLNDKVAAQVELAKLCADKLVCEKCTAAEFSMLFKGPADRSRYGDLELHDKYLSGIPSRMYQKIKLETFIT*
>EED83981
MWIVSTLGCGKEAPGHLKRECGTRPMKRHVSAPPEEPAQRVGVVVDNVFLEEIINEAKERKEKERQTKAVPIPPLRSANPKPQASPIAGSSCPRPDTPIVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNVGRNARKEWFDEEEDDSVDWELYGDGEHRYLYK*
>EED83982
MEGGRGVNLVPLGLPALAESPLIQYDRADCCFITSTKRAPIYPRGGTWCRATGPNPQVVAHQELVSYYQRHPPAHPEDVFTILRIDVEPTQTTESVQSPINEQPLELPEVQYVPIEIPDIKLPPAPPASTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLHLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWANFVAALEEVFPEHRTKTALSLSNYVTRFKQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNTDAAKQGALVITDTRDYGKPMDIDAAAVASTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSTKDCRKKAAARQGGGRPNQGGSGKDDFRARIKALSADEKRELYEELTMEDL*
>EED83983
MSKPPRSFPIVAPRLGVRVSSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAGENLNSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDTSTPDLFERSGSSPEPEDPFPSTSNLVLPTPSSVRAHAQPPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNLAPPAPTIPSTTTTSSSSPAPTTTTNMSQTTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQNRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTVANQPIASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQATVHIHENTGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGIEILQRKKPTTPVVTRSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAASPAPSSSQPTQSTSQPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIVPDVRTKYRDAVTPKRVSTEPVASAHIVEIGADQVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIILYLQAHVIRNAVYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPPYA*
>EED83984
MHQDVHDDVHSAVRQRCEVVDPATTPRLAQKFGHRHKTPLLFVSKQKAPKRRISQQCMRCGVTCFKSLRPTPEYTLPWRKQLVNHRTLTHYHNRLTWVQQNAAQAQAVLQNVATWMPPQSHSYQQPLEDRMNIPDAMFISERDEEMADNFAPDASSSVHYTPAMSADYFAQRTPEPFPMPDVHQYTVASISMAPVPQVAIAAEPVDLLMHEATTVDLMQKNAFNLSLIDGLDIQMPALSSTLTLATEDDHAMEEPTGHGIAEEPENASEMALGETTRDGEDEEQANIDISAAGADVRADVPTIEYVREEDVQDIISKAIEDAKRIQDALRTYLAPSVDKIDDQLDVGVSPLGFTDLGLMPMVMPFELDDYEDILATPPTYAPGVSALVDRSKPLKTRASRPFVGILQCLGRQERGMVGGASTQLEGAQGSEARRAARAQELAEQQKARKFANLRGRKTAWEVIEDADRQDAERREAERRKAETAMHAMEQPSVELHRPTPSEVSHSQAPREHEFRPRMKSPSPPEDGGKEPDELPDTTLNYFVHFMRLWQEYKQRHARALPFRSCGRSAHRRALPGWAKRA*
>EED83985
MFKAFAMTGSELQSYELKCIGIQYATVVIDLVSISLAVVMPVLLLGLVPVGTNLYALVAFPQVWSPKLQQCRQHVMISPEQFEACSVITDYASQISHTHTTVPHDPDFKAAIADIGASRWALSFLNVYALIWYKSTQCHRLSSILVGRFLLHIRLAASRRNASSGSQDDDSQSAADTATLEPRSWISSVEFIMDIGDDNDQTCNADLFSDDEDANVQEQDKEPVAMSVTQC*
>EED83986
MSSPAAALDKETLKLLLPLRYNGKTVIDCDRFLSQLHIYWMVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFANEAAFAAAFKARFGNLDDEAAAQVELAKLCADKSIELETFTTWQDADKRATEVEQILDISRARRPELNNFFSARGRGRGGARGGAPSSHAASASINAAVGKGNFPGTCFGCGKQGAFFADRLVRTELHQLYLCCHLVVEITESSLEGGSEGYFVLKRGHDPLDTHLHQCKLGKVRSGPGTGVAIEQAHRNLHLEFDGCQRRVDQPVDAELRQEPVALDDGFAIIAEREEELQGLLVGGGRGRRHYRRRGGDRGRCGGGGGCGGPLLVGLRGEQLVRAQHADAALGAVDNDASISGK*
>EED83987
MTLMLSLISHALQGPMLMCQNIVPVISQEDEKTSRWVRGIDENESTSVAEWGLYVHERVSRAYRTIPEGKPVQLQHKEVVELYRRLDNGSSSKPAHILGGCIIGPRCESRRSSMVASGRQSQHMDRAADSLGLLLSHSGAQYESYLKCEAEQESAAMPSFSLCSEEAVGYKAITWSCRVAWIFLDNRGVVRCLYAAVPPGPSSIDQSPNGV*
>EED83988
MVTLADLWTAPPPWDAQLVRNEDEPQELEDEPQEVEGEQQEAEDEPSLPIIIPTGIPRTPERPKAVIGPGGLVQEIGRESKTPEPEDEPCYSNLKSCAAQLAPTGSRCLLSLQDDKSVQCCHVVARSTTHKTRQNLAAWWGLVDFDINTPFNIFLLRADVHSMWDQGDLLFMPEPEVIKKFFAQSIVPIDVGVSLDEPFEVCDGPTYKYCVVAHRDVPDTDKSCAFRREFKTVGYVYSRVPPQFATYNAGLALSKGAGPADFVMALDAFYKEHKVDYNAIDILDDTLQLFRRWVHSKPRTRRMRRTVRRK*
>EED83989
MSARSATPASTPSLVNRRLASLLVVLEAPPAADATLDVVEEWAQDLSPLVLAYRKALGTIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLKEQKRLAEEEARRVEEVAKRAKAAEERRLEDERRRKDEEDRRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRTRVNEEVAELSDDPSIKTPRTLERPFAMTEVDMAAVALEKRLSGQKCDRCAGYRSAPVDWVWVENGTTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSITDSGGSKKRRVDEPPRPLLQRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGVVDED*
>EED83990
MVGILKPRRSLRLKDVKKVHYDESSDAGSVPDLELKPKHVKRKIKSSDDEDGYEPDREATPVDGSTPNRRVKRKVCMSDDARQRAVDKSPNKGACILSRLNDRTVQFCHVLPRATDSSVLASLEWWWGLTKTVNVDSHHNVAFRASPFPEASRYAWAYLHTVRGDLHVLWDRGDLLIAPMPDVVMRLLDKFTVSGRYNIWEVLEEEKFYSYSALPHPALANSQTREGFACKFDLIECVQSQAKPHFMILNAVMKIKENKKLWIKVLEAFYKRINLKADASRVVEGMVTLADLWTAPPPWDAQLVRNEDEPQELEDEPQEVEGEQQEAEDEPSLPIIIPTGIPRTPERPKAVIGPGGLVQEIGCESKTPEPEDEPCYSNLKSCAAQLAPTGSRCLLSLQDDKSVQCCHVVARSTTHKTRQNLAAWWGLVDFDINTPFNIFLLRADVHSMWDQGDLLFMPEPEVIKKYLAQSIVPIDVGMVIAGRAI*
>EED83991
MDTPTQYKVYIGQYCSPARPNVEPEYWVLIVKKTPRDFEGISHATGDVFRVRDGFRLEHKKDDGYNASGLYRGAALIGYLETTHLDEAAALLESEISYRDNHYRWDRKDWVETALSVLQNQEYFTLRGGPFTTYVLEKMMEKVLDNFDHLDSSETEAF*
>EED83992
MVGILKPRRSLRLKDVKKVHYDESSDADSVPEIEFKPKHVKRKIKSSDDEDGYEPDREATPVDGSTPNRRVKRKVCMSEDARQRAVDKSPNKGACILSRLNDRTVQFCHVLPRATDSSVLASLEWWWGLTKTLNVDSHHNVAFRASPFPEASRYAWAYLHTVRGDLHVLWDRGDLLIAPMPDVVMRLLDKFTDSGRYNIWEVLEEEKFYSYSALPHPALANRQTREGFACKFDTIECVQSQAKPHFMILNAVMKIKENKKLWIKVLEAFYKRINLKADASRVVEGMVTLADLWTAPPPWDAQLIRNEDEPQEVEDEPQEAEDEPRTPERPKAVIGPGGLVQDIGRESKTPEPEDEPCYSNLKSCAAQLAPTGSRCLLSLQDDKSVQCCHVVARSTTRKTRQNLAAWWGLVDFDINTPFNIFLLRADVHSMWDQGDLLFMPEPEVIKKYLAQSIVPIDVGMSLGEPFEVCNGPIYKYCVVAHRDVPDTDKNSAFRREFKTVGYVYSRVPPQFAIYNAGLALSKGAGPADFVMALDAFYKEHEVNYKAIEILNGTQNLYQLWTYDKPRGHSMRRTARRRK*
>EED83993
MDTPTQYKVYIGQYCSPARPNVEPEYWVLIVKKTPRDFEGISHATGDVFRVRDGFRLEHKKDDGYNASGLYRGAALVGYLETTHLDEAAALLESEISYRDNHYRWDRKDWVETALSVLQNQEYFTLRGGPFTTAVLEKMMEKVLDNFDHLDSSETEAF*
>EED83994
MFPFASHKVHGYDLSYAHEELKAAGALAKHYGHRITAHPGQFTQLASPREDVVEASVRELDYHCQMMRYMNLDQDSVIIIHMGGVYGDKDGALARFRENYMTKLTDEMKARLVLENDEICYNPDELLPICEELSIPMVLDYHHNWINPSVLPFPELLPRIAATWTRKGIRQKQHLSEPRPGAESVMEKRAHADRCQELPEVLVGDDVDLMIEVCPCVPYSHAKDKEQAVFHLYRIYGLEPVIYASLRP
>EED83995
MQRHQTPADVTNAFSRHLVYDKIFCHLSPASLIRVGRTSKSTFAAIEDFSYRAFDINRSLSRFVSDPIAFRNLQARTSALISGSFALQFFDRTFYPEADLDIYTPESSVRELGDFLMNDGYVFRPNSQQQSSFEQASERKFSMMELSDQLDNFPVVGDQLDTIDAEHLQKYKLNSILEVYTFVQPNNSPSLLKVQIIVPKATPFQCILEYHSTCVLNLIAYNAAYSLYPYATFERRESLTIDANDYQGVALSKYSRRGWRVIASGSPLLLQTDEGQASLENHFYLGQTRWTIDSKSWVIRLNTAGIRDPPPPSPSSVPITWHPAAECSWKLIIAHGGNMTTFRMSYNNTRTGVLQHGYTIADYDYLEMLIDFFVSQGKLEHSKVSRDKSSLNDVWTWCVSSAKLALAS*
>EED83996
MNLPPRLASLSTPYFPVRGHVGRVNSRVQEPFQAFFGYNQSRASGLKSSSTLGGPRHRPCVIMEVSPGGEAEICLMVTWDGQPTSQLPLVYQHFSVVVNQTDDDHADGVVHTTPRWYAKNRQPQFLITLSFVPIHKVIGRWPGRDGVVHSVTREQRTRIRHFRSVHMRTWSECGQQDPGFQAAQQLEWEKSGALTGPGMASGTQKPQEEMFQTLQSSRYRQDIQQQSTPQGQQPQMLPYHMPPGPQMLPYHIPPGPQMLPYHMPPGPQMLPYHMPPGLQVASSSPIVSNLPQHYVYTNAYGSDITVPQPDPTQGQPPHEHDTSTRSESQ*
>EED83997
MSGRLASDLIMVPLKDLRFVGGVRCGRFLFGYRPHIVAIHLICLAWRTMKFHRIVTEAAREAGKAGPSSLLLYNPETYPQYPSWKKLQQTYDSEHAKLVLKDLFAQDPARFSKFSKEYASPDGPSVTFLLDYSKNLITEPILETLLSLAREAQVETYRDKMFAGEHINTSEDRAVLHVALRNFNDFQIQEAGVGEVQGVLAHIKEFSESVRSGAWKGYTGKKIDTIVNIGIGGSDLGPVMVTEALKPYAKRDLTAHFVSNIDGTHLAETLRLCNPETTLFIIASKTFTTQETITNATSARDWFLETAKDKAHVAKHFVALSTNTKAVTEFGIAEANMFQFWDWVGGRYSLWSAIGLSIALSIGYDNFEELLKGAHGMDKHFKTTPLEKNLPVLLAVIGIWYNDFYGSQTHALLPILFPKLTPATLGALIALYEHKIFTQGVIWVCMCSVLQDIDAFYADQMGVELGKVLAKNILAQLNTPEDVSGHDSSTTGLIHYYQKHRQE*
>EED83998
MPSNATRIDALFPVPSPPPSPLSPARFPGGSHESSLALCRTLIENHTRHHVFFNDKGFHNHISHHLLAIYQLGASGPLLEAAYEVHAPSQRPAFKSPEAITKDNFHEHLGDEKFYNAYLEFFSTLLLDKGAAAIIEEYIFSPKANVLAPAVGQPPLGMLDRFLSGLLHPLIHVGYGAEFGLLGMVAEGLAQTAVHPPEAPVLVPPSLFSYIAEASSDLANASVSRLTALLPSLVLDNAQRVLSARGFKQDKSKIHALTIVAQILHNRDFEPSAIGLPPSDDGDATAFELVLEKRGERIVKLAQAWTVDGTNADEVASKIEELIWMNVVLYGAAGWVGRKEVENGEFNADFLLLHLVTSSLFLHSLTAYLSPTSTSILLRTYLANCLAWWVARGRPPLPIQDIYASVSAHPIERGAPHTQPGKDTLTASDPSPNPWLPIMQTTLGHPDDHLCKLQPIPDWNPSGSRLDQIFYHRICTLRMYAELTVKREGSGSDFNEPGAKK*
>EED83999
MAQSVNLILHVFESPARDSFNPACAAALKSRLEGLYPAVGVVEHSYEIAPKNNLVMQPNQGLGDSVKLMRNWEASMNVPVFNKYIIVWRATCPSQRQYTAKPTIAKKLRDDGIFLSIISPFEFVPMEDCIMRHSLIANADSPAPWMPWWAERYYSLQGFDSATYRGYMSYSQLPQLMHAAGVDVTQCALMFDGFPIRRDDFRYQVTRFLATPPQMQVQPWSIRQQQIGYVGPVSFAPQPGFVPQTQGLAPQTGYALHAGEPSHAALTHLPGAWARQHQVQAQPRASTNLGVPRNRTIPLPVAQQIGSTPLAGVATHTINTPQAQGTWERQQLSAQPSTSSNIGVSHGRAAPLAATPTLPVNGRKRKERSDSTPEQSASAAVDGTAEPEAKRQRVFASAPVAGLSSKAKGKRKAECTAVTVDEHDHASKSGIQGFAQPVHKDLQAPNPASIRDSLSESLTRLPTPAEDPVFAALLQELGLEPDFFASVASLTDVDLYAPVDFIEEVHGLETSPSANEGTHAGEGVTQPTYVPTYPPDDTHSGDNAWYQDEIPGLLPSSSSSNPAIQGTDAEEDVAYLTYVPTYPPDGVYSGIKVWYQDVRTSVRPIDANEDVTNSQDDNPEDYVMFPEGPARVRYDEMPYQTVTQHWLDDSIRQLRDLEHEAWVDHYWYTDNFSTNIALYNCVV*
>EED84000
MTPSRSTPTVVVGPPVMATDISFDPLAPGNGPSAPTLNTSTPPLIRGPITHGSPQGAASVGPSGLKRKRVEEVDASLGDVAQPQAKQRKQMASASNANSNAVQPTETTRQAVVGRRSHMPPPSSWSPTPASTAGSSRCSSLAAMTPLGPDAQIPVDVHATGHISQAPHARRPTTSLVNASTTALSLPAGIPKPAIIAADVSLEDFVPRELPKAQARRTHEQDDARSIEHTVVSADTSNGADEGYEFSDMDSLFDGPDDTADDAFTETRRPATVTTNESLHEAAISHAGMDIQNMGWQAGEPTVVRSFTVNTFPTPLDYYLVETWRPLEENPYIPSEAAAGYSTITPLENRSESIYEWFSSIFNQQSMREFVIVPRPVPQYGMRPTG*
>EED84001
MSSSTSTFSKGIVHLVVHLCEEPQTTSRRIDCATVLENRLKTLYPSVEVRFEASKFTFMRFIVPQIEEATREYRHSKAGSEDATQGVREALIAMQDLEKTCIDHRQLVFNKYIILWRSSKITTRLSWDSSADIVKDIRKAGIFLSQVSTHPYADAEAQLMDETAFVAPDNADAWEGWPPHLVYCIRGFGTPTYRGQVVCTFAGADVMMAAGLGKVKSGSRPKYDTKVKTTMTGPRQPTPRAPPSALCALKPKGEWGRQQLLASQPKGSFNLGSSDKVKFSKEEIAASLYTGTSAGSGKRKRSNDAPKVNDESVPAAEPPQKRARVTAQTSDAASKRKGSSKKIRATETATEGAKTPQASEAPPLTLGTASITTPSRSTPAVVRPPVMATDIAFDPLAPGNVPSTPTLKPATPSLNRGPIMHGSSRSAASAGPSVLKRKRVEEVDASVGDVAQPQAKQRKQMASASNANSNAVQSPETTRQAVVGRRSHMPPPSSWSPTPASTAGSSRSSSLAAMTPRGPDARIPVDVHATGHISQAPHARRPTTSLVNASTTALSLPAGIPKPPIIAADVSLEDFVPRELPNAQARKTHEQDDARSFEHTVVSADTSNGADEGYEFLDSDVDSLFDGPDDTVAHLAEDAFTETRRSSTVTINESLHRAAISHAGMDFQDMGWQAGEPKVVRSFTDLAHVHMKAFPTPLDYYLVETWHPLEENPYVPSDAAAGQSFTPLEDRSESIHGWFGSFFNQQSMREFVIVPRPVPQYGMRPTG*
>EED84002
MTSTSQLVVEHLIVHLCQDPSTDSLRKDCQAILKSRLQKVYPDARVVERIVQFATQSDHATPVDKGLDQAITSLNDLEAEERVKGRRILNKFIVMWRLTAPENRGPEWGVKGPPFMDSDIFFCNILMVPPNAAEECMMQEASIVDSDDTAQWAGWPRALWSCMQGLGEHPTYRVSRLSGYPACDPEMADVMTAAGVEVFATCEERVDRPRPTKVFFSRKNWSDLSQPVEAASNPTAPSERSEWYREVQQSWTHPSRKPRRTAVPFMPQTAIASTDVASPVSAQASGSPKDSSTTYGLREL*
>EED84003
MDLFFRITEGEQTTLIGTRDKSDTSIGLAVRDERFWLRVLTSGGLGFSEAYMMGEIEVDDLTAILELFIDNLSGVTAVSSIFSRMTALATSVSNAYIGQSRLNARMNAIASYDQSNTLFQAFLSKEMMYSCALWSEEESGVAGDLVAGPTAADLECAQRRKLHHILRQARVQPGHRILEFGSGWGGLAIEAAQSFGCEVDTLTLSKEQKALAEERIRVAGLQDRIRVHLLDYRDIPAEFENAFDAFVSIEMLEHVGPKYYPTYFKLVDFALKPRSATAVVTSSTFPEGRYSDYQAVDFMRKYMWPGACLPSATVLASAALTAAQGRFTLNRVENHAAHYPRTLRTWGRRLKANLRQDVIAMEYPALKDDVQFAIFMRKWEYQFAYAEAGFLKGYLACHMLTLIRTVTSLPEYALSKCT*
>EED84004
MSRSLMPTLSTNASSQAAARITSASNERTFTRLLETFQFVLDFLNCSATSPTEKCPPDFYLMPGGQGWRSTVNVRLLHGVARMRVRERWTRKNGETSEMGTTPISQEEVSATLAGFSTIPIWCLRRLSIPPSETHISAYLALWRHVGFYLGVSPSILQHHFRHPAAADKFVATSAMHLFSTDDPSTIVDAPTVPILHAASNRPPAFASFEYNCALPYYFLGPAPSERVGLAPPRLPVLLRMHGVLLIQRIPHWFARWYRRSWLEKRREVMREGIARSLRWNLGMRRVSFRPRTDVIPSLSLGEDTPVHENYGSGQLAPGVKEAESVRPNPAGAAVLVHQTPVEIYFAYFTKKQNAGSIRGRTGDLLCTGFKPSVRQT*
>EED84005
MAERTDNLLDRLFPLPSAAPSPLCPRRFPGITHASKVAVTEGLKANHLERHSFTNEHGFHNHASHHLLAAFALGAPARVFNAIYEVQMGRTRPASNISKIITRESFWDHIGDRTFHEGYLQYFSDVVLKDGAASAIEEYIFAKSANFHDTAKVPRRMLNRHFAMLYHPMIYLAYGLEFGIPGLVAEGEYYKHVLRDIPDSALISGLAQTAVHPLQMPGLISLSDYEGQDMATDRTPTASAVTRLTERFSALFLHGAQAEDKTSVVKRDHPNIGVHAFTVLARILQDDRFSASTLGLTPHTEQVEALPHYSKVGAELSDAILSYTEDWMVDGADAGHTEQKIEELCWAATLMYGVCGWGGRKQGLYGEFNNDFFHMHGVTSCLFLQTMVTYLSPSSASLFLRTYFRMLIAWWVSRGRPAFPIREFYTSVPELPPRCAPDHMKLEKGYFPELTSGERPSLDGIELLDGTLFSRVAGLTAERVAAAGEDQSLFWDVRGFFV*
>EED84006
MSLNKPSLPTIDAIRKKTFAKLGRDPCEWQAKVAREILRAEKDVICVAPTGAGKTLTFWMPLLFREDGIQIVVTPLNILGTQSVAELELDIEDGKYRAIVINPKLLMKEGGGFELRLWKNQDFASRIISIIWDEAHCVSLWSAFRTEYRDAYRLRYLLPHRWVRRHIHISSSSIAHPRCSLEQFKRRQCLLLVSVEACSFLPINDASLILRVQYDLEILRGSMELNVKVRDIEMVVVGKDEGVAGGEWDTA*
>EED84007
MHARLSLFAAVACALYAAAAPALVPPMDPAVDPITNGPAGTNLLALAADAGVALKPILDLSAGVGAALAIGPVEDCGCTDTPTPTSTPPPPPTSTSDCDTPTASPATPTSPPSDSAGPSPSPSPSPSPSPSPSATDSWGSPSPTSAPPTEPSTPATSPTASTPAASPTESSTPSASPGTTQPTDTATPSASPTWTPASATPTSVSVPAPTSTSTSTSGGSGAGQCNTGAVQCCNSVVAGNSKDAQNLLGLLGIALEGVGELVGIGCQGIVGGSTCTSAPVCCENNTFNGLINLGCSPIIINL*
>EED84008
MTCHAILMARLQELYPAVGIHEAVVEQAVKQPANGHSNRRIKLKASDGLQEAFDKMCELEQTSQGHGRRVFNKYIIVWRANQPSVQKTASARPRIAEDIRNKDIFLSIISPMESVHLDKKIMDNCALMHADAPATWSPWPQNLSYCVQGFGKATYRGYVSHPDLPRLMEAANVELYETEPGDLRRVFRASNPQCAFTEPIRIQPQAHFMAPAYAPPPIAFAAPIYAAPQVHLALPANGQQWAIPGPQVPGAWLQQQQVRVQAQAQPLYNLSPAGAVMSQPLIPPPAPAPGPSRKRKQRDDSTEETADSTDREAKRARVVARAVSNTGKGKGKGKARHTAIAETQQMAYLRLVAPPVMAADVGYSDLATGRGGSSQVPDYSPQPLVPTPSSTRGASTASWAEVSTPPVVDAYTNVSLPDVIWAEPEAMMDNLHVASERPVDIDGACNRYFERWACAGLVNDGTVGNDADLPSTQGSVEQLTTSVKVHPPVDLKQEINRIWYQPVTTTLDDDVAKWGDEGPIPQYVLLEEADVKRAGLERVRYDFPWQPVSDEADAEYIRLLRRVESVQPRPDGESPHALNVVIKAVILALRYQTIPHIPNRLGTQLAFRASDHKASSMYCDVQELATEEPLVPPSYGFEVARPLAKVTAIKRNRLSWRGTETVSPCGQDGTSISIRRVDYLIWTPSRLIGQLWSDSLSCLSTAPPSLPKWPRRRATEAQLSPLSPNVSIPLARTSDADATRHIPGESGVFGSTARIIFTMAAYCQRPWAYVRQGTTAAVLRAVYDQLQPGEGKLEHFVKVFDDMGKRITAGPDLLQISKPYEKTEMIAPACGASPLRARWKQKAKGERGTAPSACFTKRKKGVSENDHGLSVASRGLEDDGAATDADAKEAYNITRRVSGRPEYTTGNETRTVANVVALAAHGALRAAAAAEESKVAARGVDTDDRETLGGVCAVGCAVAAQGGAVALAVAREAGGRRERERGEREEDEECGAEHSSAPGSLWPNLARRQRREGAGVAAGHNALRSSVVHGATWHWSQKLEGDVLLERAQAADTFGVGTILQVPLGGVEARSRVRFAFRDSADMLGLREQLEWRLFSFSNGTYTVRIGVSLVGEKDKA*
>EED84009
MDFTEIYKQSASLVCFSPGTRFLLTAIQDRLVIRHAETFQIIRTWAMENTGTPTSLVVSNTAAAKISTKGADPSKGRRINRSGGNEGSASGNESWVTHAGWSCDSEYVIGACAKSGFVEVFQMRDDAWRTRIDAGAEGLTKVEWASDGRSIVCWSEWGPPPELAVGSRASTSADHIAWNADGSLLLVRYGSAPDAVWLYSFPQLGEGAASTTRRRLEGPPPKPKLRTVLVHASPVASARWNPVRRGSLAICCGGGALYMWSDEWVSEDRADDHAHALADSRSEGEEVAECVGVPASRSSTHYRP*
>EED84010
MLCYAVNASGETCVDHIPKRTAPLQQICRAGLDRKATLTSHKLCVICHADYTCRDQSEIQLPHLAQLLSPLTLVRSPDHPHCIVNHTNLDGHSEPSKSMALHRSSATPAGCTECSSHNDYYYFTMFRKPERKVTVNMHGPIVFMNPGDFQQFYAQMGRMVDDERDSDDEEAGVQNVKNEARLPQQSDKKDKAPGPCRIQQVTTDTHGIWPAKTLLKPKGMSIASQAAKAAPQRATAPKEPHTFPMPPRVQQAAPVQTSLHPIWKPPVSPPPKADSGVKSMHGDFQGWQYWPGSLLADGNFKEMKYEERHYWDGGERVQSARLEVRRPATMAAGTEDGRRPAWQKPSGQ*
>EED84011
MLRHCHLIDLPSTSSAATDGFEEIRTAARVRSDGSVSVREEYHFRRVHSDGRVDEETRTYRVETRRADASLEKRAQFTNLTGANKGEDSKVRKVGAVKVDEAKRDDQVKKPIRVKVNHDSMKTPKKVAKLVKRDAVKKDVRFTNDEGVSNDDRNKKRDDGKKGDKDVEKRGKNVKKETRR*
>EED84012
MFYQTSAQRAMSVYDIVNEVVSHFSPSREHSADLARLVLVNTTFSDIALKLLWEDVDITDALGLFSGFEGVSAGTDEPTYRISGGIPANEWARFKKCATWVRRLDVDFGPLAESGAQVLKDLLDAHPEGPLFPQLRVLRWRRGSATETSAQHLVSPTLRAFEFSGDHVGPESEDEGIKAILRTVFSTAGGSLQEMSIFRDIHPASLMPELWPATLRRVDLNCPFIDAGIIARLSHLKSLTRLSLVFGRINGQLRNVSGFKALEKLYLCGNPVQVNQIMSCITSPVLHELEVSGCSQESSSEWRDCLATVASQFRSLRDFRCDLQLRWSDPSCASFGAFSKPLLALPLQNVDIVLVGSGVRLWSIGDLAVMARTWRDLRRFIFSWGHEAEPHARPILNPAAILEFIKRCPRLEALWLPKVDLRQKYLKDIPSVVSSSLVELDVEYWTHSEVEDPAYAAKWLHSIAPNLDLEAMGAMRCADWDEDSDVASEDADPVHDAGDDSEGEGEAGNDDDRENEKDAQGGPDGDQNETDHDASETEVADDDASTTEVDDDDVASSTDVADEDESTWAAVIRHIAHLQKR*
>EED84013
MDNQLPYLPYIKINLVQNVDFIQCVESLHMRLLMQLGRHGYLQLHHSLQRPASSMQLVREQRHGEIVFRLDPRSAPAAGDLSSRKRKSSPDVIGPRHRMPYERHRARRRKLLSDDCPPPDPRRRASRRAKPVSVAVAERKAFLRRWTRGIAPWLYVQPSMETWSTMPEAPVAFQSNIALGPNINEEMSNDLERRSHIAASTTLTNHPQPTTDHIQLVAAHQVVISHSSPRHTVTLSEPNAVPTAPEAGVPAVSKTANAADSWNANSGGNVKAVENPRAAERVDGRGTSAGLANQRVGRGERPQSTTSGKGAVRRSIMPELIAPRPSYLSHDIASLQEERKERALARRARMESLSTHEPYQRADAMRRRAXMQSTRNRLQPGAQLEERRDDARMHLGRSVSGGRFAPRELETAEARPGRCQLHQRLRVPLLRRQPDERDRTVFHCPQRQQRPNEVSGHSARRGKRRRLDRHSRHPSCDAPLVTALSCLSRDSRSGDETLFTSCAMCRISPADVFKAAERRDQAGVQEMAAQRHSTQALNANFGIFRTSINTDRNSASSPSAYTQSVKLELELERLSV*
>EED84014
MFSPATPIDKETLKHLLPLRYNGKAVIECNQFISQLLIYWSINTALSTIELKVQVALSLLDGDACTWATPIFAQLASIAVRVQEAITLFADVKAFLTVFKGHFGNLDDAASACTAAEFSALFKGSADCSGYGDLELCNKYLSGIPSHVCRKIELETFTTWETTEKCATKVE*
>EED84015
MSLTSWPARTSLSMLGSTNSCKLAWAETRGRISFTTS*
>EED84016
MDTPDREQTMNKCIAFVRNYLTMSQHDRQIRHGERVFESDPRSTPRDASTGTKRHRVADIISIHQDVHDDVHSAVRQRREVMDPATTPRLAQKFGHRRKTPLLFVRKQKAPKRRMSQQCMRCGVTCFKSLRPTPEDTLPWRKQLVNHQTLTPCHNRLTRVQQTAAQAQAVLQNVATWMPPQSHSYRQPLEDQMNIPDAMFISERDEEMADNFAPDASSSVHYTPAMSADYSAQRTPEPFPMPDVHQSTVASINIVQVSQVAIAVEPVDLLMHEAATVELTQESAFDLSSIDGLDVQMPALSSALTLATEDDHAMEEPTGHGIAEEPEKASEMALGETTRDGEDEEQANIDISAATADVRADVPIIEYVREEDVQDIILKAVEDAKRIQDALRTYIAPSVDEIDDQLDVGLPPLGFTDLGLMPMVMPFELDDYEDILAPSPTYTPGVSALVDRSKPLKTRASRFANMPYQTRSIAHASQPMPIAYTPLDTETGESGPSSASFNAWGDRNEGWLGGASTQLEAAQESEERRAARAQELAEQQKARKFANLRGRKTAWEVIEDADRQDAERREAERREAETAVRAMEQPSVELHRPTSSEASHSKAPRSLPSVPDPSAMTAEEMAHQTPDDLDDGAASGPSVDDLCSQFEKL*
>EED84017
MGLVVTSLSSVTISSEKNEAYQDLRCVFRHTGRWCDLVLLADTSPSSLGSHTTVLHGSGFKASLTDIGASRWLSSILAGRFLLHIRSAASRRNASSGSQYSDSQSAADTASLETRSWISSVEFIVDIGDDNDQTCNADLSSDDEDANVQEQDEEPVAMSVTQC*
>EED84018
MSGSHTGCSLVPAALALSGTLSLLGALALSGILALSSSLTLPGTRWNPGRCYTVTRLDSLVFPEALEHSYLPLRGETGVGCLGQPALLGSGPQARWPEPARIAVPPSLSGDTDKAICSRASYHLLQLLQAHPAMKAVIVREVRALVFRPGGPGPGLRDAAGAGGSEPRGHVHTYIRFADEDAQGDGAGGEGAAMRRARGADGNARGKGAPGTRAGGPATMARWNAHAWYYAAVTLNQVVLSPAEADRAVARTLVGVYFEMFEEVLGAKRRGEVSEEAAGSGGEGGDHGDGEAKAKGKNGGREKGERQGKGRRPAGAKEVRGAAGFAEVEDATSRLLGAVLTGVNRALPFAKLDVAGDETFKAHIDTLFLITHTSTFNISLQALLLVLQITTSLSAHHPSQPSTSAAPASSFATALTDRFYRTLYASLADARLAESNKQAMYLNLLFKALKADRNVERVAAFVRRFVQVLAVGVGGGGGAEFVAGGLYLLGELFQSIPELKTLLGGKGKRGVVPVEGEDYDPLKRDPQYAHASATPMYELMPLLHHYHPTVSLHARQLLNSEPITASPDLALNTLSHFLDRFVYKNPKKPRTRGPSAMQPAASAQDGAGGVKMSRGEVADDGLRVNEENWWKRKAEDVPADQVFFHRYFTKKKEREDEKAAKVGKRRGREDESDESDGDEGASDDGELSASDAEPSADVEDDVEGPDSDAMKATMPAELQDDDLMEDSEDEDDIPSGLDEDSEDENISLSDKESANEDEPEEEEDDGSDAFSLVEGSDAEDLLSLDADVDVPGGIGLIEYDGSVASDDAGEWSGFGAEGDAQSKKGKRKREQDGAKDRRKKLKSLPTFASYEDYARMIEDGPEDNL*
>EED84019
MAIGNARNLTGIYEYYARIVHKSEIIPKGVETSLAQTVNRPGTLTRHASSX
>EED84020
MQPYYHPKTHGIPVALVHFRSNFPALLDQFTHFTAHAAAALAIPVSKTVHLPTQRSLWTVPRGPFAHKKSQENFERRVHKRVIKAWDADQEVVERWIKYLEEHTMAGVGIRVVRWHRAPV
>EED84021
MLTSSERNNALTFSYHGSLSGEYPRFGFSLGAYSYSPRVYRESALRKTDGKTSSDADLYLYDPSEIAAKSPFASADEKQREQHSPFLLNSSQTLGDTSSPYSFTPRTPIENLFSSSPAGYWMAGSSSVSPIFRHSSSGHGSEHGVSTPSSEGKIQSLADYQRSQEMRLKSSQRSLDASSPSSLSSLSSVPSSPSVYGSPGYSAFAVSRSQRPHLPQFSRSRLSSNAASPRSRYPTRSKLRTSTVAPLQEDAPPVDDGREESDHEDVPRPKKRRRTADIGADDSGTEEELVLVPDTQLPSQRPIRTFPLRVPVNPEFPLFYERFHVSSFCVEDSDKYLTCKGLSDATFNPPREPFDLYTPRFVKGKGATKCYHMQYAHGISAMTGRPFSPPLAFRTISRTNVGKHEKAVLMQGKCHKCVKWVPVEGIKDVATKVKEIYWWKHAASCHQGSTVEGECDIFVEDDVCKKLLEANDDLE*
>EED84022
MTSHATNLQVELLDKVRKPAPIDELSIDVFLDIVHLVVDNKPRDVTWVRLMMTDPSDACMPSEEQLLDVIEASPGLETLTIKLNKGQLRPTAVRSPILLSHLKSFVLSARQETWISLLSHLTLSETTYLQLTPSGPMAIMDRDHPVSSLFPICYQSVLRQATRAKTLRLCAMSSCFFFKVHVDVEPNPDNGLVAIEYTDVDLPPALFGPALVDVAELFSASPITSIVLLGHEGDMGEKDWRRVFCALPHLETLLPRDYGGLKSLWTVLGSRQVDGQSVYCPHLRVVRPYSTTLTDTTIAVHIAQCLRSRAASGHSLSVPLLEDIVGGVKYD*
>EED84023
MASVAEKVQKLRALVSLLQDASETIIADWRADSAATDSGKDSVWNSSVPSPALYEARRVALGACGMYIDLVQDSATRLTEVASSYLPARALHIACRSKRRNTYCGSKPRGGHRVAKAVTTEANIAAVNLRDVVLDPGKTFSTSIRESAFNVTYGTDKPLFEYVELPMGGLGEAGGLSLQLAMRFPKLQFVVQDRPKMIQQAREAWEKDFPVALEEERVQFMAHDFFTEQTVREADVYVLRQILHDWPDDDCITILGHLRQAMRRDSCILVVDIIIHPSVGSSCLKDAPLPLLRNYGSAQLVGSTRDLAMLTLFNACERTPEHLSTLAVAAGLRLEKCRTKERWRKVDIRVLDCDQPVVWIWFHIDMNFEEEA*
>EED84024
MLVAERPLDESPRNIIRRGLVLIRAIRRVEGQALSQAASGNNQDHDRRCNMPPTALAEYSLIPYPTAKAKLTCPAPHPARDQARPPPPTRAPRRHPRLAHLPRRYIGDQWGQYGMGEMGKDRSFRLLDAFYAAGGNFVDTANTYQDETSERFLGEWMATRGVRDQMVVATKVRRCAACWAGSVPC*
>EED84025
MITGCVSAEVEACYILPPDTPQPLVYTYHVIAEDEHPADSGNPRDDTTTPPVATATGSYRSLGWHDLSANLHLMTVRVGREFIKRPLHYQHLLPIDALVHIPIIRLVHPDVVEPASLHIEQESPVEDMPRPPKRKRCPDTETDEVPTKRVRTSGTASEASEVEDGVPFGPHPRCMITGHASAIVRRDLRELWETNRLLMIPHPQHLEHFEYCTVYKYCVIAEDEHPPDSCATMRHPITPSVMTAPCSYRSLGWHELDADLYSMAFRAGRKLSKRPLHYQHILRELLPHKEINHVHIIICHPLSSPISASCLRDKEETSRDTRVDSKACTMEEQVARVRLLAEPEDPKLLIYQQEEVRDVVPAVEAPWLEQYYLSSSQAISCISEFIAGGGGGMQLRAWRLSGVDTYHLTSDENIRFLLFNERSPPIWPNGIHSPDIKI*
>EED84026
MPSRRLPVRSGHMLLFISAFGMTSLYRWTWSYHFWSPPRGDLRQEFATFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDNFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEDPIPATSNLVLPTPSSFCAHAQPPIASSSRISVIPASDLAPPPPLTPSNAASNSNPAPPAPTISSTTTTSSSSPAPTTTTNMSQNTTALLMPPRGHSTAPSFDPSEVHSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHAMHNHTPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIRSMKSLFVTLTPEELLSIAPNV*
>EED84027
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDIGKPRQIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYKYEPPKPLPDIHFQRTKILLRTSEYNEMFAATANRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKCDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED84028
MTGNSDAVYSAAIEKVDSVERAIELLESKELIPGGQPMSLLIIRDSLLHLTRAAAPAATTVECLVAFSRIADTVDMELITSEVANQVCHKTMAAYNILDDGVDKLEQPRIELEGCVNRVKEQIRWGGSAWHSSGSADTAALTHRPPGPLIGAKPLWAPIHLFSALASRPALRLSLPTLRFRLRRSSTPSTVCDTYSKLGTVFPMPRA*
>EED84029
MNGLYILIPDTSHMLAAEHPPREMSTPALSDDTGSCSSRESSPPATPVSGLSRAPSISFDDYCQHIPTGDGTDIGIEPDADYSLPEDISRLLKRGRLADTSTDEVRAERPLPPDIWSDATRADDGAFFGLGHIPNSDCTSVGDVEPDAVDPSLEYVSRPLKRKRCADTSTYDVRVKRARTSGTSSGATKAEDRACFGLGHISTHDYTKSRVVDPDAVHVVHPRIDLYVPLEATARPPKRKRCADPTTDEVRIKRRRLSDSPSDASKLKDGDLFGPHPRCMITGCVSAEVEACYILPPDTPQPLVYTYHVIAEDEHPADSGNPRDDTTTPPVATATGSYRSLGWHDLSANLHLMTVRVGREFIKRPLHYQHLLPIDALVHIPIIRLVHPDAVEPVSLHIEQESPVEDIPRPPKRKRCPDTETDEVPAKRVRTASEASKDGIPFGPHPRCMITGCVSADVEACYILPPDMPQLLVNQKIDYMTFNMRTDYDIFLCHAPENIIFLRRDLRKLWETNRLLMIPHPKHLEDLEYCTVYKYYVIAEDEHPPDSCATMGHPITPPIMMAPCSYRSLGWHELDADLYSMAFHAGRKLSKRPLHYQQILRELLPHKEDIHAYSIISQYMLWTESLSSEMVPNRRLWTTGELSPCPDGYYRRALAEYCSPLSDDDTARFPRRFRPIVSGIKRKRSGDTRVDSEACTMKEYARQVARVRPLAEPEDPELLVYQQEKVRDVVPAVEDLWLDQEYFSSSRAIGCISEFISGGGSGMQLHAWRLSGVATYHLISDENIRFLLFNEQSPPIWLNGVQSSDIKI*
>EED84030
MNGLHILIPDTSHMLAAEHPPREMSTPALSDDTGSCSSRESSPPATPVFGLSRAPSISFDDHCQHIPTGDGTDIGIVEPDADYSLPEAISRLLKRGRLADTRTDKVRAERPLPSDIWSDATRADDDAFFGLAHIPNSDCKSVGDVEPDALDPALEYVSRPLKRKRCADTSACDVRAKRAWTSGTSSDATKAEDRACFGLGHIPIHDYTKSRVVDPDAVHVAHPRIDLYVPLEATARPPKRKRCADPTTDEVRMKRRRLSDSPSDASKLKDGDLFGPHPRCMITGYVSAEVEACYILPPDTPQPLVYTYHVIAEDEHPADSGKPRDDTTTPPVATATGSYRSLGWHDLSANLHLMTVRVGREFIKRPLHYQHLLPIDALVHIPSIRRVHRDAVEPVSPSIEQESPVEDMLRPPKRKRCPDTETDEVPTKRVRTSGTASEASKDGIPFGPHPRCMITGGVLYTASRHASAIVNQKIDYMTFNMRTDYDTFLCHEPENIIFLRRDLRELWETNRLLMIPHPQHLEHLEYCTVYKYYVIAEDEHPPDSCATMGHPIPPSVMTAPCSYRSLGWHELDADLYSMAFRAGRKLSKRPLHYQHIFRELLPHEEVNHAYSIILQYVLWTHPLPFEMVPNRRLWTTGELSPCPDGYYCRPLTEYCSPLSDDDTARFPRRFRPVVSGIKRKRSGDTRVDSEPCTMKEYAQQVASVRPLAEPDDPELLVYQQEEASDVSRSRIVTSGLIPHSVTLSTCRTGLEYTQKGLLESNRRRDWSFRDHAFPLFTDEPDMLPDRGWRLLARGGISRAIGCISEFISGGGSGMQLHAWRLSGVATYHLTSDENIRFLLFNERSPPIWPNGVQSSDIKI*
>EED84031
MLSLRRISTALSLFSLLAVRGRADNPITPGFPYASEKVHGVNLGGWLLLEPWITPSLFDKTGNDNIVDEWTFSDIAAAGLNHVRLPVGYWAFEVGAGEPYIQGQLFYLESAVNWAANYGVKVIIDLHGAPGSQNGLSYPEWQSNSTNVQRTDSIIKTIISMYADHPDIVPMIAPLNEPTGYDGSAVMDVLTQYYYDSYGNIRPYGSSSQESNTVVLLHDAFLPLSYWSGYMTPQNWQGVAMDTHIYQVFSQAEVEYSYSQHISAACAFASSLSGFDLWLIVGEWSTAPNDCATYLNGRGVGSRYDGTYPGSTYVGSCSGLTGLSASFSSDYKTFLRQYWEAQAIAFSAGAQGWIMWTWKTESADEWSYQAGLAGGWIPQNPTDYDYPNICS*
>EED84032
MSPVLTRSQAREAASRSAGKNLDSSSRTRSTPSPTIPGNFDRDKEDEIDQELQDDFNEEPIPSTSEERTLSPELLGLTTTDYTTSSPDLFDQSGSSPEPEDPIPSTSNLELPTPSSFRAHAQPSIASSLRLSVVPTSDLAPPPPLAPLNAASNSNPAPPAPTNPSTTTASSSSPAPSNTTNMSQNANTPLMPPRGHSTAPSFDPSEVRSLRCYFQDLEALFAQCQITDDAAKKQWAVRYPSIDVADLWETIESFIDVNKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERAHIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQTIASTSNASTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHKNSRAEQTCHYCGNRGCRVGTCEFVEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIKALERELLQLQKQREVFNGVEILQRKKPTTTAVPKSAEASGSGTSKGVVAPSSTSTSTAPPPTIPAAAPAPSSSPPTQSTSQPTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFITLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVASAHIVEIGADEALAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEYPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLI*
>EED84033
MSPALFNGSTNNHSNGINGFAKQTPDGFGTRAIHVGSEADPQTGAVIPSISLSTTYKQDGVGHHKGFEYSRSGNPNRNALETTLASLESGGAHALAFSSGSATTATILQSLGPNAHVVSVNDVYGGTFRYMARVASENQGLETTFVDLENADDETILATFRPNTKLVWIESPTNPTLRLIDIPRIVSLARSVPSSPLVLVDNTFLSPYYSSPLLQGADIVLHSLTKYVNGHSDVVMGALILPTHHAALTEKLRFLQNAIGAVPSAYDCWLAQRGAKTLHLRMKAHGTNALAVARALQRSPLVEEVIYPGLASHPRHELAYRSLSSHARKFEGDGAGGFPYGGMISFRIRGGAEEADRFLRTTRLFTLAESLGGVESLAELPAQMTHGSIPPAERALLGIGDNLIRLSVGVEEAEDLVADVEQALQAAATSKVA*
>EED84034
MYARRALAVPRRPIGHAPGIVRRLVRLNTTMNSYRPTRGVVPPSGVYLVLWLDPVKMVQHFDDPNLQAAARQLSPGKYVAYVAVNTDLPISDRPWYRCLVRFVGLASPPANIELVPSAPGSIVEVTSDTVVDANGVVKVEDWLKTTDHSQEPLEELAADNDISSEVSGDDTPDSLPDDNVSPSTPYSDDDANSMDTVDLLFESLFFPDFSHIDVDVIPLVDFSFDLTEVKEVPDPRGFLEEVEAMAELIRKFRAGTLIDVPDSHALPDHPMASRLDDHTISLNIPTTASPADPSSQLPNNSIVALTSHSYGSSTSTPRYAY*
>EED84035
MPDRPWHRCLVRLAGLGRRPSRPTKPFPFANFYLHTWVYETVRFPSGGVDYGDAWEISVDDREDHRRYMNEDQEKRDMLIEKASPPAKIEPATSAPSCTAEVATDTTARPNGIIKVEDWLNTTDYSQEPFEELPISNDVSSEVFNGDAPDSLLDNEVSPSTPLGEDDANSMDDVDFLFANLLFPDFSNIDVDVIPVVGYSFDLTEVKEFLDPRGFLKEVEAMAELIRKSRAGMLIDVPNSYAPPDHPMACRLDDHTIPLDSSAAASPADPLAALPSNSMVALTSHGYPKTPSEQVTARYLQ*
>EED84036
MSSYRPTRSVVPLSGVYLVLWLDPVKMVQHFDHPNLQAAARQLSPGKYVAYVAVNTDFPIFDRPWYRCLVRFVGLGMPQDQPEQFVTPDISFQPSKPFPFSNCYLHTWVYATVRFPFQSVDYGDAWEISVVDMEEHRCYMNEDQRKRNILIEQASPPANPANIELVPSAPGSIVEVTSDTVVDANGVVKVEDWLKTTDHSQEPLEELAADNDISSEVSGDDTPDSLPDDDVSPSTPYSDDDANSMDTVDLLFESLFFPDFFHIDVDVIPLVDFSFDLTEVKEVPDPRGFLEEVEAMADLLWLITRRRIAGTEVSDPTKSTIPYPRLIRAPGCRSPSIATPFSFFHALLEPAGQPDYGWLRALSLVPLARLWLRASSRVRGVLLCTRLSHLQLARSDYPHLSCRYRASGKSLTGALLERFRPTRSESMVDFGLKCRFESDSQMGTS*
>EED84037
MH*
>EED84038
MFLNAVFAAASLLAAVCHVDALWPLPRSLSEGTSALRLSYGFHITLPPDIASPPLDLIEAVARTQAYLFTDNLGRLVVGRGASDVSAFETAPYLPELTLSLAPGSTVLSITAEAQKPLGERDEAYTLTVPSNGSAASITATSTLGLFRGLTTFGQLWYEYDGTIYAINTPLEVEDSPAYPYRGLLLDTARNYFPVSDLLRQLDAMSMVKINQFHWHVVDSQSFALQIPGYEELAEYGAYSPQMIYSASDVVEIVSYAGARGIDVLVEIDTPGHTAAIGDAHPDFVACNLARPWADYAAEPPAGQLRMANKTVAEWTAGLFSAVAEMFPSTIVSTGGDEVNTYCYQEDPETQAILKASDSTLEEALNTFVMGTHGALLKAGKTPAVWEEMVLDYNLTLSNETLVLVWISSEDVQAVAEKGFRVIHAASNYFYLDCGAGEWIGDDPSGNSWCDPFKTWQYTYTFDPLANLTTEQYPLIMGGQQNLWTEQSSPSNLDPIVWPRAASSAEVFWSGAGGNLTAALPRLHDVSFRMQQRGINSIPLQPLWAVQDFAVVLDAHV*
>EED84039
MLGSEGILEYRRNLIKQGGQGEEPVHHCEHSTYFSRRATQSTSHTVRIYSYLRDVSSDLNPRPASPMDRKLESPVCQKFSNLNINGTPSAPKATSRDAYLQGDTDARRQAVIEDIGKAIPQVPVSMKALFPKVRRGINVDKTMKKLKKDGDIVDGHWAVLPDDPKKASLKEDDYFKSLVNVARKIVSASGGKEKDQLLELVQQPSESPEAYTRTSETRPDGCFVLKERPARLQWKDVALCAEYKKRDRQGDKDDDVLKVLWSMHQCMREDARHRFVYGMTIENNTMRLWFSSRADTLVSETIDWRTDCKAVVHFFLAMMFAKEHQVGWDPTIEYVVDPKDKHKLVPGKDGAPCLNILMQDDKKRRTWYRTYGLLSDHGAHGGPGRGTRVWKARKLNRNKQPEGDFVALKDSWIDHDRPREGSIMQAVLDSVSDPDERDLLAELCLTVIVHGDVHIEGARDDTREVMRRGYDIPADYGSFKLQGRPKDGICTKTNNTGASFPSNSGTGHPRSQKPQKKPATPRPHLHHPRVHYRIVFEEVCKPIYDVTSLKDIFAILIDALSALKIMHKAGWVHRDISSGNVLGFIRNGRMVGKLADLEYTMKVSAPDKGYHEIRTGTQEFMAVEVEGTKYYFNGTKTKTVLDTSKLSARQERDMLQSGELADDIKPVTNAALENAVFRYNPLHDLESLWWLAAYFIFNRAVILVGGKMPPMGAAELGNYEEQRMCAAEMFYSSHYRHTLMTSEDTFPAWIPRLHGAVRPIASTLKHMREQLEARYREVEFDATSMAMIDHTVAVNVAAFFMRRLGDALQYAKVEDIEVGALDVDPRAAIVPPPHDYTAREVQVLALVGSPVSKAIGKRIMIEEASNGDQLDDDLVLMGPMNKVWQILVDFTSYAECSSKEPLPDQTPHEGSYLLMEVHIPPTYDRSHTPTSRPLELITAVDPAAHRVAWKNLMPSWLLRAERWQALSVVEDGKTLYETREVMAGPGAYFVRALLSSGLQQGFQAVADGLKRQAEQS*
>EED84040
MEGEPSSDASSANTPANRGETPVRTKAVQSASYMEGNLDAARGAVMQDLGTTIPEVSTRFFQTHLLPPLPADINVKQVVNKLRKGKGKGIKGNRCAKFPKDPKNSTECEDGCFKSLEDVATAIEAVTSAMTGKKQLLKFVQNPGDAPESSMRTSKSRPDGCFMLKSQHKRSLRWMDIALSAEYKKVETLATKDDLWQDVRKVIWSMHHCMREDARRRFTYGLTVENRTMRMWFGSRTELLEHHKVVHFFVAMMYAAEHEAGWDPTIQYVWKNDKKNDELVLDEEDNPRLDIDVQDGNGKVVRYRTTKWLSDDGANGLRGRGTRVWEVCILDKGGEGVDRFALKDQWIDHDRLREGMIIHQLRNATTSDATPDATKRIIEQSLLTVEHHGDVYVEGMLDHTRNLMTRNGRPHPYTRFKLQELPENGVKETTTTNPKQRTPAGVGYHQSPEELTTPSVQKIETYHPKVHYRIVFKEVCKPIHEYTSLKEVFAILVHAALALMALHDLGWVHRDTSIGNLLGFILNGVLYCKLSDLEYAKPMDDCLGHEIRTFALLIAVSCEQGTRSFMSVEVYDLKYRFTSSKSLKAKAEEAEPPMTHADCQVAWDLVNASQHGNPETTGKLKTTSSKSTTIRPFRYNALHDIESLWSVVKVDGEPPSMDALQRGSYEVQKWYADLLTTSPHERLAVLKDDLALETEIIHLHVAMRGIANELNKTRAKLVECYYKAEEDLDAIRHTIGHNLLCNIFIKSFITIRDNLRLKDIEIDILRKDPRQEVENPCEIPTAEGWDVATNNNNGTNTGRNSDATDRGSDFEGQAREVGVGDIAEEATAKDGAQNVVVEDPDAGRSVDKTTGPSVPQISTEPLVEGRSTRPQRKRAPPVRYTGTEYNLKKGMTSKTASSSSAPAAASKTATGQSTSGTTSSTKNKAKSKAKSKAKLKTKGIQAKDESVPQAVIDKFYSTGAAPSFHREFDNSRPPSLWCFCCSNAPLGLMLVITSAILYIGCKIGRGSFGRAS*
>EED84041
MVSPKLFGVSFPFFIAQAVAISLEDAVIGVAKRTGMQAQCPDSLAHALEYVWVFVWLSVSTPWWMRTRMVDTSRTVFSLVTMFAPTITPGAARFLFLSLMALSAKLIQASTAGNPVVIIHNLTVATVKM*
>EED84042
MAMIDHTVAVNVAALFMRRIGDALQYTKVEDIEVGALDVDPRAAIALPLHDYTAREVQVLALVGSPVSKAIGKRIMIEEASNGDQLDDDLVLMGPMKTVSRDPTTSKAKDKLKSKPKRK*
>EED84043
MSNLPSPSFQGPLMISASSVIDAPIDKVWQILVDFTSYAECSSKEPLPDQTPHEGSYLLMEVHIPPTSDRSHTPTSRPLELITTVDPAAHRVAWKNLMPSWLLRAERWQALSVVEDGKTLYETREVMAGPGAYFVRALLSSWLQQGIQAVADGLKRQAEQS*
>EED84044
MEGEPSSDASRANTPANRGETPVRTKAVQSASYMEGNLDAARGAVMQDLGTTIPEVSTRFFQTHLLPPLPADINVKQVVNKLRKGKGKAIKGNRWAKFPKDPKNSTECEDGCFKSLEDVATAIEAVTSAMTGKKQLLKFVQNPGDAPESSMRTSKSRPDGCFMLKSQHKRSLRWMDIALSAEYKKVETLATKDDLWQDVRKVIWSMHHCMREDARRRFTYGLTVENRTMRMWFCSRTELLEHHKVVHFFVAMMYAAEHEAGWDPTIQYVWKNDKKNDELVLDEEDNPRLDIDVQDGNGKVVRYRTTKWLSDDGANGLRGRGTRVWEVCILDKGGEGVDRFALKDQWIDHDRLREGMIIEELRNAEASDATKRIIHQSLLTVEHHGDVYVEGMLDHTRNLMTRNGRPHPYTRFRLQELPEDGVKKTTTTKSKQRRPAGVGHYQSPEELTAPSIENIETYHPKVHYRIVFKEVCKPLPEYTSLKDIFDILMNAALALLALHELGWVHRDISMGNLLGFILNGVLYCKLSDLEYAKPMDDCLGHEIRTEEKAQVAKPTPMTADQCQVALDRINAAQYDTPENIDEPEETSSESTTMRPFRYNPLHDIESLWWVAIYFIFNRSVVKVAGKPPSMEASQRGSYNAQKKYTDKLLTSSHERLAVMLDNPALKTEIIHLHVAMRGVANELNKTRGELVECYRKAEKDLDAIHHRIGHQLLNDVFIKSFIEVRDNLRRKDIQIDILRNDPRQEVENLPIAEGRGGAIDNNNGTDTEQVPDAAGQGPRFEGLAREVKTGDTAEEMTAWDGAQDVIAEDSDAGRSVDKATGPSVPQISTEPLVEGRSTRPQRKRAPPVRYMGTEYNLKKGMTSKTASSSSAPAAASKTATGQSTSGTMSSTKNKAKSKAKSKAKSKTKGIQAKDESVPQAVIDKFYNPGAAPSFHREFDNPRPPSIWCFCCSNAPLGLMLVITSAILYIGCKIGRAHRDRVAKDSVQPKSCMPSMDAELKGNYSKQLQYASRLFWTQQFREWAMTRPGDFKDEVFHLHAVVRPAGYLLDTMRYRLQNQYRSIEETLTPITHMVASDITIQMIEDLGDIRADVKGLTIGEFKDDPRRNYLAIRNADISLQRDHISPPLTKTEGKRAMIEEAMSKLKSKLRPKLGSKRKPKRK*
>EED84045
MTRTQSIFVVSPNLTPIIYVFGIIVNISVVCFLGLLLLGPSWHSIPTVFRHIFTGPRTALKVTDGPIAGKPWNAQILGMLSVTKYSIAYAAVQARQLLNSQEEWSVEDAHFNAEHFFYTILAIFDDAAHSTWYEETIDWWNRRVFGNSYTGSDRLTHDDDSDDEESDLSLLKAQHAARQAVQ*
>EED84046
MSVDDRSRLPVLPVLLLQNAFLSALIALRPKGPIKLAAFATYTYSLGLVLTSTTGDLQQNYALGSSFVVQFFTAFHLLWLTDPLNDLRHERDHIAPPAMPFLRRMYWALCVITGPRGVGWNCQIANVPPRPSEPRWSFVRQQLLYALRLYLLVDLAQTYQRSNPSFSHHDAGLFSLSAQGYIQRCVNIVAWFAPAYGMIDMPYRLFSAVSVAIACSMPRDWPAMYGEWADAYTLRRFWGRTYHQSLRRYAASMGKACCRLLGLRQGSWASSYTQLYVGFAVSGLIHCGGDIMVSPKLFGMSFPFFIAQAVAISFEDAVIGVAKRTGMQAQCPDGLAHALGYVWVFVWLSVSTPWWMRTGMVDTSRTVFSLVTMLAPTITPGAARFLFLSLMALFAKA*
>EED84047
MLCTDQLRTDQLIALHTSPPLEERTLQHLHFSGRCLSSRTRGLGSCCIIVKSSIGPYVNCEPQGLLGRRSYAHLPVLPPSIVATCQGQIHAFDSQDLIDVYIPDVPETVIYRYPVPEPEPEEGTGEEGVSESESEDSVGSASLTALASASAVPDPPLPPQRPPSPPTLIMSSPAAAPDKETLKLLLPLRYDGKTVIKCNRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDARAWATPYFAQLALVQVGVQGVTTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCADKLVRKKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVDMTYETLTPNLGFERHVAGAKRWSERSYKQGRLSLIFPIPLFLRRYLIALSPIPAASTMSARSATPASTPSLVNRRLASLLAVLEAPPTADAMLNVVEEWAQDLSPLVLAYRKALGAIRNEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEKCLAKEEARCVEEATKHVKAAEERCLEDKRRQKNEEDRLRQAEDEHRAQEAADEELAWIAAAEGLLPDPTPAGVDKGKGRARVDEEVTELSDDPSVKTPHTVERPFAMTEADMAAAAIEKRQAGQKCDRCAGYRSAPVDCVWVENAMTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADASGSKKRQVDEPLRPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIIRVIHEERAHIARRRALLHDMDLDLQKMEKAALTKGGIGFVRGAVDDE*
>EED84048
MTVLNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIIAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQCIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMRLPE*
>EED84049
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKQHRGALPSRLTGNPTLVGPRREAAGDADRLDTGYGTVRTYDAQDAEKKPLDTWSEKPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED84050
MQTTCLPSRRSCVA*
>EED84051
MTPTDELTATVCGALGTLSSARKADLLLETSLSLLEAGRYGEEVESYLEVYLRTPDLPKANVVKALSARANARKAAGERLLARAQQDYNPHDHTGIERTANAPIPIQSPFFNLPASRRAPPEIWEHIATFVPRYHLRTWFFVSPFHRDIAVRRIFRTIDMYFGEDQESVNRGLDIFDRVKADPGFASLVRTLRIHWSSEEGDMFDLMLRLFRTTLPSFKALREFEWIGYPEMRADMVQAVLASHPRLQSVGLIGWHFDAVGVSAFHNLHKFCLRAEDDDGFADMGEVRSVLDQNAGTLRHLTLGAHLQRTHSWDLAFESATLRALTHLDLVDTRISHAVLVRIMHASALRSLTLHGTFADPAAARVVFGSDHVIGGDHAVLPHLEAFRFVTVGHDDDWALFEAVTKFVGQRPRLRRLDLGSCPWELVRGVLPGLAGLRVLRVRIANLCTEAVDALIQAVPKTLLALHLNTVVSNAPLHEYAPAFSVFSTLSMLHLRSHSAHRPQPNLLSEKEFTMQTDHWVTSARAVALALPSLDFVGWHGEHYVVVRAGEKDGGVELKELPSRRRLDCGKGVDLGGEDAAWLERKDVPMDYEMTGLES*
>EED84052
MKAALLAAALFSPSVLASYSLVKTYSGSSFFDGWTFYGNYDNTTDGDVTYVNQSLATSDKLAYVDSSGQAIVKVDNSSFVVYNDKRNSVRITTQDYFPLGSVILFDATHLPYGCSVWPGFWTKAAQWPEGGEIDIVEGVNGMTSNQMALHSTGGCSATSSANASGTIGPTNCSAAAGCTYTETKADSYGAGFASAGGGLWATLFDSTGISIWFWGRADIPSSISSAGSSLSVADWGTPSANYPASSCDIAEFFQPQQIVIDITLCGDWAGLTSIYPETCPIVGASTANASSCYLQNVINSGNQTALSEAYFAMNSIKVYNANGTVVSASGASSSVSPTSTAAQGSKTTSGAGSRGALSVFAAGIGALAAWTLL*
>EED84053
MEGEPSSDASSANTPANRSETPVRTKATQSPSYMEGNLAAARRAVMKELGTTIPQVSTEFFQTHLLPPLPVGVNINQLVNNLRARKYIKGKRWAAFRKDPKDARGKENVCFRSLKRVADAIEEVAVKMTGEEPQFAFVQNPNSAPESSTRTSKSRPDGCFIRKSGPKGRFRWMDIAVSAEYKKMENTTTRDDDVQKIVWSMHHCMREDARRRFTYGLTIENKTMRMWFCSRTELLVSEPINFILQHHKVVHFFVAMMYAAEHEAGWDPTIQYVWKNDKKNGELVLDDDDDARLDIDVQDGNGKVVRYRTIRWLSDDGANGLRGRGTRVWEVCILSDDGEEGVDRFALKDQWIDADRQREGMIIYQLRNAKTSDAAKRVIEQSLLTVERHGDVYVEGMLDHTRNLMTRRGEPRSRIRFKLQELPENGVKETTAAKATKRTQVGVGHYQSPEELTTPSVEKVETYHPKVHYRIVFKEVCKPIHEYTSLKDVFDILVNAVVVLLILHELGWVHRDISIGNLLGFIINGVLYCKLSDLEYAKPMDDRLGHEIRTGTRSFMSVEVNDMEYCFLPSESQEANADGAKPFPSVANPTQDQMKSIELAIDRAESMRCGNPEKIVVPIRKVGPIVPFRYNPLHDIESLWWVAIYFVFNRSVVQVGGEPPSMDVSQRGSYNAQKEYTELLTTSPQKRQSALGNERTLQNQIIHLHAAIREIAYDLNQIRAQLVSCYREAEKNLGGIHHRIGHDLLQSAFLTHFGQSLRKLQNKDIQIDILRNDPRQRVEDGVTDDNGPADDDDTEENSNEGDQGSGLADLTREVSVGDMTEEATVEDGAQDAVVEESDAGRSVSKATESSVPQTLTEPLVEGRSTRPQRKRAPPVRYGAESNVKKDTTCKTASSSAPTTATRAATGQSTSGTTSSTRKKVKAKSKSKSKSKGSSALNTLIKVAVSHTRDKKRDLRPDACDSRPPSRTLVSGQSAKLPRPQTSWLIDFAHPELEERLRDRLVTASEKTIGATTSSNCSLRPMIRDAVATAATDLQAGSHSRQWTPLADTREQQTQTDNRGQLFQGAAAQPDTARGIVPPHGGAHTNDVRPVPHAHLAVDPTAHRVAWKDLMPSWLLRAKRWQALSVVEDRKTLYETREVMAGPGAYFVRALLSSELQQGFQAVADGLKRQAE*
>EED84054
MEGEPSSGASKANPSANLSETPVRVKAVQSASYMEGNLDAARRAVMEDLGTTIPEVKPEFFRKYLLPRLPVGVNIDQLVNKLCKEGDIEGNRWAAFQEDPKNSSQCEDGCFESLADVATAIAKAARKMTRKKQLFEFAQNPAHAPKSKTRTSKSRPDGCFIRKSGPKGKFRWMDIALSAEYKKVENAKTKDDVSTSDFKTEYWADSYGRMSESRTELLVSKPIDFMSAHHEVVHFFVAMMYAAEHEAGWDPTMEYVRKMNGTGDELELDKEGKPRLDIDVQDQNGTVVRYRTIRWLSDDGANGLRGRGTRVWEVCIFDKNKEGVDRFALKDQWIDADRLREGMIIYKLRNTKTDDATRDIIDQSLLTVEHHGDVYVEGMLDHTRNLMTRRGEPHHHYRFKLQQIPEDGVEENSTAQSSNRTPAGVGFHQTSAELRTASAQKIYTYHPKVHYRIVFKEVCIPIHEITSLKNIFYVLIDAALALVALHELGWVHRDMSAGNLLSFMQDGVLCCKLTDLEYAMQLKDRLGHEIRTEAKAKEAKPPLNVAASNRVALDRIRALKRGNPGKIVNRVKKIIRIVPFRYNPLHDIESLWWVAIYFVFNRSVVKVGGKPPSMDASQRGDYSSQKIYTKLLATSPHARFTALEDETDLHQQIIYLHAAIREIADDLNEIRMRLVNCYLKAEQDLGAIRHTIGHDLLHDVFITSFENIVSKLQENDIQVDILRNDPRQYVEDPFEIPTQGDVTDDNGPTDDDDTEENSDGGDQGSGLAALTREVSAGDIAEEATAKDDAQDVVVEDPDAGRSVDKDTGPSDALLVYSASALFPSDMGQSPM*
>EED84055
MPLIQPHHYPSSHPEYKPHQPRLSAYKNAANYLNRRPAFIMERKPESPAHPRIAETKLSETPLARKATSTDAYLQGNTNARRKAVIEDIGETIPEVSVKYFLEALVPQLRRGLSVDKTIKKLKSKGAITPDGHWALFSVSPGKAEPVEDVYFQPLVDVAKSIVSQSGGNANSQLLTLVQRPREKPMAYVRSSETKPDGCFVLKSKTPDAARLRWQDMALCAEYKKQDRLKDRDDNVAKVLWSMHQCMREDARHRFVYGMTIENETMRLWFSSRADTFVSKEINWRTDHETVVHFFLAMMYANEAEVGWDPTIAYVLQDGEFVPGEDGAPQLEILVQDESRKPDVWYRTHRVLADHGAHGGPGRGTRVWEAYELTGQHGEKRGNPVALKDSWIDHNRPKEGQTMEDIHTSVKQSNPKDYKRLQKSLLTVLRHGAVSVQIGRRWEVDHTRDLMRREFDVPPDHGSFKLRELYEDGINSENIKSMESSFPSNAGTGHHRSHAPQQRPSTPAPRLHHPRVHYRIVFKEVCVRICDLTSLEDIFRVLIHALIVLTIIHGAGWIHRDISSGNVLAERLPDGTIVGKVTDFEYAMRFGDTQSERHEMRTGTEDFMAVEVECMKYLYFDNQPAVAPNNASTYSLDELEDAAFQSQAPADAKPDQPGPKRPAFRYNPLHDVESLWWLSTYFVFNKVVVLVNGLKPSMDAELKGSYNMQLFYASQLFWTQRGREWAITKPGEFSEEVIHLHAVARPAGYLLDKMRRLLHDQYKLIEETLAPITQTVASNVSKGMVLELGRIMASVRGLTIGEFKDDPRRGHFANKTANTALRPDQISHPMTKTEGKQAMIEEASNIDESDDDSLELEPIMPLFLGEQTTRDASPSPRPSSTSQLWDLKVEMESIMPPFFGEEVTRDASPSPGPSSVPQPSGLKGRTTSKLKSMLKLKPKPKGK*
>EED84056
MQQDASFPSPDACKANSSSNLGETPVRIKATQSASRMKYNPAAARRAMMQELGRTIPEVEIDFFQTHFLPPLPAGVDIDQVVRELREGDHIKGNHCAKFPEDPKNSSQCEDSCFKPLTDVATAIAKVASTMSRKKQLFEFAQNPTNAPKSKTRMSKSRPDGCFIRKSGPRGRFRWMDIALSAQFKKVENAKTQDDDVRKVIWSMHHCMREDARRRFTYGLTIENRTMRMWFCSRTELFVSKPIDFISAHHEVVHFFVAMMYAAEHEAGWDPTMQYVRKKNGKNDELELDKEDKPRLDIDVRDQNGTVVRYRTIRWLSDDGANDLRSRGTRVWEVCILSDDGEEGVDRFALKDQWIDADRQREGMIIEQLRNAKTKKSTRDIIDRSLLTVERHGDVYVEGMHDHTHSLMTRDGVPPLHIGFKFQQIPEDGVKETTTTKLRKRTQAGVGNYQTPNELRTASAQKIETYHPKVHYRIVFKEVCKPLREYTSLKDIFYILINAAGALIALHDVGWVHRDMSIGNLLGFVLNGVLCCKLSDLEYATMLGDSMGHEIRTGTRSFMSVEVYDLEYRFLPESQGAQPKPPPKVAILDRIKSIQLGKPENNDMPVRKKGRTVKGRTVPFRYNPLHDIESLWWVAIYFVLNTSVVKVGGEPPSMDASQRGHYFSQKMYTEFLARSSHARFMALRYETDLKKQMVYLHTAIRGIAIKLNEIREQLVSCYLEAEQDLGAIDHTVGHDLLYNVFITLFGKIISKLQEKDIQTDILRNDPRQHVEDPFEIPSADGQNSVTDGNGPANDDTEENSDGGDQGSALAALTREVSVGDMTEEATAKDEPLVEGRSTRPQRKRAPPVRYGSQSNVQKGTTRKTASSSSTLTTATQAATGQSTSRTTSNTKATTRSSTKAKTKTKRNTK*
>EED84057
MIIPLYNLQAHNVMQNVIVDAGTDAKVAKFLKRGLEVIGLAVFEPVEVWGSGAVGVDETGRRVSIDYGRGEPAHTPTSSAVSLNTYEFAHEPSTTPLARVVSPPATPTTSSARSGSRKIFGKLFKRKEGDVGTPPPSPLPLNQSVMPSMDSTRSKRTSLLSAHGHVLSASVSEPPLQPPVLGIQPSLRSPTVPPKGRPTKYVWIVRKWLKGEPESMFRDVKDRLQEARNGNAAAGLEGVVEVRFEWSRGGSTQKRGREGEHVRRESTRRRNRASLVGSSATPSTASLHHPSTQSPVRQPQSQSQRTLSPGNAPRRSVDSARSISPGPASIVTGESTDESGRAARREREEDDGDESDPEDSETPWTCTLVVRRLHAPPPARSPQHLGAGAPPQQQPPQSIRVKVAAVVPTPHHPKVVALLKVAFPLPDVEIERVAVHRRVVTPQGIARPAVSPLPPAAPSGGSGKSSKFWGGGGGGKESGRVEAGRPASVMLTAEEIKDVVSCTALWLVVREGFGGVGRERRKGDRWMLRG*
>EED84058
METAKLTETPPNAHKIGLAYQYGDTKTGRHAVIEDIGSVVPEVLVSDFLNCLLPPLDNINVPGVVDALRREGHIKENEEGEFCWALFLDSPSCIDGLEDKCFKPLEDLSKEIFKQSGIKKEQTFIFTQNPRQTPQSVNRDSASRPDGYFVKKVQLPGDKVHWMDVGLSAEYKKVDNDDNRTDDVIKVIWSMHHCMREDPRRRFTYGLTIEDTTMRMWFCNRTEILVSEKLDFVKDHGVVVHFFLALMTADYHKAGWDPTIKLVVKDGKIMENLDRTPCLDIEVKSEEGATVTYRTTRRISDLGAHPLRGSGTRVWEVKVLGPDGTPEGPAMVLKDSWIDHDRKLEGHILQEIRAEASKRDEASKRDEASKRDEASKREANSKHDAKPKHNLRKHVDRLFLTVKHHGNVFVEGKQDHTRDVMTGGREFSSGGKLFEIDSKRVEGGRLRSVVEVMRSEPPRPGLGDHRSQHQRLTLPEKKLKKYPYKVHYRIVFGEVCVPIYTLTSLHDVFAVLVEALAVPMKFVLVLELTQYSIQGTFDYMSVEVDSLMYWFLPEEEDLYLPSIPQADEGDTRGDILDDCYSPPPEPLPEPIIEPTPPFRYNPMHDLESIWWIAVDFIFNREVLSVGGKSASELDIKGTADFEKQLEFVNELFYDRTRRRDIFQRTGTFQERVANLHGSVRDIAKELEKARKTFCEQYQDLEKTPELIKAINPRSAAKVYRFSSRLFVSIRKHLAQCDIEVKELVRPLPMAQNAASKRQAVCKAEDVAGQALEAGQDHQDRSRSRSESPLAHVQRNKSKRRRQASDSDYEPDKDEDCEPGNSSTGTVEGRASPSARHLRKKLRRTRPATPARDTEGEESGAMLSYPMP*
>EED84059
MPKAKPFIVTAKHEPTGLLERITIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAEPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKTIGHVSFEDRLPEICNELASLNITFKYEV*
>EED84060
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQVLVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVMALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVDSEDDTPPSTKAVSANTARIEEIANVEELTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED84061
MSGKTISNGTLSLRFMQNAQRAKMQAQVELEQAKIQDDAQWEVSGELREAWGIGTSSQRDRSLRERIVHETSYLPFLFEADSAGPSSGEVSLNAARLRGRRVFNGRGQEIIQTEKIPDVDEGKPSAEDEGGPRGSRKPQTRPVSISGFKAPISIAKKDGKKVRTKTAQMLIREDPLASMPPFPRPPAAASSGFMKPAGIDEPASKGGNSATQKRGREQDPSVDPSDAQGKKRKKKKAEVLLTPHTTHRIWKFCPPAAQNVEASNGQLLPAVYSAPQRVCPSREIAPAVALHTSSFLKEGAAILLLGVHRVLTQLHCILGCGCERERWDKYAQGAAVVDPCQISIAPANCGDLCTMADTAAAIALCAQPSGNRHPVTISDEVISRRTQAPRYPLAAPPTSPSHSALAHAFSVYQMQQREPPASIAPGLRLLRVRSDAPGPTSPLLPRKPTLVLQVSPSVPVAGLVAGRPARKLLYGYGYRRRGHSTPHTACRKCSVLVVHVMGGAADAGLHDVDIGAAIMRHARIARGPLCRCGTDAACDGDARGAKARVYVAPAVGERDTRKTPTRGRRAQCKEGRPRGRARSVPWQIAHRALALTEMGHDIYRVPTTLPSIFPSAGGPPERDCRSPEASSQKTEDTALGAGQDAALVRVRASRRLPDAGRGTLNANASPAATGTARAAIAAGLLNIRVGVSPNEPSPRTAGSSLLLLPASRPYLRATGNGDALHGNGSRVLLRTDATGCFTQRREGRPRGFVFPTQDPSEVAAASNPWSAVCTVPRGPSCGRAIQRRPGCPGHAHVFVAPHEPLAVTRQRRHAAANTDLAETGGLSPMRAVSGCQISGRQARAGARTDSDLGQGDEASVQICTAGAMRVPTADGRHAMRGDGSDGGASVTSPRLASRREAQKGGAPPHRAGRAHTDVQARGLPSSVPERQGRGTRGRSGPTKAWARGPVARRRPREHEHERCIHSTGRPQAMTQYWPAASGTEPRSADATCGPSQIGGRNRGGAGDRGRGVRRTDVGAISRETGRRAWSRSPRNRARCEADTDIRGGSVRASDSSATQDTGAGRSCTDRIQSFCASPVQRFCQRYSDGLQQARDPTRRDGRMLDAPSEFAALRDGRERTDPQGRDTAAATGSAAAAKGASRHRTVADRLRCTTGRYRHRVERWNASCAADRRRFLGMEGGGSCGGCVEVAGAVQAISRPPSPVRRGAAAAAALARGRGRRSIPGRTEWREGEWPGRVAGHT*
>EED84062
MSAVSTSYHLRPVSDAGSPSSTASTSTASEHSPHFHRKPSVAPLQRSASAATHARRPLSPSSLRAHPADRPRPAPPTELDLSVAQADMPRRYPAPPTGHDLMALFPPAPPLTLSSGPTSGYFQQQERAFFAKKGKEIVRCPVQAGGYRGPRTLRGGAAIAIMAAGVVGPCYLGLLLHLWAFRTPLMAHTHTYRPTRRRGDQEGREGLFGARTTMQEGARCPSQETDRSAGARCFALQGIRILLPWERPHWHNDEAWSPDPASELAPRAESTAAIWCLADRFMPMPMPMPVPVLMRMTGGIMPALRALTLTRPARAARLRPSRKLASMRNSNALVRRADGRRCPSRPCRSREAVAGWSAGRGHGGPTARLAIMPGPSRIEWPAGCAGSSQRVIGRGSARRTQTARAPAGDPRRHRGRREHRRTRDAVPGAQVCAGQSGRGDGRRHESFAKMLGLMRPAAGEPRARWTRAITVYSSSYVQIEAASSAKDASRGQPITAPPFIGCQITGGAHVEGKAQAQGRGFRRTRSKLSFHTYTRPTLLSSVLRKKIAMTYTAARLINCGRNHAWNLHVAPGDVRFD*
>EED84063
MSDTVKCANVQANGGSCTYPACNCAEPPKSKLAHGDCVAYKEVGKQEVAYHINLASAGLKHPLPLVQLGGFSALTVHSCGQPREPLSPAFGGPNSCKISQQPLAKGLFADPSDDHDCTYIFSPHLLAPRMADELGYQQVLDNNPNYNQTVVSSPDMHPLSAQCQLRVVYALIASAAASLALRRLGAVARRVGARAAVGLDVGALHGFLRTRHQDAKEMKETESYDCSSSNGSAYVRLVERLRQLEWVDGISRRLRLRMDSQLSDNCIRANQPPEITHLLYGTSYPQPGGRIPHIKALRPPGFLQSTTTQSTATPCLIQSSALTFRLTAAHAPTQPAIARSRRRANRRVSGGVLLNVTPRPPTLGTYLSIDLALPIWMEYYARRNDTSGRRMVAQLAGLQTTQ*
>EED84064
MSPATYPTRPLGRNGPLVSAIGFGAMGVGAYYGKTDEALALETLTYAANRGVTFWDTADVYGTSEATIAKWFATTGRRSEIFLATKWGSMDLTPGAANMYQPNSKPSYIKRQLESSLKTLGTDSIDLYYQHRVDPQVPIEVVMETLRPYVESGKVKYIGLSECGIDVLKRAKSVPGVGEKLVACQMEFSPFELEIEKSGFVAAARELGVAIVAYSPLGRGLITGQYKSRKDFEADDLRQLLPRFSDENFPKNLDLVEKFSVVAKKYGATTGQIALAWILADYPDFIPIPGTRTVARLEENVKPAEIQLSAEDVKEIREAVHAADVQGGRYPPEFAGIMNDKCIPLSEWKGE*
>EED84065
MTATKKNGLATAFVHSAPVPLDEDTDSDFNPGKIALDAPKRGRKRRSPSMRHSSPPHRKTNRRKVITRDSEKKDDLGLESASENEDEADNEEEPDDETESDKEVQEDDLEPMELQTLNSVMKPTAYNPQSRASQRDKDTTHSVAESETETETDAEIASSSHPSSKRKSYDHLDECGAPPKKKVRAEYSQDESVTESESDVDPVSTHTTRTAPQHLQSSLNKPDQTSFDSGSETESDSEAEELANKFLQPRPTFPLKPDQPSLGPLILDDSHKAPGRINTFLREYQRDGIRFFWERYKDGRGGVLGDDMGLKSGNVNDLNRRRKHVSQLQDRPEWQNDRILPPANQTWPTCLIIAPSSVVGNWEREFETWGYFEVGMYTGPPKERAGVLNDFKMGRLDVVLTSFETARKDISLLDDLAWTCVIVDEVHRVKNPRAAVAMAFNQFACTVRFGLTGTAIQNSFAEFWTILDWTNPGSVGTKRQWDGYVSRPLTIGQSKSAAHEQRTKAILVAQILKEKLLPKFFLRRTKDIIKDQLPGKDDQVVFCPLTPKQTEVYKRILAIESVQNMIHHVAVAKHTSIAPSDSPEQTARNRELSRVAFGSETIPKYGPAMLVPRFCGKWMVLESLLADWSKDVSNKVLIFTKSVKLLEMLEFHLRSRSLGFVKLDGSTKAQDRMPLIDKFHEDPDVFVFLISTMAGGTGLNLTGANKVVIFDPAHDLQAIDRAYRFGQTRDVSVFRLLGAGSIEELIYARQVYKQQQMQVGYNASFQTRYFEGVQGEKTKQGELFGIKNIFTLHEDTLATKQAVERAILSDFNWALANMDAKKRRSDGKATRESEVAHKDSDNMNGLDSLLFDDSIPKVEHKVNDIQKVLNDIGVRYTHRNEDLIAESVIEGQKFQTTMEVTKREKKERKRKMAQDDQAAKQLIEPWPPVRRRHQPKLTAEERLKARQTSMVELGHIAGPQQLHSFLESKFMKWTIEKQQQFMAELDAHHATRNRTKPT*
>EED84066
MEEGLLGWRKLAPRDRAVVPQQIAGLLGFRRTAVVILTASLSDWNVHGFATLVPKPQRSVQAYGPPQGDASEAPRMIVMPGTTMPSRRHTRAARLSARFLTLDEHTLHERERAASPIRQMAGAAAASTPTGRALPTQIAISPAPRRRCRNVLSSGAAGGHTAGTAPAPALALAHLTGSTNRPTAGLQAAQEPLSARPRCPWRVLPAACETSSRCAVPRGISGSRPHQNGSLEPPLASGVQHLLSSPYGVLCIPGAAGEGLLCHNVNANAKTHATCTGDASRQSGTPPHSNGTFSNPRAIAPLSRTDDRMTAEIERWITGQAPKPIWPYASKYASCRRALTDITITGDVPTAMQAPSSAIARAREAGMHAHAIRARRCELGPGPGPGRLRGSVPSPSVDGAAHPEMAKRLARAITHLQNQAVARRARRTRGAGAGGKGKGEARHGRLAFGFGFGFGFGFGFGFGFGFGFGFGFGSPHGLMTDRPSQDAEVKKQKQPCRGAGLPGCGVATASAIRQVSVSCLSFAGQPAAAGAGLAPADSPELQLRLRCVLGTHARTETGKMEGDRKSNKAVDTIYGNNGARSRAQPAERAPGGRAASLCCICICA*
>EED84067
MNLQMEPVAPAAVKEYDMIIEQIYKNAMLVDAHILRFVCAYSDRLVKKALAAVEFAKHLKALKTKGEVYGYKDLQMMDNLAGNLRHFAAASYKHCLEIGMLCNWPTRFIDRKGVLYTTRIHHVYGRSSRHLDNEFVPHILTNMASAFKANPLRAAPKAHTFADEPRRDVSHLLRQAVDVEKRRYAFNRDAAQFKPHVPNIDGRAPKAEDLQKAREYIELLMETWIRPTIAMLSTVHVPSEIMQEYNRYFKELRDYTNMTEANLPHLTCALPHNEIQLMLVHCTTDIVITKHLPSRISDCSVKHQRERHVNGNPSPFGVELMENRVTTTLKKAAGLF*
>EED84068
MRNHVNLSRATQFTRPTADCQFVVHGLVVAEGELHRREVSYIINDVVSSADGQSHSAAQDYGKAHNPAFGPAQIRELTDIFVEKAQQLRDMWRKEVAEGTARIDVQGGLTKMTLDVIGLAGFNYEFSALNPDGKPNELNTAFEVMFSYLSEFERSYWPLLRSMFPIFRRIPDGYTRRTAAAQKVTRRIGMQLIAEKKEAVRKAAQSSDKGVESALQSRDLLTLLIKANMSSDVPEDQRLSDDDVLAQVPTFLVAGHETTSNATTWCLYALSQQPDVQHKLREELWSIPTDNPSMDELNALPYLDAVIRETMRLYPPVVGTIRIASKDDMIPLGTPYTDSAGRVHDSVSIKKGTSFAIPIVLMNRSKALWGDDALVFRPERWESLPEAVQQIPGVWGNMMTFLGGPRACIGYRFSLVEMKALIFALVRSLEFEPAVPAQEIMKKIGLVQRPFTNDVSGTLAREWDKDIRCMDD*
>EED84069
MDRPQDVYAVIGGSGLIGRQIVDKLKARGDTVFVLDVTQRHDDVPFHHVDVTNKDGLRDTLKRCGATCVFHLASPHAGTARAEVFWKVNVEGTKNVIAASVEAGVRKLIYTSSSGVVFNGKPLNGVDETYPFPAKHMDVYMETKAKAEELVLAANGKDGLLTVAIRPCGVFGPGDRQLMQGLATAFDRGQTGTQIGDNTNLVDWTYVANVAQGEILAADKVDLPVTDPSMAVAGEVFFITNDEPWRFWDFTHKIWDKLYELYPGHQARPEPRVIPAGLGMVFAACSEFIAWLLKKPPIFTRFNVIFMSTPRWYNVSKAKRVLGYKPEVSVDEGIMLVLNVCISILP*
>EED84070
MSTSVSIPPDIIAFVSASTPTLKNLTISAVWLGVSIPLLIVLFFFSTEKLRRKPIFILNAISISVGIIMGLLNVVLLVKFLLTVQITTTKVLLAIFVPIALLKSGRLANLILWVHRYSILIRTANYTQLAVLSEGLPEATVEWTLQVVDNATSSSLFLWRLYKNARLPAGLSRNNGKSSSHWDDGSQTLHGSSQVSMRFATNSDIDTTRQDTVTSGNTMRLGVVPDEIILHSFKSSNDTDIEDNKQ*
>EED84071
MSQNTNTPLMPPHSHSTAPSFDPSEVHSLWRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADIRALYPGADDTRKWSLADMDQLIGERACIGIHNVADLGCYYRDFMAIMKHLIAQHRLSTIKQTLLTRLETQLHLKHPDHYADDPYTMAEIHAVAMFILHGTSSTPTTAANQAIASTSNTLTTAPPGMIKTEDISMIIESLLRTIATLIQPTTHAMHNHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIQDGKRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEVLERELLQLRKRREVFDGVEILQRKKPTTPVVMRSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAASPSPPTQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPLKPSNDKGKEPAYKTIVPVIQPKLAKEIFQRSMKSPFVTLTPEELLSIAPDIQTKYRDAITPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVAHDSNAIRSIIALIENKEQIECIVDPGSQIVAMSEEVSEHAIGKRRGGPIVRTHSKCSLPHR*
>EED84072
MAACQGQVHAFNSWDLVDVYIPDSPDTLILTCEQQPCPNRTPRSIEPQYKAIRRAQHPVGPCSTLASQSTSQHSRPVFPSSRLPQTVAGPSQAQGDLPPDPESEPELEEGASKEGISEPKSAGSARPASPTALTSTSAVPNVRDPPPHQTSSGAFTAVSAERPLKHLLLSKFNQWRATTAASAPRRPLSPTVMIMSSPAIAPNKETLKLLLPLCYDSKTVIECNRFLSQLRIYWLVNTSLTTIKLKVQVALSLLDGDAHAWATPYFAQLVSVQIGVQGATIPFRNEAAFAAAFKARFSNLDDEAAAQVELAKLCACHDLGSSDRGNTD*
>EED84073
MWLPAKVKFTHSTLRTLSTSTSQTALSLPSTIANSSRALTGHPNRSRKITRATRRSDEHNIRSALEAPLHLDLPRGTLALSPLPPTFPKLLPSPVEYKEISLQTLHQSLSLRRVRVKKESRSPSPRILLGPPRQQRSPPRQQSLTGGPPQPPPPPQHPPSPPTPIMLFPVATPDKETLKLLLPLRYDGKTVIACDRFLLQLHIYWLINTSLTTIELKVQVALSLLDGDARCHSFPNFT*
>EED84074
MSSPAAALDKETLKLLLPLRYDGKTIIDCDRFLSQLRIYWMVNTSLTTIELKVQVALSLLDGDARVWATPYFAQLVSVQIGVQGATTPFANEAAFAAAFKARFGNLDDEAAAQVELAKLCADKTVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQDADKRATEVEQILDISRARRPELNNFFSTRGRGRGGARGGAPSTHAASASINRPSEKATSPAPVSAVGSKGTDASSAPICWASRLPVAPVVPTTGAINRRLYDSRKVAATFSTA*
>EED84075
MEGEPSSSASRANTPANRSETPVCIKATQSASYMEGNLAAARRAVMKDLGTTAPEVDADFFQTYLLPRLPVGVDINQVVNELHKEDIKGNRWVAFQKDPKNAGGKENVCFKSLETVAAAIEKVAAKMTGEEPLLKFVQNPDGAPRSKMRTSKSRPDGFFIRNSGPKGRFRWMDIALSAEYKKVENVTTKDDLWQDVRKVIWSMHHCMREDARRRFTYGLTIENRTMRMWFCSRTELLVSTPIDFMSEHHKVAHFFVAMMYAAEHEAGWDPTMEYVRKKDGSDDELEPDIEGKPRLDIDVRDQNGTVVRYRTIRWLSDDGANGLRGRGTRVWEVCILSDDGEEGVDRFALKDQYIDADRQREGMIIEELRNTETDNATRDIIDRSLLTVEHHGDVYVEGMLDHTRNLMTRRGEPNPHFSFKLQQVPEDGVEETTTAKLSKQTPAGVGHYQTPEELRTPPAQKNFTYHAKVHYRIVFKEVCKPIHEYTSLKDVFYVLVDAVLALVALHELGWVHRDISIGNLLGFILNGVLHCKLSDLEYAKRMDDRSGHEIRTGTRSFMSVEVYNLKYRFTSSEAEAKGANLPPNVIDSNQAALDWFESFHRGSPVNVDVPISKDGPRVPFRYNPLNDIESLWWVAIYFVFNRSVVKVGGEPPSMDASQRGHYFTQEAYTRDLIATPHARFAALTDKDDLREEIIYLHAAIRDIARHLNEIRKKLVSCYKEAEKKPGVITPTIGQDLLRGVFLPFFGSIVAKNLREKDIQVDILRNDPRQYVENPLEIPTQADRKDGVTDDNGPADDDGTEHNSDEGDQGSSLATLTREVSVGDVAAEATAKDGAQGVIVEDSDAGRSVDKATGPSAPQISTEPIVEGRSTRPQRKRAPPVRYTGTEYNLKKGTASKSASSSSAPTTATQAATGQSNTKAATKSSTKAKTKAKTKTK*
>EED84076
MSPSKTHIFLIGATGYIGGSVLTRLQSHASANTFDITALVRSAEKAKVLQEKFGVKTVVGSNEDLDKLEALSEQSHVVFSCADADNLPAIEAILRGLRKRHASIGDLPILIHTSGTGEIATPAKGMFASDTIYSDTDVEQIKSIPPTALHRDVDLAIVAADEQGYARTHIILPSTIYGQAKGPLFDAGVSNPFSIQIPNLIRASIGRKQGGVVGQGKAIWPDVHIDDVSDLYIVLFDAITTNPEGVGHGFEGFYFGENGEHTWYDISKAISKALVELGVGGTDEPTSFTDEELAKYWGSVDAGNYSGTNSRCRANRSRSIGWKPKYTTADMLVSIKPEVALLWEKAQKEGGVQLSTSRLHFKKFDGSSMEPTTKSSK*
>EED84077
MWSVRTMPACLAVLALILTCRVYSGPRDRRRDLSFLPRDQLAPSLLSRSPRPTLPSSPPTTTPGSLSTFSSYTPIFPRDIDSGHPSTRCRLPIGDTMATAPPPNHDPSLAPTGAPAPPQPPPGSQAPPGMPGDAAMPNAFGNLASEQVMAILSKIPGFGNMRGDVKDSAAAEALSNLAAQASFQPHHPGHIPHPHQPPPYHVVHPHQPPHPHGLGNEPGPSTHPRGPPDLGQLAQTALQNPPPGGPPVSAPMRTRSQDAPDAPPPLDGDASLGANGDLSPEGTPDNTDIVGAVKSASGGRRGGRAANMSNDEWTRQRKDNHKEVERRRRGNINEGINELGRIVPNGSGEKAKGAILSRAVQYIHHLKENEARNIEKWTLEKLLMDQAMGDLQAQLEEMRRMWDEERIARQRAESELDVLRGLQQGLPLSAAAAAAAAAVASANANAPMPPGPAPAPAAAPNAPSASATTPAPSSAPASAPAAPPLEARRSKSPETSKDGNKRERDESDGQDAAAPAGESESGAKRQRVE*
>EED84078
MSTSKTNIFLIGATGYIGGSILTRLLKHTSANAFDITVLVRSAEKAKVLQEKFDVKAVVGSNDDLDKLEALSEQSHVVFSCADAGNLAAIQAILRGLKKRHTSTGDLPILIHTSGTAVIATQAKGIFSSDTIYSDTDVEQIKSIPPTVPHKDVDLAVIAADEQGYARTYTILPSTIYGQAKGPLFDAGVSNSTSIQIPYLIRAALGRKQGGVVGQGKAIWPDVHIDDVADLYIVLLDAITANQAGVGHGWEGIYFGENGEHTWYDISKAISQALVELGVGGTDEPTSFTDEELAKYWGSVDVGNFNGTNARCRADRGRSIGWKPKYTTADMLASIKPEVALLWEKAQKEGGV*
>EED84079
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDKQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVKSLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKECRDLRSSDWGKPNSWGRPTDSYRSDGELRSNLRLGTSNILGQEVETGVPRAAEAGLYTGEVKGRLCALHADAAPGAVDSDASISGK*
>EED84080
MCSLRESPCRKPNLSSSPLSTSRQACLSADRRQAPLGPDSPLFGTRIPPGTSTQSHNTSISPSTLFDTFDAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED84081
MSRRSYAGSMHERRISKYRVSDEDLMSYVLRVALLSYQLQPKAQETQVEADPKDRDYSARLQGALQSSILSLGDLFKDPRDGGKGVRFPKDLLKVLEQKLQDIAMGKDAAYSDQLTRRTMAVFYGQVKDESFRRQMKENRKIEELILMFATNATNVLKKEPSLAGDGWKIELNKHIAYFVRLLRDCLRHLSHVSPELTARLDMYTEKLAPSQAPSDSGYDSSSTSRDRDSMISTKRTSQNLSDMQLVMVAARLFKIPESAVQKEVEELSRFCTEKAALTDLKVSIYTSTCLKNINAGATFPGRREDFSSEAAWQYWRTLETSHLSQLMVVMVQVNPELAKSTGSEGSPTAANGRPGSMYSQMSSRPDSFYASGTSRHASISSRHSLVHTNSITSDDFGTIGEVGDDDDIPVGHHFTFIPLNPRKYYKRLLEHCLVADLEAMLSPNVGDDDEVSLGILSSPHIELINECQPYRVACFLDLVRQFFERNEVPLECIPEALQNIQKVLHELELDKWPIQDRDYLAQIYGGLFSIFLSLLYHAMESVPNLKPSEIAPYVHILETVQESGLLEQYDVDVTARMNDVQEQVRSVSVRYYEQKSRELREAPGVNKALPHLLMTDEIEKVAKLLDKRFPEPILGSDELSDT*
>EED84082
MERKPESPVHPRIAETKLSETPLARKATSTDAYLQGNTNARRKAVIEDIGETIPEVSVKYFLEALVPQLRRGLSVDKTIKKLKLKGDITPDGHWALFSVSPGKAEPVEDVYFQPLVDVAKSIVSQSGGNANSQLLTLVQRPREKPMAYVRSSETKPDGCFVLKSKTPDAARLRWQDMALCAEYKKQDRLKDRDDNVAKVLWSMHQCMREDARHRFVYGMTIENETMRLWFSSRADTFVSKEINWRTDHETVVHFFLAMMYANEAEVGWDPTIAYVLQDGEFVPGEDGAPQLEICVQDESGKPDVWYRTHRVLADHGAHGGPGRGTRVWEAYELTGQHGEKRGNPIALKDSWIDHDRPKEGHTMEEIHASVKESNPEDYHLLQKSLLTVLRHGTVSVKIGRRWEVDHTRDLMRRELDPSSDYGSFKLRELREDGISSENIENTESNFPSNAGTGHHRSHAPQQRPSTPAPRLHHPRVHYRIVFKEVCVRICDLTSLEDIFHVLIDALIVLIVIHGAGWVHRDISSGNVLAERLPDGTIRGKVNDFEYAMRFGDTQSEPHEMRTGTEDFMAVEVDAMRYSFFNNQPVATRSNASRLPLGQLGVAAHQGHRGQNQTPADAKPDQPVSKRPAFQYNPLHDVESLWWLCTYFVFNKVIVLVNGVGPSMDAGLEGSYNKQLSYALQLFWTRDGRLRAMTRPEEFQNEVIHLHAVVRTAGYLLDKMRHLLQDEYKHIEETLAPITKKVAFDTSMEMVFELGNIVASVGGLTIGEFKDDPRRGYLANKTANTALSPDQISPPMTKTEGKRAMIEEASNLDESDDEALELEPIMPLFHEEQTTRDASPSPRPFSTLQPWDLKGEMESIMPPFFGEEVTRDASPSPGPSSVPQPSGLKGRTTSKLKSMLKLKPKTKGK*
>EED84083
MSVPLLPAAKATTGEVTFSDGRTDAGQSSSWLRCTTTCPSTSSSSSREEVVQLGPTGPADVEDLLDLSGALVSGLPRGEGFELDFVIDAGGDTAQVPIPGTVRQTLEQRREFCSGALLAHSFVSTELGELHLCRGSVVEIAKMGLKCLKEGCFVGEGGRRSLDPDLDGGKLGEDGGDPSSRITIEKTEGNLNLQLKGREGRVNRPGRQRERTLSATRRTKDCPRMEATVVTVCRANFARNECSSGLAAATAVKTIEEAWRRGDIGQGLKSRRGLWTMSWPKNAMECRGARPWEVFPTVAPRRAGGSLEGSLKAVRLPQFLEGDGDLLVLDLYWRQVGGCGCLERQVETGQGVPECRATGVLDSSDWHVAPFIGSDVLKFVRDPKRERSTKIYHVCLTSVKRVRNIQDGSRKVCEVLQTMPNTVACARHNLKFQHTPSSAKPSPSASLTFTWRIPGSGLCRAPIPGLRFVAVIDLPASLLRGFDNRGSSGSRPGAIVENSAFSASMVPRLWLWMARAKSARYYKQCQIRWHALATISSSNMMDRGVRLGHGCRLQMKKGARFSLLSGSSQETSTCSWVSKGIVKVCTTRPMGEERAAEAGLYTWGDKGRLCALVHAQLVRAQHADAAPGAIDKDLSV*
>EED84084
MTNRKELRSVEKPSETSATMDTFYHQCQFQISPMAVCGSRQVPAIIPIIQHIPGGAPDRLLDARDERFESYATSAPREPGGHKVKEFRNGPHAPDGGSMGVASGTDIDIDRELAGTDEAGECGCVDAVYCDGVTAVSVECRRGDGWKVIHERGVGSEEGVGDWRSSAADAAREAGAMLMLGLEPLKGRNGLDADYTIEGIRAVAEDGALRAEVGRVWARADAAALRAAPEEEQESSEQRKSAKHAADDRAGNGCAVADRTRELDDPEAVLDAEPDVPPDERGNDAVKVVAGIDEKVAEENVADVFGKDAEGIDDDKIEEEETTDNDVEVCDTGDDAGVELEEDPAEIVLEGPGELIDEEAVDRNTTYLEEEADAENTEADDDTEDESDIEPEAEPGEPDLQGPSVDPEGLLNPLRVEEGVLLAREKEDET*
>EED84085
MSTKVSQAQYTVAIVGATGDLGKYVTAVYLSDQYRTHFSKVIAVVRDPESPGARKLAEAGAELRRVDAANALSSFTRAFSGVDVVVNTVSNAPVRYHDALFDGALQSGVKVYFPSEFGLDYKYADLSGYGKSVWDEKARHVSRARKLAQNKVKIIELDVGMFIEYVLIPWFGFDATNLTFAFAGSPDSKITVTSKVDIARATARLSLLALSQDTAVPFEGVIRVAGQHVSYRQIADAVERVRGEFGIEPKKVTLKITDLGPYKATTREEEIRTGTPDLNKLIKILIGEGKVDYSRNNHNELVNPGEKLWKWKSVEDHLLYHWNYLFLHDSAIVGFDTKNLTYTAVGSLDAKTATTTKADIGRALAELSLLALSPELASQVPDDVHIAGDNVSYRDVQGIAHRVRDELGLNKGDIVLKSEDLEAYRATVREDQLKKPAPSPLRHIRILIAEGKMDFSKNHNELVNPGQKVWKWGTVEEFIRAKGSKFFDREDSSAKMQPSIEQLQLINVELQRRKVDAERDRDLFRDLYGKASAHAGEVSKENNELQERLVIAEGQGTYEERVRLLEAEVAKWKAQCELLVSRDQRTDDEVRRRAALEPELREENERLSHLLEALQKDYSRMEGELAALGEKEQPETDGVLPAPIVSTVHTATVAFM*
>EED84086
MSTESSSAQPVVAIVGATGNLGNDVAEAFLNHYRSQFSKVIAVVRDPTSVASRKLAEAGVELRQVDQIDTVPSFARAFAGADVVVSAVSNAPLEYHDALFDAAFQNGVKVYFPSDFGVDYHVADFPGYEEPLWVAKAQHVSRARELSHGKIKIIELNIGMFIEFILIVSQMDHFGFDAETLTFTFAGSPDSRLAVTAKADIARSLAQLALLALVSSSVPDVVRIAGQNVSYRKIGQAAERVREERELKPKNVTLKAIDLEAYKAATREEQVRTGVSDLHKHIKIVVGEGKVDFSHSNHNELVNPGEKWWKWKRVEDYARELGGRL*
>EED84087
MPGSYYRYRMADRSQELFGAWRHRGARAKRPTSAVLTGTIRGRRSSASTPIASPDWAENPRSRKMVVEGRKTPEPRAGKLACAESDGYVRRARLLGSSNSNTTMAKHRSSVVMMGLRVRKVFGFAHAVRWGYTQEKGLAIHFAACGNVKELDSSRDFMLTVYETY*
>EED84088
MSTESSRAQPIVAIVGATGNLGTDISEAFLNHYRPHFPQVIAVVRDPTSANARKLADAGAELRQIDTTDIVLSFARAFTGVDVVVSAVSMAPVEYHDALFDGALKAGVKVYFPSEFGMNYHLIEFREYKEPIWDAKAQHVAHARELAQGKMKIIVLATGLFTEYVLTLMPPLNQPWFEFDAATLTFAFAGSPDSKLAVTAKVDIARSVAQLTLLALSPDSAVPDVVYVAGQNAIEGVSEEFGLERKKVTLNAADLESYKIAAREEEVRSGKPNILKHIKIALGEHKMDFSYNNNELVNPGETLWKWKRVEDHYQFGNTKYCFYEEVVKPWDTEHLSV*
>EED84089
MERFDSIAGQIIMWANKSEGERDARTFVQVFRLVFYHAIDDLKYTEVYARLSRKMMEQISANVQDEGIKNNEGRPIAGGQLFRKYLLNRCQEDLERGWVAKEATAVAAATKASEDRAAADTNPNADESALYSEEYYAAQKAKRQGLCLIKFIGELFKLQMITERIMHECIKTLLGNVENPEEKEIESLRMLLTIIGQSLDTPKARAHMDVYFSRMKEWCKKSNVNLRMQFMLQDIIELRERKWVPRNEIAAPTTIAAIHEQAAKEKAVHEKEAYQRTLSMSRGGSRRGGERGDHSQIGPDGWAGAGGNVPRAPRKAGDLSNFGRIQKAAPMTFGPTRVFARKKENKSRESTMTRAGSQSMFPKLMENPELAAETASSKSSPPPSRKDRVYSGATGAPEAPFQRRKLQLLPPTPSEAGGDAPSAPP
>EED84090
MENLLRWGIEHSTRDENGQPVTPQPRQDLDPGVIDAILGKPDSVLMQEALAAAVDERKDEDERIQSLDNFEMLIEQIDNANNIEKMKMWETLHGLLTSPSSTDSIKMQTLWIAGTAVQNNPSAQASYLALSPMPALLSFLGPSIKSGKLRSKAIYALSGLLKHNAPAVKQFEEAGGWKILKAALEDPDITVRRKTAFLLNSLIVPAQPVPEARPTPPPSLSASTGPSSTSTSVTLHPSAQTSAPSEPVHPNSHAAMLANPSSFSTSPATLKALEEHGLLQALVSAVTSPTPHGVDGEHEGDADFDEKVMRSLHTYTTTCDGKFSDDQKRALHAYIEGEIAKADTEQEAAERWGLTTDELRSMRRAVE*
>EED84091
MGGTSVTNAIPGFYYFAFGIFEPVLALAIFVGIVADPLKIHNQQGPWRVDPPAELSTATRISVLQLSYLSAVVGLTNIFVIHAARKHLASNLPLQETIIKALLWPLLFGDVAHFSLTTYALIGDGWDIAEWPSLVWVGCGIGLYLFVARVAWFAGVGRYVEKRDGKHKRA*
>EED84092
MRFPSLWSSHRPEPSDADSTASGTAPTLPTGTEDSTRLEMIKVVTPDDQDTRNNKEHTATTVSVDQPVAVSESSLQDDSSASIESTNVAAWSSCAKVVWEHEEAIVTKWKEGINNLLLFVSLVLFLAGLVKYLWTLNTQIAHVITLLVVLSLSIPVCTPFISALVPGCPFKSRQSWWWYLVLRWPGNTYRYLLWVFGRIWPPRELIASASRLFFKMLVEADRMIMDDTILMTVIWPCLWSGSITSALHAFYGILEHRVHYVDRSTSPPRYEWSPGDLDSKTAIWMGQNALVLLDGVSAASVDDRVDHCQRLIASLSQLVRIMPDTPTARAVYHRFLTTRHKLNNLPEVRGVDTLLSICDNHFRPREIYGHDVKLSTFIDTDGTTADDSFLDEVVRPLLNQVDVDSSLKAFYRILQHRAEHMDFSVDPPDLQWSPASWTSVRAITIGRLCTQMLSRLLDGVLGFHEHAMAIMQYLNEVIVVIPAAEPDIYRRIVELSTDDKYKLNISYELRVQLLSLTSKRTPTSHADANWKSSMVLGHIALDLFTRTCEDTTLVDNDCEQYQSTLLKKLHKLFDTMPVTETQVFNRMLNLFTSPQFPSKRWEEAAELVSTFSKSFKLTFIGMASEAIS*
>EED84093
MSASACECIASANELLAAHSALVSRVRSAQKQHRRQLRTLPSPTYGLIKLPLIPSPPRSPLSSPPSSPQLPPKLKVARQELPPAKRARALRYNNYVPEEETIRNDYSQRYVDGGEWPQNWVLGADPEHRFEEYPKQQRLLALKKASVASHALPPTYLPFSQLSSIHPSKFDVILIDPPFSSSFTWDHLQELPIPTLAADPSFVFMWVGSGAGEGLERGREVMARWGFRRCEDIVWVKTNHNSNRGPGVRPIIVPTLTHSLMFARPDRPTNDLIADTNKTALPDGYTGDNTDVMIWEGDASDPTLKPPEMYTLIENFCLGLRRLEIFGRARTLRRGWVTALAEGEEERIEQGMSVDADGTSEQQEFATLWNRESWESGTREVSNGGKPVVPMTPEIDALRPKSPVRGGSGNTNAGMGGGAPSVSSPLPGTVPLAMPMGGPPANMQNGARGGFAGPNRMMMQPVMAMGGMGQNMGGGDMSMMGWPEMGMGMNMGGMPGMNAMGLGMGQQQGLGFGGFDGGGMQQMQQMQGMHQVPQGGMGMGWMGGGDGHFVDPGAGMWDGGMAGEGMMGMNGMAGNMGMGGNMMGQGMNGMGMGMGMGMGMGQWGEGFDGRY*
>EED84094
MSASSDRTYFNLSPALSRHQGGMAFPEDGGAIEHELTTFPGNIDIERGPPVLQDTILRASPAPPLEIIRVSNDRNETGTRRSAVNSPAVRSVGIPDGRNITSLPAIDGTARTINPPEYITACHGYDNAMSKDEKGRESVQRIFDDDETSEKAGSLNYEHDVKKLELGAMQIDKAQPGSQQVGEPTSVAEDPWDLCAKHVWEYEKAMVTKWKEDIGNLLVFTGLFLTILTGFIIAFYPMLRPQPPDPTTQILLIISAQLAMVTASLGQPNLTEQQVSVLTGVGATTHPTPSVLSTGNLWFIAMICSIGAAAIAIAVGQWLHHYVDRTSSVPRKSVHIWYFRRRGLKEWHMQLIIDALPILLQISMALFLVGLIQLLWTLDDIVAATTTALVALLILPPVFTIFMPTFSPECPYKSRTSWWVFRLLRWLIASTVWLKVSHLKLLSTGITSMPNQIIQLCGQALMSMIQLCYLPLRMFRWYAVWVKWYVSAAKVANWREFEEYAVRSQSSEEQAENILLMLAEADEIVMDDTFLTTVVQPCLRKSNLPDALPVLYRILEHRAHEIDSHTTPPTLRWHSNDRDAPAIVAMGDLCVDVLSKYPTVHADNSDDDQKRIMHNLLHLVRAMPPTDSARAICRRVAELVQLHGEKWFRWVLNGDIVSRLHELSSGPNIKACICIDIFAKITRPKSHFFDYESQQMELLDMIRRLLDLIPSTETSVYAQFAELLMKSDVSHKVGAKLAWQVRIYGHKFRPDIAGTRKLLTYLPQTDDGCHTQRVLGTLSTALHFVTRLPQGDLTQIRNNVDTALAATAGYFNAQLDSIVEDLMEDGGWFSFYTLLCVCDDLVRAGDNMFAPDVVSALQKCAERCPAEHVWYEDIQRHMRNTRELSKVQYLPRKDTEQILDADTQARPGLASSSHGGAGRTGQS*
>EED84095
MSLLDGDACAWATPYFTQLASVQMGVQGVITPFRNEAASTAAFKTRFSNLDDAAAAQVELAKLCADKSVREKCTAAEFSALFKGPADRFGYGDLELRDKYLSGIPSRVYRKIELETFSTWRDTEKRTTKVEQILDISRAHRPKLNNFFSARG*
>EED84096
MRIISTLGCGKEASGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPHPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED84097
MVRSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIIAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKITFTVALMRKDLGKTWADAYYEKSAGGVQNKKTALSLGNYVTCFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRAARDRPSTMKAWYDEVRNADAAKQGALTSRQPLPPHREEGSGN*
>EED84098
MSVDNRPRLPVLPVLLLQNAFLSALIAIRPKAPIKLAAFAIYTYSLGLVLTSTTGDLQQNYSLGCSFASQFFTAFHLLWLADPLNDLRHERDHTAPPALPFLRRMYWASCVITGPRGVGWNYQVANIPPRPSEPRWTFVRHQSLCAFRWYLLVDLAQTYQRSNPSFSHHDANLFSLSAQGYIQRCINIVARFAPAYGMIAMPYCLLSALSVAMAWSFPRDWPTMYGEWADAYTLRRFWGRTYHQLLRRYTASMGKACCRLLGLRQGSWASSYTQLYVGFAVSGLMHCGGDFMVSPKLFGASFPFFIAQAAAISLEDAVVGLAKRTGLQAQCPDGLVHALGYVWVFVWLNMSVPWYLNWLMRAGVIDTSRMPFSLVTMLVPTITTGAARFLSLMALSVKA*
>EED84099
MSLNKPSLPTIDAIRKKTFAKLGRDPCEWQAKVAREILRAEKDVICVAPTGAGKTLTFWMPLLFREDGIQIVVTPLNILGTQSVAELELDIEDGKYRAIVINPELLMKEGGGFERLWKNQDFASRIISIIWDEAHCVSLWSAFRTEYRDAYRLRYLLPHVRFVLASATLPEEIQIEVMRILIM*
>EED84100
MHALVDPTRILGVLLFLRGTVNRYWFINNPGELSVQTMAPTRTILVDMPPTPTVLDTEGSLGPSVHLTLFSVLAMMSLVSGAILSFKKTPSFSSIIPLLITVFVVFSSVLGLNVSVVLHIVLEARNEISLATDIYTESVSLFVSIISTMGRVATLYGQQLLLKVFSNSELAAAHNVYQYIVNDVVEFSQPAVERGREGMGEVYRVLPYARVVALFTLLMALIFFIYNTCPCLTRAIINTTTRLICGLPRTIFLLSKVIIKSAFFLFDLVDKFFIRSVFLCLWIVRVAFKLTGKLIRLIWQSIRHSRTAQVTPDSDDSCSHCLHCMCPRPIPEEDYVWDIELPDDSDRVSFKEIMLFLTLSLWIAGFASNKTRPISVAVFYAPSTVTSLVWSIKGLIFKMFLHLLAMTYETITSLQFILMASAYIVVKSRVRLAIPARKLAGWLWLAIYCAFTYVFARPQGQQAQPPVRNVESVMKTTQPVFVPPPTFRRGGEERKRLAEENKKRNRASGARGFNMGNRRRHGVNTHRRRAFIRLVELVVVSLLVSFELCIFARDQPATNRLIRQSPPEPFLSPEPRLKPIWPDAFWMCRARAWENQQTVADFWLTQDSEAHGLQQTLAELLKTRDPWDKEVEFSRKNLRRQYLRLLFAHPYATESRDVDTHLWRATSYPFIARYKEGITSLDRTIYGPPREQQPRQQGGQQRVVEYRKLLQRFRQFLSEEEKFWVQLITRIRRVFALDDAQRALTALSIVPDDTSATSTTAEGPTPRRNQHQFPAESNGVLAAAVTASSTQRQNKMTALGKALVRLGDIERYKEQYNEAGGRPRAGHEDGPPAVPQNRGRSRRGGAAGAGAAPAVVMPRMRNYEKAEQCYRQARMLMPHDGNSSHGLAILALYKKDKFDALVQYYRALCVRAPYEAAAENMQMTLNKALETWKGRQKEREHEEAKASASAEPPPPRVRVAAFEEKLVALHALLQTQCRRDDPASSLHVVSQQVTDDFRGLVSDRVLPAEMISKVIIMAQGALWRYRSARQSSSSSHRRSSATSITSTESHIATHLLATHRALLEVGVAQLAEAPEDPSNQDLAQKITAEFRRTLPALRLASKWVRANLKYLLQSLGTAPGEHANGHTNTRSRDRRDRGRRSTGPSAPVVGVREFWNAFMQFSSALSRTFPLDRLPPMAVPFEEDVELGGFQPLKTFVSSGGRSGSGSKAPSVDSEVLPEQVHPNEEQLMRIRDLLMDAQALAAAEETPFVLDDGRFEPAAGHAESKPVVQHVIDAALPQPARTNGEALGALTLQRDSVREPAVAWSDDDSMAETSRTEDDPVGDAFREALNGSDGEVEDDQDEIVWNLRTPTIPTKALVTTAEDLLKGLQRVGGHTRMPSAPQSQLLFGSGASGTSPSIWSTALDGNA*
>EED84101
MTQRAMDPKLHSSFVIDNRALIALHGPSLPRKDATYQSDESSTPAAPHSGMPAHRDLLLATSLPSRPDLHPQEPAFPNLSPAQVKHEEIPISLEELRQSHSLQRPLKRSPSPPWGRGWKGTQSLSPVTVQQLLGPAHRQQSRPRLQSLSPPSPSSPVMSSPASPPDKETLRLLLPLRYDGKTVIECDQFLSQLRIYWLVNTSLTTIELKVQVALSLLDGNTRTWATPFFAQLVSVQLGTQGVMTPFANEAAFATALKARFGNLDDEAAAQVELAKLCVDKLVREKCTAAEFSALFKGPADRSGYGDLELHDKYLSSIPSRVYRKIELKMFTTWEDADKRATEVEQILDISQALSSRLEEVDEVERVMVRPGRTERRPASTLLLEKEISPAVASAVGSKGTDTSSAPTVSNLAACLRRRLPVRRGHMFLFISAFGMMSLYRWTWSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAGENLDSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSPIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSRVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKGVAAPSSTSTSTAPPTTIPAAAPPPSSSSSTQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAITPKRVSTEPVASAHIVEIGANEGMAVNQLSCSGATLEPGATIVPNPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLMQSVIKNFADENQTITILCPNTGETVTIPTILSGVANRIF*
>EED84102
MDSPAAIENEVATTIQASMILEYCLLAATAVVVYDQVLIMPDMFHVLKRHGFSGVSWILSLNQITLLVKTICSIPADDAILRAIGDRGHIDHCLHNKWLTAATFLLGIVPAITNIYLYFMSSETPWTFYDTVFCSLYTSLSDDTLIKSIGGTWYYNFSVYKMAYKANIKHSLAYIILRDGQTILGWSMFMSLTADITDNINGH*
>EED84103
MYYISEYPKDKTFIKVLGLLGLTRIKVALLWYIFPFHASAEILWHYTIRVRCDLIESARLFNTASVRHQTLNAMFCGRVWYCCTDYLYCAMLLHIYDLDTTGKVLRGKLVYQWSLTILLNEIGYTEFSFVDLVRLQHPIFPAIFGAVKVTGILQALTASLADICASIALNLILSQNKSDLNFKTTNRMIQKLSIYVVNRGILTTLNARHYVGMDGRKYLSNGLTTMDLPDVDIPLSNDLPLVRRTKPIITMHRAAHQGTCTVQDEKGDAECQNCSLKEPGAARLEAAPTSANLTDKGYCKESDDISEIPADS*
>EED84104
MCGSANAMTMPFWHPDDASTEVQGTGESIYRHEVLETIESVMASLDEELRALSLDIHAHPEVRWEEHRTHDALTVYMKKKGWAVTKHYLLPTAWEARSTYGTGGPILGVNSEMDALPGIGHACGHNLIAIAGVAVALAVRAALETHDIPGTVILLGTPAEGGGGKAIFLEKGAYEGMAACLMCHPAPGPKHSASLSSCLARQILEVEFFGIPTVPNGGNHTVAFTEAAATVAAHRATLNVAKALAATGVRVLDDTDFRAEVRKSFNTDCRIRDSISEFIAAARGRLFTSASIPILMSILPHQGQAFLPPEIWDIIIPKTSRAGQRSCLFVCRSFYGIAARTLFSSVYIHLGLCDWRWAYRTRTREEIVQLERDNITRASALLEHIAHDVVFASYVRKMAVYVFINEAEDRVFKARRCLLSALKSLHNLTTFVVSQYRSSLDVDQECIDALALAHPPLRELHFPQDGPMNMTLGDLRLDSFDHLESVTLQLEAGYRVDGFTGYDREKDFLRTLTSARRDTLQCLKIGGNLALDCPDALAQVLHLRDLELHYSEGVDEVETIIQRCPNLHSFGLASYSGDHEGVMEMFARNSSALPHLTSLRYTGYDPLYGKTFTLDGLVGFVREKALLRRLDFAASYRWEQLKTLLPFLRSSQTLQTLGLSLQAEVFREDDARHLQAHLPSQIRALRVHVSIKSLEVDADKWHGLWTHLSELRFIYVHEEQHERGPVLDIEQLASRAPRLQVVGVNGRFREVERGSDGSVALSAPWPMFKIATRDFDCDDWEWLMFESTLPLWEVSVANGPRPPTTGLVTY*
>EED84105
MLNWILIVIARKQSNVSDIPQDSCRNPAYFVHGGPSCITGRSLGSIEVGGSGVPVAAGVDCGNISDKFFAARTAGRAPERRARDHPFTRSTADIKFVTADGVEFKVHSVILSEASPLWESMFRLPQPRDAEGETSSDIPAIEVTEDSVTINNLLSMCYPMQRPVLYDLDEVRDTLKAAIKYDVPHVITLLKEWALSFLRAAPLRVYDLACYNDCEDVALEAARVLLSNSSQLSARFPMLYKIGMEHLTAGCYERLLGYCKAGGRVDDNYRFCSPQPDQYAATESHEGAETEENLSNFLRPHAYDMAAADLVLRPGDSVEFEVHRLVMAASSPVFRDMLSSSSGRPADAAPVQLSVPACVVAPFLKLCYGQLSDEIVLHDVREALLLYATAQKYQVPSARVDAQVDALIDRDPLRAYFAAYCYRLEAQANCAARKLFGRELVPGTWCPEMETAPALAYHRLFRFLQACGENAARLTNDVRWLPALCALRINSRCIEKRQPAPSDPDARPWTGAVPTCWWTPLMREHMKKRLTAGLDIRMDRGMSRRQ*
>EED84106
MYLDLNLNETLGPALIGTIFAIMLFGLSCAQVMYYVCEYPKDKLLLKGLVTILWCVDQISSSAIASTSCRLLDAGATIVDTVIVWHYTVTFRNNVIDLAKLSIIISTQSGPDMAILQTLGAVLADICTTTSLILILNGQKTGIKATDRLVQKLTIYAVNRGGLITPHNSLRVKYIALQKNSLYWVIFHLPGSKMTGFLVMSRSKMITTNNDGPALRDANALSWLLDTAAAVVDAMTKNDIIRLVEVFRGTLRQSEYALAVGDFQLDGHTNLTAERFGQAPTIFIVQCYYIYTIWIPRIKYWLSAPTNGP*
>EED84107
MASAPKAVAAPPLTRAARTLSLSANPLPVRKEDLANRLGTPTNKVKSGSVGDNKAAEGPMPASTVDDMLSKSLAHALPPSIPLPPVPKSPSPIAANAKLFIAAAYKSQQARAIAKIARGTRQRRAFTANKENVPSFARPTRSSVTRAPSNVDLTAQAEPPKPAVSRPLFGDARSRSIAPSVTYGRGITHPAQAQNVTARATHLSPVDSDAPVRKMAKTPKETAVSTKNSDISIKTIQHIVPALKVELTSGGNTQSTVADMDLTPILNGTQFPTAPEWMYAASLSRFPAPKPASTKGATADRRMQADHAIRPAAMQPGWEKTLRAGPSRRFSPTSLDVQEDKERSRLQEVPQSSSVKATVTSPKASPASVLPSAEPTRAITAWWKRGLVSCSPAPVDAKDSSAAPLSCDNKISVQNGSSSKHVGLSLEEVPTPCDAPMKIVEFFTLSGDDSADSVREAGQQLVVLVVQVGGESGRMVTLTSSEDKIFHELEDLRVRLTTHTFELVSIGAVNADENKITRTLPNSLRTEPTPTYPNAEESEKSEDCSREAAHVKAISNDKSCQDTSSQTVGDNNFEDAVLKTTTARHVLRNCDIKAAASSLHSGETADIEHVAAPACKLVGPSYSLNTSSKAVHSPMFTTSAIAVYQTHALEQTSIDSFELQTTSIDPRSSFTADLWLTDIFSLKQQFQQRPLFRCCLSVYVVGRDSPIDTHFFRSIQDTKRLLFQRLELCVERILGFIVGAIPFHSDF*
>EED84108
MYRNKWVLNNTEVPLFFLDAQLFIAVILFLFAHMVGLLQLPLRLDLQVCKGLIPMVGLNVVGLSFSNYTLKYVDASFYQVARGMVLPFTVGTSFVILHARPSLRILLACSIVTFGFFIGVFLDGTSVSVIGVTFGVVSSMITAVHSVVIKKALDVVHGSALHLSWYTNLLSAIVLAPLLLLAGELPGVTALLFGPNISAPGEMSTLTTFTVGSAVTGVFGFLMSIASLLSIKVTSPITHMVSSAVRGVAASLLGKSLFGDTITSGRASSIGTILLGSIYYTWIKHLESLPQTRSANGHTYAQASLEKAEAGEGKPEEYNAPLPGGLGKLAV*
>EED84109
MQASRGVAIGTDDQTREPILNDLHFNKEVVFLGRDRTIAESITEDVLDDKLDVLRTTGPTKLSKQRTEQQHALRNDIMSAGGLGVIFVMIFSKSLPTVTFPPCLAGDGSSVTESPVTSNEASFEESIPVEHVQRTQQRLSTIEEDFNVFCGCIDCYFHAFVPTKVKIPHGTPPSLFDQPRCWEDYDVFCSSIAAGLNRLIQLSPKRRHLAFLRTQGRRLKPPVSIGNMDGWASSPTIVASLPGKDRAFYALPEWANVSIAFEVMQHNVDDPIGKDAVEHELTLTRIAHHAQNIFVAQNRLFVFVVGIFGSSARIFRFDHAAAVVSEAFDYTERLDILREFLWRFVYPLSTTSSVAGADPSIQIPEEVDIYRAQKLLDKSGKPPLSQAEQKMCRWITVRDTNGDLLRFFAFRLLYTNPSMFSRASCVWEAFKDGEKTGKTYVIKDAWRQLWFPAETDFYDVLHEGVDGVRHGSRSADAYDHANIDPCLLGLAQDYIGVDLGRREYLRYNAGCDSTRCVQFAKYPNTSRKSCTDACVPHRSLLTGHCTISSARLGVPLNSELERSHMRLVLKTVGRPISHFTRTYQLIEAFRDAIMGHQRAYEAGIIHRDISEGNVLLAEDASFTGFIGDFDHSFNWKTFLKRRGLEVSRESWEKYARQRYVAKNGPGTRLDEPGDHPLGERRPIKRWTHERTGTLYFIAVEVLEGISLHEARHDLESFYWLLFWLMLRHTKHDYPTGQKASDFYFKAPSDSALADSKRVWLIDDIPILVPGNPPLSKLLEDLRDLCRQNCLFSHLNNPIEPLTHEVMLAKFNEALASPDWPEEDPAIPLKREPQHSELPKSDLSDTNESHSIRRTTSTQAPQSIHWFEDRLIRSADYPVRYKHTRDLQNFGQKVAFQSRLSKRSREDDDDDDDDYKPVKRPRLETHAPHHPSSDLRAAEWWNLAGRLSDNCLKILSASTVLMSAACLDSRSSDK*
>EED84110
MSALSSSDFPSSAPLCRIPDRPCLQIPFVLALHTHLINTVVVEIIGHKLLKWDLRRGFGLGIHRRQAGSESKLEGDAPSALATTTATTATTCEPSFRLDFKLVELAWMSHVNKITAERSQRALLELVNQPGNGEQSRCLRGLQGAESSWQRVDGIGCSMNCASIHRKMGTHISKVKSLTMDTWAKEQVEVMRNTGNVKSNAHYNPDETRHPPPTNMIDSERDSDLEKYIRSKYEFKSFISRSAQVAAIMGPSRSASSKLLSTTPPARAQTAPVQNPPPVESTPNVPPPVPPKVPSSTTPSPASAVSPSVSGSQSQFRSASQPVPSSTLYTQPQQPFAAQAQPQLAPTNNTWNSLVSLQSPSTNTSSLPLQYTSSLPSASTSQPIQISANPAGSHLSPTMNPYSSLSASPSSPFPSSLATNQTTGSSPGGVGRSMSLNTGLNLSGTSVGTNSSISHSPFATAPTGLGFAPMGMPQTNTMPSSNPFAAQSHPAGTGGSIGGGPGVGYMGSSYNMQPQQPSLAPFTTNSFQQQQHQQHQQQQFQQQQFQQQQFQQQHLQQQQLQQQQLQQQQLQQQLQPSPFGQQASFQPQPSPSPMFQTQALPHTHMQMQMPTGTNPFLQQQQAQVHTPFGQPQATPPPQAFTGGNPFAGWQGQQSGFAGHQWSGM*
>EED84111
MDDVLVAGERQDAELNHQGYRVYQHEVSTSSLFLQRFQTQSHDVPWLGPLLVLHSRSSPLQLLAMTEARPIIQSRTKAGFQDSTPKLLTLTNPTGEMVMSRYYNRIRKRLTAIAAAANLKDADPGDDSDSESVYGSANSSQQTLSTSSINFDSRSELFGSWSEEIGALPQDSTTRPLLDAVNQVRLLSDEASRNSRRIIEEHSKLAGMMAARLEEAKRTGVLGRNDDITSTGEGICQSSAALCTKMRCLRDRLQNLSQVIEDIQRRNSKQQVSWWGRICRWIPNVLRAVKSIFTTIKKALRNLRGGPLPPSDVAEIVKRYDAYDTARFMRVISSGNIEETQQLSNLRHFLEELSAKILQVEDNLQEIQTGQSSLQLYVEAETSQLIIDGSGRDCRNRHIDAGASPSGKGKGKALSSEHLTSVDLGIDADEEEQEQAIAKHRQEIRGIGSSYWEIGFPDTQETSAIKERKWARVEAEARVTAVVEGATRSVDSLSGMSLALTHISEYLDTMYMRMQRTHANRVVYVRALRNDCNVANTHAPLNKPPSTRLFNFKELQYREQFVILCPCGRRKDTSLVARYHQDGIKDAILECRVHVVVCIRTPDSNGLDVGQLLQLEGNPQKTSERPQSLVCSPGLTMKPGPVAKSAAQSRALGPSRALNNTTGSLLSPMKVLMGQGTAIYTKVDVGNLVEGDKPAEELRLHGVVLINADKCLKKPLPSLALDFKPAWVALTLNSPDLGSLILKNMSSHRMELCKVLRMIIKGNYVLFAPHTQTIVGFYGALSAVPAAMLMPLPPETTDRIIDFLWDDQASLSSCTRVARSCAGPADAVAPFAFIHKMRMVHAQSSSSHCHADYRTRFVCALPQLTELVLDGIVSKGGQHSLHSSQATGSELRLTTLHVLSMTSGEMQKLFTWIQATPSVQQQTLYDLCIRPPIRVHSDWHASLGLILRDVGVDSCLNFNTGLSSLTLFYDLGEAMDIAAPRIANTVNSISAHSIHHFSFKFRCSLDPMWTIANKAENDIAQMGPLVQGLMARDVFDSLEHVDVYLLWYINEAISPSDIARFLKAADEAMMKTNWHERGILKVMHEIEMYKPPANSSDTSEMYAFLYHRLAGPS*
>EED84112
MRLNSNSSPPARSLSIWPSNEQVGLANRLGRRPGRRASHTYRLPIAAAVLHHLRVRHKITNFHLPSAGICARAHRGITVASLYSDVRSEALQFTRRISEILTGWLCENPKKVHIFIISPRVIVKTGWYTLGEFEPRAMEIVRAQTSIPVPRPLRIFKRGNTFLLAMEYIKGRSLDWCWDDLSLWRKFVIAWTLRGYIRQLRRVRTEQIERQIPGPLTDDLSKPLKCYGPAMGADYHCGPFSSATALFEWLNGRLRVTQYIREWGFDILPFVQREPLVLVHGDLTPRNVVLGHDGKLWLIDWGSSGVYPPWFDAAAMLYTQPQSSWWLWVRRHLCGDLLSQPPISAPSSKVTSRHLDAVASLIGKGKGKIVYSKHLTSVNLNIDADKVEQEQTIAKHQQEILSCQQWEPPLTPPGYWEI*
>EED84113
MWNTSANFNSEVWNGPRQDTSGWNQNAYAYNQYAKCGFVRYDQVWPVDPVDEIARTALGEMTKCSQAFNAWDSPLTAEFRGALQGLQSQSNAAVDASKEVIRRHLEFCHLVSDNLHSASQAGWVQYDQRIIGASNGINFASSDLCWKVKILQEGIDYFLRIVESVNTGAAAHKASWWSWGRIKEAVPAVLRTTGYIMAGAGTVLRIIHPAGALVENMMQAVQNLLSASANRMERSLEESQRKQQDISTIVRLMSTLAGQIQTVQEALVNVNTGQALVQLHNQALAMQMRIDVQLAAAASMQWSGLGMRLNSVMQFSPLMWQAPQNPPY*
>EED84114
MAFRSQIHAFDSQDLIDVYILDGPETILYCCQTQGDLPPDPAPEPEPEEGDSEEGVSESESADPARPASPTALAPASAVPDPPPPPQRPPSPPTPIMSSPAAVPNKEMLKLLLPLRYDGKTVIEYNRFLSQLRIYWLINTSLTTIELKRCPRLGHSILCPTGIGADGRARGYDPIRKRSGLCPTAFKAHFGNLDDEAAAQVELAKLCTDKSVREKCTAAEFSTLFKGPADRSGYGDLELCDKYLSGIPSRVYRKIELETFTTWQAAEKRATEVKQILDISWARRPELNNFFSMRGRGRGGARGGAPQSHKRQLPQHMLRLWEARVPTLQVPQL*
>EED84115
MPSRRLPVRSGHMLLFISAFGMTSLYRWTWSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTHSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEDPIPATLNLVLPTPSSFRAHAQPPIAASSRFSVIPSSDLAPPPPLAPSNAASNSNSAPPAPTIPSTTTSSSSSSAPTTTTNMSQNTNAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVGKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYVHPTRYIEHTYDSG*
>EED84116
MSQNTNTPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIKSFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFKIDDRSPMQTFTLNTSSRIEVLERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSRGVAAPSSTSTSTAPPPTIPAAAPAPSSSSPAQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLTEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLMQSIVKNFADENQTITILCPNTGETVTIPTYA*
>EED84117
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVLAYRKALGAIRDEETELRVAAAVKQLAERAPESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKEEEEQRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMTEVDMAAAAIEKRQSGQKRFEANFSIVRPLRRLPLGPGRLRVGRERYDLR*
>EED84118
MFFHRIGGGAGASVSPYREGTLGMTLPVTRGTEDCPRVKTAVVTVCRVNFERSECSSSLAAVTAVEVTEEVRRRGDAAVVAFRWPNFERSECSSGLSAESAVKAPKEVRRGGYAQALAQGLEGDLLELDWTRRQFGEGGSLRAVWDVDVDEVLRVECVNLALAGSHVGWGGGRGVKGRLCTLVRAQLVCAQHADAAPGAVDNDVSVSGR*
>EED84119
MLSSASYVCDPRPDYPLVITAKRYWISELSSDDPSALTLVLAHGTGYHKEQWEPTIECLYEYIGNDASQTVKVKDVWSIDAPNHGDAAVLNEATLRWGYDIFDWAEYSRAIHIFLAGLGTGVDVDFSKRNLVGIGHSMGAVAHILCNTFYPKMPFKSFILVEPMCFPQEPAGMTRDFGVFLTNSALKRRDIFPSREDALAWLKSRAGFKVWDERVLQAFVDHGMRDLPTAEYPDKKDGVTLKCTRAMEAACYVQHTNTGRVRGYNYLPYLCSTYPVHFLYGAVDDYIPAAVKEHTLSYGTQGKHASVKQVPNAGHVVVQTHPCELASAIWATLSSDPVPQWEKCKL*
>EED84120
MWAAGDRYLEDAKVILDSTYAASRPSTCQALLLMGYREVGIGAMAQAWLYVGMAVRMAQDLGLHKTADQWSCVGRVLFTPGELQERRRVWYGCVVMDKYMSAYIGRPVAIFERDFDTELPSTEEPDELETWRPHPSAPVVDDSEEPSFPEMTPMPGRILSCFSESAKLCGQSEFSRFEKLLSKWYLDLPDYLRHDPAASKNAAPLPHILTLHMQYWCTVLLLHRPFIRHISTDGSNRPTSSSSKDSEARASSRKNYDICVQAANQITSIVSLYAETHSLRRAPVYLCYYVFTAAIMHVATLMIYPDDTTARVGLNKTMDVLKRMSVVWGSAWRALELLQGSKVNLHNAQDPEILRARVPDRPKRSAEQPLDQEEDDSTRLMTSEQMYRQQQAFAGVGTPSPVHPNFSISSLQIPPAESSTYHSYDRWSSDNTLPTYAGSLSTSVLPQQYSTGLVDERISSGMTRHPERQGQRYPQYWNDYSALGQMDASYGVPVISDMVAQHAGNSQSDQSAMYVQDYTMFGLNIPPQAICLRLATNDDGLRTWRMLSPNLDFPAFDCMSDSILSGLWRYLAGSMVTTVDPDFFTDPGCAQIFSGLPNNIESAEMFGKVTSVGNGALRNKSRFSIISKLAFSNSVLGLVAAAGYFGPHSFGNVAPERLVPHLHILDWSTKTHWKAFIETSMLMRSYNG*
>EED84121
MSTARSVDNGIGHVLRYMAHNATCPTNGPHMIGQRVSPHPRLPTGGTCAWYPSQHYWDISLLATCADLLPRPDPGVLRHDGTNAGDEAHAPDAGVGQRAISAVESVRSVSAFCEFQEHESFEEQEPPDQVGCDARTDVLGSSHCAPRERPPRRTARRTPPQLTIVHTSRTPSKESDGRTRQRPGPSRRPPPVTTL*
>EED84122
MPVSRSTSDPAGTSYSLGGPQMEGTDEVLRQMEHLQGVHYPVLVPNMRGLDALLALLGTENPPVSKPQPAAPPLPSAQPLTDEIALFTAATDGFCQANTNCTVAESLARLAPVAARARAKGLRVRGYVSVAVVCPYTGRVRAEKVRDVARALLDMGCYEVSLGDTVGAGTPADIRALLETVMGGAHGVAPAELAVSFRVGDELIAHYDRPPPVTIFPLRASHS*
>EED84123
MFSHRTAARVLCPRLRRRAISPRTYATASDPNYVNIVEVGPRDGLQNETAVMPPALKAELITRLGRAGMKMIEAGSFVSPKWVPQCRGQMAHRPSPIAGAARGLAEAHGDCWQGWRNWRMVFFTLRGEKDSTAQLQTERR*
>EED84124
MSARVVADTRTVEATASPVHDGVLHVIRQDAQCEIAIWTIELALILFCTHRPFRLHLRFSFSCYVFCIYHVSSKHEHIIRSLGPAVSPAQAVPDDWDNDDEDEEGEEDQRQMWENANSKAPMPELVISGSSTTMIKSPPPAALQPTLRILKRPAATSSAHASAVPSDTPKSYAEREAQYQAARERIFNDGRARSQSGGTERDGLVSDSTSAKSKSGVPSVQIVREPRGPSAQPEEGQALQGNARALRGFTGRRHKGNTRA*
>EED84125
MSVDNRPRLPVLPVLLLQNAFLSALIALHPKGPVKLAAFAIYTYSLGLVLTSTTGDLQQNYSLGCSFASQFFTAFHLLWLTDPLNDLRHEREYIAPPALPFLRRVYWASCVMSSPRGVGWNYQVANVPPRPSEPRWTFVRYQLLAQDYIQRCVNIVARFSPSYGMIAMPYCLLSALSVAMAWSLPRDWPTVYGAWADAHTLRRFWGRTYHQLLRRYTASMGKACCRLLGLRQGSWASSYTQLYVGFAVSGLMHCGGDFMVSPKLFGASFPFFIAQAAAISLEDAVVGLAKRTGMQAQCPDGLAHALGYVWVFVWLNISMPLYLNWSMRAGVIDTSRMPFSLVTMLVPTITTGAARLLSLTALSVKA*
>EED84126
MLPRPTPTMSTSSRSARETGSRMKRPSYRPHSRPSSSRASAEQA*
>EED84127
MDISFALTGKGYVIVAADTTAARSIVKMKTDEDKIKVLSPHLLMAFSGEPGDTLQFAEYVERNIRLYQIRNTYALRPPSAASWIRRSLADSLRSRRPYAVNLLIGGYDTSTSTPHLYWVDYLGTLSPVPFGAHGYGSYFGLSLLDRYHDPEATLEEGLDTLRRCIDEVSKRLVVSPGKYKVKIVDKDGVREIEL*
>EED84128
MPAVCRIFDVPNEVQVTSLDLVSVTAQLASLVERRSERVKTVLITLLTKDAELPNDESCSDLAIAFFICRNCRRLYHYSKVVAHQCVRFEYVDGEGVDGWCLLDGCAKDPSTTTRQDLDDMSMRVGSIQSFDVWRHALNVGAREEVMWRIATPGETATANEVEAKLEHSSMNGYEDQHGWCSADMGSYVERKTEGLRTAHAREEKLPDGPKMLCAVAPARGMRHGTIEVVFVSTT*
>EED84129
MSARSATPASTPSLVNRRLASLLVVLEAPPTADATLDMVEEWAQDLSPLVLAYRKALGAIRDEETELCVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRIEEAAKRAKRAKAAEDRRLEDERRAQEAADEELAQIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRMLERPFAMTEVDMAAAAIEKRQAGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADSSGSKKRRVDEPPRPLLRRPLDGASCLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED84130
MGIFSSKSKKGTMSDNPETRQVEEMIASETKADQKNVDHALKDFKKADKTHDKSIKSADKAQHALDKAVKKEHKTAQAVNKAEHQHETAIANQHNASKTVELQRQHESVLEQDLQKRRNECEELQQRKAANDVGIILSPFSRGLTCSLGDADSLKARMLRHGEPEVREGTVATWGQVTEDKQTRRLEALNWVSRKRWSSDRGKPNKDAGGVGLGLTEAMLVRAQLVRAQLVRAQLVRAQLVRAQLVRAQLVRVQLVRAQLVRAQLVRAQLVRAQLVRAQHVDAAPGAVDKEVSISGV*
>EED84131
MSDGIVLQPAFNEALGPALIGTIAALALVMSIIDAASIYAHAQSQIVIYYAAAYPKDKLLLKGLAHPWYRLQVTILWLLDTAAAVVDAMIVWHYTIKTKNDIIRLVDVFRGTLRQSEYALAVGDFQLDGHTNLTAERFRQAPTIFIVQCYYIYTIWILFPNDDLLQYKASMSLSSFGKGCCSVYVQGELTIGLVTGLLQTSTATLVDICATVTLLFVLRGQKTGFKAYILQLVYDDPLMLMHITAGLIALFKNYQLCRNDEVCIILDNIPSPSQQELNARQHLNRSGQKYLSNGFSIMDLPGDPTSPDDIPLGDRQMGNIDHASRYIGYELFQAHTIHE*
>EED84132
MYLDLDLNETLGPTLIGTIFAIMLFGLSCAQVMYYVCEYPKDKLLLKGLVAILWLLDAGATIVDTVIVWHYTVTSRNNVIDLAKLFNTYVQAEYALALLYLHNLDLKCELDDMAILQTLGAVLADICTTTSLILILNGQKTGVKATDRLVQKLTIYAVNRGGLITPHNSLRVKYIALQKNSLYWVIFHLPGSKSADGSI*
>EED84133
MAHKFGEPYSGKNPVPKIATKLTSLVNPKKATDAKAQQLQSNQQETKQTVRGLAKGHAVHTTDPTTGEELDIRNANTDEDLDTRSKGENVLRHEFPPPDLDKHRETVVSTTSVSVLYICGAYMFSFLFAALFFSSARILCFSIVPPSLLAYTLLFRMRNISRSDFEDRAWHAERLRGLGARVDREGDNQIEDGDRPRESVEWLNAVLRGVWPIINTDMFAPMVDIVEDIMQSSAPSFVHSIRISDVGLGSNSARITSIRSLPDADRSGTDSIGESLGVDSQDMSPEDHDALGGDHVNLEVLFAYRGLPSGNAAASKGKNLHVKTTLVTLLGMPHITISATPLSENLPNVMNIPFVSGFVSSAINTALAEYVAPKSLTVDLQKLISGDDIKKDTDAIGVLVVHIHRATGLESADTGGKSDPYVTLTFSRLGKPLYSTRIIKGDLNPVFEETAVVMIDLWDSDRVTVDDMLGFHEVGIAGLIRQRGKPIRRISPLSNPDSKHRPGSIEYTVGYYGKIPPNPSLSTDGADPGIPNDLRNKPEDLAVRLSGKERKGKDRRVEKGQDEGEETQEEAEGLPSSYCTISLNDELVYETRVKPITSSPMFNAGTERFTRDWRKSHVTVTVKDYRMRENDAVLGVVFMKLSDIFINASEVTRFYSLEQGVGHGRIRISLLFRPIDAELSPNLLGFDTGTVEVRDVSVQSKLDLSNCEIRMKSTTTPTMEKVSRKIAEKRDDGWTVWVHDDVSALPVRQRYGTALLVSFRDTAGFKSSSRKALGVLWLRDLVDSDEGTVEIALWQAKHGDYSRLKLNYVPPDGNLDYWDTDKENVERIGSIFLDITFRPGVSERHKEMLSGAGAKKRAMWDAYDRQKAAGMRDEVGIEDERTSSNAETRRSQDIEVADKADTGASQQDRTMTDGPLNTTGGDSTSANQENATEGTNTVVSTGDIDDERKGGAGTRGTADESHDDADTSDNDKAKGPITKLKDWRQHERELHRDHRGIMQVKPARTAQWMKDNVEEGVHAVKDRFKMHSRQPDVETEV*
>EED84134
MEALSSADTLRTCTTGSYSSSTEESDGMQAQATDVDAPQPVERVPSGIEKKFNVYNGCIGCFLRAFTPTKTNIPLWIPNEGPTRLRCADAGVTFPTIVASLPGSDRGFFALPQWADISVVFEVMQHEDDDPIGKISWQHDKTLALMTLHAKNIFVAQRRLFVFVVGIFGATARIFRFDHTAAVVSEGFNYCEHPGIFRTVGVCGADPSIQIPEKGDVLWSQKLLRKLKKPLLTPDERRMCRWVTVRDRNGDTLRFLAFRLLYTNPDIFSRATTVREAFRDGEVDAETGFYAILRDNVDNASHASSSSRMPDCNDSSHGLRGIAEVYTSADFGREELRCYDTLIEAFRDAILGHQRAYEAGIIHRDISEGNVLLVEDESFTGFIGDFDHSFNWKTFLKRRGLEVSRESWEQYARQRYVTNNGPGTRRDEPGDHSSSERRPIKRWAQERTGTLYFIAVEVLEGISLHEARHDLESFYWLLFWLMLRHTKHHPNEQKASDFYFKAPSESALAEYKSVWLIQNTPISVPGNRPMSKLLADLGDLCRRNCLLSHLNHPIEPLTHEAMLAKFNEALASPDWPEDDSAIPLNRQPSEVAKPCVKDDRQFHNSSVLDCDHYPLERLVRTVQVRSSKGAATSRVAWKTVGIGANLPYIGPQGPGFRDERSSLGAGLASAAATMMMMSSRRSVRLRSTQTSLSLTIVLIENAILRGIFFQHCSHGCITVVLLFLSMFVNRRLESSRGRKDEPLALAYPSGDACSWPVSVHEKREAQHKAIEQHLLTYMKESAGSRLRAANTVRKLYVD*
>EED84135
MSLVDHDVQRIMAHSALQYPTIVASLPGKDRAFFALPEWADVSIAFEVMQHNDDDPIGKDAVEHELTLTRIAHHAQNIFVAQNRLFVFVVGIFGSSARIFRFDHAAAVVSEAFDYTERPEILREFLWRFVYPLSTTSSVAGADPSIQIPEEVDIYRAQKLLDKSGKPPLSQAEQKVCRWITVRDTNGDLLRFFAFRLLYTNPDMFSRASCVWEAIKDGEKTGRTYVIKDSWRQLCFPAETDFYNVLHEGVNSVRHGSRSVDAYNHADTDPCLLGLAQDYIGVDYGEKGFAKYPNTSRKSCADFFNWKTFLKRRGLEVSRESWEQYARQRYVANNGPGTRRDEPGDHPLGERRPIERWTRLRTGTLYFIAVEVMEGISLHEARHDLESFYWLLFWLMLRHTKHDHHYGQKTSDLYFKAPSDSALAEYKSVWLIQNMPILVPGNRPLSKLLVDLGDLCRRNCLLVKDPIEPLTHEAMLARFNEALASPDWPEEDPAIPLKPEPQPSNSSNSDLSDTHESHSIRRTASTQQPHSTHWFEDRLIRSADSPVREAVQKESDYPNNASSVRCRSEPSICHRAWIRYKHTRDLLTTGQKVAFQSRSSSKRSREDDDDDDDYEPAKRPRSERADDVRTRPRSIIHNALRILPSLPALLLRSALRTALDYTPFGSRRT*
>EED84136
MLFPGQRLRVSKLPLLQSNYPFLTSSCGSGTTLLHLDTDLPAVAAQALCAISPKAITLLSKRLLINCSVSRLQRYHLDSSSLYRPNALQWSLSESKGTRKETCVCLLSPNVEDKATILERHLALASKGKDKSIAIPTDQSQVSRSDELNEDGWVSELRGNIHVFKGSIQEYLDIFVPSRTPIPGRRAPPRNVFSKVPEGVPEDQMYTKLVQGLQKLTQDFPSHVRPHFQNNSRKKIKFPYEELEHNHHQTGPDLIASLPGANAVPRWRDISLVIEVKGKKNQDPVKKNGTVHTRTVIQLAKSARNLLLVHQRLYTIVVGMYGHRARLMRFDHSSAVVSAQFDYTREPGILRQFLWSFVNPISTQCKVVGDDPSLQVPSSADYAWINQMLANHHQHSLTPEAQYNCRWVTFWGPDRRKVRFCRVKLLFVNPRLFSRSTIVWAAFLENDNSGRIFITKDYWRQLARQESDDVFYRYMTDFASLRDPSERSRLLFGIAEFGYAIDLGESEVPARRWQSPSNAQLSSTSTNSTLDFILPRLEEPPLKPVAGTDFHGHCTVSAAVKSPYTAFLNERSQLRILINTAGKPLSEFRRTRRLVEALRDAIIGHQRAYEAGILHRDISDGNVMFNTTVDALSAGFISDFDQAFNWKRFLQLRGWAPTLASWQKFVDGGDQAGIPAHFGLTDQREAENRIQLERDQLSSMFKERTSNCYFVAIEILEERFRAQQPIVHEARHDLESFYWLLLWLVLRHTEHDHPEGVFTCTSVFAAANDHDRSRLKVAWLSKPRGEIRVRGNNPLTVLLAHLRQIFKPNMARSAGEKMPTHESVIAAFSDALALQGWPENDPAKPFQPPIKAPKTLSEAVGPTITADTATGRMVMTPTCNGDQSSTQAYPLSRYISKRILTNSISMSAPRIYGTPTVHDEDVRMESANQYTANKRTAENHEHDHPGPGRIKRAKLLWSSLWDYLP*
>EED84137
MAASTSSSQIPARERPPLEGNSPQLKESEKHRDFIRKFHVFDSCLHCYLNTFVPSETKCPPPKLDRSPASARTQSDVNSPRVIKCLRQHAKALPKPRRLAFLATEGRCLKPPLPSRDLERFQTSPTIVASLPGKHKSFTSLPEWNNVSCVFDIMPEVEDDPVNKKGSNHEETLVRLSRHAQNMLIAHHLLFAFVVGIFGSSVRIFRFDHTAVAVSRAFDYTRNPEILWEFLWRLCHPAFKGAQISGADPTIRMPKKKDIRWARKMLLEHSNRSLAPEDERVCRWIVVHDDDGRILNKFFAFRIIYLRRSLFSNASTVWEVLKHKDQSGKIYVMKDTWRFTALNSELGHYETLAGASEDHALGNSNSDQELGAENSPLFGVAQFVCGIDLGRLTSPHVRGHRILPICTHITPSKITSTPSRTGDVTRDGESSVRPHSSRHPLPSPIGHYAGHRTMSVTIIFKSEDLAILAERTHMRSTHELVAALRDAIKGHKCAYEAGILHRGINEGNVMIAEVGAYEGFISDFNNSFDWISFLKYRNREPNVANWEKYARAMYAIENGQYARSDVLIEDLEYDVYGPPLRPREHTHQRHMPFAAIEIVENISIHEARHDLESFYWLLLWLVLRHTEHKETGGRKDGAWMFEPGRSPVPSDPDMRKGWILRNANVVVPGNQPLTTLLRELQGLCIRDFLSAMHTVIPLTHEVVLAKFDEALARTDWPENDAALPQHVTTYKVAEPGWPRPQSEEPHTSTHRTAQSFPTFDGGGRGRHGRRWSTDGVSTYRDGQRMTGESEANLASRDPSPAKLSVDRPPPAGDERTQPAPAEAEELASPGRPSSKRERSDEEELEDAQPTKRLRMGSPTDSGRPDELVEPASRDTSEPNLDDLITLNKRGIKRSRSSGDNTIAISKRARVRYTPEVEVGRPTALGDVACADAPPGSGHESSVDSRP*
>EED84138
MNTVLRVTWSVIALGEHEEEHGLTGTRTPDVIAGHLGAAACLSDLESQSTRELVEALRHATKGHRLAYESILHQNIGEGNVMIAPEGALFKKYTADFNILFNWNEFPAVIYLEISIESWKKYARMMEALRYDLESRSNVSGEDLVDETARDRGVSFLMADCDDIFDQLCIPLKNLPILVPGNQPVPEKFLATGEVYGTYAKLY*
>EED84139
MSAYAVSKETSDLDDPTKCGRESCIYIAPGDELANNEVEEDALSGPYSPHDWRDVPDGYELRMQAYKEAWTQCFRHMQSIIQALHAPVAKEVVTQVKSAYMDTLPGLPYAELPAILVFGGSSALYSDIIQQLEVSVEVEDDSAADEAESDITASDGAIMIHLYPGDFPNLTAAMKSIVTGFIDQSTDSGHSPCMRPREWHEAQAGCVACYLRCQRAGRLVQITCLHIPELPLVYILAMSSPPSPSFLHTTYSRSTLALLSIHKFSAPLNVELIDELVEKTFCDPNFEPAVMLGPGSLGFLADFVSRHTASVDATLTIIQLALMKHFTEPLTVFVESSTLGLRNERLAGQKLDQSESQPFRDVLTSRLARSTSNPDDIDEDAISLLRSVNAARDGFYRKLRTMRIGLSVMNIVRQAIETERENESSMLDTLIAALRGRANRDVRQLATALSKCNAQQLQIVLQKLQALYDGLQDSDARDAETNAHVRVSEALIELTDADNASVDAEKIRDSLVARLAKDLGEWLIGYLEERLVRLDDGPLWDIWYTGSAPFPAELINPAPRPALVSALAYPNEHAAAYARLLRMYIPQDKAETGSADQDVREGEGEDEDALPDTALLFRRYAEAGRLVNVYDWFQSFAGALDGRRRRERRAAVTSSGNAHAHGVSGAVSNGKGKGRARAHIPMEVDGADEDACRSEEEESELGEDEDEAAAEAWRIEVQVRFIRALHELDYLGVVKPTGRKADHVVRTLYNVVY*
>EED84140
MSHDIAYHDEANTFSVLIGSKQNANPGQFKAEQPAPWEYRAKLVIKEYPKSIDEFLKVFVASEERCPHIKSKGLFQSSNGFKGQGDDFAQQLVEGLNAMSFELPEAKGLDFVNTVGETIVFRDEDNVTQGPASSPAIVASYPGIRFQGGRVPKWHQISIALQVRLRKDEDPVGRYANRDEETLFELLQTARNILVTGRTLFAFVVGIYGNTARIFRVDRTGVVVSQSFNYIVRPNLFREFLWRFTHPTVGGMFVGADPSVSLPTEQDLHWARQILHKQNMTDVYLEASRWVAIEMPDKSRKKFLTAKPASISLDLFARGTNVCLVIQQSSTNGKLQVLKESWCDTKHEPEIKFYEEIRVRNPGKLFGVADFICGQDLGKAETDRLVALGTASGTLPAAASTQNAAPRLTIRLPAARIARTRMQTRSSKNDCPPPPVAPGHNTVAHWDHRKHAADHANRRSHMRLLYNTIGRELTNITSTRELAEGIRDAIKGHRTVFEAGVLHRDISEGNVMIADGEEFKGFIHDFDMAMLRENEPDRSGAIYVSFVTYAPTFADVCL*
>EED84141
MLEAGTSSPSPPVNVQKDVEECRVEDLNTQGTLYQLKLGGKAQKRHFFSPLEQDVADAVHKDAETVVYSQEEEVSMQSPTNRNNEFSDSARPEGGETQNQLESYVIGDWQSISSVSGHSIAFHISQDLPRPRTDRTNIGNVHVIPAFNENFGVTNNQKWTLALSVFYIGYCLLEMPANVLQRRIGANRVVCSDEDLSFFMSLSFWGLSSLSFVYAKGYVGLLVLRVLLGIGEAGYYAGMVYYLSFWYTRHELAMRISICMTGTYPGAISGLIAFGLVRAHTSVLKGWQFLYMIEAIPTIVMAALILMFLPSFPFAATFLTPREKAIAQARMDRDQKPTSHGGMTGWEGFKAVVNDVNAWLLVIIYAGCEPLLLFTCMKLDLTLREHAVNVGTATITYFLPTLVDELGYSDINAQGMTVAPYVVGWFLVIFQAWHSDRTRDRGWHIVASSLISFVGYVILATSVQKSAGAGYFALFLVVAGNFSLFPLVIASPQIYFDSYDDFRKGHGISAACLFATAICAFALRTRLTLKNKKNRERLAAMSQDEKNGLSDDAEIWDNDPRYVFMV*
>EED84142
MSPSETAKPISSPNAKAKTKEKEKRARAASKQQTERLKTVVRRLPPNLPENIFWQSVEPWVREDTVTWKAYYLGKSKKRLNKENISSRAYIAFKNEDVLATFSKEYDGHVFRDKAGNESIAVVEFAPYQKVPSDKKKVDTRMGTIEKDEEYISFLESLKEGSNKPVDGESLDILIIPSAVAASQPPPQPTTTPLLEALKAEKSAQKDKEAILRNHAHYKDQAAIAGPSIVVKKEDGKKKSAALASQKPSDSQPSKKAAKKAAKNAAQQQTAPAAAPSKPPQSKQAQAQPAQAVIPKAPRQPRERHPKPSIAQPAPTPSSSAPSGDAAPSSISIASAASTSTQDSAQPAPTRRARPMLGPASRQFEAALSGAGVERKARRERDRQTATDVGPAGAVGLGDGAGRGEEKRGSGASRATPAGQNQATPTAPMILQREGQQIKVGGGPPAVASDVDDGAAGGRSGGKPRSRGRGRGAQRGGAP*
>EED84143
MSESKSGAKFPPRGDLRQEFAAFGFPKTSPVVTRSQAREAASRSATEDLDSSSRTQSTPSPTIPGDFDRNEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTLDYDTSTPELFERSGSSPEPEDPIPSTSNLELPTPSSFRAHAQPPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNSAPPTPTIPSTTTTSSSTPAPTNTTNMSQNTNTPLMPPRGHLTAPSFDPSEVRSLQRYFQDLEALFTRCQITDEAAKKQKWSLADMDQLIGERTRIGIHNAADLGCYYRNFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTWLETRLHLKHPDHYADDLYTMAEIHAAATFILHSTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLMIRDRIYEWHRRNPTAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQQKKPTIPVVTRSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAASPAPPSPPTQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIIPVIQPKLAEEIFQRSMKLPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADKLVRAQHADAAPGAGK*
>EED84144
MSISIQDTIKAIRDMIPIIDPEEDYLTIAAAEEQMSITEQERKREFEEAQLKLRSLTRILEAARASSTRPPTIPSAEAHAAMMNKLDATRLSLAKAINDAESSLAGKEAELARLKEETTALEGSDSTTEHDLDATALRLALYKGLGFEPIMTKDGRIEKMLVRSESSDVHCVTFEDGKSDREYADLLWKLASS*
>EED84145
MITPRFSCSQSEDAVIVTMYCPSVRASDVEISVDDTLFSIHVNPYFLRLNFPHPLRGDEDASASYDAGTGYLTVSLNKETKGQDFKDLDLLAKLLAPRPAEQIPEEPGIEVLASEDAHRDDDSELAERAQQLTLEQREILEAANNDWQLPQETPEPLPPLRTTPEHRYGFLDMHIGYFRHVAHAENEVNELGPDAETMPPAERRKRRLIHEQEKWDDEYYMADFVDDEYIQELLAWASPVAGTTDGHHFTEEENATMLRLPRKEYSTIPQQTHDLYLTLLTLLFSYAYETRTTQQDPTPESAWTLASLTPAFSALDPAPYHALPERSNPASFTETELSATFVASYRRALAFPLFRSFALAERCRADVVDILAGGRRLVTRCLLGMKHVLDHHEVYYVYSKVWVDDYCVWTLAYTRHALFPSLSRKIAGLKIAKSMVGWDLDELESAALEETERQSDSDDE
>EED84146
MIFSDYRQQLTPENTTVLDITYKPTLVGVFWRVFPLPFGMPVCIGHALLRRLLPPTLASYFDASVTKTLAATAYGQFPVVAFPLFFILVVSAFSALYMRWKGMHRPRFLLYVRLATAELLAGPCAPIFVPTFGAAIGFIGMLRTDMVLDKHNVSHLKQLHLTPNTAAIAGWYGYAVLFVVCKYLHIVYRMLYKKQRHPRFHPRVRIEAVGLPPLDILLPEFEDMIAFYEAAR*
>EED84147
MRQGLQARRRAGGTNTRRD*
>EED84148
MCVGENCAPQAVHYMNAQLAALYDNARACLDDHHVTEKKLEETRYSHALAEYKYFDGHKDEPKFSASFDKPWVEFICNHDVILHLKIRKGHYRLDYHKAPITYSKKDIVQPLEEVELSFRVRFDIQGLRGKDAKISSQDNLIQLVILNLTKAQLIASEPAIIIGRDAFVHYMEHYLCFLHQAGNHVLFSLPDFDDDKYRLTIDFSLMKSHVPDVHELHGISIDKINEYLLSVWLKAAMLMDGAHGKVHDWKQTCLAEYRSIWSHHGDGDNHFRVRLGAPRIKAICSREVVLYFTIEEVHFYDSADFEKEPCHSYSNWEIAVLVDVIYEKTHDGHVTTCKLDLSTARSYHSQCNFGDIVASDETVLSYCTCMLTFFTEAYLDILESIELHVIYRFDARWLSSAPADDGSEDEDEPAHGEWEAVPKPGTARGGWASTVTQSEMYGFDQITAISQPSVNAHFRALWEVRHSVLATWKFEHYFQAEFEPVTIRLLSHERAIVSIRIQHGFLKPLKGGKLHADHAERHFDNWHLVFEVGIKRTKHSELNVSDGWRQKFKESLIFKEHGHHKNRQLEHIHLDFQHAEFLYDFSTFEGLFNKGKYTHGAIDNVLAARHYVEKQYLPHLVHSGLHIIHTVPVWTSGPAESLPSCALTSFTFHVYSKTEITRHSWAHGALEPVIIVLGMTKYRELPSMHLEYSANWDIRVTKGVSHGTVCVSRSAFMETRLLRLLEQVNSLTTVVPKFYGVHDGDWEP*
>EED84149
MAPSKRRKTKANKPATKQPRRSKARGTTLEGIVDMPLDILQEIFAYLGPDDLLYLSRTSKALRTFLMNRASAFLWRMAFKNVDGLPERPEFLNEPAYANVLYSKHCHRQSAHVIRRGCLATDAGEPAGLYPKGVFEDRLPVKEWHFYKPQLSEVMETWAQLSRDSDAQEQFLKAQRQKIQDIKKHANGFRNWEARVLQARLDEERRILDQRLYDIINRLRQDGWGDELDKISEMRFQPLANHRHFKKTHELTDREWGRIKWGLVGELMFIRDKRLARERAAAFKARFTILASITSGLQATRRTREAEFRPQFIDLAVMPEVQDIMSASDGDEIDAEGEKAFRAAFPTLVERWKADADQALRSILEPAIKPRRGTDVFKLAIAYFECDACGHLMPYPDVLAHSCCRASKAKMPAWTEYHTLVCGTAHRRPWDTQHLHAPSENAMVLLRKVIKLCGQDPDRATRPIMDDSNVRICRKSVLGKRIVTWDRAVGCSSLVRIREEWACRRKRGTHRGADPLSIATEADMINARVQNDDTDPYVSICNESKTLFSLEAGC*
>EED84150
MAEFARRSTLQPDPYNNGRSHLPVPSTVKKPTHTGRMSMAGPALRGPYPMMGQIPGTNPRQSLMRSHNVNPLLQSASKPNYGRTPLHSSTRRGSMWAGGAQASAPMGSQGSVKDTRPLRDRPFQAKMRQDIWAWLDRNGIEVSPQTLREVTVKDFRAVYQHLVRLLDPEWPFGDKETPEDQFANPLRALRYPYIGQWDRKTLATPGAMHAWPFYLGVLHWLAELGSDSALIPDEFDDINYHQALALDHYTLAYEVFLEGKDVFPEQERILEERYAKKDERVVALVDRQREELKQIQSELEILEKAPAPIEELKKDNGFIRRDKAKFEEILRRCESKKKKLIDTLAREKADLAYCVSNLEKLQAEELRLLDIVKEQNLSPEEVVRMNTEHETLSRDIETLKHKMAETNQVVVKLEVSLTRKVSDAEEALDMYTNLLSNLGLFPPLPAPLEDVDLTLDLNPASSNPQNLLSGADIRTVVKPTLGRVAEMKRTERADVESERIKVDNELDQLTLECENMDEEVVEVTTKVNGLNDQADELREAAQQEALVSHSEATRLERDLAQARTAAMANGVGVKSRLQALQIAYREQIDKVNRLKDETMRAIIKNSSDIVMFKEEVSKQLKHLRDFAEAN*
>EED84151
MAEERAATGPEQVLEYELMKESAITMRENSDSETSPPETAYALITVVALPSVIGTDDLWIARMNTIDEDDAKERPSSLDQPPTSLTAHALLIFLLFTMIADPTGRATEGALITFHILAILVLCAQAVHFVLRRKNRGPEPRVTFMFALVVGFISTPVMTVGIAYREMKNMPDIHRITPFTHDLESGGRETRVRRTAPRSQGIRPLVLPSEKPQQPQPVYYG*
>EED84152
MQSSSFNFHALAGLGPRRFSLPDITRRAPRRPEQSRSDLTGYDADSEAENQPRNRKPSTRSLPPSLHDIAMSARAADQMAIHIDFALALTRSTGRRARTAPHTPRNMSPTRSRAPLRHSQENLIQPLSGLGLGLPTTHHIHADRSAVAEPDSTTSQLSDPEQPLRAYPLLPPGLSNTDFPHGTQSPHHLYPDTSSSSSNSETDNDLEDDSDIIVDIVRPTPLSSHSSASEYPLYGDMSPPGAPRLESICRFETEPLYH*
>EED84153
MLAGFGFSPTPYAELTSGSGRMGEGPRGRGLNPIRGLRVTPGRGNSGTPMVFQEQWYDLPRRRLEPSQEDRWESSAYQLRLVLTCAAAEGNPDEEKIGAFGVGFYSLFSVTEEPFVTSGGQWMGFYWKDKKDQLFARRGKIPSAEDDPWTTFEMSLREPVAIPRAFDLTRFLASSITFMAHLCEINVYLDDQRISRLTKDPGLPKSIGIPKGLKCNSPRNLMQVKEMHTTPLSIKAELMRWVYMAGSEKPVSKTLVKAAAAATAGPSGFFSSLFSTLSGTSAPQRPLTPSPAANPTEEVDPTTITESSVVLLIFTAGIAVRLDQKLRSELNRATKKNPPTTMRLELIYTGKDEYDASRKEDDRQPASTGSVFQGLRADIEGAGAAKVFIGHSTGQTTGLAGHLAARFIPTVERESIDLMDRTVAVWNEELLHIGGFVARAAYELEMDNIRQLWTAAAESARGDGGTALPDEELQTWLRGRAIHALKFFTFRPSTPSSLVSAKLEAAFFSCAANHPFPIISTRGVRSAADVRRPDPVFMEFLKELPVLPGEVTTGAEMIVGALRTRGMIRDIQFVDVLQELRARPLTEAEMVMCLKWWTGMQGQVDAQKYATIRSELLNAALLFIGETQSKGGKVIPMSSIQTFLNTRAMPGAIVPTDGPLPPHLLPVGISKQLDTRQLSSAFAWRELSILEWLQPISDPAASGLDVEHDFTKSAQWAERVLQVISRAWQHLSKDVQEQIVALVRDKSCVPTTAGLKTPQEAYFQSAHVFRDLPIVHMPSDAPVKGPLEKVLQALGVRKHVDLQIVFNRMIKTGDWTIAELIKYLVAVQSTLSPLETDRLRMTAAFLKEDESGNSKDNKATRYKASDLYEPLDILRKLGLPIIAWGEQPRWRPNSEEAKFLYGLGLRRFPPLPVVIELCTSQDQDIRSTALQYLLSNLATRYTDYDPGTFQNTAYVPTIKNGGRCLAKPFEVYTSSSWAQLGFLVVDPTLPSDAVAKLKIPEHPPTTALVNLLERSPPNTIPAAREWFSVLASRVTVFTPAQLKKLSTLSFVPAGEVKGGNTRMLPPSQCYFSGTSNVQFHSKLFVFVDFGNQANQFLSACGTKHEPSVEEIAKILLEDPRKFYELADGRDNYLAELRNIAVNNRLISTTTITRLKRAPVLLGSRRVKKDKSAQKASPALDSHGDLDEDDWDLEYDLLSPEQVVIADDTHAYQSFGTSIFTAPQEDLLEAFYLQLGSKLLSSVVREEYRTSAEVRGSRKATEIRSLILERLPLFLHEHTHSRPRVSYTWLNNEKNFVVRTFGKLSVIKTLQFGSIRATRSQDTSAVAKRDGRGPIELWLAGNDQVDMYEVATSMCRLIFESAKVNDALLFMTILSTDLRALRRRGYNVDRILRQQKAQRDAQEEANKEKAKSTALVSRATPEPPSGPVAPTPPLPSLPAAQTSPSGSTESPQNTAMDALKRVSGPSTMFRQSLDDWRKRMFHSGDDASRSSNNESVPPTRTAVDPHSAGNKETHPQPDETGLAPPPMPPPRTLSPGRLSDMGRQDSHQGVTPRRNIAANIAMAVDACKQENSQQVRARENMQMVKETLNEGYCDGSGEAIDLIFIGDMGGVKVYVSRDVPDAKSLMSTKSETIARFIYVIRPLCEVYRLSASSIHIYYDSEGPRIAFNRNASLFLNLRYFEAWHDPAVQTGELTDAYISWYGTLFLYFTLAHEIAHNLVGPHNSEHEFYLSALSEAYLPSLVMLLTSTK*
>EED84154
MSSSTFRAATGRVHLFNLSPAVNSQHAQKRVGRGQGSGRGGTSGRGNNGQKSRAGRGPRVGFEGGQTPITKLLPKRGFVNSSGKKYAPINLDRIQHWIDQGRLESSPEKPITARELLLSGCIHQVHDGIKLLGDGMEHLKTPIHITPSRASQSAIRAVEKLGGTVFCKYYNPLALKDCVKGRTDRTEAAPTHRRDILWYTQWKNRGYLSSTAVKTMPVVEERWKVLSQQFRRHKVQGFAKPRHTL*
>EED84155
MAYSDPYGTQQYPPAQREYDEPFNPYANEQPHRTYDQGGYNYDSGGINAPRYTDDASGYMKERAVVEEVDDAIPNRPVGPKTSRNLRRWRYEHNGDLWTRGGGVRTCGRLLCCTIMIFLFLLVSILLSLALWIRPPTFVVNQFGLSDSATAVTLANDSLTVMFDVNTTVINPNYFSVDLTDLKINLFYPLNNNKTAVGGGEKKDVDFRSHQETNVTFPAQLLYNVTDDPNFSILVDLAKKCGVVPGVASSDVTLDYTAIVSVKVFLIPVKPTISGSTSFACPLSKENIGGLLQSAGINESDLNQLGNILRDLGSSLG*
>EED84156
MDYFSKFLRAGSQPSPKVENTLMHPDERQLSRGIKSTDVPMHLQSMVDALVWESTRTEEGGTGACLEYLLKNDVLGTLVKLSEADRPFGTQAEVLRAVQNMVVLLDEQFLVHSAVHKAVLRLLRSCVGDDIQEQLDGRNKVMGAAGNAVRSQPSEYEEDRMFRIRTYRELLMIFFHDKQWYRPEPLFSVEEEDEDEGEDESESEDQGVSSGISPEGQQMSTIDGPTQAERPSSPSPSQETVTSAPASSVTMKKPEYEFLLFNYLLRFVHREGRIGDFARAGLLFLMDVAMSPSESANRSSGDALSLSSSTTSLPESQSDPTTDAALALAEYIIDGDFSEVLAAGVSAVYSLLPRKLEVRSPAPTENSQNSSMVLGNSSAELSGEEKDKLEEVREKSRAMGVEDSSSPDFKARLDHFLKLLEFLQDVLRRNIVRETADGTLDPSSLVGSAIVQSILDAVRRVFLENVLYPSILECSDADGSAVAVMSYIEIMIRTLENGQLADVLIDFLTSEDNSDDLGRNRPRHQSTLNLSGNAPPSARSATVADKEAKQRRRKSTAMVLLEMEAPESRRQSEYFTSMGRFTLKDLLLSSLRSKSPATVTAALQLFQSLLVQYCALSADRLLVVIHDPHATSFPQPAQIELIVDEKVSAVAEDDDEETFVYPGTETSGTSIASSLTVAPPIAFAQPNTTYWTHEREMGLYLTLVSRVDPNHNEDAFSTGYDHYLRDALLSIQAHICFQEDLDAETREKSKHRLNANDPILLRVLDSLRTFFSNTPEMNMALTGVLATLAICPDRSLAGWLTFAPRETSPMPNKQQPESDSFTYGDDGDDRSVDFRIEENLASETNFLPASSIDEQSRPVVHSVFHGLVSQLERYRQMVDKFDQYLMERRQGLLFSENLTDALSLALDFDPSTTPKISTQRSNSEPSTPKAKAKAKSASSFVSFLTPKKNKPAKTPAAEPTTLDSQEVTQVEW*
>EED84157
MSYQICTTASGEDEAPSEPTDRRRVVMRRYEGWGGGRKESKVLVFITSSIELPVTSKSEMPTSSGLIAANTLESFAVSDSGEAIYPFGFFYLARLRDQLGDRFQFFSEETALHALQCIHQLGFMQRLDSEPDILGDTTTPESVLSLRMIAEGIPIDQIRSLKAKEHLVRALDFQEVILVPTASNHLYHIQYQGAQSHIGVQLLNSLDYEHNSGDVLVDQLKTFLTTARLLMTLDNDPDTFIRRLTSSVMSILEADARVGNHSPHRRIGCLVRSKYHWPITRRRLGRSRVPILERNKSYMPYESTNSRIGERFDLATHEEQGDILYDKRVRDIWRMEPPAFGSLAHVRILFLPVGSIHREAYEKWAAEIRSFDHIPLGDIPADMRDERARFMPNPLANGHLHLNFQSHPPSLSHQSLGLFRPSELPLGVIGIASCSRSDSMSSILSSFRNSTAGLPSQGSIFPVAQTCFVFEENDANLDVGDRFPGLVVIPSMMGNKRVHLGTLLAGLCSQILANFATLMQSLESPLGNEYLNSTLFPTLPPTSEIPQSLEDEGRDSIPPLPSRNSQPDLGSNGMARSKVTSALKRVSTGPGLAPTRHSSLPPTPATAKKRPGAIGAASSHGRLFKVLGDLFLLAGRHQDASVWYTEAIALFKGPQDTAWQASALEGLATIPVVEAWSSNIGTISTGDREPWQDTVDKLNQATALYQKSAAPSEPETTYPILAYLFAQCVIRHTSLLFAVWCSKGWGPLAFAQMVHPGLNPYFPLPSSADANTDSNGITVTVPKPQLRPSYAEMERLTTISGISRAQIATVLAQVHGPWLLHLGARERIVILQTVAGMYGALGYMRKEAYILRELLGSVMDLVVCGREEGGAGRTATGLGIRLPSGPGNTTQGTVGVRENQRVEGNESVLRIIKHICRVHGVDLEAVKLVDFGATGGKARTEEQDVDEDQEDEMLDSHQDPFGWPELQIGIVREAIAVAEALPAIRRGDRRTVAYWAGRPIVSIEVLPLPLIRLPIQKPKSLLALSDGQSNMNPVIAGMKDPFLYNPRKTMSGQVQSILVQNEKFEVVVTLRNPFVFDLQLESLALSTSGARIESESVPVIVPANTYHPVVLTGKALEAGPLVIRGCIVQTPGGVPREYALPLSSDDDDDRRNRRRSALDTETGRSKHAGLDSRPWERARKRISKSAVASSSTPSVRYLQCTVAPEQPLLRIRRTSLTHGAVMLYDGETSFVRITLENVSSLPVDFMRLTFDDSTIAPAQQSLADGELSVFDTYETEYDLMHRAVFNWDGTGDMQRVAPGDKTTITVRCFGKVGCTSGAIHVSYGHVERETPEDSSDVLYTRQLSYPVVVTVYHMLECHAMDIVPYSTIISFASSLSDEDSDSPVVHARKALLNVGDVADWCLFTIDVRNTYGLPFEVTFERHEPGLSQKSSRMRSSLIRWGFRLCINNLSCTARLNFTKMLLSEEHISKPIPTLSDRQFVVAKSSLTSSEEKAQRELFWYREELFKAVSGRWKESGGTRSGELSLRKQRLTLPMLEALRTETARVEMSLLSYDDDRTVPVPIDPSGSKSLPPPHEFVYLQTRVTNLSASELVLTLTLSLEPAQHVLFQGTIRDVPIGRLAPGESQVADTPVVFVSGGRFDCSAEVHALGLAAPSSQVGRGQLRAVVNVEPT*
>EED84158
MVLSTTYFSALLQALSLTQGLYKPTPAYPTSPQAVYPGLDRGVQPSSSYDGFTTPHAVENGSAYSQLSSTQTNYAQDDADACNVIPYEASLIEDQTFPPFDQAKANVYRYRQQQAVNLGSWRVLFVHENWMTPSVFACAAGQQLSELDVASGWNSTANARSVLEHHWDTFINQSDFEYLASIGINTVRLPIGYWSLGPAFCQGTPFENVSNVYQNSWIRVARAINMAGEAGMGVLVDLHGAPGSQNGQPHSGISDGVTGLFDSPTYMNMTIAVLTFLTEQLANVSNIVGIEILNEPQNVPELPDFYTRAISAMRQVSPAAASFPLYIHDGFDLEQYASYVANRTDFVVVDHHSYFVFTPSDDAEPASQHTADVEGYISTSIATASTQARRNLVIDEWSCALTPQSLANESDPNQSRRDFCTGQMVMYANTSAGWGFWAYNKEDCTDDPGWCFKSAVGNSLPSTFFSYGQGPLTDPAELPSLADLMGDMNAPSTSAILASAQSNPSATTSEYAYDASASPTTAPYDGATGMSPEQKSIARGYSDGFLTAKIFAQYGMSKLGFTGQYMNDSIAKLGTSVVKPGTEEYYQDWFMKGLQDGENIVSSHVGQ*
>EED84159
MSVVAPRAVWRVACFFFLLAHVALATLTNRTIDDENGDSVTGLQPVYAPPSGVWNYGPTCPGCFVQPDVDDCFDHSWHDVTANPSDPEPRNVTLTFNGTAIWVFGVIPNYVPYATTYVNISFELDGHTVGSFSHIPSESDAYQYNVTLYSNTSLKDAQHTLTMTPRRDVNASYMAFDWAMYTYDSDITVGTPSTTSRSATSPASSSATTRGTSSPTPTSHVAVGAIVGGVVGGVAALVIALLAIFCWRRRGRGRADRIRSMGPFAAHDDAIEPKSEAHSSDLSTSRPTLPTQYTLRSAATPSGRPLSTAAALSDAASSFAATNMSSIPATSSSGQLSSAALAAGASSARSPREVRGQSKAAMRREELTRQMRDIEAHVADLQRRQSHQSAPSNASLYSQSGTGIGSPPPVPVVEQYDDSNLRRQIETLQTEVERLRLEAVTHEEPPPAYEQPDEEEGLLAEHEEAGTSRAVRTVERSPFICYDSGIMNTIFTAYRYFVFVLFIVCNIVLCSVSAWNLSIALAVQFTTSIEVDAVAIAMGAVGLVFILPILFIDVLRKQSVTRHVWFECLWVGVFALLEFGMSCSA*
>EED84160
MSYHPKYCTIAYGVSCLLDAADGHAARALGQTSKFGAVLDMVTDRCTTSTLLCYLSSAYPDYAMVFQFLITLDFSSHYMHMYSSLITGSRSHKAVTSDVSRILKLYYDSRTLFVMCAGNEMFLVALYLMKWVHTPLSTSIGMDVAYLSGWTWAELLAAVCFPICATKNFINAVQLWKASKILVGVDLAERAQAR
>EED84161
MSIGSVRSTESPENSPIIVTTNGPKGRDITIETAAPVSSLGLVTLPPTRTYPFDVVFGPEADQAMVYHDVVSPMLDEVLMGYNCTLFAYGQTGTGKTYTMQGDLSTTPMGNPSPQAGMIPRVLFRLFHQLESSGTDYSVKISFVELYNEELRDLLAPDLAAPVGSTQPMGMGASKDAAAQGNLKIFDDATKKGVFIQGLEDACVKDAADALALLTKGSQRRQIAATKFNDHSSRSHSVFSITVHTKETSSVGDDLLKVGKLNLVDLAGSENIGRSGAENKRAREAGMINQSLLTLGRVINALVDHSSHVPYRESKLTRLLQDSLGGRTKTCIIATISPARCNMEETLSTLDYAIRAKSIRNKPEVNQRMTRNALLKEYVAEIERLKADVLAAREKNGIFFAEETWNQMVAEQELRETEIQEARKQVEIVESQLRNVREEFEQSIALLMKRDGELKETKEKLKETEGELEVTETQLRTVKVALDEEVVVRQAYQDSEGNLDSVALGLRKVAQEGLGDLGRLHSKLERKTSVFNSNTKAVSSNSKILSTETLALTTKLDSFVKLSGSHLQNIRSEAEQFQTKERESLSLFSQRINEQLEKVREGLQVIHTREEASGNAVGAIQSAIAEMQESLKKGFNSWTEDLRSHCETTCQEVETSTIAVCANVETTFRALGTLAETILKEAQEHVASERKMLQESKSSADTATSAEILRLQQQNALLTRLLESEQQKAERLKEELIKNISGLLGEFIAKQDHSRREAFSEMAESNNTAEQEMTQLMQEQGQRLENAIARGRQWSVDLERRGAEGKRTRDGGIKTINSARTTIRDGLADVQNSVMTSTSVYSADLHRQTQKFDSSCSDAFERVSRAKRARIEATDAMAAETQSGYRYVNRGVASTSRNIETSSTRILSDSSSMTVAMEQYHSAAVSHISGLRQATHSIVEDGAKEDRPTGTTPRKRSWNYVDEWELTGSREALLKERRRRGVSNACGETFLAEHLPLPEEDAPEDGVHEGMLVDEEPEAMDEPEDSENVPPSEDPPPSNVDYIAPANDVWNQEIGERAIQ*
>EED84162
MSSNKILVTYTSSPAFLSTDHWKQVHAALLCQFPLRTLHWKSPSRANIETIPQVDVNLVPLESLRDEHTSQIPQSLLDKPLLNVYFVVCDDNDTYKNTVRKQIRDWHASVSQLRPDGKIGQGRMFQMKASVLDKIKADLNADKKDRCIQVAWSTDYENPAAWAELINKFKEGILSAFDSAFTQREEEVKRSEGQRHMPGWNFCTFFILKESLATSFEAMSLCEEALQHYNDLEASFFRVLREKNLTWFGALIAPGSEDDSTPLLSVSKKPYRDLILANSISIFDFRVYLLARQCALLSKLSRVIEVCRKAVAFLSGFGRRLRELEGTIPLFFVESWTYSSALSVVEQVDTWANDVKMGKPALARFSAVKGELLELAQQQLDIIGIRVGHLPSRPPFSIALPAPTPESTEAQEPPPGKISRTELLSAIDDKEAFFDLYVQIINRAIELYAAAGRRKFALKLHGNLAALDLYVASYMSTFCSQSACRALTVHTSAEKARDEEWIHIVLDFLRTYVEDMGKELLMDVDDQLSYVVGLVTSLIEAARGLQADLLHPDHPALSLTIADKAVRLAESQDGALLDVIVRNRLPCDLSVDEVIAVLVGYEGAQLTFSTDATTLNPGNNKVTLFCSSSTAGVFALQASQVKISKLTFEWKDARLLTATKNLKPKDVPTLVRIPKDIRALDVRIRPPQRSMLWVLITFEVGVPAKIMVALHTGRNDIVSAQIMLSSPSGVQFHFSEAKLDGDGSAQLEINEDALTVSSVEADSVVVISLPHSDASSYHTLRINAALEYTTVSEPDITRRLGFARFVATSLPMTVNVEDFFRGTRLFTRFTLSTSSHQHVRIRSAHLETSREDEDNVKVTGCHAQQPSVVSGKFIFQLDSARGQAGDPLRLRITYRMLREEVESLIDVSMAAIVAETPHLLPHRDLLIDKVVDALSSDAKWIELYGITGELVVPDIVEEEGDIGDGLRRLNAILAKKRPGDYPFGEWREIIIPVDVPRMHILAAAHLRVTPNPWVSPQAKNAALPLFAGQPISAVVSVTTSFHWAPLEDEKPQGCLLRYDIEDMTGDWLVSGCKRSDFQAEDGSTFTMPVTLIALHHGELSLPKIAINALPTQGGPRMGSSSVPSCETYQMHGAEKVLVLPRGGRSLDNLPSETQHLLSEIRSGYSAARDPVQKANEIIRNSIAIPELPATPAASSGPPQKKRKTMPVASAGSIKLPSPAPMSAAPSYGTGQRSRVHTRQSPLRSRRVTESAGPDIDEDAEGEDDLEEGMDDSGDAEDKRLYCFCQKLSYGEMIACDNADCRYQWFHLPCVNLKPPLPENWFCEDCISRMKGTSSTLPWSMLVLEQRCDGVASAFVHGRSDARKGQSEIAYSTYIASDRFILRGYRARAFERVPDHALQQLELVTSESPPWSGSFPPLWEL*
>EED84163
MSDLSDYEVNAELANTNAKRQLRVKPREPTKTRAKPVQPTKKAKPAKDVAPVRQSARLRRQVADPTESPAKRRQHEKEEEERRAKEAEERLEAEERAREAKKPRHWDLDLPTLTDELGYEELGTLRTTLQVTLKTAHPREAAAQDSFVFEEDEKENAAVAELKETLSHLKVVARAKVTQDRVYSAAFHPEPTKDLIFFGDKHGQLGIWDARAPAEEAADDEEDTTALSRSDRENGKYWRLQLHWPATSKSSISSIKFDPTDAHSVYTSSYDSTIRHLSFTSGQSSEVFATEGDLISCIDLSPTGNEMWISDASGGLTHLDLRVDRSHAKWYQLSDQKIGSVSINPSNPHFLVTASNNRAMRIWDTRKLADIPIKTPQDFKNDLNEVDHDAIADFVSSPEGKSCLRAEWRHNKSVSAAYWDPRGRSIVSTSYDDTLRLWDFDGTLMKRDATFPSARPMTQIKHDCQTGRWLTVFRAQWSPNPDVYPHFTASRPAIFICSGTNMWSRLATWDTRLICIRRKVTFSLDSPIAAVTCSHPKVVARAASGNASGRCVLWAPSDE*
>EED84164
MLPANEEGDTLGYIAEEPRGFLATFGRQILRTHRPFRALVMDSAGTPILWRLKDFNEYTPEGEPVLDTFAEVQQRWHLWRRRYDLFFREDPRRILTVATEPQPQPETELFQQLARVDEGLLAWDFRLRDASGHEFASIRRAFRGFGREVDPRHKSGKECQYLVRFSPTPPESEDTHRAPYVVRDLGIEERASTSISTTSHAILKEVLTFNRHGMGFGWFSGE*
>EED84165
MHVRTLILGGNVSPRSRLPPDFHYFPDFFSIPEQRVLLAAALQKLDTAESRQFRRLRKVFLAAQTNSPVAGDRHSPDHTVQAMFLPDECYNFEEGHYDGVIRRFREMHVTSWPSDINGLLPALERLQQLCLTKDTQTHILHLATDGEILPHVDNIGASGSWIMGVSLGSARIMRLESTEARDIGAFEIPLTSGSVYIQKCAVSVERGDRRTHFMDRDSTRYGYQHSILKDSVLDGKHYSGGQRLSVMIRDLLPTAHTQS*
>EED84166
MRRVSTDCEGLKIQFPPGVCRGRGEHCAV*
>EED84167
MYFIFLLALVLPLVQGAALALREPGELARRQSYSDARFTWYDTGLGACGQTNQASDYIVALDSALFGSGYPGPECFKSITVSANGKQTTATIMDECPGCPEGGLDMSEGLFSFFADPGVGVLTGEWWYN*
>EED84168
MALAWLQRARASGPGRGLWPGSGLARAQAMACYLLTTCAWWLFICCTLSAGGHWKVPVLRCTKPFVKGAVPGVRTSTTSPGLAGLARASSQQSQALPEGLHRALAWLGLACPGLAWPGSGF*
>EED84169
MAAGALSHRVAQKISTHLSTQESRRNTQWFMNPRLANVAMSNSFPTIWSKIKEKKGANDSRKGALYSKAHRVSVVPQSYTMPFVTLQQDIVIAVRTGGSVDPEQNTTLAAVMKRCRSQGVPNDNIESALKKIAGAKDRGDQHLTYEVMAPGSIGLIIECLSDNINRTMHAVRNLVTGHGARIAPVKFMFSRKGSVKVALDKQDGFENKLDSLIEHMLDSGAEDFKETAANDTEVEMEFLCQPQDLAQVTAAASGSGLCRDLLASELVYSPVEEGEAIDEDTTQKLAELVQELEDHDDVLRVWTTHSI*
>EED84170
MSGLDSVAIFWDYENCALPSNATGSIIVNNIAQLARRYGSVKSFRAYSELPEQPSPKNIALRSDLQLCGVSVIDCPHNGGKDVADKMMIVDMMAFAIDTPAPATIILITGDRDFVYAVSILSLRQYRLVVLAPTAAHGTLKGQAAEVYAWPADLLPENKATARRVSMSSATNAEAARSSSKASVFPPTQPVQPAASPASPPPSPERKGVLDAGASTTGSSQYAPPSWMSASSLFTPATEARPSLLSTPSSDSTAVDSGSEASSSHNLSSSPKPSGFTDSFKPKLSSWAELGASLAQRVATAATPPSPPTDWAKSLRNLSEIKLNANSLPFKTEYEPPFQTRSPAPNPPTAPSTPSWPKTIPPEFMPIVKVLKKQQKLGQDRLDFSMLGSLLRQECPNAYERAGVTKLKEYTNLAQEHGIVVSEDDIHGPGGIDGQRWVSLHPRLMGVKVYQASASG*
>EED84171
MSNFVKQPADDICVATIRALAADVVGKANSGHPGAPMGMAPVAHVLFSRFFNANPKSSKWYNRDRFVLSNGHACALQYILLHLLGYKLSMDDLKQFRQIDSLTPGHPEAGHTDGIEVTTGPLGQGFANGVGLGIAQAHMAAVYNKDGFDLINNYTYVFTGDGCLMEGVASEAASLAGHLQLGNLIYFYDDNREIMSAWTYISIDGDTAVAFTENVEQRFLSYGWQVLHVNDGDQ*
>EED84172
MRFNDGFWLLKNGVKAHHGLQVVQATQDGDGYNLQVSTKPIRHRGDTLAGPVLSVRVHSPTEGVIGVRIDHFRHIDPTPNFSLFPDDPPVPSATLTKRDASWSLATGDLSAEIAENPYTITFRSPSRVLTSAGFKHQGIYDLNLSPGELVYGLGEQFGAFVKNGQSVSVWNQDGGTSSEQAYKCVPFYITNRNYGVFINHPGEVEVEVGSEKVSRVGVSVAGESLEFFVIYGPTPLEILERYTRMTGRPALLPSWTFGLWLSTSFLTSYDDKTVSSFLQGMQDRNCPVRVFHLDCFWMKQYEWCSFTFDPDNFPNPRGYLSDIKRKYDVKICVWSTDFGERIPHASVVFHDGSDPMRMHNAYAVIYNEMVFRVLERRFGKGEAVVFARSASAGGQRYHWGGDCESTFEAMAETLRGGLSLTTSGFAFTSHDIGGFEGHPPPEIYHRWVAYGLFSSHSRLHGSMSYRVPWHYGEEAAAYMARFLDAKHRLMPYLYNLALKARTHGHPLQRAMFIEFPSDRTTHTLDRQYMLGPSLLVAPVFVPQGEDTEYYVPAGRWTSFFHPQRFIQGPIWVQEAIPLDEIPVWVRPGTILCLGPRGVGRPDYDYAKGLDVQLYELEDGQKVETEVPTGTGTEIAGIITAEKRGGQEVRVKVEKGERVVSLRL*
>EED84173
MTDHDSDVAIFWDYAPGYDIIENIRQIAHKYGSVKLFKAYLEISEQPSPNSTRLRSELQSCGVSLTDCPHNGRKDVADKMMIVLVAPNSAHASLRSQASAILDWEADVLGKRAGNANTNIHRRTQSSGSMLVPTHIAQRGARRLSFRETLSTPSTEFLQPSPDIIDPRHRATPSQSNSSAKKPVIPGLSPSSSSVPQSAFVTPIRKNDALPATDDACSSTQGQRSETGTIVDPNDHLRSLDEERSRSIIEPSIASGSETRLDTYMRIPRPCSAPASMPQSEPPTLLEAADYEDRPSVASHPVSDCPDIPILSELAEQLVTEIHRSPAPQIVHNDRLTVVSIELPEPELACMQLSTADAKAATPALSSPVEQARTRDNTPGYPQTSFSTVPTTQLDPAVSAYTPAQRQVPTRFIPLVRALELSWSQGFEAPLYNRVAFTMLQRYPDAYEAAGVSRWKEYESLAEKEGIITVGGSESRPTVSLAPAWQGSAITVSSSTAKAPTSAGSSAPSVLNTPIPSPGYCGSADVSPSVVPQSTTLDGTQKPPILTKIPPPQSVGSPNTLASTIETPRRDIPAQFVPLVRSLERALSLGFDAPMQGNIAHQVGCDYPDAYELAGVKSWTDYAALAEKAGIVISGGPKTKQWMSLAPAWRPMVVLAPASTAGSLSTGNNTNTSTTGQIYSPSSTTSVLGASASGSLSAVEVADIVAVSGPPSRSLNNVPSSATAKESTYVRTSTSQWVTTMGQTPSTPQQDVPPHLNHIAAFSNKSQPRPSASSTSSSQPSPGELKGQAASTQPSPVSGIPLPTVPAKYKTLIQLLEKHRSAGVLQPKCSIIVDALVERERNVYRLAGLQRWREYSDMAVKDGIIKLGGLHAESWVSLEPAWYDRAPKPFW*
>EED84174
MWAPWAILALAPVALCQPTNDSGSLKWGAYRPGLYFGLKPRVPQSLTTGLMWFGTQDYQSLTRTRHACDQGDGLSGYTWTEYDARDGGVQVIKDGPNNVEITTEFLKVPGGEHGGSWAVRVKGKPMNAALPSRVSTIFYAGLEGLGGLDMENEEQENGFEEPIRFVGSSPDLEDFTIKVVDGQNNEAVTTGPYASEFTHRIGKTHFVGLRMQPGTTWQAREFLLKNILDHAKDAIEPYTDSPIGMPDPSFTLQFSDEVYSASNMYAVQKLFDGPFQFDVFFESASAKHQLSSSTLDEGVPALIARYDQRFDTVFPVPASYPTSDIESLKAFSKAITSNLIGGIGYFYGTSIVNKGFAYEWDKEDDDERTSDIDEGAKLTEPNALLTATPSRSFFPRGFYWDEGFHLLHIGEWDNDLSLEILKDWINLIDEDGWVAREQILGEEARSRVPVEFQTQVPTNANPPTLAMAITAFIHRLKASNVELSAQELGMDYGMGEQVPLAAPGPSFPGSRLLESHELALDYLKSIYQPLKRHYDWFRRTQRGQIKQYGRKARSRTEAYRWRGRSQQHVLTSGMDDYPRGPPHVGELHLDLISWMAFFSRTMKEIAEFVGEPDDAAAFEEIEKATIDNIDDLHWSEEHQMYCDVGVNDEDESYHVCHKGYLSLFPLILGLLPPDSPHLGPVLDLMHDPEHLWSPYGLRSLSLSHPEFGKGENYWKGPIWIQMNFLALKSLYKTYGAQEGPHQARSQDIYADLRRNIVDNEYQRTGYVWEQYDAVSGEGRRSHPFTGWTSLVTLILSEKY*
>EED84175
MTDPYSQPRFIPNIALRSASSAELPLFGPRLARLGVQRPDGSPPLEVYTPALITATSRGVVPHLSRDHVRTTQAIRWVHVPFESLYALRVCVTPHAWELYVAACNPDAVVALSDTPFTPHPHSQKRLTKSIERSAIWLLETLKAQKKGAIPGAEDTDIPQGRHSNVFVHMAGGGSAQARTAFSQSMLEPLETRELEQLKPLQRLDDGVAGYISDLVPLHQALSAKERTAPSIPDESHNAMNTITPRAEDSSHTSPELQNLLKASLHNLPPHKPRLVNSARSPHEILRLIRDVGIDLFDGHWAQRAADIGVALDFCFPAPARSAEPRKSGILGPRRRDDGANDLGHNLYNAAYAHDHSRLASCYLDTFSSQKNADSAVSPSAGLRICPCAACSPVAPSAYIPHSSVDKLSYTDEMGPPESNRYLPPFTRSYIHHLLHTHEMSAHSLLVMHNLSVMDAFFAGVRSVLESPSGGDTFGEKVDEFVQMYDESMVVFDEATVDWAEVERARGKGRMAREKIKQAESSLGRAVDL*
>EED84176
MQDTASIAPSLEQNERPPPALTRPVDSRLRGVTSFVVDTMISGWQVAARRRQDPKLIDIVKSEPGVDSKEAFHNDAGSREKLYECGCSEIQGRGGSVLLPAAAVEFCSVIQDAPMCCKCPSVSEAQASSSLHTAFGRSQASRRALDRPELAVRYSPALSGDRNGDQYRGGSFVRAVDTGAGFRRLKSIEMLAAAFTSGMVETAERQDRRQLWSRHAFHNAGLPMQWTSEEKIDQKYSDNAVDPSRSNVPVASKKSTIANGGTETRAHRHTEGKGTPGTIGDWSLSLHTGEQEIGSAIQKRVAARGMA*
>EED84177
MPRNVAKIHPEVPPPPEGVTPAKKAVAASSTKTRTVRGKRGGLKVMPTMPLDILLEIFSHLHPRDLLSLARTNKPFRDFLMSRSSSTSLWRASRRNVEELPDCPPHLSEPAYANLAFSPYCHGCDKTNIHSIIWEWGVRYCNTCKKRLCTEYFNVMRFRNKTQVEGVTPLELLTTGILAPGRDYGSMYQLYHTAEVLEINEAVDRLSEDMEGLREYVENRKNRIKVIREHAALCARWQAQKVASRATELERVRKARLNAIVARLRDMGWLKIRDKLVAVMQTTQSRRLLNERRELLKARLRLFGIVVNRFYASPRRTEETELQPGVTDIAVMPEVRRLLDVPSDIAVTLETLEPLRDELPTLIQRWQTEIREGLTQMLSQKLGNTHSSRLLDLAISYFECRVCKWAFRYPEVLAHRCPRTHGNSYGYDDTIATAFEWAIHSNGHPEPWSITVFTVDHALEFSSIIVRVCGKDPDHATRQEMDAWDLRLCYVRKSHPLNLFTEVENATDLARVAQAQT*
>EED84178
MPTFFSWLLARLSRILDPNFLVLSCLLILTVFIAIRLNKTTSRHAASCEPDEPSMRSNVSGVLRDQSRLQTSRTVSVNASEIPAVVNQEAIRQGTKQPYDAFLVLDVEGTCVEGTARFDYPNEIIEWPVCLLRWKDKDHNGLAKELEVVDEYRSFVKPTWRPRLSDFCTNLTGITQLSSVSLAMQAQVNGAPTFTTLLESFTAFLEKHGLIEPMTGRRLIRYCWCTDGPFDIRDFVVKQCFISRVAMPTWITGDVLDVRSIVRHLDVAGQGKALTSGRKPVSQFPSRRVTLNIPRQLYALGLTPFEGRQHSGIDKAYLKL*
>EED84179
MSTVDTIPVPETPLTRPPTAVVAPSPRTPSFVLGAANRSYKHQYSSIYYVRLQVLRKYVMARARRRWHDVAGQLSYVIGTVYMDMPMKPNVLEDLARDHSLPAPAPRMTYCSQDDQPMLEDESCRIALVGERIRSARLVTGVVLGILGIETSGGEFEVVDICTAGMAPQPYSDLNWGESSAGSSQEGKAEKMDVDETPVPGQEQDEWVAILSGLEIGSTSPADAQIQMLAEYLTGEAGGLDDQASAARISRLIVAGNSLAHILNADGTVPEEKMERKGRRYGQDSANFSPHPTQTLAAHITDIARTMPIHVLPGASDPAGTLLPQQPFPRAMFGSAAAFASFTCETNPTYLRVGPSPNTEGTPDGEKGKQKASASASKSAQAVSATPFRTFLVSSGQPVDDMLKYLPSPLSTRLSIAEASLRWRHIAPTAPDTLWCHPYFGSDPFVISETPDVYIVGCQPRFGTRVGEEGEEDMEGEGGVKRCRVVLVPGFRETGTLVLLNMRTLAVKTVQFAIEGMNAGGET*
>EED84180
MAPKSDWEKWEKKADDKDEKEIKGTLIYPLSLLDMLTEVQPSTTGQGPYAQKLKKIENDIKDAQKRVNEKLGIKESDTGLAAPNLWDLAVDRQRMGEEHPLQVARCTKIIPMNQEAAAAARAVNPQGALQGQKGADEQDKYVINIKQIAKFVVGLGDRVAPTDIEEGMRVGVDRNKYQIQIPLPPKIDASVTMMQVEEKPDVTYSDVGGCKEQIEKLREVVETPLLSPERFVNLGIDPPKGVLLFGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARSKKACIIFFDEVDAIGGARFDDGAGGDNEVQRTMLELINQLDGFDPRGNIKVLMATNRPDTLDPALLRPGRLDRRVEFSLPDVEGRASILRIHARSMSCERDIRFDLIARLCPNTTGAELRSVATEAGMFAIRARRKVATERDFLDAVEKVVRQGTKFSSTDYEDGGISAAELSRLMEEHAEQPPRPLTLSTLLSLADPVTPESVLTSVRYVTNEIPRRMAMRARSLEALPYIVGMNPFIARTLEAYRKSFRFLTTYPPVQTLEDNQRLTAELDGLVQSHANDIPTMAKGFQECARYLTPEQISTFLDEAIRNRIAVRLIAEQHIAISRALEEGGDLKDHHGVVHLSCSPQDMIRMCGSWVSDLCEATLGAHPEIIIDGEVDATFAYVPVHLEYILTEILKNAFRATVERHARQPSSIRTSPVPPVRITISPPPLTPIPRPRFLSMRVRDQGGGVSPAHLAQIFSYSFTTAGRATTSPGGGVGWDDQETGGGPYAAQHVGGSAAIGGIDSMGGAGGLFAEMTGRGVQVGMGTIAGLGYGLPMSRLYTRYFGGSLDLMSLDGWGCDVFLKLRCLDDAGDVEI*
>EED84181
MGTRSRPSFYACF*
>EED84182
MQVHEARQNVPTGYTATGPASSDTVLSLRVALVQNNIAGLIDALYDVSSPSSPNYGKWLSKTEVEAYVAPKQDSVAAVNSWLSAYGLNATALSPAGDWLGIELPVSKANNMLAANFSVFSSTVTGSTTVRTLSYSVPSDLVDHIDLIHPTIIFPDPNGAKRPVIRVADRTASKTFDDSSPCASVTESGSMTPACLQHLYGIPATPATVSSNGIAVTEYEEQYAQGADLHSFLQQFRPDVNPDTNYTVISIDGGENPQDPNDAGVEADLDLQYTAGLATGVPATVTIDGEDDFLTGLLNTGFSLLGLESPPQVVSTSWGGDENEFSPSYATNLCNVYAQLGARGVSMIFSSGDGGVSGNQFEDYCTIFNPTFPSTCPHITTVGATYLIPEVAADFSGGGFSNYFPRPDYQSEVVSAYLALLGNNDTGLYNASGRAYPDVSAYGVNCSVVIGGVTQVVSGTSCSAPIFASTIAILNDRLLAAGKPTLGFLNPWLYSGAGAAAFTDIVSGNNTSCGDDDNLGFFATKGWDPVTGFGTPKFASLLSAVGL*
>EED84183
MLGWLVMNRAGHDQNFTPRVHPGAVPELTPLSDTSTVGLQPLPSHADLSKVLNTVLFLNITTRKAYSSRTRAFLSALAPLDESAIAATLKDPDHALREAERKTTQAKQEASEQSRTLRNVGIGLSAVAGGVLIGVTGGLAAPLVGAGVTSILGWLGVGGTAAGLLASGLASSSVVCGALFGAYGSKRSAETVGRYLREVNDLAIVPVHKPRNTLAVRLCVSGWLDSPEDVIAPWTVFGDGEDTFALQWEVDALQNLSNALSILIKAQAMKYVKAEIIRRTVFASLFAALSPTAWLKLAQIIGKTGRVLGKVLAQRVLGNRPVILTGYSLGSLVIFEALQYLASLPPSETAGLIQDVYLFGSPVPTDETAWAAARRVVAGRLVNGYGSNDYILAVLSRVSDMSWSVAGLEPVVVKGVENVACEQVDGHLKWRSVIGQCLQMCNAPGLVEKEVKEQKDLEVEHEMDLHGPDIKQVIELAPKGEPR*
>EED84184
MAISRHSANLTRSFPITKCQVRSVSSLPRPYRFHVGASWAGKPPDPQARRIKTKPFRADSEVGSHVGEDFFYVQEMRNGSGVSFGVADGVGGWIDSGVDPSLFSQALMYHARRYAMTAWAGEPETDPTQDYEERERVDGWEITPAECLELAYGGVLRERTVLAGVLRAAKQLTKLPASTPAFSRACIDSPRDADTFETKLRDGDIVVVYDTEDILVQTIAERIVDYAGVCMAKKNRVTPFERAAAREGMYFRGGKVDEWVTHFVTVVVALVRETI*
>EED84185
MDDREDPIAQFFSDPENVDLYDVLSVGHDAKLEEIKKAYRRLALQCHPDKHTTASDSVRADASLKFQQIGFAYTVLSDEKKRQRYDRTGKTDEGVELSPGEDGWEAYFEDLFDRVTRGRLDEHKKEYQGSQGEVDDIKQAYVDTEGSIEEIMKLVPHSTFDDEPRFIVLITKLIKDKELPSLPLWERSVKDEKAKLVRKKQSQKEAKEAESLAKELGVWDEFYGSGKPSAKRDKGKGKDKQTKAEDAEDEEDEEDHSALQALILKKRKNMDGFFDSLAAKYAELPTKSKKGKKRGKPKDDEEVDEAMESPKKKPRRGTVEPPDIDEAEFEKLQQKLFGDKVKSGSSSTKAKNRGRGATSKRGG*
>EED84186
MAPEPLLSTRAQRAFIGTKAIIVLVMVSITFKFVEEHVDLTIPRYKTLPCYLALFILAEIFEMFMALDALRLRNVIQLIGILSFHAAMIVMAALQIHETHTALYEQPNSTSYYNPYVNGDGPGTLWRKIEPFLVVTPIIIALSWVCLVFLFRELYHEFGWAIFHVVGANPVMKTMYQYYQIMICLLKFDFFAFIGVTMQLLIVVLIESTAEFGLTIAAIPVTLVLLIMAGAAVQREIKSIMSISLVLMLASQVYFIYKLVRFYAPSSEDQYITTRATLTVFTIVASLLLFATFGVGVRCFADFDKGLQSSKMHGVTWGHKYLSSLLDPPGEMTDRKSSYTGGAPVQPRISIE*
>EED84187
MLAAMVGQYATAVPVGKVLDRYGPRCSSLLASIMLSVGYGLFALEYSRTPERIEGASPSAFAHLVLSFGFLGVGTLFSYFALLFAATKTFPQYMGFATGTSLAIFGTSPLFLSMIVSRLFSTTSSGVDVARFFVFMAILTGSEYAEDRLVDQDERTSLLAPSVKSVANVVVVPAPEPDDLAAIDLLKEPSFWVLALIVSLVVGAAEMIKSNIGLVVLSLSTASGAQDISRQVQVIAVSDTLTRLLVGPFADVVSPVASYSSGGVWAFPRKPYITRIHFLATASLLFTTTFLWQILAARSQGALWPLSISTGIANGTIFTMFA*
>EED84188
MAGLHRVTQFLGLLGTFGALSTVTTASQTYPDTDVVIVSNNDLNPSNPNRASALYLKSGFTCTEAYDACSQFQETLLLAPNSTGLTAANLSVALTSEKHGAALDTTQPIWIAGSGTRCKSVAQEVLYAKPSPTDSTECAVFTPSYQYAGEYQMDASADANELLPVLCTNSAPLTRSNVTSYDTTRQIRLTTPSAGVLYGYRDKFTYRFLGIKYADSTAGEGRFQPPTAYEVEPSTERSAVAYGTMCAQPPDADNGHLLYTDEDCMYLNVFTPVVKSGTVEMGFAPKLPVMFFIHGGGLNTGDSGPFPFNMTTSGFVGNSISNIYDGTNLVSYGGVVLVTINYRLTAFGWFNASNAALKDALLALHWVQDNIEAFGGDPTKVLIYGESAGGTMTRYLLGTNPAYTDGLFSAAVLESDFGQGDPFLVPVLANNNSLKIAQYLGCADNSSTTFTDTMASCVQDQPAGDIAMASYNMGLSWSIAIDGDYVLNDIASSIMDGLYARVPTIWSSNDCEYCYFLPTTIAPNSSASVFPDNLSITFNSTQAQRIMEVANTTDLWPYQTAPAEDGISGAVLQLAHLITDWEVHCPMVYLSSLETNTTNPGNSYKVVFTVGLGSPLTPNPATCPGQVCHADELYWVFATAETDNLYQPLTESQVITTREAINRWTSLAWNGNPNYEGAVVEWPPYTGDNEVVINATATETIEPYRVAQCDFLESQLGLVFGEHYVGTTAKIACGLSIGSLVNLCSPTDDSGAADKHAKLFLRWTGPSSEPDHAVCWGSRRQELSCYELAGELSKARHHSVYLVHGTRGAWARWFIVSRRTGSYHRLAVIMDKSSDGGRCSLRIRFFFEPCSPAAAPGDTGVAAKVADGGLTDNWRGRGVKEAGGRSKEGRGAVFGTTPLAAPLLGEAGTAESWNCLGVKGAGDRGRSTDGCGRSSEGRCLSREGRGLSREGRGLSREGRGLSREGRGRSIDGRGVAGSVWMGSAAVGGVVEPGAACFSRSRSRATTMRLENSVRRRLRADLSALRRPVCVGEGGALPRDRRTENQSYSPTLDFHTSGSSPWCRMATTARTQR*
>EED84189
MSEVDPLLSSHIPPETEEPYSWKEWAAEHLESPALHKTVIALARILVLIDSACVLADLGYTFLNETCTPDEENQPLWLTILAHISLAITAFFLVEIPINIWAFGWTFYRPGGRALHSSLHFFDAVVIATTFIFEVVLRGRERELASLLIILRLWRLVKLVQGIAVSAGELEEGNARKLEETERELEGVIVALADTREENHRLRERIRTLESSS*
>EED84190
MQSSYILGLTRHVAANSRGNIFQPSLSNKLYHAKPLSSSPCLRWNVSQSRRQLSVDIYPRPPARNTRSLLYKAAGVAGVGIGVSLFAAPTVFCEPAVARASPTTLSQPSGAAPFQSAEAAPPPPSSNVHYLELTFGTVTGICAGVFVKKGAKAVAFVLGGVFVLLQYLGSLSLVRVDWSRASTRFENLFYTKDAAGRTKAPNVGSFFRWVIHFLTSDFQQRASFVAGFALGLRIG*
>EED84191
MRTCLTEPPCIYQRRADEYSYSGLAQSSQRGRLEEDWIGLGVQVLMYSSLVTLLFCSGGACLYSARLPRSNSLAAASDDVEHATGVHENTLGEPNLTPPPTPLNGVNMLFSYKAVYLFHLVGHAGISLLDVSAGGSAWFGVWGYCVSAIDVSVVGIDVAGTNATCSKAELGYDLSPFVLDVLHASDTNLDPATINRDITAVLVLHPIACGIAFLALATSFIIVRYARSAPGAPRALPIIALIVGFLAAVLTTAVFLIDVIFVAVVRKRVESKSDGILTLNWGNAVWMVLGATVALWLALVGSCAGVCCMRRHRKVSKY*
>EED84192
MADPSPTPRKAVIVGAGPVGCLAAISLAKTGWNVDIYEGRPGKEIEVPFDFCVGADGSYSIIRRQLMRVVRMDYQQEYIPHDYLELKMPAGPPTDIGGDPTYLLDPNHLHIWPRHTFMLIALPNKDKTFTCTLFAPTADFDLLRTPEAIIEWFSTNFPDALPLIGEKALLDDFARNPRSSLMTIKAKPYHYKDRAILLGDAAHAMVPFYGQGLNCGLEDVRVLDILLREEAVDPDISVPGEVDERLARALDRYSAGRHEDLVSICELAMDNYSVVPVLSREAFPSPSPAGWLPLYTMVTFRPDISYSIARRKARRQRKFLEYAGWASVAAGLGVVSITGLTLWRRTGSRG*
>EED84193
MVYLPPPHLASPSRPSYGETYQKILTTHRRSPSTSASSVIMLVAPDVDALCAAHMLAELFKQDDVMYRIIPVSGHAELERLRDELSTLASEQLHTLILLNMGSILDLPSSEWFGSFSTQLTVHVIDSSRPQNLASVFGGGENGERIIVWDDGGAEDLQEERKAWEALTYEPEPDSDEDDSDLDSEEDPENEGEDDDEDEYEGSSSSGKRRSLGDGDRILSATMTALHFSQDRDLYSARLEKHYMSGTWHGQSASGTVYLLATVLERVDNDLLWLAILGLTFQYITSRISRDDYDKYHSVYYDEVARLNPPPPASAATDSDVKSQHPDDNSVYASDELRFMMFRHWNLYDAMYHSSYVATRLGIWKERGRKRLTGLLAKMGFSMAETQQPYPHMAKDLKLSLRQKLDQIAPEYGLVELSYPSFTRCYGYRTQPLSACDAVEAVSAFIDVAGGVRMEVEVEGMRNGGEWFGGGRVWDGGREKRHRNDERENIPPGGIGNGDEDGAELQWWVKNFWTAYDALNDIVRLREALPLSMALHRAIVRQGSSIIDKHDIRTLRGHRVVTITQGPDLELFAHPGVLSRLAQWLVEALRDRLQGTVTAYSRSKRKSLPLVVACLNEKEGTYIVVGVVAALNFDDVRKNEFGLAFLDAKERCNARTRHGSFDTSVLEINKDDLKVFLETLCECGER*
>EED84194
MSDLCI*
>EED84195
MSTISQDSVQEQGNSFYAALARTATRSLALYFSRPVRLFRPSKVNGWQTLKKLATHHGQSLSPQYLSWLVRQQGYMVIPKHFIPPMVVNGLLGVVLWSTYTEVSDALESRLSTQPIALAAIAGASAGGIQALIAAPAENVRLAIEGGSSSVRGWSYAWKEVFRGTQSRSALDRHHEVHEARQVRDWMREVGDMAGRGWDGWGWGCAKDICGTKLCATPLYLAIMLIVDTSIGFAVFFSIFEVTRRFAMKTKAASNNFTPSGTSDRMRRHIPRVVHATTLVTGGAVAGLAYELTCRPWDIARKAVHVDRLRPASERHSILVIILRKIREDGIISYFQDPNYLPHHDDQATSPLRRRVYSASRTLARHSDIFVTEAWARQKTATPRLCDRSVDGRAAGLKPSQARIISKLVPLILRDPEHVDKIRN*
>EED84196
MIYLVACILERLFGPDTIDEIEAIRGDLTIPEIIDGVSRPSAEQVSGAPAAVVTPVAMSGVTPASDDMVTLNGGVETSASSVHTAANGAQPAPSGSISSSSLFAHSAAPSASSLGNAPSNVPSAPAPKPVTSAFGNLQSTPNAFGSSTAFGVAASTSAFSSSTSESKSNFATPSGSSSAPTSIFAASQPVASVFNGPIFPSSSSSPFPAGSSTVFGPSKPAAPIVSSAGSSNHNTLPSSVSQPSTSLNPSAPMFALASAKPLSTTTSNLFPTTHQSSVLATPLAPAANNNPWPTAPQIPSSAATSQPLASTSNIFSPQAPQSTQSHPTPSTSKAHDEKASPTPYTPRIVDRSQTLWDVPGDPSLRKKAARDQLQLSISVAGSSSDVQSAPSPVAPPPLGRIQPLSLPPTPTERSFETIPQSASRKLSPQKKKSLLGFFALQPTSPTSAEILSPLQLSSPGGSKLFSAPENSPTPQQRAGSPSVLVESPTRATISQSASDATRRTPARPKTNAEITILNTRAAEYRNGHPFRLLRMAWRAWFRRSTGNGLTPDQYFARNAAWNESCNRSIQYADNVQRQRMSSSFSTGSIASKPRQEQNKRRRVSTMESSQAKRSRRRVAGINVQPLNDEDLLRRLKEVQRLFLSLDGDLARIHNHEEHKRRWAQGSFLRSIRIRVRSSSPKGRPHIQWRTWLSLNPENDGTAIWLEHKFDVPSSGSWDSETVFSIPAIPDPKGVRSRGSPGLIVFERTPLEDLPDEIERKYRILDDCSRLRDIIDSLPQDEDLRFIPSLLVIDWVDSSEGSQAAVDFVTMTEKLVSDGIIKGVSTLTVSTKMSDPDGKMVDILNSMPLDVEDRFVVSISWEDLTNRFLLLFKEAVSEWLDSCWNGDKR*
>EED84197
MPQCIPEVSRPQLAITKASTGRSCVLDHIATVFVQIGLTTVCERERMWFRTSKSMDNDVKTQFNSTLGAMFLGQFATAVNELVVGRLYGITSLQAFFYYKQHPRDPPLIRWLVCGLWCLDSLHMALIEYAAYYYMVLNFADPFDIVKPIWSVMVMIIVSNLQPPAMEMEWKGHHLALDHSRTGRIVLVDHRRRWSLYVGFGLEVVVDGIITVSQCLVLRRFRTGIRSTDSIISVLMVYSINTGMLTSLCAIVCLITYTVLPNMFVYFVFYFVLSKLYVNCLLANLNARTTILEAGHRPLGKFDVSEATTTGESHFGSTAPQASCTGVPFRFRFH*
>EED84198
MLRPSGGNALYPKHGWHFGAIHMNASQIEDFHMDDMAVDMRNVTPELWDLIGYLLTGEENKSESELVQMDAEEVEYWAVLEGESQMSSRHADIVHTQCLALKQTQCNALPAVNGLLLHACNTPDKVIKVLAHTGFSISPSTINQAMSSDKERTNQKAGGVQLDLTEVMLVHAQPADAALGAAESATRIRELGQSLMAMFVWDNFDLKLNTVTPTVKHSMETLLHLTSGLIFRLGHGFTKEDLRCSKELWEKSALNPNNSICNHAQHADTAPGAVDGNMEAITNLLAQKGIGDLGEEKLWNHDPHLVDVSNYVVLMHGDLSTCERVQSILKSQSIEETPWQCYQYVVFVMGLFHLKMATADAIWCIFLSPMNSRLNTTSLMAYVGVLQPKETLKIGSSPKFRVMHEVIGHAGIGLHLDAWDKKIKSLKDFVDTKPTLEVLHEMADMLASEYVSNSDSLYDACQRTSNVRDVQLENTRLLHQYLLLYEELAYTMNSGDIGCVETLFPAWIALFKATSKHKYVNQMFKFMTNLHFVYPQCLRDVIHHHMMINLKGQLMMFRAVDWVVELHNLFTKDMYGGDGSNYTKAHILTESPNILVHWNCTRNAEHHYHLNGLSTAHGKKHLTKTFATLAAHMQEHGPHEHHTGCKSEYIIEDMIDKGLTVLWVSNHKGGTVAEDGDKIVDDEASVHQTTRTLPASKEQGYSPVVLVSPELSRTVEVPTLLGLPLPSSFKGCC*
>EED84199
MKYTASPILSLPHEVAEIIALSVAKQGFPTAIAFLAQTCRSFRNLIYRPSDTHLWREIFLTTFDDPRKLYFASKREHILQSLPIVSHQGAAEFDWGLEFQQRILAESYFKHAIRPVNFTAESVRVRVRRHTSVWYQSAPLERSVQALSALLDVCETMAPCHPDFGPVMVGEDNDSTSGKIILWRPLAHIDTLGMASRAAPLFAMHTGGPSLNILWLESVLERGLPWSLIARLCGEERDPEWDQTLEAQLLAKLITYTGFIDFKAGGVDEDNVPISRDDQRTFSRYRAREVVYNMVYLDARRHWGPYLLVEQDDKSVSIDTKTGPHGSNDYHFEDEETEASGEYTPFAGTPQLNITDTNEAPYASQAAADMLQYNSPVPTPKQLSPDWAWLAAARIVLQANMEDPRMDRLDEFLCLDRWREQGWTRPDDNVRKLSPGCEVGAAWDWAGVEGVWRRCMCWINYSELNYCNMYGGFDDPILEEHVSYSPMRLRIVRFDPPIVPEYPHRPTIVFEGEAGGVDWIEYQDRSCDDVRNVRGTVSMIAGGYVRWQLSVMSAEFPDEDEVMSEAVQLGGVASEAGFIGMWSPARRLGGGDTLG*
>EED84200
MSFFRSRRRVQQDADNTSMRSSIVMPGPPSRTPTLNGDSASLLSKLGTPRAGKRLQTFSLLSAKFSPLGKRPKSSSGLSMAAPVGHTVDFPRTFSRESTPSPTPSLEEIRMPLGLGRPASMMGDAEDVPQTLRTPTRERRRRSISLPNRVGSEQKQLGAKLGSTAEDSPVITRIPSELWQVVFSFASRSDVLALSRVSKTFFIPALRVLYRKIDMRLISPDHLEQCMSLFASKRSVATCVHEFASGTLPSSKDSAPSFGIVTYAIAFNNMDQLQSLVIPWFDAHIFCHTTFRLKSLTLLSQSISADEYRALMSWLADQTALVDISFPDLHLDKPYDLDIGASERSSPTESPDTPTPSTTTTQLWPAYLLPRLVSFHGPASMAAVVVPGRPVSTAVLHVHSTLWEGLKPSAVMFGLTQSSACLTHLTIKSTSTHVDARTLERLFMSAGSELGGQLEVLDIEWVLGDEVLYKQVLAMMPRYRTLHVLRMYRTGLLPLSSSGSPMEDLPTPPLTATNIPPPSALPTPLPSPFPISRPGSAASGSGSQPPRAREEAYLSSWGKHCSSLREVVFLSGARWRRERISAFLMPGEHLPAPTFRFVGLSSCRD*
>EED84201
MPPPAHRALQIFDVIHEILLHYQPSSDHRQDLARIARVNRIFSQIALDLLWQELDDIAYAFKLFSAFEGLPVQEHEHEARCDSDRDIEGGDEPAYYDLGSDIPPDEWTLFRRYARRVRKLRLDFGASPTSGTEVLARLTSLNPRSAPLFPELRSVEWVRSSLSDTSLEHLVCSSLRKINFIASNEMQASLFGQYPRDDSLKSLLHTVFSVASPQVIRFHYGLHSSSLVPSLWTQNLTYIKLECDPLDIELFTVLQSLENLKTLVLITGSVGPRDDELVLPTGFKFLKNLAVTAHPSVVLRLFASIGSPSLEWLSIEGYDGVTRGTMLEWHKCLSAISAKSAASLKQFFLNIAMDDPPELECSSFAAFSRPLLSAHGLNTLEIEILGCGRMHWEEQDLLEFATGCPSLTSFTFRFGEDTRDPDAPAVLEPRVIEEFVRLCPNLHTLILPKVNMRHAYQPYTTPIKAPLLEILEFGYRRNTLVQNPLALMYYLVCLAPKLNIGHYFVPLKHESDLNEDSGNITPAPEVDPEHVDDGLASASENGSGRDEDAASASETTSDFEDAAIASEAGPDIEDETGYAGDVDSDTTDENDNRSADTSEFTDDMSTDFDGEDEPRVSPTHRIDLLASVTRGDSSLSASDEDEASGVNETGLTGTVSGRAESMFEDPPESDLEDETRSLPLEETRFLPSDDPCYMVGFPKLGSGYYAWPPETRYVSYSRGDFAGKYECNARSPTGEIPSGSEDVICDTVNPESNAGTLDDAPCPPHDDKPESNHCGQHDSGKEDTDQHDSGKEGNSCVSEEQSGPRNTIHGRYVDDTLTLNVADEPGGGDEALFSVSAGYLNATDGHTNIHTQSLANTSGLDVEDIRALQNPLECSSVSHGAVTKPSVRVMLQSLLARFIEADESKVLTWYGVVLVLLEAQKSERSKK*
>EED84202
MTSPFASYPAPERTFIQASVPGTPLARGGAPAARGTASKRGRKPKAAGMAGSTMGGAAEQAQQQPAQSALGSETGGVISLTDVSPGGTATAVDTTLPRPVGVGAPGEEDGEGEDEMLPAMAEDDYSAQASFQSQSKDNLKYAMYRYLLAFDNLIEFYIPESSWTISVMGSTTDLKRIVDMLYLNRLFARFETFHSAEALIVFLTRDQVIQQTLGQQVSQPVAQIVAGFAKVFVGEMVEKGSHLSLAVGWLLTGNLVTARAVQDRRGEAGPLSPDHLREAYRAYQQETGRVGAARPLRGKRLFVR*
>EED84203
MADHITGEVTHSFGFCALRTLSLGRNLQLVTDILPSVQSPVLHQFETQVNEGTLEKWHECLSIVSSQFSSSLRSISIHVYTGDSENTQNLIFAELMEPIYSIHGLEVLSLEVSGCDRRHLKERDFSRMARSWSNLRTCNTLFDSRWACDPHSPPVLHPRVMAEFLQLCPKLETLVVPKVDMSPDALTTLPPFKSSALYWLDFGYCKSTAVPRPDFLARYIDSMAPNLDLGYMLGSIVNDESVEDDASSGEGGDSDNEGSADADDGDDAGSVSEDGTGVDDQENPLNILSRHEDPPRTKDEYSSTPARSAVPTWHDTIRLMYDIRRQRYVLLRFLVVRNLKRLLVVVPWSLAEMYTGWSMHSDFCFVVYFTGIVEDAGQQPFIVYFVTTPRELCLMKALYHHLPSPAGYTWAGLSH*
>EED84204
MPTRPRGPYRGRGFEPAKRKKLKKRLGAVSSRDSTHTSRAQSKAAWAALRICVEAKSPVPAHGAQPRGRSVLGRAGSTTGSSPGDPPSGQSCSPLVGRVTGWQDGSGIGTGLARSGPQGTVMRQPPDAQVPSRLDGSPQMCSMKLSELIVRFMRLSALVAMDLGWEATEEHTGIEPLEVLLGVGLGINPAFARRSSNRGQARENVQGGAAEGSAQQGPEAEEENEEEDEFKQFDPDDLPELQEATRVLFPSLSRLEVKRLGKT*
>EED84205
MSLLSKATVGAVGLLCKTFLNIGYCSSVTIHGFDNLREALESSGRTVGCGVVTNRLFSFFFRHGQVIETFRGKGIFQPAVDDAIEKLNGGAWIHLFGEGKVNQPATDPAQDPAKLLRFKWGVGRIVMETANTPVIIPMWLTGFDRLMPEGRSFPWKFIPRPRISLSVTFGKPIDANEIRNALHTHRATPGVSGSTSGGLSDPRRPHEEQKSSEISRAAWLGEAANPELLEEPGRDTVAAVATVRSAVTALLQHKVEELGREVRRTQDTMQPPQSLRRTRHAGPLLASQNNHRALEAVMETKSQMPWGMLKTDTMRAILKDLGLATYTERREEMVQRLQQVEKDGLDAVIKRLERSQGGGSGARNQHARKHKLGGEGAARTSLGSRGLVHKDSKPIFEGVVLPAPPKPCRQVFVGVVMPSLPRKMRRTSLKRRAESDDEGLQYGSLQTRRKGRRTASFPVTNSDGNEN*
>EED84206
MRCSFSRPPGAVSYRVSPADSLIRSAR*
>EED84207
MSPLSMLPTSLAEQAVLIVLASALYCVVSNLFQSKTSVQALPVPLGAQWIWGHERTVFVREPGRAFRGWIREKGLTFRIKAAFRVVLSDPVGIAHILQKKVYDYPHSQVVRPRVARLLGKGLGWVEGETEHKRMRHMVTPPFSAPLWKPALTRHENIRAMSQDIAAASMQIVDDLTLEVQGSSKDSSYNALEWTAKATYSFTILKAGTSVLRRTSHYGLMIRIDTNAITAVPNTKQASDMGNTKSRTREDGHTSMVQPVTGFDTAERDAQSGVAYEMIRRNEGLVGNIQPKQQRDLLTRLLLLPYALSTPTFDLHISIYYRISGHETTTQTLGFTIFELARHPDIQRRLRAELAELGREPTYDDFHARLPYLDAVLKETLRLYPGLPYMERTAACADIIPLRDPVQLSTGQLITALPVAPGQVVLIPIIAIHRLDAVWKDADTFRPERWLHDLPASESLCNGWANTLAFSDGPRSCIGMRLGASHLHLRCNAELTVLAHSDLSVQGAEIVSHVETVLGPDDAQVILTQMMGRFRFVDSGADIMLKVSSSLQAWDACAIEKGPCIPVRLELL*
>EED84208
MSSRSATPASTPSLVNRRHASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAVKQLAERASESWVEWARGDWPELATTIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLKDERRRKDKEDRRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARKMEKAALAKGGIGFVRGAVDDE*
>EED84209
MGHLVLLVLLASSQWPWFCMHAMAQVPSCPKPSAPEYTPQTLAIGNGVELSYIDSGAVQAVPSNASYTTIFAIHGEAYYSPVFARVMTLASSYSFRFVAVTRRDYPGSTPYSTAELAVLTNGTAVEKAKFMTARGVEIATFIDNFIRLYNLPGVSDDGRNGGIAVLGWSLGNAFSIATIASVPTLPADIKQRLAMYTRALIMQGNIFSWAIDTSIPVADHQPAFVQWITSYFQHGNLSTRNPDVLQYVLPATFRVPSVFNMSPTQLADMTYLPPASGSDALISANVQPQLNADYENACYNTSVRSALPSMDIWELCGNTTANFGIVAFWSIQDDDNAHGGGFVNFQWIGDVNHFIHWDKPELALAAYQEALS*
>EED84210
MSSRSATPASTPSLVNRRHASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAVKQLAERASESWVEWARGDWPELATTIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLKDERRRKDKEDRRKQAEDERRAQEAADEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSKTPRTLERPFAMTEVDMAAVALEKRLSGQKCDRCAGYRSAPVECVWVKNATTCKRCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPLVADSSGSKKRCVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRVLLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED84211
MSQNLQQPSAVQSSSDLPPPRERKRVTRSVSPPLSHVPLSRFNYIIALLAAALVAFYAWRVAQWKAEVGGWWNLALGRRPPAIQNQNHMNGANGAWAAQSDDNKRKESEPDVEQRIEELARALGVSSTDLAGAIAGVVREFVPPASLSSVAAHETGNAVKYLVDPSSVSSSAAEEAPGATASSGVGAMLHAVEAAVGMDEPPSELASNA*
>EED84212
MHDVLGFALICLILGVLGRIFVRVFVKSPLDNVPGPPALSFAKGNIPQLYNRNGWGFIKDLSEKYGGVVKIHGTYGSKQLFVFDPIALSSVVIKDQYIYERSDETVSSTHLLLGDGLLTSQGERHCKQRKLMNPVFSINHMRDMTPIFYRISRNLRDAIASRVDNGAQDIDILDWMSRTALELVGQAGLGYSFDPLIRDKADSYAEALKALVPTAFTLRTYLPFLPFVMKIGTPAMRRRILELIPSTRLQRVKEISDAVDAHSKRIFKQKKQALAEGDEAVLNQVGAGKDILMQANMTASEEDRLTENELLGQMSTFIFAAMDTTSGALAHTLQLLAECPDVQDKLRAEILEAQGGEQEIPYDTLVDLPYLDAVCRETLRLYAPVTIVNRKTLEDVVMPLSQPIRGTDGTYMNEIPVPKGTEVIVGILASNRNPALWGPDAAVWKPERWLAPLPDTVNAARVPGVYSHLMTFLGGGRACIGFKFSQTEMKVVLAVLLSSFKFSLSNKDVVWNSAEVQYPTVGTSNQPAMPMKIDRIKSI*
>EED84213
MLTQEIEKPWIKEKDIYARLSWWLTWTIAFLGVAGGAVRCYFSWKDVPRVGNLCLVMEDDFATFDIENTWTREVDMGGFGNGEFEMTTDSSNNSFVKDGKLYIVPTLTSDVIGYDNVLNGYTYNISGCTSTNLTSCGAVSNSTTGSVINPAMSARISTRNSHSIRYGKVEIVAKMPVGDWLWPALWMLPVNDTYGPWPASGEIDIVESRGNGINYAAQGRNVVRASLNWGPLSFLNGVAKTYGWWSDRRSTYADGFHTYAVEWTPTFIRMYVDTRLDRMLQVSFNEPFFKRGDFPATVLNGTQYIVTPDPWVNGTRNVAPFDQNFYLIMDVAVGGTNGWFPDGVGDKPWLDTSTLAMSNFAKAQSTWSATWPEDIDQRAMIIDSVKMWQSC*
>EED84214
MATSESLTDTLKLLVWTHSFLLAGVCLVLYEYIITLSQEVSAMWQGKLTSATMIFFMNRYTTLAWGISGILLLFNSIKQSGSNYTHLILFWGSLNMIWQGIWAAFSALRVYAVSDRNYLLALLALLLGLVPVGTNAVMRA*
>EED84215
MSSLFQNSFYIGNIINGVLYGVELVLYLTTMYWLLEKKRKHTRRDSDKFFMFFSTVLFTMSTINLIVESIFGEEMWIVNADYPGGMNAWFAANASVWYETMGSAAGVVLNLFADGLMIYRCFVIYNSFAIVIFPCFLYISTFALGIATLYMSGKPSGDFFAGQAADVALAYNCGTIGINVIVTCLICGRIFYFARRARRELDYAAAEPYMNALAIVIESALPFSVFGIMFLVTYGMNNGLEMTFMSFYVLFTAISPQLIVMRVIAGRAWTRQTGAAMTTMEFVSSPGETMETSGQSNSVDNDAIDVSLRAMEKGGDLSSVDIVNRVSFDSLRPAFPSQNRLGIPCPLTLPFQPILSHRLVHGLPIHTLVVSNESLRRNVASEDIRWLESDVGSAEHRVTQDVEAVRRMAGLVLRNGFLPLQDAKHPLHAVQLVGEGEREETIVWAEADLLVPNGTSP*
>EED84216
MAPIKLAIIGAGPSAFYVASRLLSLLPVGSSSKLNIHLYDRLWAPHGLVRYGVAPDHPENCTHKFDTAAEDPRLRFFGNVNIATQSPVPHALSLPLSSLLPHYTHLLISSGCTTPILHPAIPPSDYCVPALSLVHWYTQHPSRPSPPPLQQLQHITLIGQGNVSLDIARMLLTPPSELAKFDVPAHVLAVLERSAVEHVSILGRRGLQQAAFTTKELREMMNLTDASMVPLDPALLKEPDAGGEPLTRQQARTLQLLQKGSKNKPGTTRKTWSLEFFRSPLLLDLPTGAAQESSGVRPPAQLTLAHTMLDASARAVPTGEKSTQSTSLVVTSLGHRAEPSAPWYEPSLGHINTVGGRVVDPSGLTVRNVYASGWAATGARGVLASTMMDAYAVANTILRDAFPGEDVQTTPTEVLPRTVEDDAPPPEIEAALKEGQVLEYADWKVINAEEIRR
>EED84217
MICTCTYVRAVTPRLIDRNKEGFLGLFWMSARIGERLSPYVAMACVAMAVTIL
>EED84218
MAFPVPFQLLIVLIGLLLTCGPSLALDRVACQAATPDPLTGCPSGTLLVGPDSSGASFSSVQSAILSIPNDTTPYTILILPGTYTEQLNVTRRGPLTLLGQTDVPTNQSSNSVAIAWAAVAGTGDNAYTSTLTVAPTLNASLTGAGPDGNPVPPGTPFGFRDGGKTNRYSGDLSPMSANSSNLLGSMPSRKQYASFLRFTRQNLSMVITVSRALQLVRMV*
>EED84219
MGSTDFRAYNIDFVNDYAPYSDTPSLAIDISYANAGFYYCAFYSYQDTIYIGTLGNSYMYSSTIAGQTDFLFGYGTLWIQSSILSLRSCGGGITAWKGTNTTFDNMYGVYIHDSAVLPENATVAAEEVGKCALGRPWNAQHRSLFARTYLSPEIRASGYIPWQETDPRIDYNTTMAEYQTYGPGFNLTGRLDAGVEFNLTQGPLTAHPILTEEEYISGGYSSPETIFQDDQGTFGYTGWIDRTPWL*
>EED84220
MREFQVLLSSILEACGGGSVLVDSTLRDDGWQLQWGAVDFRNQGKSKSALKTLCAVPGILPRPSKKAWENSTRWWYESKELTVTQGKVQQFLKFMSDLCILAFKIQTIQKNKKNKKNKKNKKNHCNIDNAYDNSPYTIPVYSDHLCMSPTHTNSVSEPESEEAGGASARELSSNDDVPLVQKVEFQSAVDEGIMESDEDPETEQLLAEAARAATTRKLQKS*
>EED84221
MMLSREQRTLAPWKDRDIVCRPKKIVPTVATDLPSSIPIYVEIQDKPQWVKKRKGGGGNYKVQPPQVFDPITFTMSTEWDEFLALVATAAMTTVDRLILSSLNWQWTKTNSSAKGQLPLKSPKGYKIMLDNIKASNLGVAGMLFVSMGRPRQPQQELPPWAVAATLPSQSQSESHNDESDTKSSKKLSLDKKLEPIMAALINKSGEYAGRKSRIQPMETV*
>EED84222
MLANSDFEGEFDYSPYWEFVDNERCFSNLMSANWAWKQVDIIAQDPTTHGAMFVPLILGSDKTTVSVATGHNEYWPLYMSIDNVFNNVRRAHRNAIAVIGFLAIPKSPYIADYPEQTLAGCIVQGWCVICSAHRSALRDGGTHGLRTREHTQALVATLDLVTLWEDYGIMGDIIPFTNDFPHANINQLMTPDLLHQIIKGTFYDHLVTWVHEYLVLEHGESEAKKILDEIDRRIAAVPPFSGLRRFPEGRDFKQWTGDDSKALMKVYLPAIAGLVPTDIVRTFSAFLEFYYLVRRSTLTERTLREVSDALGCFHDYRKIFETTGHSRNFGAPNGLCLSITESKHIKAVKEPWCQSNRFEALGQMLLTNQRLDKLLAVRKDFSARGMLHGTVLMEALRERERVATLEASAAVRAEHCASSSDLDSESTNDDESSDDDSSSDDESSSDDEDNHYPPGIEDHTNDNHVNVAGMREGQNGGRRATSCKRDATGPVEDRELLAYVDLARRAAQGYPHTLEGLAASIGQPALEELVSHFLFEQTHRDDPNTPNTEDVPLADCPIHTGQEPDNDTGMWIVEPDFDEDGHRAFDWDYDLEDPSDREPDEEESPAKPVTLIHEDPAYRNPALIIKNMVRSPLADMLFVPAPSHILQQCHHGHMGHSNLRVLTMQVPGEIRLLISDVVRETWTVSVWDLRKGVQRATTEQRIINPRVCSPERVARGVNWAGSTRALARARHRVLLALCAAGDVCILAFDCTLCILLGAGARCAAGDLVGQCANSGTRGVFAFLRVVHASQQDSALTGSRTYHYQCRRGECQASDPEHETRDLPSRGPLRSPCADDASPHLIGDVAREIQNVSVRYASGAFFRVGLVQGRAACGVRCPSDASLTYGPTVGHSLHTELSEAVQTAPHAQRDARQVSSGLPRSSWLHWPQSAGVATRQDEAGRQSIDVGGMHVVNTRVRSCDAAAWAGVMGQERADRRGCPAAQCKQQMTGEVSGRAKRGCARTPCAPVKGTTSRAPHVRNREPVAWRDDAEARKRLPTLVVLTGGGVKQQLGGRQANKCSAVSGIGGILGFADECSCADAGETAGSSGRADWLGRGGTSACRADGREGSSTDTNSRGKPRARREASSSRTQTKGVEQREPKAHRGRAGESARKRETGASYDVRSNPQDFIASSVLRTTGTVCSVNGTPAMSGCSSPNEGRGSPCSWVREAVAPGVISLADAVATPAAAVCARGHACLCTVSPARSPTRSLSYTTCSPGSVPRDVQDGGGGELARSHCTPPLRAQRAKQHARPLPCIPRCAAAPRATRDARALPCARKISREQVARRTTVRVACTELARAHASCRLRILRAGMHVWSDGGRRHDDAREAQARAPAEMRGARVRGPTLKDSEGPRRVGWRKMLPRRASSAGGPPERYARAAPRHDAGGTAVEIPAARFDPESTMRRGRECDITASALLHVGVVSGSVLDSGSQYKYKRRRTESCAPASRPSARCAYSAPGCSAETTSMRAGSPWTSVIVRRSHWKTGHGVLSGSGSARTLLRRLALCALRFSGTTTFTPSPSGSGTSTDTDSAPTGRACVRAAGYVFARMPRRLWRRTSSSAPRYPDALFLGVSAVAQPSAREGAACLLGASLPLPEPDCAESMRVRVFKAQGKHLEEWGSWGARARGREGSREARDETELGCAKGRGWLQADVGKRRVERAGHASRAPRRAIADERSEESVRESTIF*
>EED84223
MPPLARVVLLLTLAAASARAQNLTDAQVQAVQDELWLGAQQTWELGTEGEALTEYDAPSLSVFANASLPPSPPYNLTALAPVLQIARNVVGSRSNSSQPQPLWNVSGGAAGDPVSIGQAVLLANWTGAPEPYPGVDSAVTYARAATEQLEYTLQVVPRTSNGAISHRIEQVQLWADSVYMVPPFLAYYGVVTGNQSLLSEAYTQRVHIAPSTLLSTKATVPVNFDGTITLNVFNDTQQTFGDYSSISLIAYAVTVVDGQETFTTLGAEHVVFHSNIRVKKLLRKYEVANDGPFYPFYFRDNLSDGPDFPRVATHIHLLPLGVTPDPNVEESLGPKNYYVDISNTRNEVLHGNTSNYIYIRDTTTAPTSGKVRLYAFPNNILLHPSLYDQESNIVWDYNDDGERYVAIRSYSTNSAASLNPILLSEPFSCADRPLLPAGHDHYSLVAECKPDGTGPDGAEYLWPHTQVDDFRTAAEFTAWVQNGPYVALRSVEYMQNADAASYVLRTGFTIPEGFSPTDYWVFRVRLVNCPVGSAISFDSSDPEIQYSLRTITTPETEIGIIFTGKAPGFNCQIAIRWFANGKSAQPGQRIVASLVYRTVVTTALAYHLTCRKNIGKLAEPFEVTVGDNYPNLEMPDRKKGHPKVGGAHVRRVLGDKYSVAGGIHVDYVVGVDTWGHNLT*
>EED84224
MEELKKLNNRLRSPLATILDITCLYTLLGRGNLRTRYSLHKLAVNNYLKFSKNSNFGTAIFNAYQDLQADANTVQTWTVENTRFSQSVTPKYIDSFLLPSGGDYVAVVASDSNVYTLDTDQRYPTWTLIKNTVNVAPLAVTPFYTSDGVLQIAALMSDSRVFLINPTTGTWTADGAVHVASQSVTDFKVSQIFAGIQARAKWGYLLSGKLFLDSTFESGVATVTSNGQFSAIRTIAKGTYSSLAYVAVESSIAQPLIFAINEVQQPTYFQADGTGKFNKVLPFGTTVKYTKMDAVRRTGLDADNVFLEWIEIIAQDVSGNLWHVGSTPVEAESGPDSLPSTSISALPNGDYAVQKWTDPLIISAAPVLGFAFSNSGDGYRYLVMITSGGLGQSVDADVDVQTAQTSVLSTLVQDPATTDWTATQVTSTSVDLPDAEKQAVWYVEVAVTDSNRIQMPGLTAVVNAVEYAEIDINGITTSVDAVRSYTTVTNAQGKVCFTATANDDLSCPTFTLWVEGMEAEHRVDIQATGDLETKFANITIEGLKEAKDQTTGASLFASLPEPVLTDMASALNNSMESFSSKQVELGNEVLGSISRRYIHPRTRSGIARTRKRHERSLGHIHGNSGRAFHLKLRPTLSVENLSQEEAQRRIDEKLATLPGFLSSWGAIFRAAKTAIVNIVDVVVTGIKNAAKAVITFIKDGVGKLGCKSEYVWQGIASFVQQGFDLVKSVFDAVKCTFQKLFNWLAFLLNWDDIKNTAAGFRQKMLTFVENAKDCFRNRIPPLVDVFFAHVKDWLSAHFDSVIEQMNGVAIGTYDNDNDITETGYSVSSFIENLPSTATWLLNKIFNAPGGDMGNSLPNLDPLISVWQAFSNAVAASDISDDLKNAMISVTTFLKSLTTRGLIDGKTIGDLLAVFKNALILLIDVAHILTDAFLALASGVLNFIVAIFDMPIRIPILSKIFDALGWKSLTLWEIVFVPLSIPFTIAYKAIAGVAPFKATSIEEQYHLQVAAGAPDGTTLALGLLRRLWAAPDIFLDVLAINSYGKANLIDFGGDALKRVTNGFGLGMPILFVALNPFPTVWTGGTDADKRAVAAWVLQAAPVLYTGAIMASERMLKGPRNAQAPPFWRPIVLSVLGLFEWASPIWHCYNMYHGLEPNNDSTRAHLAGEFLSPVSNIAKFLAITKNPIGPVAIILYICDGASDVGSGDAYTISYIIASKTEDAQDVPQRLALRD*
>EED84225
MSAYPGTSSWLTPTEKAIIELSNAADRAETTRESADFRTGQIRAAFRDWRVYLWGLFYITTYIPVYSVILSLPSVITGLGYEGTRATLMACPPYGVGFVIVLIGGWTSDRHGHFFLHCIIGIVFTLIALIALMAVENLVARYVMFFLIMFMFLPISISWTWISTNFAGASKRATAMGLIFSQGNIGGAISGQIYRAEWAPRYVQGHAINVACYVIALVAGSALWYSYSRDNAARD
>EED84226
MPSTRRPLLVPTVTSTMESTGMVLGALAADTAEEDEDSGCLRELWGLAVTTPVTLFEISVESSGGVVLDKMDVSSSSGVLKLLSVSAAGRRRGSTHVLAGRLSIKGETEVHSRLDDSVFIPPDEIFLMQNILHNGVRSPLLQYDWADRCFVTTARRTPIYPRGGTWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFTILRIDVEPTQTAESVQSPINEQPLELPEVQYVPIEILDIELPPAPPAPTNTPVKVPMATFTQEDIDQRITVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFVQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYKKSTGGVQVYSTWADFVTALEEAFPEHRMRIKAHQILMKLPERQKDRKSALSLGNYVTRFKQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVTRDRPNTMKAWYDEV*
>EED84227
MDSASPAEPSRVGNIHVSRKHAKITFTKYGNAYITDLKSHHGTYILRPGDTLSKPIKAETPTVLADGDIVTFGKIVSNDDTIVHPIAARVSLLYGPGPAAATPTHTTPNANEAGLSEEPPRGTSGRYGIYVSSDESEESSDGGSDVEEIPPPSPPAAARMHRYNLPTFTRPSDCTARMQLLRQVLPAIQPACIVDLRSVSPDPAPPALPPLESASPALVPALVQQDGQTGGEAARDDGPNPELSIVDRRDLLRDLPDLSVPPPMYSFPWPLAFSFDANAGACSHAIEGTDFMHDCDSELANASESPATQAQDGEEDDTASSEGRSTTPEEQPTMVLTELEAQVNLASNDISTLQMQRRSDESRFEEHVRVTKARLAELDEHMQELNGRVTKHVAANDVIMAEATSRVDDLRADINRVHTELTDKLVSEERASANHAVELNNVDAMRTMMEDMRSLKNAVETQLARDIEIIKVAHAQTQAALQALTAASAQQAETSGTRKRKRLEMNNTEADNETGGESRVAAPLPKRRRTAGRFVKQVVQTTTVAAVGAVFAVAALAFV*
>EED84228
MRRTPSQ*
>EED84229
MQSAELASAVVSARRPQYYGPIRVSLVALRRRHPNLNLADAYYLCAIVEEVRCFWQRKLSAASLVFFLNRYTLLVASSSMVIAHLTWKADTSTTCKGLGWVYESANILLFVESAVFSALRAYAIGGRIVMPALVVLVLSLTAGGAMLNSERDFSADIIAVMTATRAIAIAADLIVFAVTIHHTFGIKRQARQLGIKVPLVTMLLVDGFLYFVVGVQPTISSILISRFMFHLHRSPSPAGSTLRETHSLMTCSVGSAPLDSQAFAHFRTNNIGVGTPSAGMTSSAGIALEDDWGDAASDVESVYELDDERLSTWLSTIANTSRCFNNAIATGSFTVGI*
>EED84230
MASLSDAHYVCSTSILVFALFEHAITLADEVRCFWQRKLSAAKFMFFLNRYTLLVASFSMMLAHFASTVDTTLSGAFSALRAYAIGGRIVLPALVVLALSLTAGGAVVNGERDLSADIGRTAVMTATRAIAIAANLIVLAITIHRTFGIKRQARQLQMKVPLTTVLLADGFLYFVVGVRPTMSSVLISRFMFHLHRSSGPAGSTLQATHSLMISSAGSAPLDSIVFAHFSTDDKSVGTPFAGNTSSAGIALEDDWDDTVSDMEAEYESNGEGHPVSDHRDTLHA*
>EED84231
MPVHQQSNHLNPNNEPALAVIDNGLQTLLCSFLLPTGNEQEHHIHSTPTRPALPPPLFDWGLSAALGHTELPPSTTDQHLSHLSRALLDHLDTLSGSDPEDDEQSEDNEPPINGDYNSAIPYGAEPSGTSGKGPALNCTWPHEPMSMSQQWFPWTDKVTCTLDILMHLPRSVFSHWQLDLFLWLLKVNDINDVPSVHSMHSMNAALQHMCGIDSTQYKGALGHNYTVNNLSQIIAQEMANPKACQAKHWLEEMPDEQLSPMARIRGKDYFIHELSMLISSEHTGKPLLHAKCWCMHTATTNTQMGWCVKQEDNFIVSETQFLKNFTEVCTDTQSLYGIPDPQIILGMIDFVTEYNIHFLAMSNTTPPLEMLDGIVEQLEPHYVSYIMFNLQPAHEKVLEQESKKIISSDICDGVGIKKTALTDFTYTMAMKYRCGGAGDKLDKVYTVHVTILWWFLLKHPELLCTEVEDTTYKEEGVADKSSPRGSPAAKKHKVRTRCAGGRVAQGQCFWSQVNAFFARELSARGTNMANVSWKEYIDKMTCIIPNRTHPNTMSEGLWTVSAKTGAKMSVGVGFERASAKVYGSKRHGKKIKYKVKQGTIAPQRGMSASR*
>EED84232
MVRLITHNLLACHAKGCTSNNFPLQLKDVQVELRDAEYNADFLRGFISRIEWPALVDAARQLGDTSLPNEPPEMMDDDFLQKLHHVLLEIHIEEGAMVCPNCAHVYPISNGIPNMLLAEHEIG*
>EED84233
MPSRQPYDASTPDRTHFGSDIPLARLDAVLSDQSLGPSLHRWKYFSSRCVETFRNNTGLLLIAASQAFGATMSLFVKKLNSLDPPVPTLEVRGVKVYCWYTKAITWACCVTYMVLSKVPDPILGPKEVRLLLAFRGVCGFMGLFGSYYSLQYLSLSDATVLQFLAPMCTAVVGALVLKEEFKRSQAVASYTSIRAIGKRAHPLHSVVAFSTHMLIVRPHLIVPTRLDWIGMLFAIGLLGFGGQVVYFVVQSITERETAGRGTMGTYIQIIFATFNDLVFFHAPPSVLSIIGTVIIMSSAIYVAVTKGVAKDKVGMHKRNRSMMPPEEDPSLEEGLLASDEQEQDPPAAASTNGDVSVYPEEGAVDHNEKSNDGNESTANNLVHLQLSTDSQTGTFTACKRTVKKAPSKNTGYHGFHDMLLDHGDYCIGWLYVVPSFAAVAFTEESTDSKPARGNAGLASFKDKVIVFLLRRFGVRQDNVFDFIAPSFLISFVPIVLIFPIARLWATADWGIQYVQPYIMLANGNARAEDSVLLDYMPLKPLLCVCGLGGTQSQGSVAFTPTVADEQFGVSQVDATSCGLSNQTDTGLLPVFFWFYNVRLGDQGQSTPEAKAVICRPSIALRNVQAKLNMSSMSIDTIVDLGAFSATNNVTSGSLEGKAYNGVIFSNISSAILKARATSIQIGLLGSIYRSASQTTELLDSLFSSEDGFLNLTQQIYTQFLAVAAKSVYLIQIQEEEPITAYATAQVQRLVIDTLAAHFLAMGLLTVGVVGAIIHYLHLPYRRRLHLTAPPGSIATSVALAFHSGFGDRLRPYDDDKSMMRKLSNFRFVTDTRTGAIIAEELNHDEDSMELGPLDQDKDTVALLHDERSEEHYDEH*
>EED84234
MYGRPSVMASTGTVIPPKNSSSPRTLGAVLDIGAGYGHTVNYLNPEAVTKYGALEPNELMHDEIRERATAAGFTESAGTLLILPYGAEDTASIVSALGAPHSVDTLISILSLCSIPSPEEALSNLVDLVLRPGGQLLFFEHVLSPRDDVVWRQRFWTPVWKNAFDGCCLDRPTRVFIRKMGFWSKGEVWGIEE*
>EED84235
MQREGAVAYTAPAPTIQNKGIRPIPSGLHLLFPKRPYGTHHTSFGGTHGLWKLTDRARLDELHAGLTAFFDGHLSLAPLESLKPTNILELGSGSGAWYAREATTIEIMFCVGSLDNPRAIQAARQFPDARITAVDIAPMPERDVPSNIHFRKMDITKRLPFNSEGYDVVHARFVMMHLHGGEEILKRVVHLVRPGGWLIVEDPNDDNTADRGQTLGPGMSAFVGAWLAMLRARGANPCIGRDLGRILEASGAFSEVHENDLGAVWKTNMVRVARDLPARFAPQGITEEVAQQHLAELQDPSREISTDIHLTAGPYGRLRFAPRIAGNLRSRNIARLLIFELAWHFSVQESLRAKRAALGCQPTCDDLQAFYSKDDHVRIPHSNASVEWCNIIHGILQELSLFCRSVDEKLPEALSQRFEGDRVDIVLRGCVGVDLDNWSEEMESWFPRLRAQWDQLRSPGSVDTAGSATKDDIQRRRSIADCSALSREVHRVEWKFRQQLIARSTIIRVYASDHCCMRYMFKMIRIADLNNGIGTERTAINMNISQRRVLAGPRVIPDISKIARSAVKNEQRILNYWRVTYD*
>EED84236
MVTCYSFAASGVMFWY*
>EED84237
MAAHNKIYSVDPEYAALVAQLPSEPPTYDVKDYGPLREHFNNTFIGMIKDNFRSQLPAESTYTVNDHRVVVSGGEISVRCLTPGNSTTGKLFPLLFWIHGGGMVSWYFVMLGKANFFVQDGFMEIWTPTTIIYG*
>EED84238
MSKPPRTFPSVVSPRTEATVVTVCWVNFVRNECLSGLVAATVVKLIEEARRRGDAVRLPQFLEGDGDLLALDLYWRQVGGCGCLVRAAGVLDSSDWHVVSFVGSDGPWSAVRARLPITDEERSSVSIAFRIVVRDVNLLLGVEGVLKSRAVKEFVSHVDGGRKGSMQQEPKPRVREDKYRPLKCRYQRERRDEWFCFGTLAALGSLDLMLRFEVERQGKTKSWGCSTYLTEAMGQEVKTEVPRAAEAGLYTGEVKGRLCALVRAQLVHKPNDDRLFPGRLDNQVAELEDQDAYLEALGMAGIADLPAGSIGLPDLGQGYKTAPKRRKKHQPFADRAPTLTHPHLNLPVFTLDLLIQALLLDNPSTPIHPFWQSCISMETPYRNTFAHHQPDGSIIMDDPHNKVQHLFTVPQLRAFVHFDLLLRASTFKKASVDVPDGYVKFQSLWSQDITAPHQFCSFDLSMAVFDVAGQAFEVNKFAPKRMGPITKDRVIEVMREVTVSKYSPEQEWAIDAMLWNEAQRGRRFVEQRAKQETERAEKKAREELQRAVEREIRKQGGGKKKMKGKRAEENPARKRQKTSAEDTSAEDKGVGSSKELSTATAGARVDTMETE*
>EED84239
MSKPPRTFPSVRSLFRRSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFEQSSSSPEPEYPIPSTSNLVLPTPSSFRAHAQPPIASSLRLSVIPTSDLAPPLPLTPSNAASNSNPAPPAPTIPSTTTTSSSSPAPTNTTNMSQNTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNVADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPNHYANDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHTPAPRQQAAIHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIQDGKCKRNTEGKIPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPSATIVLDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVNNKEQIECIIDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNTAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTYDASVSGK*
>EED84240
MSNYSKLGQFDPEYAAIVAALPPPPPPEKQRDHSCLREQFNVRVVGMTKDTLRPHLPPGWIVGNVELDDYYLRIICVEFQIAVINVEYRLAPEHPFPIGLNDCYAALKWVPYSRGCDLNLTQLTFSQTVSNQTLFGGDISRGFLIGGQSSGGNYAAVLTHRARDDPFFENRRLSGTALQIPIVLHPKAYPDKYKGELLSMEEYRDTSPSLNGERVEAFWADLGAEPLNPECSPFLYPSHAGLPPTYLQVCGRDPLRDEALVYERLLKEDNVPTRLDVYPGVGHGFHVYYPTLTAAVKLDADLKAGLSNLAVQGSCRDLRSSDRGISKKRGAFDWFSPKRWAAEAGLYTWGDKGRLCVLVHVQLVRAQHADAAPGAGK*
>EED84241
MSNYSKLGQIDPEYAAAIANFTAPGKIDPRLREKLDGDFEAHIKEALRPHLPPDDSYIVADHHVHLDDENVLVRCLTPRGPEGVSFPVLFWIHGGGWIVGNVKLDDYHLRIICVELQIAIISVEYRLAPEHPFPIGLNDCYDALKWVPYSRSCDTVSNQTLFGGDISRGFLIGGQSSGGNYAAVLTHRARDDPFFENRRLSGTALQIPIVLHPKAYPDKYKGELLSMEEFRATPPLLNGEMMEACWAALGAEPLNPEGSPFLNPSHTGLPPTYVQVCGRDPLRDEALVYEKLLKEDNVPTRLDVYPGVGHGFHLYFTTLTAAVKLNADFKAGLTWLLGRDSQ*
>EED84242
MHEDTSQDPEKSPLDKPCQSWGIGESCDQIKAVKAFLLAAGCVICGDNLQPQENGNKACIWARRAINRKCADSGRTRTHARYRYGILKSGTETDQDGARPKAGDVQTPAITIEVGTKLVEAFKEPRLQGEATTQESIRHMV*
>EED84243
MSVDSSAETQAIAFFQATFLNNYCQLSITTLIIYEHLITAAGEVRLLRERKFSNSGLIFLFNRYTLLAFGIINAVYVYPWDTPISCEAMSMLYDILQIILYAVAAAFSALRVYAINDRDWLSAMLTLILGLPPVAVNIFYTAIASYDTVSWIVGNPECNGGNDLSQSTENKFEEKSLEAVVGNTDTQRCCLLALNVIQMALELSEGPYFGVASEFIAMDLPYGEPDDVDVQTRTQARNISSQNKKQRRGHVRHQDATTIYIKLEVDW*
>EED84244
MLTFETGVLISWWVTASSPHKDYTRSLELVGQAGLGYSFDPLIRDKADAYAEALKALIPTAFTLRMYRPLLPFVMKIGTYPRTDPDDAMDAHSKRIFEQKKQALAQGDKAVLNQVGEGKDILSRLMQANMAASEEDRLSENELLGQMSTFIFAAMDTTSGTLAHTLQLLSKRPDVQDKLRAEILEAQGGEQEIPYDTLVDLPYLDAVCRETLRLKTREDVVMPLSQPIRDTDGTYMSEIPVPKGTEVIVGILASNRNPVLWGPDAAVWKPERWLAPLPDTVNAARVPGVYSHLMTFLGGGSACTGFKFSQTEMKVVLAVLLSSFTFSLSTKDIVWNSAEIQYPTIGASSKPEMPMKIDRIKSM*
>EED84245
MSRSRHTPTNPPVGQRNVPGTQYAVGDVGRMGGDPFGDPEGSSANTSQEVIAESFSESQPTSVAGFSANRSLPGIESLHSVPFGGHASSPPSRDRLLAMRRSITSLDSGVSHITSPSLLRNFDAQNRGSFTPPPAFPKRTTPYGNDEVNVRVSVKRAQSTMLTGPLEKPWIGEKDTYSRVSYWVTWVVAFLGIAGGAVRCYFGWKEVPRVGNLCLVMQDDFDTFDLENTWAREVQMGGFGNGEFEMTTDSSNNSFVQDGRLYIAPTLTSDVIGHDNVMNGYTYNITGCTSANSTACGAKSNSTTGTVINPAMSARITTRNSHSIKYGKVEIVAKLPQGDWLWPALWMLPVNDTYGPWPASGEIDIMESRGNGVDYSAQGVNYVRSSLNWGPLSFLNGVAKTYGWWTNRRSTFADGFHTYAVEWTPTFIRMYVDTRLHHMFELSFNEPFFARGDFPETVLNGSQYIATPDPWVNGTRNVAPFDQPFYLIMNVAVGGTNGWFPDGVGGKPWLDASDTAMHDFAQAQATWSATWPQNVEDRAMVVDSVKMWQSC*
>EED84246
MLFQRLSLFFALAALAVLMSVQARPLPEKSSIVRQDTYLVKRSNENAEVDDSDPDGQDFVSSLSHGSHARLRLLTGESLTDYRLGKDVAVLLVKVKPFTLLVFLKMGLSIAAKMMEPIANIGRGDGFPFLPFPHHAVKISKPSLSALFYDGEAQVFSLSPNAVLAAANVGFLVTMEVIAWIRDLRCNSGLNYNIVVSVFPFRLEELRYHEISSLVGWLLFIYLLLMEAPTFWVMCLGRLFEGISTAAILIAGLALICDATPEKDIGGQLGVAMIGVPLGSLVGPPVGGALYARWGYRAPFIFAILFTIFDFAARLLVKDNFEGSHNHNKEVAGQADSEAGKDSAATVNEDPASVPTDVLATEGPNIELSMMGVVVRLLTSVRALVALIIVFFCSVAFAALDVTIPLRLQAIWGYNSTKVGLVYLAAIIPTIISNASAGVLSDRFGPSLVAVVLLVAGIPWWGLLTLKFSVAFFIVSFAIESKMIVLVKQLSAEIYCVDLFIAAVASPLTAELAAITRKMDGVGYAHTYGTFNIVFGVANAVGSVMGGQIYSHSPIGWDILCYINIGILSLCLFLTLAFVGERPLLHAWRRREKDNASLGDMSDPEKNTEPASLSDKTKDVAVVDEWLDEAPDLDHGGARDTTREITSPSLSVVIAADTVSASISITAINEYLAHSLRLRHTRQIQHLPVNPEGESIRLTKDQLYQGLLVKAREPSRFVSAISTCKVLQESPTGLLREVQFKGKPAPMHEDVTYYPPAQLTFMMTDPATGAHRAQITNLISTMSTPRGPELVLTFAFAWGPVGELDTGADADALEHERRYMAEEAIAHTLNVVREMVKNQEIQ*
>EED84247
MSIFVVPDDKHFSSASSIDEKLEREKEDSEKQVYALPVDTFDDPNLDDDETDLEDDSPYPEVRSAVANTDDPDMPVCTIRAWTIGIVWSILIPGLNQFFFFRSPSITVTALVAQLLSFPVGRLWARIVPCVTIFGLPLNPGPFTMKEHVLITIMANVGYQSAYATDVVAVQRVYYNQIWSFSYQWMLMMSTQLIGFSIGGVFRRYLVQPPSMIWPTNLVTCALFNTLHSQQYAGIGNHGGLSRERFFTYAFIAGGCWYFFPGYIFQALSVFTWVCWIAPDNVVVNQLFGYESGLGMSLITFDWTQVIAYVGSPLATPWWAEANVAFGFVFFFWFLTPVLYYTNTWYAKNMPISSRTSYDNTGGAYNVSRIINDDATLNLTMYTNYSPLFLSTTFAVAYGLSFASITSTIVHTLLYFRKQIWVQSRRSLSEQPDIHARLMNRYPQVPDWWYGVVFVSMFTFAVISIEAWNTQFPVWALILALVISFIYTVPCELIIGYALPGRPLAMMMFKTWGYISMYQALQFASDMKLGHYMKIPKIVLILLSPTSPRPMFWGQVVATVIAGTVQLGVQSWMFHNIPDMCTPNQKDGFVCPSTEVFGTASIVWGVIGPSRQFTSGQIYHGLVFFFLVGAFCPLISYFFSMRWPNSILKYVNFPVIFNGPTQIPPATAVNYVPWTIVGFIFNYVIRRRHFSWWTKYNYILSAAMDSGVAVAIILIYFCLQYPQNGTIGQNTIQTWWGNTVFKNTADYNYTSYYRTNGTFGPKHW*
>EED84248
MDSSDEKSAHTDILEVSRASDLVDPHRVLRKLDWRLIPFVSVLYLLAYMSVSPSPFTLNRGPTGNAKIAGLITDLHLTGVEFNLTSAMFFIPYCLLDIPSNMALKYFKPSRWIPFIMVCWGVVLLSMAFVKTYHELMITRVLMGAAESGLFPGVSFYLCLWYPRYAQAQRLSIFVSASSLAVWPDNMIWAFF*
>EED84249
MATSQLSDGVYLRSTINATPAADPQVLSAFSSDIIPLGHTQPDIATLVAAYSNFIAHEIDPSESKTRIYLRGKAVINGAAKKVETQVSLKTIPNELILWPQAWENAAQIGQATLSASKDGEVVVTNTPIVYNPTQGLGRHDTLIAEATTGAASASTTTLVQQVHNWRNLVKLVGEDATLATYNVVFADPCSTNVSLTTRLRVFENQASSVNMAFGIEAVGTPASDIDVSLVGFGTTTGSKPITFGQSRTNILPSKLLTTPAILPKDFDGTVTLNVFNDKKQTFGDYSSISVIAYAVTAVGGKETYTTLGAEHIVFHSDTRVKKVLNKFARLREAAVIQDVTNAATSIGPSFYFRMTVNDTDQFPRPESDTPEHSCDIQPWGTLPDANVQSDLGPANYRVDVSDKRNVYSTQGCIIYDHTSGGDPKIAIRKYNTTAAEAPVLFSEPFNFSDPPPPPGGDHYCLIAECKPDGLDADGNSYEWPHQETGDFATGPEFITWLRSNPCVCQRNICYISNPNAPSQVFYTSFTIPASFSASDVWIFQVHALNCPSGSYIEMDSSDADIKVGKLTITGSDQVCE*
>EED84250
MSSTLPFLDQFNAPSTKGGKRISIYTPKHTHVGDSALLTLLLSDPTDVFNKLKTHNPEATNATDRAALEVYLSARHEYDKAVKAADEAIDHHKRLLRQQDNRVLTELIRLDNLKVAHRLQPLLPCSIRAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTILADWQPNPGWTLKGSCRRCGSSRHWGIINEAKERKERERKTKAVPIPPPRSANPEPPASPVAGPSRPHPDTPVVFRKVDPDWTPDTTQWTWDSSWPCQKHLSGEEWKNLGRNMRNKWFDEEEDDGVDWELYGDGEHRWNPKFDLVIHSSLCSSSLGVVVILDFLARSSGQRTVHKPSLVVYESGITIGAKQLIADQQAVSLQHLAELENHLEEEHSQAATFSFSPSHVECAGGGGHANILDSAVKHHSKDQGGVGKPKQVHASKAPTLKMPAKAALQSTTFKTAKKSRTTQADIDAHCKSLLNADLNDKPIVLVSGKCKSSKDSSVPKVVKKARAANPSGLLAGWTQHDSSVSSGSSRNTVQTPHTCVTTTPGHDGHGCMRLWWGVVAIKQEDPAIMIKTELTTSHPSITGEAGSGANGGFVKVDLPAGAKPCWSKEYIPMVLDYIGTLPNPWDIAAINLIKVFQQIWDLVFSDILYLVNDKGAVYTLAQQKIYDWRSGFAKSAADHVARFFQLDIPEELKRRRLENTAEGHATYIAQQIAGNYLFLYGDVKVSWNKPFQSQLVLATLAYHMDATAGAILYIEATPRGALAMSTVAVERAIGMYTTGAYITPREKFVEQIWSCVLNLYKISIETLMPGTWDVIVDSANGLTALDRNLPNLNEAAGPVDGPLASEARSMVQLCCTPSKAIISQATHFDADWPTRSSQTAECCSTNLNHHAA*
>EED84251
MSNISSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTSTKRAPIYPRGGTWCRATGPNLQVVAHQELVSYYQRHPPTHPEDVFTTLRINVESTQTAESVQSPINEQPLELPEVQYVPIEIPDIELPPAPPAPTNAPVEAPMATFTQADINQCITVALAAYQSQQSAANRPLCLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADTYYKKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRAWYDEVRNTDAAKQGALVVTDTRDYGKPMDIDAAAVAATFASTSGGRKWELGAALNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED84252
MAPAQLSNGVYLRSTINASPATDPQVLSAFSSDIIPLGHTQPDIATLVAAYSNFIAHEIDPSESKTRIYLRGKAVINGAAKKVETQVSLKTIPNELILWPQAWENAPQIGQATLSASKDGQVVVTNTPIVYNPTQGLGRHDTLIAEATTGAAKASATTLVQQVHNWRDLVKLVGGDATLATYNVVFADPCSANGHIIYDHTSGGDPVVAIRKYNTTSPEAPVLFSEPFNFSDPPPPPGGDHFCLIAECKPDGLDVDGQPYEWPHEETGDFATAAEYAAWIRSCPCVCQRNICYISNPNAPSQVFYTSFTIPASFSASDVWIFQVQALNCPSGSYIMHSEMDSSDADIKIGKLTITGSDQTAGAEFTGKAPGFTCQVVIRWYANGTKVQDGQKITGNLALQLLATSITGDELFRRRNTGKPSAPAVRTVTPDYPNVKIPGEDNTGEKQPPKIGGAHPRRVLGEKYRLNAAIYIDYIIGTDGMGYNLALA*
>EED84253
MSALPFEITEDTTRGTTQDATYSEASLQNRFLTLVSGLSLTGTFGISEPMKEDEHDTLPTLRHSERIPSGASGTASWSARRRFKPAYPFAKLTLPVEPWDMVLDCIFGAETKELLKLSLVCRHWWTMCRPYLVRNIVFNNRGDVLREYRTRRRDWAGPRYVTIRGAEKTRSLGHFGFVAALFGYVWQSGFRVKTLALAQVSTTSVPTSMPNHGTVVPKGPKCEGCALHHRSHCPSTGPKVLDNIMARLKTVCDVLDRVLWNIRDIRPFFLSFDLGVKILLKHADPRGEERARKLGRASSRGSEAPIIVYNDRARCTYATRLSLTGIATPVDKRAPRHRTLVPTDSDMQLCSIGCRHLYA*
>EED84254
MSFELTNGIYLRSSINADFAKDPQVLAAFSPDIIPLGQTQPDHEDLIISYDHFIAHDVDPDEAKTRIYLRGKAVINKAVGGVETQVSLKAIPNELILWPQAWTSAADLGQVVLKASKDGEGTSFQKPPHVVKTDILSTETPISYNPTQGLGRHDTFIAKATSKNASLEDLVPKVQNWRDLVKYIGQDPTLATYNVVFADPCSTNVSLTTRLRLFDNKTDSVDMVFGIEAVGIPAKNIDASLSCFGATPDYIPFSFGHQRVHIAPSTLLSTKATVPVNFDGTITLNVFNDTQQTFGDYSSISLIAYAVTVVDGQETFTTLGAEHVVFHSNIRVKKLLRKYEVANDGPFYPFYFRDNLSDGPHFPRGGDAYSSPDIQPLGVVPDPDVTSNLGPENYMVDVSNKRNEVLHGDNSNYIYVRATTAAPTSGQVRLYVIPNATLLHPSQYDQTGNIVWDYNENSEPRVAVRSYSTDATDAPNPILLPEPFNCADRPPPPAGSDHYCLVAECKPDGIGPDGFEYTWPHLQAGEFRTAAEFTAWVQNEPYVAWRNVTYVSNPNAASQILRTSFTIPETFTPADLWTFQVRAIECPLGSAVSMDSSDPLVQLSPLTITIPDEAAGPVFSGKNPGFNCQVAIRWFANGKEPQTGQRVEGNLVYRSYKTTALAYHLYKRKSIGKPAQPFELTVENHYPNLEIPGREPKNKNDPPQIGGAHVRRVLGDKYVIPEGVFIDHVVGADTLGYKLD*
>EED84255
MAHPLVYPGKYFFYPLGNTPAVCLTRDIPPEEPADVLLLGCGDPRSVLFTIFSEPRKGRRALDFTCCDFEPAVLARNVLLLTLVADKQLYTSSIWNIFFHIYIDEASREVLVDQCKKLIQLSDSLNSWMQSPYGQFIGMSTEYTLSELRRHWTLYADMPNLPSSRVKPIRDKFTQIAKSQAQRLGQNVSTARGAGPLMFKAVDVTSRQYNSYWKTGTTFSDQSLIKAAKFFNPTFAYSFAGEGCAVHYGTDPMESFHLVALFGNAWGSITVSDVVKAAKAEFSDWCTAFSSAVSDSSRVLTVRFFLAEAMAACRALHDFVETGTLETTVPVAQWKTQLILFNQVEYVSRAAPARFNVIDTSNLDDHIGLLNVVIAATPLLAPPPRPIALYTESLLVRGEDATKEFAQRLYANLTVMSLLLGVTPIDYISGFSSRSNTHELMLYVLLKETSTQFQQVTTWKRPVSGDAYAFRGGGGCLPPTFDSIQLGTLLYDIYHDLFEQEDARHFWRLNQGNVMNAISKSNIIHYTRESFVLFLKLVRDRLFISREKWLEVMDRFMDLQSEDQTLRMDSCNLQDFHAHLHRHGMYTLPFMLDEAPRVGRFADWRSVTALVRVILVIPREKLSVLHDVSDKLGTPLLQCDIRGEWSHNIFSSVHVAFGRAIPMGTKARPWVTFEADSEGWSGTSSLVASFTMASRYLTDIEPPHQLRVTISVRSTPASSLLTEKLGIMLIIHEARLMDESAVFVLPEHPLPARNQAGASDIPQSPDVTVLEELGRSNRVVVGLDEQCALPTVKQVSPSTMRLILDGRVQDVMYPFPVIGGQNKLRLARKSLYIEVVVPVYGPFQPEGMKLNPFTVAAIQGTLDLWSIHRLNLSTLPVLDTKASKLADWLNPHIGSMMSKRERSLRKKHKEDILMYVKDTIHAILVRASGIQEGPPCRLFALRDHTTKNSDTVIFVDNIKYDMHCHTVVCDGFVLPLTSHLLRRLEQPFCNLITPGRMVDVAVFGEEMRAWKQLFPALAERCRTSWTHGPNCEYRSQGQIPLTEEMELDPLCSCGRGRDTEAMQAVDVWRPFAPHVTRIAISPLFAVSYLETIGRDPDAHRCFVCRGKGKPKIRACSGCKKKYYTA*
>EED84256
MSAEPFHIRTARSLALNQLHRKESTKSRCEVLLHVQIVLRMAISWWMVTEACWGVPKHISILIVRASVVLTERKGMTLTTFHALEGDLSISINAIPATNLQVLSAFSSDIIPLGHTQPDIATLVAAYSNFIAHEIDPSESKTRIYLRGKAVINGTSGSVDTQVTLKTIPNELILWPQAWENAPQIGQATEMDSSDADVRLKKVTITEPDQTASVHFSGKGPGFTCQVVIRWYANGKKIHKGQHIRGKLCYVALATSHLGNELFKLRNIGEPSTPIVRTVTADYPNVEVPGNADESKDNGPPRIGGAHTRRLLGETYAAKAIHVHYVVGDDGMAYNLE*
>EED84257
MVLNGTMNIQYWQVSWELFISGIPILSALISMVVQVFYAWRMWLLSNRKLLILAGIVVILALGQFVCGCIAAMAITNSNPLVPYYSADTPISFAPIMGWLGGGALVDIIIGIAMFILLRKSRRGEKETDILLNRLVKHIVGSGIVTACSRLRRLRTAPSIIATLCVEGVMRRSIEYSTGTCPKRVTAY*
>EED84258
MTTEVKIEFDAKNMPFRRLGPSGLRVPLFSLGGWLTLGGSVKGDPVKDIIKTAFDNGINMFDTAEGYAAGKSEEEIGRVIKELGLRRTDLVITTKLFWGIHPGPNSMGLSRKHIIEGTKESLQRLGLDYVDVIFAHRPDQTTPIEETVRAFNFVIDQGWAFYWATSEWSAREIEEAHHVADKLGLIGPIAEQVKHHMLHRERCESEYNPIYSTYGTGTTVFSALAGGFLTGKYNNGIPADSRLAVEKEKQFKDQAASLSTPEGQAMLAKIKALAEFAETELDCKVSHLALAWVAKNPNTSTVILGASRPEQIVDNLKALDVIPKLTPEVLEKIESILGNKPAPLPTFGRPALDKFGRQY*
>EED84259
MAAPALVAGDFLVSSLTTPSRLFASANNIQGWSWYRCPRWSTNTTCSGYCYGMHIYPILGKELLDDESSKSSKSSKSGSSMHTDYEVWRRWEDCLWFQDLLESEYGLMARTKRQRLAAGKGIKKNGVYIHSDQAASFESLPPGPEANSIAKDIHEIVPKLTKKGTIFRASQSTIDQRGREFKAMIDSLWSDELPTLMKELRDTRAVRDFFGYWRRDVDHDKKLNPHLTSSGDDKRISHRGSLSIPSPVRKAEDARPTSARSSTGSTSSLWLARKPFLAKGAETRVGIEEVPFVLMGDGAHHTLQSLPEDSELVEPMNELSIQAPRPPRRVRISSCPDPTNRNCVIIEGDGDTDGDEDVHTVYDDDASAMTVSPSLRPSSLTPSMLSDFSTPSSWRTSIASEFSIATAMSSFPPDPCADERTVNGHLARYVPSNPARASIATINSLMSGTSVDAVLPRKWSPPPTNGLRRSLSAGSRRRPESSLSMIPIPTEEMWDGQQDDFVDAYFYDPTLRSASPDESVYSSDQGDEYTHFNMQPSSPDHFPKPFQDRPPAQFHLPFSAQAPSSPTSAAYSLTSPTGPETFAVKVILDDSIVLLRATYSMSFLEIRERIREKFAKQEGLKLPETFVIAYLPPNDRARVAAQSRARSNSCSTFGGDQAQPLRYISSDEEWQTAIASSAAKLTIRIFHARL*
>EED84260
MGLLNRRRKTPPQDEESAPADNSGANRASAGSGDGTVVNAKEAPNGAPSPLTDQSADTTGTPAAYEGNEYDVLLQFATDEAAKLERGADDEDKEDEGETTRPWYAAWKRVKKESKKEKKVPPDWLKTDMLQGLPSGQEIEKRRAVSGWNELQSQNENQFLKFISYFRGPILYVMELAVCLSAGLRDWIDFGVIIGILFLNAGVGWYQEKQAGDIVAQLKAGIAMKAWVIRDGREQEIEARELVPGDVIIADYNDKKGDSNPILEKRARRDSQSSQNSQRSGMEKGPSVASVDQSAITGESLAVDKFIGDVAYYTCGVKRGKVYAVVVASAPLSFVGRTASLVMSSNERGHFQIVLGGIGTALLVIVIVFIFIVWIGGFFRHLGIASPAQNNLLVYALIFFIIGVPVGLPCVTTTTMAVGAAYLAKRKAIVQKLTAIESLAGVDMLCSDKTGTLTANKLSLNEPFIAPDVDPNWFMAVAVLSSSHNIKSLDPIDRVTIIGLKDYPGAQEILRKGWITHKFTPFDPVSKRIMAEVECDGKHYTCAKGAPNA*
>EED84261
MPRTHPWMSLPGSPSVLKRGQRRVA*
>EED84262
MTGDRGRHGLILAINAGSSSLKISLFRRANHTSEHGKPNDAVDLLLVSSITNISSPPTKFTFSLVSHSQGREAKKEPIDKVHDHASAFAHFLDYLKKESAIDRSAVVHVCHRVVHGGDYFEPVIIDSDSYHHIEKLSDLAPLHNGAALSVMKACIEALPDASSIAYFDTSFHRSIPLHIASYAINPKIAQKRGLKKYGFHGLSYAYILGATAHHLQRPASTLNLIVMHLGSGASVCAIRNGTSLDTSMGLTPVSGLPGATRCGVIDPSLVFHYTNRAGRITHDPSAAIDVRVTQAEDILNKQSGWCALTGTADFSEIVRRMRDSAGGQELRDWQGEGKWRLAFELFVDRIAGFVGQYYVRLGGRVDALVFSGGIGEKSVELREAVVQSVGCLGFEVDPHANSHVTGQGGVVIDLEMAKECAQTDEFWK*
>EED84263
MADTKTILKTAGKKVVGIIYTYDITMNRVSFDTFRKLCGNEALKNVVFVTTRWGNSNPATEAHNEQALQYKLQHELSSAVAIVRHNNSESSAKAIVRSLLCKTPVDLALRGSAARATSQNEFLSQVGITYPPADPSIGYFGVVDVECTDVTVMILSNPISCILHVNLKPNIERPSSNEERTIYRLPRGDRIYAPLTDIHSPPHSKPNRDSADSISLNLFNSMYDQVGTIIPSVYSEFRINPTCAQIFSGTGKTSPEQRHGGIIYTCSVNENRVRPVNVFDAFRKLCGDDAMPVVTVVTTGWDHLRDRSVVTVLVGQFDYTRVNFPQLCWLQGKLYFYQLCPVIPLQHLNLCSFCIHMYSPRQSYGSPPPELSNNPFIDHPANALSRFPDISAGADTANSGQYTSWLQQPGQSGSMLASNPTGYPGQGDFTMYGGGAYQQPQPTGWHASSGYFQPQQAYGAPPMQPQTTGRPGFQPSSSFGQQLAAQVNTAYTGVPQQQPQYTGYPQMQAPSQFGAGYQPGYASQQPQQNPQYIAELDPYGPGSQPSYSGSSATGYRPPHPREYVQQHKAELETWDSYSWKQIQNSFDALKEAWGARKRDIENRARSLGGAGLFGGGGYGAREGS*
>EED84264
MGLIDLPDDVLFDLTWYLSIEDVLSLKQTCRALHAFGSSDYLWRRMIQDVELPLDLPSGVRTSSLSHDELRSAAIKAMRLDANWSRRKPLLKRVKPLVRDGNGPFVDDVQFVPGGKWLLTVQRYLQIHWTTRIVLWSLEDLENAYRVAVIETTGSHRSSSLDVQDDGQSITVVTGLSGCQEILEVYAISLQDPDDFSYHITATPPLSRRIVLPPHPRDPEQTPVIHELTAVEDVIAGTALVNEHLSLQIFLASSRSGYFRWVDPEITESISISWVKLYNGHLILLGMIGPSLVLRIYKLPNAVNGGLHAGSSGLLDQGCADLGPVIYQASQELKHETELQNISRVSSPSTSSISVLMIYTLRNQPTSIGQVMRFSLPFSPRTMSVACETKYFLLSSEVWVPLAQVGPTGRAVWLDHNWETERRSLLRYHPRSCVAVYAERITFDGIR*
>EED84265
MTPSPRVTEEALGGIIAMLVQSFFAWRILKLTRNYWLVGTVMVTALVGGLSGIGTAIGVAMLPQFAGLQRLKVIVILWLVGTAVCDVIITLSLTWHLRSHRTGLSRTNTLISRIVRVTVSNGLLTAGFALADVTAYLATPRGIHIAFNYALVKLYGNSVMSSLNSRAFLSDSSGMSSSSYGKDIALNLNPDASPVGGMTRSRASRPAQVVVNVETHEMIDVGEHDHVDKGDIEWASSTESRTQERSDDKVHVAV*
>EED84266
MQAPQFPDPHFGQSPPPYQAPPPSGFRVPLTTEQARVPVEAFGRPIAFDADGQSPIFVGSSIFPNSVHPCKIAPHLSPPCRVPYGGEEHEHRGRYDVLPFDQAVMEWVPTAHGQIPHGRRPVEGGYEEGGGKLYHALAIVHGVRVPGKTAEHLAGY*
>EED84267
MLHSPCLS*
>EED84268
MTSIPSGGSTSPRWDSRNQGFISPARLQQWKQQQQQQQQRPSHEFSPSPPPEKLPQSEEHLPAEKKSPAAPMSPPSSGHSRSLSAFSLFRIRSSNPEDISTSSPNKLQRPPPERRGSQNGFGKPVGTPQYGPVLPEKDVEPTNEAAPQSQASPVALSRFSSSSTASPMHPEIRSIVQLTLAHAHKIYFSGPLVKRVERQPDGQKPARDEGWRDVWAQLGGTTLSVWDMKAVEEASKQGKQVPPTYINVTDAFVNVLASVTVPETQLSPATKYSNVLTLNTAGSNLYLFACPASDALVSWTAALRLSAWEKSRLEEIYTAHLIRVTLSDDRRDIPTTLVHGRMEGWVRIRIAGQVDWKRAWMVVSAGGHTHDGSSVSSIDQSHRPGSPGSPGSSNGTRMKRMSNLFSRERSPPRPVKPIIQFLASPKSKDRKKPILTLRDITQAFAVYPERPELISRSTLIKLEGQLGDEEMGGAMKFRECWLMVLPELDGPNIQASEMLKWLIGEAVKENAKKRDADRIFQLYTTPSNCMEDLMLFLDRELAEALDVRDERTSSIRSQLQNILAGRMQGGDVGPPREDRPPILPPLPDVRSNDLHEEEEPVEVPVQAQRQKSRDHLSLQLPPLSFETSVSDSEPPAVPEKRILTPITERSIPRRSLSTEKQTSNASRTFSSPPKVVESSQESGSMLGQTLVSSPTASSPPPENDIRGRRSEDSYVYVGPGSRPSSRLSRPEPGRLASKSSISYATTPPSAPPIKVPMSPESDTRSFTSVQAMSPQAPPPPTSASKPPAPVKDTPSRPTTPAALNAPSSAPSPPVVRAGDAPRPPSHATSNPPPASPPTRPSQSPRSDSDDLSHSAGAMYYMQHMDQEKRHVATGYQRHPPPPVSKSQSEDEETSSDDSHLYAPPPRMTASPMNVRHTSPPRPPASDRPLTSRRDTNRSVASSRSNLTASESATSATTSRSAMGRKPSGARAAPASKMPTRARQSFTPDRSPQDLDEVDGEDDMVDLRDDPPAVARAREALEDPNADALAALTFLEQGEETDVASQPNPSSPPAGSSSPPIPQVVEPPSSSSKSREYRSSFAPSRQAAERKAKAQAQQAAQQAAATNSQPRRVGREQ*
>EED84269
MVDIPQTDVSRPAALPTAKLLQRSAVPSIDQNSSEYLDSIEEEWNKKVDVEIDTLVDGMVDLVGLASIGEKDKFKIAQEAYQAECRAESMATQIRAAHSLLSVIHSMKLLLLLSDESQIANRRDAEMRVVQSDKENLQKQVASMLDDLLHPRKPGSEGDTSQPLMDTS*
>EED84270
MSAHLLEYLAVKVFSGLVDWSLCCECSEGRVKHESSFVGFSLKPCQKSSLLETITLTVEQMTCSMSTHCTELAVVFDSMLASYSGSLTHKFATWPQAREIAYPKMEPGAIVAIAAEINDTLDSPGTQFELQSCNLCSMQLPHEETSPYQGRSKEASLGTNAQSSLPPTAALQGLFEETQGPEPRRKIAGGDLLPYSALHHADPPGLRACTHGDFIKVLSEIDNARFSLVVSRATVLALADPSHAMLDGSILRWRSSLAPASSQTPPEGSSDIAERQLTAMEQLGIKVATPVGIIIGQLLFGWLGDLLGRKRIYGVELLLMIVGTFGQTLAAPAYGISVVGVLIVWRVFGWGQLAVRCYKLNMLDDTPKLLKDVDQIWRIIIGLGCVPGAIALYFRLTIPETPRFTMDIERNVQKATQDVDAFLTPVNYTVDPEVAFQRLSARTASKQDFQSYFSKWENLKVLLGTSYSWFALDIAFYGLGLNSSIILDAIGFGSPQKGLTGAASIYENLTNTSLGNLILTVAGFIPGYWLAFIFIDRWGRKPIQLMGFAVLFVLLIVMGSAYEKLIATTRGRNVFVFLYCLANVFENFGPNTTTFIVPGEVFPTRYRSTAHGISAASGKIGAVVAQIAFQWMKDIGGSNAFIGHILQIFSFFMLTGLASTLLIPETSHKTLERLSNERQDGFFRHKDIHRRFSMRTLGDSFPMGTIRLAPLNSTVQEPDTAVVSGKGVHRTQSISWPVTTSLLSENTITA*
>EED84271
MRLQLLVPPLPQTLVDALTSLNIRTDAELLFSGRPADIFRKLPPGTVDYQEFIDLVSQVTERAAAPAIRGDQLWDAVKRRREDNEFCLLSTGVPELDTLLDGMHPPRVIEVSGDRGSGKTSLALQVVLRHLSTVFDSSALWIDTTGDFAPERIPAMLEHYTGQAASTVLERLQVALAFDIEAAHEVLDELYASLADDLSTGSTMRCVVIDAVTPLLGPLLSAVSSQGHQLVYNVVPSQSRFSVSDHQ*
>EED84272
MVSGRSSYAAALGLSVLASSLLSVRAYDNSRSDNVRIREPCRLSYWGQNSYGATGSNTADYQKNLSYYCQDDVINAFPLAFLNVFFGEGGEPSLDLANICSTANDPVFEGTQLPECSFMASNIQTCQNAGKIVTISLGGATGSAGFSNASQAEGFANTIWNLFLGGSSSTRPFGDAVLDGVDLDIEGGSTEYFTNFVSTLRSLMNSGSKSYYLTAAPQCPFPDAYVGSVIDAESFDAVYVQFYNNYCGLTNYDNSNDWDFSTWDNWAKNTSPNPNVKVYIGAPAASAAAGSGYVDAATLGQIAVDTRNSYSSFGGIMLWDASQAYGTWSALARPGAKSDADQSSARSKRPL*
>EED84273
MDFSREPAHSDQLQVRKAHPWNAEPDVATLVEHPLTPEHLVYCRNHCPVEALDGSTFKITIDGLVQHAQAYTLADLAAQFPKKEIVAALQCAGNRRKTMQDRKHHDVEGVLWEEGTICNCRWAGVSMRELLLRAGAPAVAVGKKGEEQRMQSLHLCFASHAPCEDEDWFGASIPLAKVLDEEGDVLVAYEMNGRPLSPDHGYPFRVVVPGYTGARWVKWVDHISVAERESENFYQQRDYKVLPTKADKEDWWSKVPPILANPLNSVVAVVQFEPPRTLFVKGYAVRGPSGQVSRVEVSIDEGTTWRGTRITYQEGRWSWTLWEAVIGLPETYQGREGKIRSRAIDESGETQQPDMDWNLRGVSYSAVGEKEFRT*
>EED84274
MGVVEELDEVARDSVRELAVLVTAACEKVVPAKTARLVEMLEDGVGLHSEVEEVQPPPPPPPEPKPDVMQTRQYTYDDRQSHGYLIGAPSSDFDIHEPLPTDAQSHSQSLRSTTPPMTPDDTLSLSSSSARDRSASLSPRSLLVFRDLPRVTSRPVLDTSFSVLEDRKGAVELDQETPTTAPLHSKDFPLLRVTSAPPSPEASPTVVASAPSYVPEESYPASEPEPESRPRRGRTESASKRHTIHHRVRKTSSISSARWRKQSYPGHRPATRKQSTESESSLSAALAAVQALVESPTSETPERADANAAPAVSSGPSSFPAALVHAQGTTRPLQPQAKRASIVPPADNAGTESDPGPGSRTTRFILHKPKKSLSHVSLVLAGLPNVSMGPTIAPSANTSIGSAMTVGGGFGSVGSLSREDLNRHTQEFALLRPPPMAHPQFLVRSQAVSHSQAVPHSQLVGHPQPIVDRQPVRESEKPKPPERSARRLSSFPILDDDGVPAELKRDLEDSSPIETSTPAFEAMARVARPHTPIPMTPITSRAPSAAGMHSESQTQAAQREPTPPPVQTFTSPLPPIPTHIHHEAAPEHVRPSYYQSALAPPRGPSPGSYVSPTPPSEVAAPAPLPMSPPQPSEPPTPLPLPTPRVKSPMPPPPSLPREVQSAVPKVLRNEVMQSRGELPEQPLPPLAQSPPVERRPRKRETMREEERMSAYLPVAQLRPQVHAQVQTREQEPEPAAQTLARKRISTAQISGPVRQPTPPSQAQHRSRSTFLAPQPHHRSQTPPIDGHYRSRTSTVPAPPRSQSPPPVPEFRAQSPPPAMAHSRVRSPTAYTQARAQSPPPRVPSRARSPTSSQDHGIRHGRSQEQSFFQLTRAGTSRSGGTHSSSHNTRRRETAPSTPVPQYTSPSPSTTYSQPQPAPRAERRSRSQAPTSHRVQRHQQRPQTPVANLRFDEYAIPTREQLKHAASLPVVAQNGIRVQFGELFKSRRTVICFLRHFW*
>EED84275
MKFGRKISHDLYNEWRPFYINYNQLKHELKTSAGVALLNLLSFQTAELSTRIKDAERAVKRLVITEYTDGHDAHASTSADPERQQRQPMAHQDAGSDDDDDTDDEGSDAMSIDALEDQFLVLEEEVATLVADVHDLALYTKLNITGFMKILKKQTNRPLKPTFIQEYLEKRPFYKYNWDGLIVKLSKLYDLVRTRGHPVQGDASAGGNQSAFVRQTTKYWVHPDNLVHLKLAILRHLPVLVFNPDKEFEQRDAAITSIYFDNEDLELYLGRLEKTEGAEAVRLRWYGDVDTKTIFVERKTHREDWTGEKSVKARFPIKEHLVNAFLRGEYTMDNEFQELVAKGKKTQQEVDSMIQLANEVQYAALTKKLKPVMRTFYNRTAFQLPGDARVRISLDTELTMVREDNWDGRVRAGDNWRRTDIGIDHPFEQLPPDDKELFKYGVLEVKLQTQYGQEPPKWVADLVSSHLVEAVPKFSKFIHGCATLLPNRVDLVPFWLPQMDTDILKPDTGSLTIERPLQTSSKGTSELSTGRESSGDATPEHGRVVYVEPVSEGEEDEDMDMAPGAARDEHKRTGRTPLINASNGAAVLDDRNRTQVRSLSIDPLASSQAFDETLRDRLRVDAERRMRDDEEAVDDDEEEDSRMEGSSIRRDSERLLERGWVAPPGKRIAVPVRVEPKVYFAAERTFLKWLHFAIYMGTIATTLLNFVPPRDTVGLISAGLFTLAALVAIAYSAIIFVYRALNLRKRRAEGMYYDKYGPTVLSFLLLTALGTNIVLRMREMAGDVP*
>EED84276
MSNVATDWLDAANKHVPTLSVNARRKYFHALAVVMFLPGIIVDPAFSHLAFSAAFALFTFAEYVRYFALYPFGASVHVFMNEFLDQKDSGTAILSHFYLLIGCAGTVWFEGPSQLLQYTGTLVLGVGDALASIVGKRLGRHRWLATSPKTVEGSAAFALSIVACAWVLRVLGFSEHFSVAKYAVVAVLASVLEAFSVQNDNVILPLYMWSMLVFAEVPCRGRGRGRRPAGRLPPLLPKSGPSCCPPLEMLSSPFDSPPPDDHISTYQSTAETEAETDYDWATFISAYALGRWDPLRTPHPPQSHLQAPSHPQPSEVSRASWTEPIPEASSDHVNDADLVDFDDYSTPAGAFTGPQPFAEDMESAKAPKRLNAPFSLGSFSHRLRSSFSDLRQSGSSSSSGESSRHSSIPIADAATSAAAIRWAGARVSVAPLALPSPEHELTDPMRGVTATIPGSRPSDFSAPESPLMSPNTIRKTRLSSFWQGTQDVEDYRLPTIQQSPPSPAPIENAKPKATNSDSAVSSEPLEEDYFGNMEPSRIENLIAAGEHPTRAISRPPHPPPERQISAPPFEIDPMTVPALPRRICLTRQTSAPLPTASLYERRLRSARPASESFTSGLTGRAAKEEQMYSELGYLAPPNPPDELERRRALYKFNIWGTGRDPNFDRIAHLVKLVFSTKIVSISLVDGTEDWPIVIGDPYIRFYAGCPLRTHDGFNIGVLSIIDDTPRREFSPRQRHTLKEFAQIAMRELELWKDKIQLRIRDRIQTSMEQFTRECLDLDKEAEGDKGLFVGTSMEQIYERAARLVKRTLDVEGAIVLDVSHVDMLETVGAESTISITLHNADKQMGSGTSSKSLNKEEYSRLTEFFKKNPEGKICEGIVPATLRPFLPTRIQYALGVIILSAVLKRRMILADKAKGLFISNISHELRTPLHGILASAELLSETPLDHSQASFLQTVQACGTSLVETVNHVLDFTKLSGNSKSGGVEHAIQLSKVDLMQLVEEATEGCWIGHRARMFTSEIGSVYSPPKQDRQALPQEPAPRHVETVIDIGNCKTVRRSVFRDLANADAFAQGWTFLCEKGGIRRILMNVFGNSLKFTSDGFVHVMLRQLPPTSETHGNKVRVELSVIDTGKGISQQFLKNQLFHPFSQENPLQTGTGLGLAIVNSIVRSPSVDGKVDVWSAEGVGTEIKVTFTAETVEDEQISTADDELVKVYDHLKRPTISLHGFNDSHRGVQLLRSVMYSYLVTRWGFAIAADDEHGHIVIANGDLTPVEEAIESKRATQPFILLSPLRGEPRLMSVVNEYERMGGFCRIVYKPVGPIRLHAALRMCLHVLNMSRTSRTKAATLGPLDPYMPLSSSLLEETRAMLHDGLPRRLSEESGPKVHRPRPPLRQRAITAHPLTTVSSLPLYAEPADMEPEFIGSPGPASAPTSPTISIGQGGTLLRSSVGTLEAQGPLRVLVIEDNSILRNLLVKWLRNRGYDFREAVDGEEGVRIFESDGHFDVVLVDLSMPVLDGVGATTQMRSIELARSMNGSPSSSAESSAHAARILALTGMSSLEDKRRAFEAGVDGYLVKPVAFKTLDTIFHKLEIAS*
>EED84277
MSSLSLPSLPVELLYEIQSFALSSSLPLTSRHLYAVFKSAPLSIHASYLIGRYINSETASFRSGLISVVLRYPLCTQDVLEAIFRSPECPPQRFRTPTELPRRLFRALAPKKSQLRKRKREEGDEGWSPDDEPLPFLHYLYDHPRIPHLYANSFDGYALTKAVHAGFLPLVRFLLEHDASPACKDGLAVMVAIRRKDLGLVRMLVERDDRQLQKKARTASVAPEGTQGVAGQTASVPNGNESEAKQEGFKSSKRRRLEDRVRVNQAMLRTAVKCDAREIVEYLVKEKGCVPDMKTVLMINSHE*
>EED84278
MMKFSGALPWKYHIDLEYFEQRAVEFYFNKGGKIEYALDLITSLDQLIAELESGEETIEQAHGYLPACVNDREKRNRRMFFGEMYDGSDEEDVVEETIKWVQEWMKATSKRILRTVNDRERKWW*
>EED84279
MATPEPSEANVPKDEEPADVALQLAHVPNLAANGLVEALERMHRENITARERQHRELMQAKERQHREKMNARRHYQTQKLQAQERQHQELLAAQKRLHREKIKGRRRLHEEVQEQREEQQSQLVDTLEGLCPDFDGVVDALGEIRNEVESLQDGLSESFTNVERQLGELNGRIQECTAQMQQAMIGMILCLSLYEWTLANT*
>EED84280
MSATMPLDQTCDPSPR*
>EED84281
MRITPREEAKLLLHQAGFLAQKRLARGLQLNQPEAIALIASQLQERIRDGKHSVAELMQHGKTLLGRRHVLPGVSSLLHEIQVEGTFPDGVFLVTVHDPICNDSVDLCNALYGSFLPTPPDHAFPQIDHAEYARDKAPGALIVRKERIVLNRGRERVKLKVTNNGDRPIQVGSHYHFIETNKALSFDRGKAYGKRLDIPAGTAVRFEPGDTKHVTLCSIAGAQIISGGNGLASGVFSLLRTDEIVRNLVDRGFGHTPQPGALEVTVDTEMSRQAYVAMFGPTVGDRVRLGDTALWIEVERDETVYGDEAKFGGGKTIREGMGQATNRSEKETLDLVITNALVVDWSGIYKADIGVKYGKIVGIGKAGNPDVMANVHPDLIIGSATEVIAGEKLIVTAGAGDAHGYNDTDALRHKKNLALL*
>EED84282
MTKTLHVGSEAAVKAMADLPITKHDITGSPEKFCVDAWPVQAGDSPQLFVTIHGQFTEEPSLGIRSFDRSFILAPAPEGSRQTGWDVVILSDQLTVRAYSNHEAWTPGPMRVQAGEPMASGSSLQPPANPQIQVALSAMPEPQRSLVMEVLTRTGLNLEYAVQCLESNAWDVGRAIANFEQVKGTLPRDAFL*
>EED84283
MATRAVDHDRCGARRPVTGSVALLDAVARADEEAVLRSLESGADVNASDTSGRTVISCAIAGDSWEKLDVSDASYQLQSRLNILHHLLGCAELSLHTLNAPVRGVSPLCLAAWLDVPEIVRLLVECSDGLVSVNATDTYGATPLMYAARDSRVRTLAHGARPDYWDVNYRTSNQYALRHPYILWLCEQALRRFRLREPMPYFDRLVDSTSSMIYDPDMTAAATYTLRGSARPTETLVQAVITADLQQLRSLLFSPRPSSVGSTLGDTPVLVNLPDSTGWSPIHYCVSAERPSVEVLDTLYRAGADHLVSDLRAPLAARDSNGNTCLHIAAQYGKSVDVVAALLACDPSGTIADMRNANGRRLTALEVANPELRIAFGVDSERIRSMSSASIRTIRPSTATSVKSMPPRLDEGYPSPPLSARLWKNDNSEADVDYMTLPLQILDNLRSVSTNLADMTSLDVTWCTQVLKETSSMRDRLLPHLRSRMRDTVAELRSARKLFQDVYGLYQETSHSLVDVLGSEEWNDPQGSSGHYRRRTTDSNDSDVTAVSDASYVLVSRKCRSMTDLKLSRRSESSTSSGIPLSPVPSSPSTTTLLGQGGPRIDRTPVSSKESSPSQSTASSIRQLTRKRSHTWNDSKSAAQSELGKKGSAPSNASKLKAWLKKLMPELASKSDSAPDGRSRSHILGMRMRNVSTSSRADGVTFTVLSMCRRCMDASCQDLTRIEACLDVVEQYITCAKRAITHAEARLTKAIESWRNQPIIMRQDDMSDSSFIEGASTNRPRVQSASSSIASISSTLIEGDDDDTRVFRRLISNKVEVWLDDSEEEVDKAIAWLLVYTAHTFPANAVSVAEIQGASFQSPLAGSMVQDVTGVVTAKGRFGSPVGINSSVYGLAKDKYGVWIMGDSPSDDPRVSNGLRIYGSSALKSVSLGDRISLSGRVTEYRANYSPNDLFLTELDLPHAVTVLSHDNLVVPLVLGEDRTPPIGQLSACDVGPDGWLSVPNNVTLLESLNATLQPDLYGLDFWESLEGQLVTIPSPVAANFPDRFGSVWVYDSDNTPYAHPGAILIGHPLDNTRNPRTTMGTTMNDITGIVTYQYGSYYVLPLTAPKILSFPTSKPEPASVVSSTHPCDITIGDYNVENMGPRSHHQSKIAEHIIDFLNAPNIVFVQEIQDDSGTKNDGTAFVDTLLAHDANASIIAGGDMNEFLQTRSVFAALQDLLRDINEIAGVPPAERYTYVYDQHAQEIDHIFVSPAIAARGADVEHVHMNTWAQTMGERASDHDPSVARVWVCESEGEAWKEGPIANSGVEVDGQIVFW*
>EED84284
MAPALTHPTGVNFIDEQRPVNTDAATYYGGQEQFSRSRTYSASFAHGYNPKRAAFDNDWVRRQRRMSHDEKSTGPRRFLIDVEETIRVILEQEDTDGDFQISVTDAGPKLMSLGTATSNGFKTFDIRGTYMLSNLLQELALARDHGRKRIVLDEARLTENPVDRLSRMIKNSFWHALTRRIDGDGLEIICADPKNRTGRVNPRIYVPHGEPAMADYYRRVAAEKPHLNLDVQVLPATPDDAHFVKSLNHKPGILALAMNEVSDGQTLKGIPFVVPGARFNELYNWDSYFISLGLLVDGYVNLARGMVDHFIFEIKHYGKILNGSRSYYLCRTQPPFLTDMALQIYNQLDRSDMDANRAWLKRAIQAAIKEYHTVWVAEPRMDPKTGLSRYRPDGLGIPPETEATHFTHVLEPYAAKHGLSVLEFSERYNDGAIREPALDEYFLHDRSVRESGHDTTYRFEKRCANLGTVDLQALLYKYEIDIGTAIREVFDDELELEEDFPLAPFPPSPAAYASPHRASSRAKAQSSAIWFERAAFRKRAIDKYCWNESKSLYFDYDTVQEKQILYESVTAFWTLWAGCASEEQCWKLISHSLKKFEVLGGLVSGTEESRGKISLDRPNRQWDYPPPHQIMTWVGLERYGYLEDAQRLAYRWLYMMTTAFVDFNGVVPEKFDAVRLSHLVDAEYGNQGVDFKMVPREGFGWMNASYQVGLSFLSTGMRRAVSACTSPEVVFGAAASEQPTNAQAYSAGAPDPLDLAMENLQLSSPHPDANTSSAA*
>EED84285
MSHDEKSTGPRRFLIDVEETIRVILEQEDTDGDFQISVTDAGPKLMSLGTATSNGFKTFDIRGTYMLSNLLQELALARDHGRKRIVLDEARLTENPVDRLSRMIKNSFWHALTRRIDGDGLEIICTDPKNRTGRVNPRIYVPHGEPAMAEYYRRVAAEKPHLNLDV
>EED84286
MAPALTHPTAVNFIDEQRPVNTDAATYYGGQEQFSRSRTYSASFAHGYNPKRAAFDNDWVRRQRRMSHDEKSTGPRRFLIDVEETIRVILEQEDTDGDFQISVTDAGPKLMSLGTATSNGFKTFDIRGTYMLSNLLQELALARDHGRKRIVLDEARLTENAVDRLSRMIKNSFWHALTRRIDGDGLEIICADPKNRTGRVNPRIYVPHGEPAMADYYRRVAAEKPHLNLDVQVLPATPDDPHFVKSLNHKPGILALAMNEVSDGQTLKGIPFVVPGARFNEGTVGVYVRRLLEEMASKAGSHGNGSSIWAVLASADRAG*
>EED84287
MSHDEKSTGPRRFLIDVEETIRVILEQEDTDGDFQISVTDAGPKLMSLGTATSNGFKTFDIRGTYMLSNLLQELALARDHGRKRIVLDEARLTENAVDRLSRMIKNSFWHALTRRIDGDGLEIICADPKNRTGRVNPRIYVPHGEPAMADYYRRVAAEKPHLNLDV
>EED84288
MAPALTHPTGVNFIDEQRPVNTDAATYYGGQEQFSRSRTYSASFAHGYNPKRAAFDNDWVRRQRRMSHDEKSTGPRRFLIDVEETIRVILEQEDTDGDFQISVTDAGPKLMSLGTATSNGFKTFNIRGTYMLSNLLQELALARDHGRKRIVLDEARLTENAVDRLSRMIKNSFWHALTRRIDGDGLEIICADPKNRTGRVNPRIYVPHGEPAMADYYRRVAAEKPHLNLDVQVLPATPDDPHFVKSLNHKPGILALAMNEVSDGQTLKGIPFVVPGARFNEVALKAAIYVAVGVPTLDFDTLLLLLLHYTGRMCMVLLCEYITLHPAHMPEKGTVGVYVRRLLEEMASKAGSHGNGSRIWAVLASADWAG*
>EED84289
MAPALTHPTGVNFIDEQRPVNTDAATYYGGQEQFSRSRTYSACDGILAVEGSATSFAHGYNPKRAAFDNDWVRRQRRMSHDEKSTGPRRFLIDVEETIRVILEQEDTDGDFQISVTDAGPKLMSLGTATSNGFKTFDIRGTYMLSNLLQELALARDHGRKRIVLDEARLTENPVDRLSRMIKNSFWHALTRRIDGDGLEIICADPKNRTGRVNPRIYVPHGEPAMAEYYRRVAAEKPHLNLDVQVLPATPDDPHFVKSLNHKPGILALAMNEVSDGQTLKGIPFVVPGARFNEVALKAAIYVAVGVPTLDFDTLLLLLLHYTGRMCMVLLCEYITLHPDYMPEKGTGGIRTTPT*
>EED84290
MWSGSPKLLFVVVFALKCVLWSHSRYGQVYSVRSGTLARRGSHDIEYANTTLPLLLWNGEEGEEQCFPKSVPLEQQCAHVLEACPGTRTFLSIPYLRTYFCTETSLRPLIFGAYFMWLIFLFSTLGISASDFFCPNLATLAQSLGLDENMAGVTFLAFGNGSPDVFSTFSAMKANSGGLAIGELLGAATFVVSCVVGSLCIIKPFKVMRYRFFRDVGFFTLAVIVLLIVLWDNKMDTWEAASMIVLYAVYATVVIGGSIWEKRAERKRRYEELLRDEYRDEALSFLGKIAAVLAAPAVMALTLTLPVVVTAYHDSSREREKLHGGGSENRLIDFEEEGVERALIAEDEVEEEMHELKYNKWLMAVQCTLGPLFCVAILFVGMKHEWWLLLATGVAGFAVGIMVAVFSDQGKHPTAQLARCIMGFMVAVVWIMAIADEVVEVLQTFGFIFGLSDAIIGLTIFAVGNSTADLVANMSVAVFAPIMGFSACFGGPMLNLLLGVGISGSYITHKTAEPYYLHFTTTLMITGIGLLALLVATLIFVPLNGYFLPRSWGVALIIAYTAIMTANVIVEIKS*
>EED84291
MNMVSSDRNSLSELESMSDSDWLDIASSRASDTDSVAGFSSDRDLDARPSSRQSFNSNGSSRDSDVHAWVGLADDLPDESPRLPLSLTARSVAYNSDLAPLIPDEQETEDHEEEQRVKAALDQSMMSTLSATASRSNSNSLNASLSSIVQSRDLRLSFPDPLTSSRDALLNTSYDHVVDHIDADVLPSMTADSVPETDPAPTTADPGAHATPVVPEDDTCEAAISPDFYIVLYGSSSAVKWSLIDKLLERAAKGQGLTLTSKIVGLIDGYVRFLSASDTSHNRVVSVLDRTEVLSADDVGFSIIDLPCSADQLLDAEQHWETLGVSRRKIVALSSGSSVVDQEDVDRAGTRQITRALRPLFFANERKPAQRLAVKHAWKILAVFSVVLGCAIKGSLNHAALQSMSSQQQTAPPPTWSLASPITPAINQSIPAPATHSALIPSALKDLALAVLSPSIVTSTPGAGPSTVPSTEHAAPNSASEDAPSECACGCGLITWAGKSHRDTDLALRPTPPPPSVRQSGKSALALIPSPTHHQGKGKGKGREIAPADESLFAVGTWSGTSLSNYLSLHSVASVVVRDIQEILDALDELSYAILRQTALVWESSKDAALALQAMVQHEHKRMLTKAAELTEFGGRLLSSVQERVRGRVAIAKSNAKAVRKSVLPARVWKAATRLQTPDVGNIRKLAREKRKKAGKRARRALRQ*
>EED84292
MEQDLNQLVQAISIASDPTRGTLHQQALEYLSTVQQNVANTWRLALALFIEAGPNGGRKHPSQARFFALRILDEFLENRYEPLDEETFQTLRQSLVTYIQSEYLYGPAEAEASFLRNKFAHTLTLFFLCTYVDQWPTFFLDLFALIRPAESTSQSTFNKHVSLLFFHIVLEISGEVADQLIKAARHHLPARHARDGRVRDAVRERDAGRINEAVLTIVADGSERMARLRKGDLPASDEELDGAIEVVDWGVRTFASYAGWIDINLTVTPTTVPLLFTLLSDHSLAIRLATCSALNKIVAKGLKEPGDKLQLIKVLSLGQVLDALEAKTRVAQGARGSDVDEGEESYREALGKLLNILGLELCKLVEECPGSEIRSEASELLQQILPVMLRFMADEYDDTCSTVFPLLQTILTSHKRSRKTSTEPLEESKRTFLTSLLRVVLEKLKWEEDSDPEDMEEDDKAAFESLRKELRTFMDSTFVIDQSLVMDALRTLVINTLTAYGGGAPVKWNDAELAVYLVYSFGEINKSGTKGRAAFCHAPAVIREKRKETDYSEYQLTSHGEMLYALVQSGVANYPNKTVVMQFFETAARCSGLHNPESHLRSRVFYLFHRFIKEDRNEIPPDLAVSLFEGMRDLLSIQVELPELENPETQDMLIEAINNPGIFDSQLYLFETAGTLVSLLYKTPNQAATLLLSIVRPLLDELSASLQAVKGVEDVVPILKVHHIIMALGNVAKGFPDYPSPVPEGYILPPLHVFSEVAQAILVSLEAMNVFKVVRDATRFAFARILATTGPNVTQLIPPLMANLLAHFEPSELTDFMNFIGLLIHKLQGDMFDVLDQLIGPLSIHINGLLAQPVSGTDDQQTHTDIKRAYLALLNNVVASKLHGIFTSERNKGQLEGLLASMVQLAEDGSDPTSQKTVFTFLGRCVSVWAQPITDAASQAQALPGFERFVYERLIPAAFALFSSPQFNIADGQTVMVVHEICNFFQTVMKTRGQETLDFFISVFLPAQGWPPEAALEFTTKMRDLDAKGFRKYFVDFIRASRAGS*
>EED84293
MTSPMCWGGLTLMLQDMFGTWTAMPDRDKDRLYLVLAHRGMKRPGFHWALMVVPKKGSKNGNMQGAHVFHAVNTYTSANVPLDHNNNPLWRYENNAVDTMLHARLVARFLVAKLVAGRSTDETITHVDSILKQVPLVQGDPRWTCISWLIDALATLRDKGGDFDTIPVLSRGATLEKEIIAFGELGSKTRFSDIKKAPLIEIPIPEKDIRLGRST*
>EED84294
MAGAAPLILIHDGSGLIQLYQRLRGLGRRVWGIYNPAVASGTQWQHGLLEMAAHYVSLIERAVGDGSCIIGGWSFGGVVAFEVARRLLAKGIDVKGLVLIDTPSPLVQCALPDTIIDAVLGARHHATKALDVVREQMRYASRALQEYDPTQSQGNHTSPLNAVILRARDAYPLSEEERRTASFLADRADPAAVAHRWEELLGAVVPVLDIPGNHFTPFEPENVEAVSEQLKEALAMLDSNV*
>EED84295
MSILSERQKDDLNKSIAEYLYAQDLTEIADSLCARLSLDYKSEPNSKYAGLLEKKWVSVIRLQKKLIESENRYTALQEDIAAGPARRRDAQVDWLPTAPARYTLTSHRAPITRVAFHPTFSLLASASEDTTVKIWDWETGSFERTLKGHTREVWGVDFDSKGSFLATCSSDLSIKVWDTQQWDNAGYSGKTLRGHEHTVSTVKFLPGDDLIASASRDKTIRIWEVATTFCIRMITGHEDWVRMTVPSTDGTLLGSCSSDNTARVWDPTSGVMKMEFRGHGHIVEVIAFAPLASYAAIRELAGLKAATKAPGAYIATGSRDKTVKIWDVHSGQELRTLSGHNDWIRGLVFHPSGKHLLSASDDKTIRVWELSTGRCMKVVEAHSHFITCLAWGPPVQAGGDIKAASGSGNLRGTGADTERRMNVLATGSVDQTVCVWLP*
>EED84296
MSFFNGGILFSSAVLTFIALISLYSFLLLVKTKFVVSGSFGDIGGTLYGPWMRYAILGSITISQVGFVSAYIIFVSENLQAFVLAVTNCATQLGIQYFILLQMFIFVPLALIRNLAKLSTTALVADAFILTGLIYIFGSEAGIMAKQGHAHVELFNARDWPLLIGTAVFSFEGIGLVIPITDAMKEPRKFPKVLTGVMLFLMVLFCGGGVMSYLTFGADVQTVVIVNLDTTSRFTQVVQLLYSLAIMLSVPLQLFPAVRIMENGLFERSGKADTRVKWLKNLFRLCVVIFCSFLSWAGAADLDNRLVRRKLRLATTRERYANTGKQRTYTIGSGYILSMRNMAAFASLGFTNHCIFFASIDALRSSLPLTNMDSEDERQYEKEEKQKLKGFYFPIKPEVLLRFYEDKEVEEKYNSDWFQSVENNTNEGEKRPDYSSLWRLRRFMRSFKKFHEGSLDLTGRSERRYSSLKSRMALFLEDVENRRRHSTRHEKRRQIIDQIVVEVDYKITPRSLLSEFHAKYKLSDVWLDELFREADEVKSLLLDGSTPVFQKLYLLDLPPELILHIMELSGVHGARHLAATCRSLRTVSLSYIYQSRRLVMEFSPTLRDANGEQLKREAAGAYARSHAQDMQKKLLKDAIFLLSRLDIIPRIQNLTIGVAWSQRHLEWAGIDIGTPEYTSFLRPVWAGFEEVLPRAVNVSNLYCMSVQLTQSLLTTMVGLPMLHTLKLHTCTVAIDQSLDNFPYLPQVVNVILAQTHDISDHSSLRCLRLFPNLRMLAVTGFTRQELGLLDEAFRPSSVWSSPT*
>EED84297
MYACVAISARYGERSGISLDDNTLFPALEKVIRRHAALCVTLSGEASGKPAWVRLEKVDLTRVVNFSDDTQANIRTVFESEIAHRFRTQSEPADPLWRLRISRDGIVTFAWHHAIGDGRSGLAFHHSLLEALNEVDTEGPPRDPCTSVIVPPNLSLVEPVENIISTAVSPLTLCRQILQLLMPASWKASYHAWTGHPVPDHDSLLATVRLVHYNRDATETLLRLCRANDSTITGFLMALSARVISRLIAADQSLNGRYKSIVALVPVSLRGLTKTPEDVFCSEVSSINRLIPLDPPTPPDGGLLSDSFHWELAAELTRDLRRHAPQSTEQLGLLKFLPDYEAYLKGFYSKKRNATIEISNLGTYPWRSGDSDHRVASDGEAGKWNIDEMYFVQSQAAFMAAIHMNVVGTPGGGLGLTVSWSQGAIEEDFGESFYSGLKDCLDDMAQVAKDTDLE*
>EED84298
MEAKIVRDNMSKCYRLEGVNHNEKCRDLAERYAQMLKENKVR
>EED84299
MSVEDSQKCYEPLPVPHIPEKLLSFPQEYTLKPGQQKPFDEVLQHFQDEDYVLPNVEDGALTDEEKFWLSYECMHRYLRAVKWASSKAAIKRLEETLIWRREFGLYGLITHEHVEPEATTGKEVLFGYDVDGRPALYLRPSRQNTGESIRQLHFLTWTLERCVDLMGPGVENIALMVDVSDRAKMPSISQSRATVNILQNHYPERLGRALITNVPFLVNAFFRIITPLLDPVTREKMRFNPACIKDGLFTPEMLMKEWGGAREFEYDHEQYWSALVKMCDERRMRMMDAWRSQGSTVGIKEWEVKCAIDDHEQGTGVMEQEKTQAITESVGEEIIVS*
>EED84300
MAAPLRKVYIASYDAGGLFPNHWALWVPSVADENIGTIIQVEGDPATGFHLEFQRYYDKSVEERKHALFEIADVEHRHVVDSDGLGDGKPFIETVAHDAIEEQAVLIDPPAKSLKSAGNEKQGRVEIKNCQTWLTELVNALVAQGIFPESALTKLAMVPKATERKQRVPQLE*
>EED84301
MSSTLPFLDQFNAPSTEGGKRTSIYTPKHTHVGDNTLLTLLLSNPTNVFNKLKAHNSKATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDNRVLTELIRLDNLKVAHRFQPLLLRSIQARHNKFIPRAIPNVYLPLPAHLPTSAFKHPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRQCRLSQHWVRDCLDVQCVGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVINNVFLEGIINEAKERKEKERQTKVVPIPPPRSANPEPLASPVAGPLRPRPDTPVIFRKVDPDWTPDTTQWTWDSSWPRQEHLSGEEWKNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVHVHFIPGIVPLRFFLY*
>EED84302
MQSTRFLWDYQPSQDHRSSNTTEQIAASSPPQKGLPSTLEAAPGVVQLVQTRRSSPIKNSLATTRDTHPLTQKTYSSSYESTLNLYKQQKAHSHPSTNNHSNFLKFTPPAPTNAPVEIPMASFTQEDINQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKTEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHEILMKLPERQRDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTFHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNTDAAKQGALVVTDTRDYGEPMDIDATAVASTFASTSGGRK*
>EED84303
MDEEQSESLLAPTPEFLASVKVFPLIPSIKRDVELNLVFIADTALSWEQLTASDINFAIVRPLVFKYARLDNMSAVYACFVVRSHFLSEAEQGLAYSGVMLSRASLCEILAIKLLGTFASSRIRLVAVLTASWSPLAGAPPDITQEVRGLIGDDEQYDPQCALEMAIATEAKAFLASPVVQTIVNDIYAGRVVFSMSSNHSVLADNYKPRAIEIYDGRKAPFLDHYRLRVPRYGAILEFLNFALLLTTFLLCLSNQQVERLTAFEIVFIIFATAFTLEEYTASKEHGWGTVLTDDVIKTVYIANMWNVFDTSFVVVFLTYLGFRIKGLVHHDVSNNVVVLALRAMIAEFIFFVGIAAICFSGLLFTLHSLAADTWSVKAIAWLMVQIWFGNTYLSFGQAKSFHPIFGPILMTGFAALSNTLLLTILISILSNTFARIDANAKQESFPTLIVIWIYERYLAQGQWRDNSPDAANSMYSRFTRKMKSLPLFDLLGTHSTDIYDAIFDVEMSHDTDIFFDSEDEMPASHFLSSHDSLHFPPSHGTAPQTAPIPQFESPTPRIRRHASLPRSTLLGRSKSRRDGLSPSVSPRSRKITLPAMASSTSGEIPTIGPHSPLSRLYLGRNNASATQLDRVNSAAARVEALLEDVRGLPVNRLKDEMKELQDRQARIENLLLMLTRDGLGDDGGTPRK*
>EED84304
MPKNKGKGGKNRRRGKNENDDDKRELVFREDGQEYAQVIKMLGNGRLEAQCFDGEKRLAHIRGKMRKKVWINQGDIILLSLREFQDDKADVIVKYTADEARSLKAYGELPENAKINETDTFGDEEGECTFEFGDEGEVDIDDI*
>EED84305
MGKRRRSIQAKV*
>EED84306
MQCRKPPEDSRQFPVGSLLPFMGTLGQETLRAPVTPVQSPKRLLSSPPEAERVQRPRLRFEDDSPPHEAVEDDRPPHLRLPPFSSLLKIADAGEMKAKNSRRAKTQPSSPSEDTCSNPFDPRTNPEHMQALSASSKPGLGSAFQFEPADKSAQNASDDGDTPPRRHNTSQDDSLVVKSPRTGQSICAPRAEELRTVSRRLSCEYDSDDSFPAAPRGVYNHQRGSSSTDDLLSRPSSRGSWSASSYHVRRPPSSEFSLRSSSRSTSPGFISDAEILNLVEESRSAQAPRDRCQSLGFAHYRARPQVVASQPNVPLVRRTNDARVPSTPLSSLPGRSSYQQRPLSRDQSGSVKPRLPSIQQLKESNGLPDPRELGPPPQVPQVPPLIVPKPRKPQLCVPSNKQSDTKFHLYSPKVPSYLNPERAASFEHRPALARGAWSSYDRPPAVHDIRDCFDSKCNRARLVNGFHMLCWDCVHASEAMIGLLMARAVVYGFRNPSRKSSAGA*
>EED84307
MSRSLLTTACIAMLVWVADGQSDHISGLGPSAYTIPGLFPTSVYQSYYNDPTATSVEPQPVISDPVTHETYPYWLSNPETIPQYDTYETHPLPPVASPEQLLDAAFTQVLSIAANPVFGNDTCARCQASLEVAKFLALTVPELVPQLAVRLCEQFNFTTDSMPTCALAYGRATLGAFYTQVAAYADVGGYDGQAFCATFFSLCPIPPTSPLDLTNWFAKPKPDPLPPPKQPTGERLKVLHVSDLHIDPRYTIGAEANCSQFFCCRPQGFDISPDQVVFPAPRYGSYLCDVPLPTMVGVMKAIPILTGTEGTGFDFTIFTGDLVSHDPENELSKNYDHLAALWELEEWISPETAQQARTHYAAYAVQRQDGLRIITLNTDMWFTQNFYNYINLASSDNSGMLRFLTDELQEAEDAGDRGRSTRLLLGWPSIRCTSITTELSTADLISHSVDRFSPHVIAGIFFGHTHEDQMTLDDMQPGATCVHQIFYANNGTVMTKETAQMVSWVSRRVQRVEMLSNGQTTQIGPSVTPLTNYNSGFRMYEVDSGTFEILDSYTWFADVNSFPELDGQTEFGPTYKFEYSARETYGSSISGWGPNDPLNATWWHLVTEAMENDTSLVTISIHHCCKRPEYATVLLLLQVGKLEQAWESQAMDPNWVLFRTKFGSN*
>EED84308
MVIAQCYQMGPATKVDPLAMIADAKRLANPSQLVGSPPPPVYSMGSYGQMAPPPPTASSASSSSTPASGPVITNAGSFIMPLAGHAMPPPAAPPQSYYPAMYATAAGGYPRAPYYPYAPQPAASYYPPPSQPVTTPVQSSPPPAASTTGTISTFNAATGTAAPGGQQGTWSEEETDRLRKLAEQSREMGGPQNKGEIEWDWVVSQWGNSRTRHQILLKATSMGLKESTTRGTKRRRETDASANENEQTPTPTAQSSQVTTAAATSTPSTAQTQATTHTHAQTTHAPAAPVNTTAQSATTSASPALAPQRPPSSSTSQTIAPARTAPTSSPAMANARPWPMPTVAANTPSPVLASAQTDRNNYYRPPPTQTPSYGTTGTTPYGTNTSAANTASYVMLVYPVAGCIVVGSERTGLHSKNMAHMYAPLAIEDDDMRHAHRRYAYSASSVATSVSGNGGGGGGGQFASSSGQSMNQSPSAEAVQTSGSSSYASQSPVAYTTPHIYPNNAVPYVSSSLPSPSILPPSNSIYPSVPSASSHTPHDGPQESPSTSPSHSAGKRKQLDDGGASAQSAASTSRKRRILPAHERDESEVGPNGGPKHWTDAEKNSLFQWLLVHDKHWDMFRTKMNTVFREVCRTGPRQAYPLTSAQASEQIFGGRKSFTALKSCYHRNVETFKQIFAFETYLTRTLSDSDNEAIRMMSNHGDPTIARQAYLEQKLEDARNQSVPVGNLNVKVIDHWHLNGWYGLFKRRFREDPRTGQPVPFYGPGSMSFPNGPAPAVDPQLVHGAREEEEEEEEEEDVEEPEHETIPPPPHPPIAGPSTSFTDALHDNNVPPTATPLRGRPSSQSYSARKGSSSTTQIPASTAWTESRGQTSTVQALDRLTAVTQALVEQCSTLTELLRAEQEERRERAAAMQRRNPEDGMNRKEKAALATEMLANADVGEESVGCLLRSAFSTNRGCAARRGSVIGGGVEVSECNVRLGDTPVALFGEILEALLGTLAGASGRVLGVFRRTSTGEFGAARGWRQDKECTGPEEAVGSTDSTLALSSQKGSEISSVLPATGNDCRECGSVYAGGGVSGAGESDAVLPSPSSISSGSSSSSSSTKTSPPRTAPSMFTCCFIPGLNVAEYERGDTASAPAKQCKHGL*
>EED84309
MSRNSKVPISALPLPPPAQSITHNLTPDHEATTPSEFRQLLAERPSVQHRSHLIDPDAHFAYVTPYPLPFPYRIALPEDGEPVDDKAAYVEKWLAQREALHERPTVAPSALKKYYPEKRDQPRVLIALAETALRDCLPHLDVGDAFATLGTPTLSDAYGDDVQTTPASSEDAAARQELIDVLSGQAVLMNTEGDRATHXAPWSLRLFALRSLLDALAPLIGAEAEFGKALPPGWTEEIPSGKINEWRKRGIELVEEELEKVAIETSAAEYGRLMHKRLGLRRLDTDDESKLARPLLDLLAKHKLDFHGTFRRLAFFRPSALSVQDRSSAFIESVLELCGEPQVINREKAKEDLQEWLQQYAARVESEAQEWTTGEGSVDEQRERDMKAANPRFVLRQWVLEEIIKNVERDVDSGKRLLGKVLQATKGP*
>EED84310
MRHGRGWHRGVRYA*
>EED84311
MSLLPTSFSPRRNKLAIKLPPDLSASDVATRNLVCQLFEELVSVELARAGYVPVNSSGMLNSGMLCNLETVDALLQRQRAWRSMTLTRTMTLDFLPDRDPKMFAFRGGVLAIGTQTFNAQADLCGDVFFSEVDIYYTRSKDVAKQHRRHQLPWICTGLEIDPSQDLLVVWHSLKPPHPQLPPADRQEGDKCRETYGSTFTFLSLSNAVTHSLATMVTQTQKLAGRAHYDEYGVKISGDHITLIDRYTSNGTVVYDRKHMESPVYKVGTKLDCMLNDFCLLSPRDTYYTAGGGSASFDIFAKSGDVNHRVARFELPMLRSHVKCKISQCDTFRTNDTAIHSFKDPPPILLSTSPIPSMLQFRLVLYDNSAQNPGILTEYVVFVYTKPFLGAVSNFASGKQATRQVDTIPWEQWGPSSSFWFERGSSVEDLFHEEANKDGEERYHKWKNACYGFRVLLPSVMLDFTPLDADESKYHRRHGQNDIRTGTDIDKDGNRFTSGACTTAAYRETKIKGTPLGKKYTWYILDEDILAISSVEQVDECMIWYYYNTRQPEMWAPWLQSQVCERAEVSPTMAGGVSIAGKRENIPVFTIQFLNQSIGGGSISGVTVITT*
>EED84312
MTLTPALLAFAACLPVLANGLPQAASSASTALPTSTAVVPGLNDLAISAGKRYFGSATDNPELNDTTYTSILENYAMFGQITPGNSMKWYATEPEPGVFTFTAGNVIADLAKSNGMVLRGHNCVWYEELPDWVTANNYNATELAAIVANHTGTLVGYYAGQMYAWDVINEPLNDNGTMREDIFYDTLGDSYISIALKAARAADPNVKLYINDYNIEYVGTKSTAMQNLIKQLQADDVPIDGVGLESHFIVGEVPTTIVENMQAFAALGLEFAITELDIRMELPATADLYEQQKTDYYTVVSACMQVEQCVGITVWDWTDKYSWIPSTFPGYGDACPWDSNDMLAHQNYERKPAFDGIAIALQGQSI*
>EED84313
MVRISTLFTPVGFLPLSAVFAFPQYASSTSTALPTATAVVPGLNYLARNAGKLYFGTATDNPELNDTTYTSIMDNYLHFGQLTPANSMKWYATEPEPGVFTFEAGDVIANLARSYGMYLRGHNCVWYEELPDWVTANNYTAPELAEIVANHTGTVVGHYAGQAYVWDVINGITTTRNMIIFSYRYFMIFVEPLNDNGTFREDVFYDTLGESYISIALRAARAADPHAKLYINDYNTEYVGVKSTALQNLVKQLQADGVPIDGVGFESHFIVGEVPTTIVENMQAFAALGLEFAITELDIRMELPATVELYEQQKTDYYTVVSACMQVEQCVGVTVWDWTDKYSWIPSSFPGYGDACPWDANFVRKPAFDGIAIAFENQSDY*
>EED84314
MPHALVTDTGVQFSYEDSGAPVGSTSYVTLVLAHGAVFHAPIFRRMFQFAGECNMRLVAVTLRDYPGSTPFTVAEHAALRSPDSTVQASMIRDRGFELAAFLAWLIRTENIPPMSFSSNSVDGRVDVSGGMAVLGWSSGNCMTLSMLAHAASLPTELREILDPYMRTLFVYDPPHFVFGAPKPALKELYIPQRDPRVSAKAVSDIFMNWVSKYYLHSDTVLENLPALSRAELFSGIAQDAADNLSPDTQPSIQRMSAAEIDVIADFSVMQRSHIAMMDVDPSVYHENARRALLEKTAWPRLRVVHVWCDRSPGELVYAAWFAQKMMMEPESAEGRKVVFKRMERANHFTLFPKQSIPVWPTFTTNPGLLLYYEDSGAPAGSQAYVTLVLVHGSVFHTPIYRPMFKHAAEYDIRLVAVTLRDYPGSTPFTPEEHAALRSPDKEMQAAMIRDRGRELASFLAWFIRKEGIPPMTISPSGNGVEETVAGGLALLGWSWGSCMTMSLVAHADSLPEETKELLEPYMRTLVVYDPPYTVFGAPHPALEEIYAPHRDPKYVGTPAIDAFEKMVSAYYTHSSTVLSNIASITRENFFAGLSPHSGANLVCDIEPTIQRMSADESAATIDNSVLERSQTAFFRVDSSINVDNMRRALHEKVAWPHMRAILLWCDRSLAECVYAAWYAHKMLDESWPKDGRRFAITRMEGVNHFDTAQDVYETEDVLPSVHDSKDDTSDEDVGVATRSHVRGKNGESGREELDMSNLSAEDASKKFRKAEKRHHRPRIQYVYPPSPTSSDGSPPSTPAATPAMPLSQRLRLLQVELAALEVELADPSNPLLQKEREGGHDPGELIRGMVDVKSRLEKISKVKEGKGRLVSVVLGEEGPKMAETANAGKAAPKDKPSAREDTTAGEKARSPDVRDIVEMDRRVGDLEKIVGSSSTALDETSPLPPPLLPMLTRLHAQLTLLTQPRHVDSISRRLKLLLSDLEPSFETTLASLEEEQRKVHAALEELDRAVQSVENSMKENEDVVKRNVKDLDERVEDVGRRVEELKHYSVAPSSLFLHVTTVIIAITDVRVFRHRSIGSMAFFGLDPHETPGHKSNHDSQLPNNEPADWEGWSRRAAGHSMRISYPGCGEGYFFCFRPILCRSFYLVRYYQSVRKDQDSIWHQLRRPKAGIHGFVKLLSSATRNKLTVVATFSQGDSRQGKTIAEASRGFPRQLVRYKESRTRFGVGALRRRCERAAFAVTRHGHVFPFGFLWTWTRLLGHVVINSHFQAPPPSYHTKQTEMAESFRTLLHNVQNDSAAEIHSDWRMQLNNYLQSNGGSRSLTWEVYQSGPQHQPSWTAVAYIRGIEYGKSTGTSQGAVKEEAARQTLAALYQDRGFRG*
>EED84315
MSNRVRYNDPDVPVPTSRALNPATTTIRRAKTLTRPERSVAPVPLINPQSAHLPTGSQVRAEDETLDAWRIFSRVVTFWAPSSVLHSIGGLDDKHKVQAWREKMALCFIISCLCAIIGFITVGLQKVLCPATDQINTGRYVSLGTANGVLGVQGWMMNVTKYGDISGANFTALVETPGQDITTLFEREAADYPACNGLSFRAAAEAPCTASVTKCSLGSLTSSTTFSSLGLVNTSFIVGYDWDQVAALENYFVIDGAVLNMNSYMLLHPDPIPTDALDAAIRSVLQAPGNSGRDGTRLFYYRPNIRQAVPCMMQRYYAGNIDKITPGCLLSQLVLYAGLIVVLGLVLIRFVMACVFSWFVSERLCSPPDRRELNRHAISPAVLPEGANISVDNVNGTAPWAGPGRSKKLGKPKGERSLTSSASTLVNGESSQPIMSLAQIGAELFAVCLVTCYSEGEESLRTTLDSISSTTYADSRKLLFIVADGMITGAGEKKSTPDICVSLLEPDPRFGNPVPMSYVAVGAGAKAENRAMVYAGHYTVAGRRTPAVIIVKCGTEAEAATEKKPGNRGKRDSQLILMNFFSRVTYNDRMTPFDYDLFRKIHVLMGVTPDFFEVCLMVDADTKVFPDSLSYLVNCMHHDPMVMGVCGETRIANKRQSWVTAIQVFEYFISHHMAKAFESVFGGARKASGDDWVPLITKPEICQQYSQSIVTTLHQKNLLLLGEDRFLTTIMFRTFPNRKMLFLPQARCRTVVPDTFSVLLSQRRRWINSTIHNLMELVLVRNTCGTFCFSSQFVVFMDLLGTVVLPIAIALTYMLIISMAFNPPKTFEEAIPLMLLGAVLGLPGVLIMLTTRKVVYVFWMLIYLLALPVWNLILPVYAFWHFDDFSWGETRKVEGEAKSEGHDSGGTIIAGAAVPLRRWEDWERSRLRKLRREERRQRELDRMQNHYMTANGELGVRVDSQYSSDTVSIASSDDDQWGAQIGGYNENSAAYPPPPVGLMQHGFQSAESVAGSDLMAKLEVGFDDEPSPPGSRGTKGYAALSRSASPGLPVPRDHNISPVSPVRSTDGTSTAIGSDWKTHAKKRSVGRSQTQEYGPLGPLDPSARI*
>EED84316
MSVTVYAAVSATLSAVYGSEPASILSSEAGAPVFYPTGRPGRKDAEAFLQSLTLKANAAANAMGCGSALLGQTSETDEFGDLAFYLGDGDYGKSRNRAEVIEALQMQPRLTEKSTIEIVDLLPDVPLPVSSCLRQSNEAEEAQELVQLLSLLSDTYCMRIANLGDNHNLVVYLLVARAPAAFNFPGWVGLLGAGIWS*
>EED84317
MSSLSDSVDRICLLAKNIRLNAANCASETAGPFTKAVLHAPLGDLIRDIDPAELGLFTLVAPSKQVPDVDNAGASTSEITRAEFHGATPLKKPPVPKPGRHNPQRPGEHEPEVYARAAIKYLDRYQSIRPMPRAAEQATRITEHLDVVRESMRKLNDELKQHATADACSPPASPKATIRQEEKRIREAQARIRELRKRKDVLLKQKASARIAPPKARPNLQTPPPADAQEETFWNTPAASARTLHFTGESLLDEHVDVGDISGVSFASPLPVRGSIQSLPRLAVAEDDEPETQLVPDIAELESMDDGESMGSVDGSPEDEVEEEQTVVMHQPPPGGAVDVPDELAEAALQPASEPPPVAETHGVARSSKVRVTTELEHIVHSKLDVNKNPLCANVKFPNSPWISQRNLTFKRALACLRQFKMTTISSQYDNASGGENLSVPTISRWGNRPSR*
>EED84318
MLPLRISNDLNDLPPLIPSSARSKRDRVSLKRQAMTAREINTFDEMFEIIFNASSEQKQGLAGSSGIGRGSSSALGDLMGTLRQQSKQVRWTTEADEELDRKREEMELCNTDQQLLDWAMREVFDESKRYEDNARRSVNGPVQLQPASYPHLVALLIRTFREKYTDPHLALSIFDYARHLSIPSYVFGCTTPAYNELIETRWYCFRDLRGVCDALEEMRVNGVGMDNRTRKLAEHIRREVGERELWQEESKLGSGEVWDMLARIERFTARQRSWRPRRHSNTASEKMSPRPRKAWSSDQESWKAKALNNDEGSDEWEFGRWDDLKRGSHPTAH*
>EED84319
MYTATSPATIRGLGITHVASVVKRGCPRFPTGMDHICIPIDDTHDAHLIEYLDFTIRWIRRAFDRRGQVMIHCIWGMSRSASVAIAYLMASKGWSLEDALRHTVSRRQVVRPNSGFMRQLKTYEHILKE
>EED84320
MNGLYILIPDTSHTLAAEHPPRDMSTPALSDDTGSSSSRESSRPATPTSGHTHAGEGTDIRIVEADADSPLPEDITHPLKRRRLADTRADEVRAERALLPNIWSDAPRVDGGACFGLGHIRISDCTSVGDVEPDTVDPSLEYVSRPLKRKRSAETSTYDVRAKRARTSGTSNDATKAEDRACFGLGHIPIHDYTKIRVVEPNADPPVAPGIDIHVPLDAIARPPKRKRCADTTVDEVCVKRRRLSDSPSDASQLKDGIPFGPHPRCMITGCVSAEVEACYILPSDTPQPLAYKYYVVAEDEQPPDSGTPQDYTIIPLVTTAACSYRSLGWHELSANLYLMTIRVGREFIKRPLHYEHVFPMDALVHIPIIRLAHPDEVEPVSPHIEQESSVEVIPRLPKRKRSLDTEPDEVPAKRMRTSRNASKLEDGVFFGPHPRCMITGCVSADVEGCYIWPLDMPQRLIDNLMSSIRGNYNTLRCHAPRNIIFLRRNLRELWETNRLLMIPHPDHLKKLEYRTVYKYCVIAEDEHPPDSCATMGNPIAPSVMTAPCSYRSLGWHELNANIALMVFRAGQKLSKRPFHYRHILRELLPHKEINHTYEIVSRYKSWMAPLHREIVRGRRLWATGELAPFPDGYFRCFSTPYCSPLPDDDAVRFPCPFRPVVSGIKRKRSGHTRADIDIYTAKENAQRETSGRWDRLRNVRTQSCSLIDKRTPAMCCLLRKNLGWWKIILQKFPSGRRGNYMRGPCEMRNNVFQPSVLVLQVTIPSHMAERGITARHQDLTYFAFQTREYLAHSRRMGRAASQLLAA*
>EED84321
MNGLNILIPDMFYMLAAEHPPRENTPALSDDTGSSSSRESSPPATPVSGLSRAPSISFDDDSKHTPTGDGTDIKIVEADADSSPPEDITRPLKRRRLADIRADELRAERVLPPDILSDATKADYDTSFCLEHIRIGDCTSAGDVKPDSDAVHPSLEHVLRPLKRKRLVDMSTDDACAKRAQTSDISSGAANIEDSASFDLGHIPIHDCTKIRVAEPDGVHPISHSYLHAPLKAIARPPKRKRCADTTTDEVRVKRRRLSDSPSNASKLKDEALFGPHLRCMVTSCVSAEVEACYILSPDMSQRLAYKYCVVVEDEHPSGSCIPKDYTITPPVAMACSSYRSLGWHNLSANLHLMTIRVGHVVEPVFSHIKGDSPVEVIPRLPKRKRSPDAEIDEVPAKRMRTSGSARNTSKVEDSVPFGPHPRCMITGCVSAEVEACYILPPDMPQLLVNRKMDYITFNMHTNYNVLRCPAPGNFIFLRRDLRELWETNRLLMIPHPDHLQELEHCSAYKYCIVAEEEHPPDSCTTMNNIITPSVMTAPCSYRSLGWHELNANLRLMIFRAGQKLSKRPLHYQHVLRDLLPHNEVNHAYTIIRWHGTWTAPLTRAIVPDRRLWATGELSACPKNYYRPPRTQYCSPLLDDDTDRFRRQFRPILSGIRRRRSGDTSGNSQDHIRDIQREVNIRQWYLECDHARDEWAMGPPAEPEDAEMLTYRQEQAGNVQPVVQKLWRKRTDPAIANSTNEPDIFPDRSNSRFVEYKKYKRVGSSILIVPANHPPRLSIPQLPMKWWPLWLSILCLCASLDSSGQPPQIPRVVISNRICTPVGGGGRDDFVLDPGVRHIIYEGSAPTHHYSCLHFINLASSQSQTIFVGTILWKQYKADL*
>EED84322
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSTRREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIWLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPTPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHISAPPEEPARRVGVVVDNVFLEGIINEAKERKQKERQMKAVPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHWSVRSMLTQPWGPLNISSTITSNNAVNPVSLGLPALAGSPLLQYDQADHCFVTSTKKAPIYPRDGTWCCATGPNPQVIAHQELVSYYQRHPPAYPEDIFTILRINVEPTQTAESVQSPINEQPLELPEVQYVPIKILNIELPPAPPTPTNAPVEVPMATFTQEDIDQHITIALTAYQSQQSTANLPLCLDIPAPKPFSGKAKDLRHFIQCILSYFVITNNTRLSDEAKIAFTVAVMRKDLGKTWADTYYKKLAGGVQVYSTWADFVATLEEKNRKMALSLGNYITHFEQLASKAQLKDTEVNGTNRVENDYHTLHAKFVKGLPKELYFVLATRAWYNEVRNADAAKQETLIVTDTRDYGEPMDIDAAAVTTTFTSTLEGRK*
>EED84323
MVHGFKPRRSNRLKHLPKVDYCENKTADGKRKRGELDEEEYTPSREGTPENERTKTRAPQNERTPSRRVRRKTAITKDARQRAVDASQNEGACIISGMKDKSVQQCHVLPRATKADVLTALEWWWDIEELSVDSRHNQVFLRADLHALWDRGYVAIIPMPDVTKEYLAKWQDGGRHKVLEASDEAKIHEYCVISHPDLDNSLIREGFTYGFDKVGTIRSHAKPHFMVLNAAMKLREDKDLWVEALTAFCKRIELEVDASSFAEDVLTLSDVWTAPPPGDAELPMKEGKKQASEESHSPLATVPTGEPMTSKRPKALMGPGGLEMDTRSKSKARKPDGEPCGSNLKLYAARLAPTGSRCLLSLQDDKSVQGCHVVPRRTDDRTCAKVAAWWGLDEFDVNSPFNIFILRADIHCLWDQGHLLFVPEPHIVEDYVARSIVPIDGGLSPDEPSEVSDFPVYRYCIVAHCDLPDTVENAAFPRDFKTLGYIESRVPPHFVIYNAGMALSKGGPDGFAAALDAFYKQHKIHFKAIDVLKDMAALFQQYSNNLPADRSGFKKFTRYLGQCWVLDR*
>EED84324
MSSPAAAPNKETLKLLLPLRYDGKTIIECDRFLSQLRIYWLINTLLTTIELKVQVALSLLDGDAHAWATPYFAQLASARFGNLDDEAVAQVELAKLCADKTTRKKRTAAEFSALFKGLADRSGYGDLELRDKYLSGIPSRVYRKIKLETFTTWQAADKHATKVEQILDVSRARRPELNNFFST*
>EED84325
MRSDERNIRSVLEAPLHLDPPRGTLALSPPAPASLKLSSIQVKREEISLQTLRQSLSLRRVTVKKESRSPSPRILLGPPRRQRSPPPIPDKEMLKLLLPLRYDGKTVIECNRFLSQLRIYWLINTTLTTIELKVQVTLSLLDGDARAWATPYFAQLVSVQIGVQGATTPFANEVAFAAAFRARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGLADRSGYGDLELRDKYLSGIPSRVYRKIKLETFTTWQAAEKRATEVEQILDISRARRPKLNNFFSA*
>EED84326
MNALNILIPDIFHTLAAERPPRENTPALSDDTGSSSSRESSPPATPVSGLSRAPSISFDDDSKHTPTGDRTDIKIVEPDADPSPPEDITRPLKRRRLADIRADELRAERVLPPDILSDATKADYDTSFCLEHIRIGDCTSARDVKPDSDAVHPSLEHVFRPLKRKRLVDMSTDDACAKRAQTSDISSGAANIEDSASFELGHIPIHDCTKIRVAEAEGVHPVSPHIDLYAPPEAITRPPKRKRCADTTADEVGACYILPPDMPQPLVYKYYVVAEDEHPADSCTPKDDTITSPVATACSSYRSLGWHDLSVNLHLMTIRVGREFMKRPLHFEHHLSKDALAHIPTITPVYPDVVEPVFSHIKRDSLVEGISRLPKRKRSPETETDEVPAKRMRMSDPTSETSKVEDGVPFGPHPRCVITGCVSADVEACYILPPDMPQLLDLEPCAAYKYCIITEDEHPPDSCTTMNNIITPSVMTAPCSYRSLGWHELSANLDLMTFRAGQKLSKRPLHYQHVLRDLLPHTEVNHAYTIIQWHGTWTAPLTCELVPDRRLWATGELSPCPKNYYRPHRTQYCSPLLDDDTDRFRRQFRPILSGIKRRRFVDTSWSSQDHIRDAHPEVSIRQWCLECDHARDEWTMGPHAEPEDVEMLAYRQEQAGNVQPVVQKLWRKWDEPDVPMDKMVATVAQSSVAVKIRSGVLREWEQHSPARQLSRIVTLHLYTRSWAIATDAPTGHYSCLKTVAFIILASSQSLTDGPSSWELFHESNASYAFLHDQLNALYSTTSSLDRLNDDIAPTYWASERLTNNPSEPAAPSICWDCRLDPTNDDRRDLPRGPMVQPPSL*
>EED84327
MTKKRRNNGRNKKGRGHVNFVRCSNCSRCVGKDKAIKRFTVRNMVESAAVRDISEASVYPEYVIPKLYIKIAYCVSCAIHSHVVRVRSREGRRNRAPPPRVRWKDGKKVNPAVAAAEDAKAAAARTA*
>EED84328
MNPQQAWNEWDETHRYQTQQDTQPRPGYAPTPQYYQPAAEIQLQERSTNSFRFDNITPAHFRQDQQPQLLVESVRDASAGAGPVRTARRSAQAYGTHPYQRPQSAAPLRTGTAARLSPVHERCPAANVWRERLLLSTLPGAEAPSLSFDRPGTSAASTPTVTPAHHAQGLPAVPAHAHANMPRYNIRADVHFDAARGLLIAMLELPGVRKTDVRISLAQCPHSRMKVLAVAGVAFPSHFISDSAHGGALHAVRERKCGAFGRCLPVPPETKVARFATALNYKYNAFALKNPPQVALPPAFDSQQNVSYTVSSQFPASLNIGILRPYFLATAPVLMDHLPY*
>EED84329
MLNFHLCCDHSEVKISTWRITLTIPELDDELSEEEETTEEESSDDEEVHETHEKLQPAPLAHPEPVSSHNRPSSARGAGPDHASGQTLWEMSREVEQRIASMHQRLSDPTLLSDSEDNDTPEATHPPPEPMVLVRVEEEEPRIPISEPAALPRAPQPISAAPPEPVLIFKKFRAFSPTPSHTASAGARTRSTTTQTRSSRGLREGRYPTPPPPSDPLGPAAQPPFLPTEPAADGELYYSCRLGGPRIFDLLNTLPLDRFGVMAWAITDREEDLFELDDVRDEDKVILALWNRWIMLNRRVIDFVDEYWFMIHRAAGWDALRAFLLVLAANKFLDAPEVARILKHYETHTGMDYWYQDDDDDDDDLDDASAA*
>EED84330
MSTCRLLAAHLGLGRAWVCGPTLRTTQYSSSALSTKRQPRSNGLQGARLLHGIAHSAPILALDYRLDAYAIAPESSTDGRRSPGASETARKASSSRFAPLISPLRQSRSACSSSRPALGPCLPGAGLHTEALSEMEDELRRLAIK*
>EED84331
MQPTQVQASTCDSTSSSLCGRPAKAPPTLPAAPRSHLSSAESQMPAKLKINITNNSFSDLPRAEAPAIPTPPTSTPPPLPRPSEPADLSTPVPPSPPRLRWASIETSKGPGKAPAGRSSTDSMSLPDRRRTWDERIQRLTSSSAFSSLPEPERTTIQSQLSAAEEKCTAKAEELKDALLRLAESNFWPLVEQSESKAPSSVVSEQLVAEIGSLRNTVADLKSYLGEVQRQLHAAASGDNAGCGEPSSKRRRVDHDAGSSSVVSELDSMHTRLSQFHDTLVTLESSFRAHKDHVRNEMDNVFRKRDALIEDKRAEFTQHCNKTEYEIEELAREMDALSIRTDTVNKENIRLKREVATLARQIEQLKTKQRDQIDVMQREIRELKALVAERLSASTPSTVNSPPRLESPWDPEVLFEAVRPHLIQSIRDEMRPELSIFYTRIQDILRSQGGELAAMVVPKLTMTIQAAGTIRAWAENIGVVDGDGLIDELSYP*
>EED84332
MTPVPPRRALAFRHRCAGGRGSSSAPCDRGQASNLGDQDQDQDLSAGSGSRGARRRRALREREQRLCGVAVYTPISGRLSRLTGAIWVRGPAKARQAALQRRIVNRYTRDAYSVQLLCLRWSRRGGGDARAHARLTMHVRDWDVGRRVSGGPRAWPSRFRGTAAAAPKQKRSAASGDVAMMKREMIRTEEADVLAQAAALRVPGGIASRRSQGAVCRDVERHPDERRGARTICPSARGPTFRGVVGTPGQTMRKPSKCRLALRPAEQHPKGRLREPEEQNCQGRSCGRRPEATVPVSGVHAQRNAVVFCRWHAFYGEKDIRMRATLGSLQKCSVMRQGTHRIRVTHGSLVRAGLRREDVGSRGNGNRGLLRYIGRDLGQISASRLGPREDRPRQPPLLTETEYISDFADFMETAMGGPEELRIAPETRMFTRLLRCQTRQGVVWVPEVATCCGLPREVSKLVVWARDARPQEAADQKRTTRFEEEKKYKLALKPQVTASATVTKDLETASEKVVVAGRRGHHRL*
>EED84333
MQFQYAIILFTVLASSLGVASADLQPSEDALVFSALRMWRSISEVKALKVAVNAALWRRVVSDSTAHPRLLRTCLKVYALWRSSACAAVVSIKQVVKAGIAKCIYAVISVALFIDMSSARYMRLSLSLPVLETPPTSNQVSANDSIMRTEGASDAGGTGNEILRDPEFWFPDGSIVFVAQGHGFRVYQGFLAQKSEVFKDLFDLGSCDNAICIDGCPMVDMPDSAVDLRNMLRVLFYPERYWPHDQPVDFGIVASLVRLGHKYEIPHIRDVGLVRLKSIFPDSSAAWRQVYPAGKTDLVRLADRREAITAVHLALLTNTQRMLPAALYLCSQLPVGVLIDPCSGPDGIAEPLPPALLVKCLEAKTYFARDYPRMCARILAESFPAQGHDSCRIGKDCMLGFTVSGDLATVEQLERHGYDHFAGWNQMVQYSRDDWPVDRRLCYGCASTLLRKEEEEYFAAWNSLPARFGLEIPKWIPEAPACPSEPPLIATTKPGPFEFPTGHEAYSVLKELRVLGEHPLASAWDSGLVNGLRRGLNTMGVNWTSIDALRIGEVGEPSGAAIVWIGVEFGALSSKEGSGVFTMRQAGNRFLDPVLPSDPTFTATLDIPIFAKDGPCAEGTGGFYLSAGGEDENIYLVTARHVVLPLDKDDNKEYERKDDGKARADVVILGTSGFNEKLAVIDYVIRGQESVIIDANERIDSVKGLGDPGSVREREQAVWKLQDAELGLKALKAFRHEIATHWEVKENRVFGELVWAPPIVLSTDPGQYTLDIAIIKFDAGMLAADNYRGNAINIGDKYTRQQFMEQVCLHPTSPTSFKFPANRCVALQDQVPASDIVKPPMLDANRESCLLVFKNGANTGTTTGKANNVSSYTRNSFGGQYQESRRWPIISTDKHSGAFSAKRDSGSCVADVFSRVGGIITGGSGATDSCDVTYATPISFITEVFHDTKRFKNAHLNPVLA*
>EED84334
MTHQIGAQKHSRIVTLRNKHHQRTRKSSQPPAEIAKELPFHLEAKPTLARLVSSQYAPGPAVSIPDRRLIHGECVIVLLDPPPPSFRRPPAPELPYPSFEPTALMGLSGNLSTAFPLLAPPSTADPHPFITHDVSEQDWTFFVRDVKAAALLAPSNSMFAGVAPVHMRLPPIINLIVAKTLEHHWQNKQNGPSGEVVEYWNHHFFYPRRMRVVLARGAVSYSGPDAPPPDRRSASSKDIPTDSPVSDSASGSYKGDKRSHKAAKAELKQQKKQRESERSEAASENWRLVVASYTP*
>EED84335
MPAQESNFKRFVEVGRVVLLKSGPQAGHIAVIAEIIDHNRAIIDGPTTGVPRQAFPYRHLTLTPFVLTKLPRAAGSGVIRKQLEKEATVEKWEKSSWAQKRAAVEKRRSLNDFERFCVMVAKKARRDTVRKTLHKA*
>EED84336
MPPARIAPSSSKGKSKGAFSSHGRLARKPLAPIELNPLSYDRTDPFTALNAMRTVLAALPTRQLRLTAEEHALVMRLLAIVEPFVGPAPARRALTRLPTEILDAVAFCVDDKRDLLALALTCRRMYSVVFPRHYDYRIVKCKVSSLRVWNHLIVRRGLARNVRQLEVLDERSTVPETIPCDIETSDTDLESSDDELEMHAKQERYLAAALARMTALVSFTWSCSHLPISVESLWPILMKCQTLQQVTVKDNLVFSPDNDDESSAARKKRQVVVSPDFEQVSMFPALRASLKIDYTSRRSPGFSSPILDDFLLCGRWPNLRTLALTNLRCSPQAGFDATMGFLLAHPQLEVLHLDVAFGAGSPYVFPPGCIPRLRELRCGRDLAGALLACPGGLDGRPLDVIGGMRLSGSMRDTSFLYALKQYGKSVRRIELAGWTDMDDIRQLAESAPQLTWLDVGRRTTSAHAAKPASATTTNVAEWAAILAQLPQLTTFHGVRFFYEVAQGSGATASVPLTLSERSRMRRNDEIASLLAWKCTKLRRLDHWEEGSGRVVVLLRDGDKVRYEVRRVKT*
>EED84337
MAALPTSEPAAELEKLSISKHGSEKDSELIDELLALSKKNPKLVRSTEYTAPADPNINVRSWKMNEFKYYDVPSPFPTLARGLFTQDVQTNDRVKHRIVARGYDKFFNIGEVPWTTWASLESHTGAPYILTLKSNGCIIFIAALTPDKLLITSKHALGPSNNAEYKSHAQFGEQWLRKHLLDAGKTEEQLAATLWEKNWTAVAELCDDSFEEHVLPYGPEKTGLHLHGLNECTRQFKTMDQPVVDAFAKEWGFIQTLSTVLDTVPQVREFTEAIGRTGKWNGEALEGFVVRTRVVDPPTKGGQPAAASPYRAGSSFFFKVKFDEPYMMYRDWREVTKALLSKGPSMGNVPKSKLRRPETRVYINWVIDEIKRDREQFATYTHGKGIIATRERFLNWLRSEEGSKAVKRQDELPAERGLIREGEKFGKTIIAPIAVPGVGKTSIAVALSHLFGFGHIQSDDIKAKKAAPIFIKNVVNALKTNDVVIADKNNHLIQHRQQLREAVRTFKPPVRLMALNWSFDQPLSTIHRICGDRVLQRGENHQSLHGDPLAKSHEDVIWQFMNQAEELADEEVDVAVEMDWEETLEDALTRAVDACVRILGMPRPDQEKVGEALAIARGYAPSTKANKADSAKAKKQAVRYYAILAEVDLQDVLGKRFAEAGIPRDGKTFWENLKAQKRIAPRPHITLVHQKALPGETALWERCKELHLHSNPPLFSFRLSNLVWNDRVMAATVSDFAISTDGSHQDAKGLDLVLKLPFEVQNRLHVTVGTRDGVPPVEAKALVESWKKNKHISGVGSLELDNVWVKGSVKGLVN*
>EED84338
MYNFPEKGDERFSSQRVQRGFEQPPYTGAADGLAAPPSYDQIYARQDAGASGTYANNLYASSQTNSYATPDMQRTQTGQLQAHSYVGQYSSNPQGPPQGSYAGSPYTAPTPYRQPSPAGSYTASSGQSYTSEKDLYSPPSEGMRNASSTSYGEPSSRDYSPSPGYGSSQTIRGPTSYLRSMGGQGSSSSGGLLGKLKDFAPGQSVDALINPPPPSFQRAPAANLPYPPFEPTSLIGTSNELSNGFPALPPPIMGGPHPFPTHDVHEEDWTRFLHDVKAAASLSGTDRIVSNVAPMAAGLSFGVGLLLTRGIEKHQKGKKSGVVGELIDYWNHHFFNPRRINVVLARGASSYSGPDVPPPDMAYYYNNGSQSRYDSDSDSDSDGGRHRARRERKHRDRELRRERRKARKELKRDAKRHERDQWRLVVAYRGDGAYRDFGQNDDCGAVRRSTTVYARGPTARTATLAITSNGEEQGSAYYHAHQ*
>EED84339
MSQGGMYAPQGSGDRNVYNQGRLDPTQNLASYPASSVSPVPGSSWQTPRPEAGARTPAASSGTVPGVLGSLKQFLPGDKVSALFDAPPPSFQRPPRPDLPYAPFAPTPLMTAGSELDKGFPLIAPPSTTVPHPFVTHDVGEEDWLRFLNDAKTASKLSPVDRVKSTVAPMAMQMSIGIGYLVSKAIMKRQKDKKATAVGQFIDNWNNGTLSHSGPDVPPPDMAHLQHQDHHYDTDSDSASDSDVNYSNNSPESSKVATRGGRRERRMERRAERGQRMGRRREKRSQAKEQWRLILVALPSIAAISYQLDSHLSLILSDATGTFGDEFTLEALAKSLRPSSALSAESQSEECGPCDTTYVRNQT*
>EED84340
MSTPAAQPSLPLDAPKPERPSTGSRMPSLNQLAARINLNANTNPASAATRPRLAAALLRTSSQGSASSSAAASTSDSVAVNPPGSRSASPANLATSPPGSRATTPGQSDAGQGEQLTSERLERLNKETDQKKDGKMPRGYKNIPSLDAITARLAKARSLSVDGTAKPPEAETIEDPKTPGLRIKAPEHPLEHPWTIFHDTKSKMPFTPASANVVSTIASAEPQSATHFQPPESDEYEAGLTVIGEFDTVESFCRYFNWLKPPSRLERNSNYHLFKSGIKPMWEDPANADGGKWVLTMKNNPQLLDRCWSWLAMALVGEDLDEGDEICGAVVSLRSKVDRIQVWTRSKDDVEKINGIGRKMAKLLDVSEADGIGLEFQYNNDDRPVPNKFLTIQALPQTSFRTSFHNKNSPMSGPGEGPSIAGSGPGVAGPGGAFAGFGMGGGLGGPGWRSKPRQ*
>EED84341
MANTDAGETSRQRPLEVTDALDFLSLVKVALQGTPDAYKRFVKLLRDCKSRSVGTEAVIENVSTLFKGHPNLIQGFNIFLPEGYQMGCTVDGLTITVTTPTSVITRIAKGNTTLDRAYNTTLDVLHAPNNDISMHVGGTSLQTPLAFTQYLRTHTAKDSAKYAKFVEALRLKASVAGESQDFSTSSDNNCASETEFLRRIARLSRDVPGIDREFLNFLPKGHPHRKVLRDLIASNQTTVNSSGGNMAHNLASAVDASVATKRKRSHTEIESERLSEGTYSRTVSAADESSRTDFSNAFLSAPPSLASNVTLEIPPALLNTLPLLRSFTPTASIRPSQDDPFFSRVKAALGNQETYHEFLKLVNLFTQNVIDAAWLVRESRSFLGEGELLEQFKETLSWSNWAESAASVEHVWLKPRAVLDRPSIDQLNSRYGSYRKLPADEIGVPCSGRDDLCYSVLNDEWASQPTFATEDTVFQAHKKNVYEEALHRSEEERHEYDFYIFALERTIQLLETLNTKLGQLSSIARRDFRLQPNLDGYGKGIHLRVLKKLYGSSAGQAVYQELHKRPADAIPPVLERLKPKLMEWRRAQTAWDKIWRELDAQNYHKSLDHQAISFKVVEKKALTARSLVSQIEAANDEQVAAWRRASTSGSQRGGLKPQYQLEYAMDDLTILGDSLELSFSYLDHMSINAIEKNRIQTFLRTFISLFFVQELTTMDASCNPQNSVLPSHAEPSRGLQDVDRDVLGDLRTIVSRQSSGSSREAISNASTFSDLSIRWSRRRYTFFCNTYFYALIRLIEITYSRLASFKMLSKSFDEETSESGLQPCVQNRYRELLILCKNLFSAELDQPTFEERLHSLFGLKDQMHARRNAESIVGPDENLFRIDWLLGKDDSGSTDCEVVTGRWQASSRIFASSQAIPTSERADLHATLRARENKRRQWLRTFLEEGRIPARMSALASHLSASGSKWPVTPNAMGITSLARNQSGNAINSTPATVPTTASPSGTTAESQATFVTAVRRLTRARQESKRISTRQTTAPMISTETVAVAWDEGTEGKLQFTEIWDEKAGAKPTNVLKCPFLK*
>EED84342
MTSTREQIERAIVQIQHKDPLPEIDFTQHQLENGFTISTQERVVKEVQAPAMTIPTDAQFFSREDPTKPDIAFLKNHFYREGRISEEHATYILEKATELLRAEPNLLYVDAPVTDLIVVCGDIHGQYYDLMKLFELGGSPATTRYLFLGDYVDRGYFSIECVLYLWSLKIWYPDTLFLLRGNHECRHLTDYFTFKLECKRKYSERLYDACMQSFCALPLAAIMNKQFFCIHGGLSPELQTLDDIRNIDRFREPPTQGLMCDILWSDPVEDFGQEKMGESFVHNHVRGCSYFFTYQAACQFLERNKLLSIIRAHEAQDAGYRMYRKTRTTGFPSVMTLFSAPNYLDVYNNKAAILKYESNVLNIRQFNATPHPYWLPNFMDAFTWSLPFVGEKITDMLLAMLNCCSKEELEESSDEETEVVVSPPPTHSEDSHERRKVIKNKILAVGRMSRVFALLREESEKVSELKSISGSGKLPYGTLALGAEGIKDAITGFEDARKSDIENERLPPDLYDADSTEGKAILSSGPSTPEGTPASPPPANGVAAALEEAIISGSTPSSVSSQGPSTPISPASPTASIPTTPFRRGHGRQASLGTTMTSPSTRRRSIESTMSLIKEVVDSKVPLQDPELIRLAESIAGQGSPRNGTPPARS*
>EED84343
MPPIVPPAPAGLIPSPFPPEDPPTARDVAKAAHFEMVCTAAFAIQYKHAVTTAANLEHAIGPLRNDIMSIRNDITNMQADIQASQQRQADAQRCAARAYNRQVQLGDHSPFEEVPWKDGTYPWGYMLNNQPLPKLTSAEVVRALDNRQSQKYYSGYYPGVNVPDDRNERDKATLIAIGVPARIAGMAVERDGRRETEKQGATCLVPIPCWNNRCDGAASAFVHSDARKGQSRAGGRGVSVTPWRLTRLQRVLPATHSMAPAMSTLAEFSYGLSTLLASILKKACEEAAKTHQYLQRQKPRVVVKDIAAQVRSWRGVQVGVRIVNDTA*
>EED84344
MLMDTGAHTIAELACASCAAYLGWRVLRAHELSEKWKEGRCILELALL
>EED84345
MPLVNLNYDILLAIINLLRQDDAFSFSLTCRYLFPIARDRIHSSIRITQSPAQLIKMCEYMLSHRDQAQRICSLEIYSQAIIGQHVTVEPRTPGAAGNEPPPPPPPTLADAEGAAYLERLLRCTSSLSRLTLACTDLLFSQRPTIGAAIAGLENLSMLSLLNIGPQTPGVLNGLNLCIKTLHLAGTPSDHLALAMDPQSWCFVRELKPLLHVQNLRLSDFWFIGLTVAPSDLIQPWLSVRCLELNSNHVSCSDYPRLFPMVRCVHLDREHNDYYGDHHCDRGWKNMGCITASTLELSRWQVAQNTQVLELSSFPQQGVLDERTLKAIRNASPVVLRLAVNHADVLDPKSRYGMAFWKGVAEENPGMMSMELFLTGECSTEPWTGISRCCHMNTNGYPSWLICLHSRIFKSFFHPEDSQKEISRRAACSTLSLQYFSIAEIHPVTEEVFDEFFQPAWAIPQTRIPSPSSHNSTSHSYGTTFWWKILGRGSQRTTQSVSSEEGERVRKALRDGCGV*
>EED84346
MDCKNSISHAAADRDSHVASAQKALSTTVEPARHNRAELKGTEEQIQELWDAVNEVRQTNERNRERLRMLRGSLATRRRTLAIATSTHHHLLSGSHSTSGSPGSTSASPPNTHTPGLPGAPHSPPAWLLVVLRILFILYPGGRRRIHTHCNPPPAPRRSSVQLALRVPVVAVYPVACLCVPAECAGLARQVDEARFQLVMLSDKLARARSGLVQELVEVFSVVEVGGRPPIGGKAGTKGEWTIGGLVLPVPGDMRRYPPDHINAVITHTIHFITLLSFYLGIKLPFEIIWSRSGAPPASNPSFSSSSLSHGKGNGLLGVGVPWIGAIKGNENGGWARWSAKQPLHVSAASPPSPSSTNAPSSSPSHPSSHSRSVSTPFAPSSLPPARTRSEISASLADSQIDEQVTTTGSLHGSSFTTALAMLVYDVCYLAYSQAVEVPLAQAGELLGNLWAVCCSPELGSARCRKSHSTHPLLAPPTPPSFPLDFAQLLQATAAKPTRSRARGASGKERAHTEQIVEEDEEGWDLVDPSVE*
>EED84347
MALQLGITRSDSLTGPSQICIIDNHHLSSYEDAPGSDTDIGNPHIIEFSLSRSTLPSKPPRPRRCIASSVPTPPSPKPRLALHDYVFGCKPVFDYVFNFVQRPYRRPDWGGSLPESEWFLSAAQILWFNQSVNAAVYLGAMAWGLHTAIFYKAARAVWRGSHQSRVTWLPLIGTLWAMATINMACNVRFNELAFVDSSGYNGGPFAYVVAYQSSPVNVAAVSTAIVSLCLADVFLLYRVHVLWRKWYIAAPLHLLFLTALAFAILQAWAVGHGDSSFWVVPAFGGRSFYIILAMSLHCLLTILLLWGVLDLSCRLAASLTGHSRTIYIGYKAVLVESALPYGIISFVLMILTCVDSDAANLFVPLLVQLQGITVELIVIRIVNGYAWSLVSLRAINEKLITLRGQLGRRAGSENVSMEALGGNRTGGITVTTVAMSGPPPSVPSVLLPELTGFVPQFLLDDIINIANDATRQAVDAMEAFLERRDAARNEVMDDWKTTEELEKGLNAFQTLLESHVDIAFDFFEAWSLRNIFAIPADLPVVAPHQKGLDLDQPQEKETELLEEIKDLRRKIQAQRKLRRLFSRAVRSSNAQLAHSKARLEQLSFIRSPQLQELGSLADEFLAMYNAVSALPPIDPASTAIEQAPLPEPGKRPWETSKMGYFNWAVGQLMLRAKEHAKGEGDFGAGSSAVGAAAAAAYDVANVQDVKGALEAIAGQVVVAQIDCTQKSTYEIELNQYISLMTIRRKKSEKPVFSYFLQRIAPAYPIQVHQNDEPGHAFHTPERDRRGWSGMHRKTFNEWMNLFSSQW*
>EED84348
MGSRGRYTGEVKGRLCALVRAQLVRAQHADAALGAVFKNSGSDNGSAVPMDIDLAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTYNTDDCYKLAKNADKRPNTQGDGARKAQGGSGNPAAKKAKKTRVIQVKLTDSEDDMPPSTKAMSANTARIEEIVNVKESTLAGKDELQLSAKTEPTAATSDFWKKYM*
>EED84349
MVQRERRMNGHDWEIRRPRNGGQEQYYYNTKTHESTWTRPGLVREGRSLERRGSDAKARTDARTNEHTDELEGRAQSRKEPKRRPSPSSGLSYEDRHYRPGASSSAGGSSTQQQPHSDSLPVPRPPSPRSVPERRQSHSVSPTRREHQAQAANTRSRRDVSSPRLVTDSTWRDPQRDDVREMLQSRQVNTPERRWGPSRAAESMTGRGPEDRLRGRSQRIDVDPLHQLPPKDPVVRHEGLQWSAPX
>EED84350
MPATSEHVPAARNAAALAALRRRGQPAPTPTSSSVTGAPGDPVATPSPTTTSAQGSPAPSEGEGGRSSSSQPGANDVTRPQIPSLIPLCDMAHAGPSMRPPAYVQPYPSFQAHYTPYFRNPAQQTRPPQGSSQQQPGSSRVASQSGQSQPSAPRYPRTAASASATRTQLAQLPPTLTDEQLARLDRLTRDAIDERLRVLEGVSGAVHRCIEELTRLRSVLPASATLGSMQGQGQERVAPGAAAATPSLPVADPALTAGSLASALVSGSGESADRGDALAGAEITSHSIVQSEQEVSEGTVEQ*
>EED84351
MRTIQSILLFLAFFATTILADYYARDDSDIGLAARDVWDNDGLFAREDDLYARDWEEHKNHPLVRELVNLLAARGRTCYNNGEKLTEAVKKCEPANSVGMLAAHQCANRGGKYYYCHDSTGEGCMKVKTAIGLEHGECFV*
>EED84352
MDRLRRCISPYP*
>EED84353
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTKLIRLDNLKVAHRFQPLLSCNLRARHNKFIPRAIPNAYLPLPAPLPTSAFRRLPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSCPRPNTPVVFRKVDPDWTPDTTQWTWDSSWPNLKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNRVRAHFVPGIVPLRFFLHQHDHIDTMVRAQSVLV*
>EED84354
MSACFGMTASLQAPIPHGLQMDTRMSAPQSSWPLGVFQTGKWIGLDMWPLRKPSEFLIFNIFHLHMSWWAHAEAILNLQVSFCHAISGFTTFFAVNWGFEDRHMVKADKVWCEMSHGSATGERGKMLATCWSSCKKCLLANLEKQTSELQMNLAATPGYRASQNHTSEDLTRLGIESEDDNELDLNSIAIPSSVMFNASSLSIFTDHSQFARGQTITATPRLSLAQCKPTTSVPHTGRSRNPPPNSITPSSSIPPINNHLFGLGMEEPQHSSNSLQASQLSELTSSHSSDIEVQFNGAYTPRRRHPVTAVPAPLRDSVDACSRPKASDYMPRIKKLLLSAAYEFEALIMTRDAYPDSEVQRQWAYESWHNVTDPINDSEESDSGGAEHSGFELTDHMITVGDSPDVHRKNIHLHRRLLEKSSFHYKDPGIESGMRSGFGQHTIISRVLEVCFFKKKSKKTSFGLTYPQHFNLFPLPTMVLILAAVEHDIEEWSTGVHVMADFRELEGKPLYEAYLADLRTWENPPDGPSNKTVVCNIRKKLYKRGREFASLSAEDSEKPSRASKADMQEAYQELAGRTGETDSEAE*
>EED84355
MPTPYKQYTTGRLKPPARTPRDMASTSVCEGLPYVASSRGACRVYSYDPPEGGPGTTISARMTFVMRTAETTYMRLVIGRRALTTAVQQVAEHGRKILQLQASVPLDAFTIHASVVALTVQALNSRDEILDSCTFGAFHYSPPLTSRYSIDAPLGMDSMHSPLMHPTSSYQDVLAARQSLISSQGLGSESHTKMNIRASSPPKRQPRRSNDKGRRDAIPGTTYQLELQTPLESMATGWDEDELAASRRLVRFTWEYVGSKIKAACERVPPGAIYDPRDTVISCIYRADEDACCVTSVDVLLLLERLSGRLFDVDEKNRIRRNLEGLRPRTVSKSRADSRDFFELIMRFPPPRPRNIEKDVKVFNWAVLTKALEKVISRYTVVEPPPKTTHQLAPVKSEAHVVHEPRSASAALAQLLGRSAEISADPQMPTLLHSDAAGYFDMHSVSSAASTPTVATPTASQDLTYRSLAGISHNAREQVGVTMIKHEYYEESVPWDMPGLASDAYPGMNALDPIEFLALREYRTPTDTYS*
>EED84356
MFLFPVGLVLSALLTTASCTPASTGLHVLGRRDSPPSGFTFVGAASPDSVLNLRIALTQSDPAALEEALYDVSTPSSSNYKQYLSKEDVSAFVAPSPEAVSAVNAWLQENDITAKTLTPAGDWVEVQIPVSKANEIFNADYSVFKHESTGKQTIRTLSYSIPEELTDHVAIVHPTTTFVFPTYKASLPAFRKVSSRAANTGVIDTASSCADTITPACLQSLYNLPSTPATQTSNTLGVSGFSDQYANQADLATFLETYRTDMSSDTTFTVETLDGGSDPQDGSDAGDEANLDTQYTVGLATDVPVVFISVGENTNDGDLDGFLDIINYLLAQDAPPQVLTTSYGSSESDVPIAMAENLCNAYAQLGARGVSILFASGDGGVSGPQDSLFCWDFVPTFPSGCPYLTSVGATTGISPETAADFSSGGFSNYWGVPSYQQSAVSGYLSYLGDTYSGRYNASGRGYPDVSAQGENFNIVLDQDVESVSGTSCASPTFASVIALLNDELIAAGKSPLGFLNPWLYSTAASSLNDVTSGDNPGCFSDGFSATTGWDPVTGLGTPDYTSLRTAAGL*
>EED84357
MHTLHFWKSVLHGKP*
>EED84358
MSCHASAPCDWGRTHGELFPPSSPLPFSTSPDVLPLCLINLELEGADVTLSHTIVSYTRPFLAIANKYMRLSTQGKDSFRSVSVPWQDWGPRSTFWFSDHPDVWGKATTHGYRVMTPTTLYDFTPQGNGQTAGDTISSRIVYGTDVDERGWLYESIKTSTPFRVSELTGMQFSTYVIDEEVLAVKLIVGQSQLQSPFVIVGNFNRCHRDSLILEFWFT*
>EED84359
MSSTTLSFLNQFNAPSTKGGKRISIYTPKHTQVGNSTLLTFLLSNPTNVFNKLKAHNPEATNANNCAALEAYLSTCHEYDEAVKAADEAIDHHKRLLCQQDNHNADRLNTGCGTVPTYGAQDAEKKPLDTWKPARRMGVVVDNVFLEKIINEVKERKERERQTKAVPIPPPHNANPKPPASPVAGPSHPRPNTPIVFRKVDPDWTPNSTQWTWDSSWLHQKHLSGEKWMNVRRNARKEWFDKEEDDSVD*
>EED84360
MQASVDILLTEAQVVSPASFYEFQLNPSESTTSRIPKLIEDGSNWILYKEQFRAAVYAKGLVRFLEGRDKAPIPTTAPGVDPDVDKHYKSANDVWVAKHQSIWMMLFQTLPESLKLRIASLQKASEAWQVVVDEYDNQGEFVQVELL*
>EED84361
MSSTGTFISTEIVLTALEWWWDIEELSVDSRHNQVFLRADLHALWDRGYIAIIPMPDVTKEYLAKWQDGGRHKVLEASDEAKIHEYCVISHPDLDNSLIREGFTYGFDKVGTIRSHAKPHFMVLNAAMKLREDKDLWVEALTAFCKRIELEVDASSFAEDVLTLSDVWTAPPPGDAELPMKEGKKQASEESHSLPPTVPTGEAMTPKRLKALMGPEALSQDKRSKLKAEKPDGESCGSNLKLYAAYLAPTGSRCLLSLQDAKSVQGCHVVPRRTDDDTCTTVAAWWGLEEFDVDSPFNIFLCEASSLAELFEAPDVPVYRYCVVAHRDLPETEENAAFPRDIKILGYVESPVPPHFVIYNAGLMLSKSGPDGFEMALDAFYKRHGLDFEAIDILRDMLALFQRYTTDMPDRQNEHPATQRAPLL*
>EED84362
MNGLAILIPEMFYMLAAEHPPRESTPALSDDTGSSSSRESSPPATPVSGLSRAPSISFDDNSKHIPTGDGTDIKILEPDADSSPPEDITRPLKRRRLVDTWVDKVRAERVLPPDISSDATKADDGALLGLVHILIGDCASVRGVKPDAVDPSLEYVFRPLKRKRFADTSTDDACAKRAQTSDISSGAAKIEDSVSFDLGHIPIHDCTKIRVAEPDDVHPVSPHIDLHVSLKAIARPPKRKRCADTTTDEVRVKRRRLSDSPSHASKLNYAAPSGPHPRCMITGCVSNEVEACYILPPDTPQPLDDLRASDDFSSAYCSTPANIVFLRHDLRILWETNRLLMIPHPHHLDHPDTRPAYKYCVVVEDEHPSGSCIPKYYTITPPVAMACSSYRSLGWHDLSANLHLMTIRVGREFMKRPLHYEHHLFQNNLSHIPTITPVHPDVVEPVFSQINRDSPVEVILPLPKRKRSPDIEADEVPAKKMRMSVSTGETAKVEDGVPFGPHPRCMITGCVSADVEACYILPPDMPQLLVNRKMDYITFNMRTNYDTFRCHAPRNIIFLRRDLRELWETNRLLMIPHPDHLKKLDYCPPIAVLIVTQVYKYCVIAEDVHPPDSCATMGYFITPSIMTAPCSYRSLGWHELNANLHLMIFRAGQKLNKRPLHYQHILRDLLPFNDANHAYSIVWRYGSWTFSLHREMVPDRRLWATGELSACPDDYFRSPKKQYCSPMLDDDTVRFSRRFWPIVSGIQRKRSGNTSVGTQACIVEEDAQRSVSVRQWCLDCDQDRDEWTMGPPAEPEDAELLAYRQEKAGNVLPVVQDLWSLEDHFSSSCGIGCISNITAGRGDDMQLHAWRWRDGVRHLPGVGAYRLASIENIRHGSWDRFAEMVAAVAQPSLQYTASYATNTSIFDERMSVLTTGMKTALHEGNAAKRVRRRARSASHFKELTYLVPEWLTSNTSEATARYVWSMHILLAAVLAVLTRRAKPHARGLGIQIWVLEQINQVFTRGPVGIRNITRMGIHDRGDNQERGKPPRRLAVNVPWLATYGMGQVQTFNDEGAYNEAEHECYGKCATVRKNILGDSNSMPVNLFQGTGTTLPMSSTTILNRGQEVETEVPRAAEAGLYTGDV*
>EED84363
MVDGFKPRRSNRLKHLPKVDYCENKTADGKRKREESDDEDEYTPSREGTPENQKIPTRAAENQRSPSRRVRRKTAITKDARQRAVDASQNEGACIISGMKDKSVQQCHVLPRATKPDVLTALEWWWDTEELSVDSRHNQVFRASSFREAFRYAWAHLHTVRADLHALWDRGYIAIMPMPDVMKEYLAKWQDGGRHKVLEASDEAKIHEYCVIPHPDLVAGAPPPGNSPICQGFAYRFDKVVIIRSHAKPHFMVLNAAMKLKENKEMWVKVLTAFCKRMKLEVDASRFVEETLTLSDVWTAPPPRKALLIMKREKKQAAEEAHSLLVTVPTGEAMTPERPKALMSLEALDQDKRSKSRDHKPEGEPCGSNLKLYAAHLAPTGSRCLLSLQEDKSVQGCHVVPRRTDIYTREKVAAWWGLDKFDVDSPFNIFLLRADIHCLWDQGHLMFVPEPHIIKDYLARSVVPIGGASSLAELFEASDVPVYRYCVVAHRDLPDIEQNAAFPRDVKTLAYVESPVPPQFVIYNGGLMLSKSGLKGSKWL*
>EED84364
MRFTKPAWVMHKDTSMRGDQEKRVSVFSVHVHPDGSRIATGGLDAKVRVWSTKPILNHASELSGRPPKSLCTLMMHTGPVLVVRWAHSGRWLASGSDDQIVMIWDLDPTAKGKVWGSDEVNVEGWKPLKRLPGHESDVTDIAWSPGDRYLATVGLDSQVLIWCGYTLERLMRIDQHQGFVKGVCWDPVGEFLATGSDDRSVRIWRTTDWSLEAEVRKPFDHSPGTFFRRLSWSPDGAHITASNATNNDGYVFIAAVIARNTWTSEISLVGHENTVEVAAYNPHIFLRDPSSPVVASNICSVVALGADDRAVSVWQTKSARPLIVAKEVFERQIMDLSWSQDGLTLYAVSSDGTMAVFSFDQDELEGIAPRSAQEQYLKKFGFSPPPLPEGFSHQNPVVVDTSRQPSAGRMTPPPSPGRAVSEPRPAQTQTGFGASVNGSGSGEHVNQLVAKRKKDKKRIQPNFMGSLGSSIPSAANSTSNMAGPSTSVPLSAAGGVGMSTTRSIGESSTSAAVHRPPASHSGAPQLGGPSQPFSVDIADLTDGSRDRPPERDEDVEMYLADNTDVRISSLDTSMQTGRKRKTIDGTDDRVLSRPRTLGGDRVRDAVPVREIAGGNAGFGAAQSTLGLWNDPSSFSSRLDVPSVLTYVKATVEGTEDIFEGRNSEGDGPTEVLFVSGKQTQWLDYLPSPILALTATTVFCAVATQDGSVNVYSHTGRRLMPTLAIGSACSSLSGSKNFLMLLTSIGTLHVWNVKKQVAVFPPTSVLPILGSSPNTTLVSATVRSNGSPVLQLSTGVSFSWDAALSSWIKLSDPWWAEGSDAWQGRQRSNNSIAARGVVSTLESNTSERVSNDGTTKADQPRPAWWNTALTLGHLETKLHAAKTLDSPQEYKQALLLYAKRIADEGFRGKAEELVKELYGPVYW
>EED84365
MAEEHDAIVGESTFPLELYEHIMDHLWDDVRTLTACTLVCRAWVPTCRHHLFHTVTLNSVKDCARLNKLIEAGQSSSSAAVHYFRTLSIVYDDKVATEWVNDWVPRLLPHLDGVEHLKADLYDWSQTFRDTRQVIYTFSSRITSLQLDASYFDHPNDFFALLVACPLLTALRLSGIHCRRDSGAPTQSLATRRIILTELHLKEVQSYFAYLLVHWLFAASADLTLNRLVWEDPMPVFGETVKVEARDTSVPLLTKVLQAIPYNCLRHLDLSFFNNYNLRALTNQAADVGQLDLSSFSQLETLAIYHSSVGDVEETYPCPWIPHVLSRLTSMRLCLLSFQFDLVPDAEENLALDFLDWAHLDEVIASLCSTRQGVRVAFHFKDCWRGSYDVCEIVHLLAGRLPKLRGTSVSAVVLHHYPLGFDFLVGKMVYDVREALLL*
>EED84366
MSVSPPVRRYSSTTSSSREDLINQYEAEEERIINVLSRKLERLREEKIALENTLEAESESHVNRLSREISALRLAQQQQQAQVNGGTMGSGSGSPVDTRLGLHTFLGYRNPAEPSSEMMLEAMRRENEQLRSRLVDTERDYMRITRLNEIYREELIEHRRRLGLPVDNLIGLASAEPYSQPTHRRASSAASSPATSIGVLASPSPQRQHPLTAAAAWPIPGVPIPRPPSQVHHPAASLSLESSAATTPLSHSPSSPTVFSTSVSPLASYATGITTPPSSAPLVAHPPAPYPAPPPPLSYPSVPPPSLSSSFGSPPPMMGEHFMGRGRSRGASHSRRTSVERGARVAETGSLLPRGRVGRGSLQVSKRLLGTETYLAPVA*
>EED84367
MW*
>EED84368
MVNVPKTRRTYCKGKQCRKHTPHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKVVLRLECTVCKYKMQLSLKRCKHFELGGEKKTKGAALQF*
>EED84369
MPKALFRAGCRSLSYVLGRGVFIPSLTALRQIALTTAALAVPIVLLKRQRATAAAGRSLSTAAPPPRKAAISVPPPPRRTASGAPPPPRKASSSAIPPPRIATSTTPAASSPTLSTAGHEVRAAEDNFNGALYCAKAFGIATVLVSVGAGATVWGVKSALGVRNTQEFADRMRSFVLTRMPMLSSRIHRALQAEDHPEELIDSSAATTHLHGAPGSSNTLQWSWPEAEERLRVAYDSGGVYSWAEAVLYELETEGQVERVKRGHA*
>EED84370
MRNVVGVAPLEDQRNGKARVSALQLKFVTTLAYTRVHAPRRHDALASSTKHIVQGTAAATSVVKGAGRGVPATRKAVSQVTVLVAVRTGSATLSCARRAPEVGLAVGTPSCKEDAKRRFLQYNLIICC*
>EED84371
MEDPLFVDLREQEDLEVQRIREDFSHRVQAARDTRALIISVYRAAWGDFYRDEAEACLSRINEIATPFPTWPLSSSGDLSANAFGEVEDGMILGEVDHDEDSYIISDFEEAVRADVICTGYAASAFAAHAKYEACTPATRSIKTDDRSHVLQFVHMDGQTLMRHVVYNFIHATNTLAIGFVEAC*
>EED84372
MANVHFSWSDSLQAAFSSCLFCFKSSESDQDDLDHRRPRNALINTVPPPRARPDELEGLLADSDSADAETMSLHSNIGDERRRRKRRRPRRGIRLFGYDLFGRSPIQLPESDDEDPHSRGVRRSRTISSSTSLDSDAAPIDPSTLDETYVARLAAATAAAEEEQRRTKEERRRLRKERKELKKMALAMAMGMHATANQEQFEGIPGSGPNAVFELGSGSGSATSSPFVDDFGPFMQSQTVAALDDDDADADFGAESYARRPTQGGSSGGIGSDSRSRTSGSGSRSRAGSVQYSQHHLSQGQQSSADPAQKQRKRRLTRSKSLSSKQSESTSDPTSQSISLSSPPADRTAFAQPDVAGLSVHHEENEFEGFQGGTLDLALEHANVKQDAKATNAGVQDFPSVGLRGVQRTKSDMGVFLARRGDE*
>EED84373
MIDDGVPVVDETFDSRTHNDDPDSSVLASALPIGSSTTNSDTQPPMQDAAAGTNLDVEPGHIDRPLNVTDALSYLDDVKQKFQANPEVYNRFLDIMKDFKSQLIDTPGVIERVSNLFHGHPTLIQGFNTFLPAGYRIDCTTDSLNPNTITVTTPSGTTRQPTNGPFAFGPAASNISVPKAAQPPPPSEAESSQAPPVNLGPALAYIQRVKTHYANESDKYRRFLEFLTPRPGMGPGAGPSSHEVRELEQWAVAFLSARCAQGDVVQRIGKLFHDAPGLMKDFIEFIPDKHAQEMELARLAELQETRKMGTPASETKGSKRKGDASSVASNAASGSGVPQKRRRKPADKDKDKDKDKDKEKEKEKEKETPKPAPSKAKKARAAQIHPNETTSPSLSQRNPAAPSSPRRQAHAQSSSHMQHHPPPPALPLPTPAATAPAPPPPLSPADETQFFDRVKRALDNRETYNEFLKLVNLFTQDIIDTARLVRESRSFLGDGELMAQFMDILGWDERRDRIAVDEDIWTRPMAALDRPSRNQLNIRYGSYRRLPMNEVNVICSGRDEMCKSVLNDEWISQPTFASEDAGFQTHRNNVFEEALHRSEEERHEYDFHIEAIQRTINMLEPLNNKIAQLSPEERSTFKLKPNLGGVGKSVHLRVLKKIYGRDAGFEVYQAMQDVPALAIPVVLSRLKVKHEEWKRAQREWNKVWREVDAQNYHKSLDHQGVTFKAADKKVITAKFFVNQIEAARDEQVAKRAALIDPLFARTRPRHQLQFAIDDMSVLQDAIKLSLSFLDRTQGQINFQDRKKIETFLRSFMPLFFAQDASTFNCAFVPHHETADSDMDVDTVVDESEAPNGTGSARAGRNNRKANGAGSSGDLRKKLLKSEQAKSSRRTRAHTGSPSASRLGSPAVSDGMQLEENQASPVVRADSSREPTPGPSVIGSERPRARRRYSFFTNTTFYVFFRLLELLYSRLNHFKSLATKLANGPSASHKPNHLAADVSVASDAYIVSNPASQFYSLMLESCEKLFDNEIEQHAFEDRLRWMFGSKDAYKMFTVDKVVGAIIKQIQNVLLDAKSQDLFDLLSREREIASPTTQDQINARRNTERVLGPDENLFRLDWVRALSEIDVEA*
>EED84374
MALSLLLVILIAFTPMLPPDPGQPSNSSRCVSPPTDTSFFLAPTPNLPRLSRPFQTPLDHLQEALQCHARHPISPSLPRPEVPQIVIKQEEVPTRLEDIGEPGYFIQMQQRVKTSTPPGARLLREDADEEATPREQRSREARGTDTAPTTPTRLRTVIKPTGKSTSISHRAQARHAQLMLPPNPEREGDAMAAAPKTTSLHSMELRNTTPQVQEKCAPTATICGEQEDPSSAPMSSEPPAHPGGSIAHAGGSQPMHYVPTPHTLREDMLLYPYHAAPFAPTHYGAAALDPHNLNMYYHPSPYQNLAAPLRSRAPSSVSHADHAGPSAEPLAPPSKVASTSSRVAPIAPRSRASSNVSHIHPTDPADPLRYKSGGSQPLLPQRQALPHRPLTPPPSDSQPSKRALEPTPKVTSTQKDPSTDVPSTQQAGTVDNAAASAAGSPMDESGDNAVHDTSHDGSLEWDEVPGMSYEEAAEAEEQALQEQADNDVFGTPGRLLDNVRQILQIGFANMNTIVEQVSKDSGLPAARVQAMFEQHHARMNTAHNHWNIYDHYFKMNQAQECERLGLDAPLADTVEIRSKTYALFKEVHADIWCDILETFEEVEVWAANHTVGQRKRDFAKAVAKMRQLCDNLALRYDFSTAFLMAGNLVNTDTGLAQLYETATAKNFLSTYLRGNEDTSLAHFNHQTSMGILNEASENGNEDVGGQSKPSGPAIAASCQQGGTPSKQHAGPSEQAAGPSKASTQVPGPSKQAGVSFNEGTIKSQKDLDRCKFGLEFLHPDWRKKLSSTDKVGVIRFNVREMEVAAGGPWECKYNNLFPWNRHAGLLVTQVLVWKNYPDDVCWPGKEKTGGCNKAKGIAELSMPELDRMIEGLVDRDYPFEFERVDKEALKKNKLPVIICAPPAHDATFKRARRYFANGTSDRKGPPRREAPASSGEHGESSSPDTDELSKDTASAALSPVQAPPPKASLTRSRVVEVVIHKSRTSQPTTASKLINVDDSEDDDAPVKPQADKRKAINVEDSEDSEDEDAYQPSEGTPTPVKPRGAKQKALRNGSDTEDEPEDGTKKEPSKGKGPSKGKAPAQSTKRSKASAGGSDVEQQSTPCMPKKPMARAQTAKRPAPRPVHAGAQMCGGAMDTTTNEQCDTSTEAPAQRQRPARHLHEDESSPEHAPPKRRHHPATTEGAPTEVTHNQEHRWEAPAQHDKDTPAELHRPQEGRSKQKAAEAGLYTGEVKGRLCTLVRAQWVRAPQTVAAPGADSDASISGEDKGRLYALVRAQLVRAQHADAARGAARHRTHQIGPLDTAGPKI*
>EED84375
MCSDATRNSATVCSFPNCRRAVWQDPDGSYSSYCGRGHRDAMAQVNTNNQAQLCKACSILFFAAVTCARFMSKMAMLMDSVAFAVPLLIRMGSNHPHLHNHLFSHSFVHWSVATSQFGLDRTRHRAVTAEILIELMQSREAKLSLVYSLVNGKTSEFCSRKCGQITIAGAPKILEVSNKHDAFNEVSTQFLQGWRHPTSKPTVVKIWKIFSDKDHNDRFARYRLAVERRIGKEGGNSLRRWHGTIRACRLGDDETQLRGDGDSALFVQSSFEIAKFGKRTNFGRFGEGIYTSATSSKANDYVAEGGGSSYRAMLLNDVVMGKTIKLTADNPNLKEPPQGYDSVVGEPGGSLNYDESIANVGSDRKLGYRSVLGCCPLPASIEFSLATRLIQTGRDSEIHFVLWPSSDTQTQPFRYYIDMRLSEDVLSIIVTLLPAGNARALLTLSKCLHDIALPQAVSSICVSKADNLVAMCQYFAGNSRHDHIRTLEIQSGALVQEHVEAENAYNCAQVMECLHEFFQKATNVTRLTLGSAELIFHDGCKSQIGHAIASLRYLSTLSLLDVGPQTISTLNELPLSIKALEMSAPEPFYTYGDWSWIRDLKPIPSVQRLRLTHFFVLSFTSLTPVSGCAWPNALCLEIGENRVPCSVYPRFFPNARCFHLGHSGIECLGEHKEADKWRNMGRITTSLEQIYEWDISERTQLVEFVDKAGCGAKWLQDMSSIVGRLSPVVLRVTISSPEELFCRQGIPVQPSKSWAQIFAYGPRLKSIELVLAEGCGRIQEYQDHSCSLSRDDCWQLIRAACVPSPRFLIHGV*
>EED84376
MDAKHIPPELWMEIFEQLPLPSDLYNIMRTSKWFCDLAVRATHRHVIWKTPHTVAHNLPLWKVHKGMEGFVHTLELGVTTLPPGISGPVVDIAGRSVWRQGHGEAHETYIDYEVDLLNYASNPLYTAMMNQVSMFTNIEDLTFSGVLLANEHYKIIHNLPRLRTLRIESCTVGRDASNHNHRRLPITDLLLYNLRRQMSDRDPQAELHAFENVKNLLTLALAPGLRTIRVDSSADIFKHVFSGPAAEARNHTIPPHLERLYILRRPGTQRSRFIAGDTAPHPEAASFQSFLARASTITTYSTFQALSMQQMPPSVLPALRCFSGPVESMHGVLPGRPIEAVQLLQCTQGQQPQQGLQTNRDGITALTTIKNTNPDLRMLSLQFTTWDDEIVHAATALFNNLYRLKITYEVGGPSEATISGLGAELLCKMPCLHTLQIYTAVPQVTSKGDHHYDPTYSSIEEELCDLLIPWNKFCPTLREVQLHPEYTMRRGFDGGPWNLLKHRRLNDMEEFAY*
>EED84377
MSTEDFYVEASEETLQLNYCALASVVLVFYEHSLTLADEMQLVWASKVTGPKVLFVAARYTLWVICLVQIWLILPQSNPTNCQVENILSMITDLSQYVVMLVFSALRAYAISGQHKAPAIIIAILLTFSVSINLYQYSTSVYATVLNVGIESVCNWGFTVLPNIGLIIPNIVICCFLLNLRQLDGASGMSTATQDHELSTSDYHDSNGSNSVRFASRIVGNMGEDLHDDDAFNASEHDEELSTGVEQEVLEPGE*
>EED84378
MWAKFSNVLKHRPGTPALSEQDDEPDVLGSVYEQHPNLSVFHEPSEVPFPSPSPPASPSLTGQKNVFKRPKPRIDTLTEPATSSPVISPLNLPKKVKSSLQTLSSELTQRPLQEKAPNTPSDSKFSSLRSIDSMRIPQEKPPITPTADAKFSSLRSILRDSNTPASGRSVRFFSRDAYKTITPDVSATSSEPEEMSFAARLQRAKVQSRRPAQELFSAPTTPSLLTEMPPPNISNIFELSNEVEPPVIPSGTKTPLLDSAFELAEEEADATANVKEEDDRLPMPLSEALPALPQVQHDRSHSFSFGQTVFRSLNSDDSSTYSVKANRGRAVSDTVFHALPPPPLADKGPPEADINDTSGAMIVYASPKEKDPFAANATTYYTPGTMLPPTPPQMPKEKHGRTPSREEDLIWSLRTQLALQSELCVQFEVDLGARDELVRVLNSRLEESERECERRKGVVKNWRKRVSELERAVRGLQEEVDRSREESMDRSMMDEASGQALHQLHRRIESLERERADGDRREQEMREELEARVYELQEVRDELARRDESEQELKAGIRAAKEEMEQMGELTGNHEAIARQIHLNEEAERQRAAAEVAWDEERQKLLSDNESLRSEHISLQAQVTELREEVVRKDAEIGMLKAEVEAQWKHTETGSEKLQHLVQERDELKAEVDALNERISGMEEDWSQGENKKVELENEIQEVWAAKEDLERERTELEDQIRAEHEHSEHLTQALQEGEDRVATLEQERQYALDRAARFEAQIKQRDADAAELSQRAIACEQDAEEAQEEISRLKREHARIVNEQSRTLQDVVAREVEARAGLEAVVREKAEADVHISTMKERLTALQEETERLRRQVHALQQESADKEVKLVNLAKQRQQDKDDINGLNIALDSKQQELELLKRRMSVRGTAGSTPAAASKVPHQRRESSIFGTPSVGGSRPSSALSDTVGTGRDRKSTDTPSTITKAALVKSARTNGMSAPTHAAKRSLEGAMGPPPAMSRSSSTSGTATPTRIPSSSATPRASGAPSAYQKSVTPATQRRMSASQLDPSRLRSTLGSREPLSSASEADEKEKENTAPTAKARRMSLVAA*
>EED84379
MSTSQMALRLSSTVVSSSCAQIGHPDQSRRITLATRQSDGLNTHSVPAAPSHLALPHGILALSPPVLVFLKLSLPVQVKHEKISLQTLHQSLSLRRVRVKKESRSPSPRILLGPPRRQRSPPHQQSLTYVDRRQAPLGPDSPLFGTRIPPGTSTQSPTTSISPSTLFDTFDGARRLLEARHGRPDASARFAPSHTEVAGLSRLGIEPRGMPSTTATGPKWPYVSLHLRVRHDVTLPMDLRPLEHSLLSKFDQQKATTAASAPAPSSVPPAQIMSSPSTAPNKETLRLLLPLRYDGKTIIECNRFLSQLRIYWMVNTSLTTIELKVQVALSLLNGDARAWATPYFAQLASVQVGVQGVTTPFANEAAFAATFKARFGILNDEAAVAT*
>EED84380
MSWLWRPEGDGGGRPARPPAEPVRRRDASPANTDGEMPVSPWEKTRPEDPKVADPMDPSKMVSNKQANRPFLGYSEYRNRREQEHAAWLQRKNERDEKLARGEEVSPEEPDPTEEPEVGCLGLLKFILYAVLFIVLAGKFITGSFLWEHELPNLRHLIPASTYGRADSGQTNQRLFSERMLATFDGSTEGKPIYLAVSHSGNSLL*
>EED84381
MVVRLDSLQPVYSLPSLDIPDEAEDAPAPGLPVVRWAWAMCYFLSNLLKATTGSSRLPAIVFGAAALSSIYNVQDHLSSFNPVRTVRLALRYGVAAFDTSAYYQESEIVLGTALKALELDFPRSTYKLMTKCGRYGSTVADFDYSPATIRASVQRSLARLNTNYLDAVYLHDVEFVCTQVGPREAGDPTIALGDAQAEYGLAPGQEGEVWGEGDQKILDALGELRRMQDEGIIKAIGITGYPLPTLLRLALLALHTPPYEALDVLLSYSHLTVQTTTFAAFAPHFRERARISQLLTASPLNMGLLTPTPPSWHPASQELRAAAGRANVVCAEWEGGLPNVSVGFGYGKAIELDVPMVVGLSNTREVHENVHVYRAVRNGKDETRRAQEDAVVRSFGDLQQWSWASPPAHLI*
>EED84382
MSGDKALSDAERRAEADELFGTLDDVEERWRDRQLYFERRGYMLRPRYRPGWVPSWRKNGQNPTFAEDAAVLPDDEDESITYMVMPFLRLIDPPPFETPQDVVELVDQILEGLVFMHEQGVAHRDCTYKNIMMDASAMYPKGFHHIWSSFLHDIKTEAPCLARGDVSVKYYYVDFGISSHIPPDAENRLVVGVAGRDQEVPELSRRKRPYDPFKVDIFIIGNLFRRYFHDCRPSASDALAQWKSARPMFGSFPARWRLMPRGAVWPERLCLGVVGFSYAGSGYPYSEG*
>EED84383
MPMSTAQYAQVKSGARTPRQPPVRTDAGTATRAVTMASPPPIQSKDARRDGAVRCPVSTVLSNIRCGAWRQKWLHACPAGREAAPELDVDSAPHACPGQYSASNAPPDLPRQFESRLAHLDSGPVLAPWTEAPRYLGLPPHLCAASPADTLCGRTRSPGTGTEDAGRHSRVPVSRPVCASAGQAGRAARGDDRAVILGSRHAEKHSFRCRIVSRARARVAYQRSSPKEEIAARARARMCIRDCQRWASCVGAFAVRARATSLRAWRKETLALSSAPAMASCAHARGEGEPSQVSPGSSRAHARMGPTGAHRLADTDDARPAPACSACGPLRHRRSVAVDLSTGRLCIDSPGLAVARTYVLRFRIRTCARTLADDGSRFATGPRTGRAGTARGRQPHARAVRVESRGWCWGFRAGAPTRTGLSETTHTCARANTALAQRAFSLGNGMLAAGVDVRPRLYPHAPRTGREDAGAWLVVP*
>EED84384
MAKAKAAPTSSSGGKAAKKKKWSKGKVKDKAQHAVILDKPTYDRIMKEVPTFRFISQSILIERLKVNGSLARVAISHLEKEGQIKRIVHHSGQLIYTRSTSGSD*
>EED84385
MFSCVPSSIDPSSQVTFASRSPFISELLAIPVNWDLIDHVVEAVRYTTLNPTRRLKNALFINFVKLIVVKTGIDTANILVALTIIDKACQNIGDMRRDWECEELFIASLILAHKAICDPILRNKHWATSASVFFDLQDINRLERELLDVIKFDLCITEEAILRHFLSLRQYCIEEPSSRYKRSGAGSSRTSLRDAGMSQRHVIPFTGVESPSHVNLVIPIRRSTCSFPGCRGDCSPSLWADSPALITPVNIMPMPADSDSRYLQGMTLPRTSIPDRVPHASQYTPAWVDICSSRQLGPPTELFPAEMEPHRWQPPYGKWDSAMV*
>EED84386
MVLNDVLWSLIVQVALVSFYTLRIWNLSENNAWISALVASSLFDLYTLLRLRTFDELNALKELLMSVYAIAAAGDLLIAITLSVLLHGSRTGYRRSDGLINKLIAVLPTAFIYVAFFFLMGRLHTNSLLATLNARKILRVRTVGDDVLSLSLQDLQGSDTLASGRRNVSQLNTLALPIGFPRGYLLISMIRRVKVKSDLSAKFDLYRGWEHWVRR*
>EED84387
MNIGIESSDFTPADMLSYDDNVAYDDHQPVTEPVHTQLADRIGNTKVYLLPEATDSPYRDNAILFRGTPISHLSTASIKEYATHYDSHPMALEWIDDITCILVFDTKAVARSALRHLTKSIAEEPSAEDGSVTAKPIPVAIWPPEERINKSLGKGEGLKGAIRMRWATRDDIKKKGAKKESEFYRKYGSKAGKLIGSEVGALDRDDEPQRKRRREDPLDKAVQMARLDDELDAFLAEDDAPQELPSPPSKMRSDHMVSDRKSLLQRTSVMRARPDTLASRITAELPRRARSHRHSEREDDHRTSLRRLPQRDRRDHREDDSFGGRGRPRPHKTQQDLDDELEAFLKERE*
>EED84388
MNNASQHLLSGAVSGFASSISLQPLDLLKTRLQQGDGSSRGARHAGSILSIARDIVREKGVFGLWRGTSATLLRNVPGVALYFTSLNHVRTVLATTPYFAVVPLEQTRASSGSVLPKLSKWGNLLAGATARVAVGTLLNPFAVLKARYESNLHEYKSLASAIHSLVQAGPSELFRGVLAASLRDAPYAGLFVVSYEHFKGELEHFVAPTSSTSSTLVYGFAAASAGVVASLATHPFDVVKTKMQVRTEDRYQGLLKTISTIYQQRGWIGFYDGAVLRILRKPPNSAIAWATYESVLMLMRRSQQS*
>EED84389
MSRRMSLPLITFPDQRYPHMILTPPTRKPDLINRRKRFKSQRMDGVGEQPTLRLMIDGQHLHPGVVARDCRAYVRKDTGDTARPPRALEVASDARQVALGREVTAKTCTPAWLPGSAGPLSESSLANQRDHRAMGRSPLCAVPCDGQHLHPGLVARVCGASERKLFGETARLLRAGSVGSWLSGLAGPMEETPLAEQQDHCAAGRSPRCAVICDG*
>EED84390
MTLVKQPDNAEVVREELKRVGGSDGTSSAYITFLLRISWNVDLDNPQTPYCSSNEYIVDTEEDPDEIDITRPESPTYCFAALWGLETEVEVPSLVPLSATQYIRAYYPALDPDQPQDDGAKQKERNVCRAIGFLAGVPMVTQDVLHETWPSVFQAAQDADDQDDEASDQDEASDGDAASNEDEASYEGVVSDQTSNVDVVSHGDGGSGGDEGVAGETVAIEETTDHEHGGLGADNETKGDEAADEKGNVRNDSHGRILSLFEISLKPAVLRAVETDDTSTIERLLLQTETASRVQAILRDSTPLPPSGLNLLQPIRADLSKWSYTAEQLVSIVSTCKSLESFNLSNNPNVTSATIESIMGVAPPSLQRLVVLNCPSLDNSELCVLMSTKPALFKNLNGLIAPITIQPWYRTQLPNTFSITTIYHLYRSVPHVTRASTMPVATPTRIVQCMIDYFAPIAESKFPQEVLDHPNMIYAVFSSSRDPVKGWSTRSISELSQGNSMRQGLGEGWGFTMISDPLGYTTQPAKHQWGFVRYVPKVAGIPEDNDSTTASTEPLPEKEMMRKIVAKYTPEIHDLRSFLQLMHEEGRPDAPEDAVTKLESLMEIFKETWGVVMITPEKISG*
>EED84391
MSRSSYDRYLTVFSPEGRLYQVEYAFKAITGSGHTAIAVRGKDTAVVITQKKVPDKLLDASTVTHLFSLTPTIGCVMTGLIADARAQVMRARSEAADFRHKYGYEITPDALARRLANINQVYTQRAAMRPLGISMIIIGVDPEFGPQCFRLDPAGYFVGFHAASAGQKQQEAMNHLEKKWKKLDSGRGADDAVKAGKTLGRAEVIE
>EED84392
MLSKADRLMENIYISKLARYYIEEDAEWKGKTPHFSASRPRYLPSYIHWPPERLDCRLKESCPDNRTRDGRFLPRGHKLFLGAYHIAARQLEDDHYGKDTWNVASAGSVTAKYLQAFERRVSRHFRYQVNFSKEVVIDFVFALWLKYGNTVLTETRLAVAEAAQRQRNKVARHASQNAAKALSVHPQSHLCPLAVERAAPQSLADSVAPLVPVAQGPSQAQFVVPSTSRASNPSLSSTHQGALAWSAGNPPPGCSFVPMPDGRPALHTPHSMAKRDKDGVHQYVQRTESTYVRPAPYVHGHPQRLHPHLDAVPPPAFQ*
>EED84393
MDIREPDGPPWTPQFQSDAEYEYFPQQVSQIPFDLRNSGSALKQVFLEPQRSFNVSLPPPPPPKRPPSPSVLANFTPFSKEVPLPIFCKPMDPQSIANRSAEARIVGQYLESTCYGVFTGSCAFEIAPRLHLPPGLEWPPCQLHSFVEILLYRTQLLPTVTNTALYLISRIRDPSLRGKLQGTLGAPSIHIVFLIAMTLASKLIQDDPYSNKSWIQASGIQIKVVEFNALERRMCELLDYKLTIHSRYLQNIEMELGTYKKQSGPPTSRVGVHCLFNPSVSEQHHLCPIVSDSRSMAPYAAGFQSTHNQANRNAQHPASAPLSKVHDTAAAARRHRARSRHPSPADPMSLAYAPTFAVDSHSQPDPRNLLAPAHASTASNQSRSGYWAAVRSPYAPPFAAPPARHPQPRTQPSAMHLRTPSLGPPAARPTAIVEASSRQAYVDPAPPPSDASATGSARFHGLAPRSGDQLQYLNDRQGRPLTPFINMTIYDPRLYYREQYTLGKFNTSWRYKKEVFQFCGVVFIAHLEGSAEVEEAEHGNKRKSLIQTVGYPPAMPRISPNGH*
>EED84394
MANYSYDEAGNMAAYFLLTFLSIILIPLSLSSLPSRKSPTVSGCQCRQCVKQRENIRKRERGSLFTPKLRRKTIFVIVGWTAVAFLAYKVATTEVENKVYDPFEILGLRSGVDVKAIKSHYKKLSRKFHPDKVKLSINETIEAVEAKFVEITKAYKSLTDETIRKNWEMYGHPDGRQEVSMGIALPKWIVESGNNIWVLGAYGLIFGGALPAMVGRWWFGNRQKTKDGVNARSAATFFKTLNEESGIDDVIGSLGKAFEWERPHVKASKQESELVELEAKIQEQLDVKWSELKKLAEAIPGSHESRRRALVLLYAHLLRLPVTNSTLRKEQAHLLLQTPTLLNSMLNISITRNWLQPTLAAMRLYAYLAQALPPGQDQLRLAQLPGISMDESAKLSPRFNAVDQLIESFEKEGDERLPEIKKAAQNWGKVEIVDAAFKVLGERYITPSAFISFVLKARIPSYSGVKPVSDVEADHKAEDTREQDFLAGKGDVETLLSGETSSGWAHAPFWPSNRKPSWWVLLADVRTNKLVVPPMKIADVPIGSNYRMYKMQFQGPPATGTFHWRVHVVSDTFVGEEVTRDVMWKVDDVSVLNTDDQNSDDDISEPEEDSLAGQMALMRGGTVKKRHDEESDDESSTDDDQDKDSDGSSSDSD*
>EED84395
MAALQKYLGNMIPIQLQIKVVHCRVSLETLSLEDIEKAELALARQNKMANHCLAILQALCQKHDITTLSKLGLKVGKLKADQWHSVGSIHLVITLIHLWGNEGPSHVMQTYPFERFNLHLQSVPTNAKSGSGQSASLQLKMNIGATRFDAGVKVLVMDCTGSTTLDQDRVAINDEEQSLSAAQVLNIYAWKHGSPDGKILLSVQLYKPLNATSAEDDPYCPFGFVIAG*
>EED84396
MGHGSVEGQGQWGEVSEWARIERMCPPPLGRDGGGSVFALHRHGVAWGPGLRSGAAGSSEQTVVARLEHVAVAAVARDWVRAVGMVVPTAGMSGVAPVGPGLGGQAVVFAAWVQQSREEGERAAAGSGVGKATAQPRVAQPQDARQAPNPGIPAAHSEFKKHVDRLICPVAINMYSPSAINLYKFLQTLPTVHKMAYKETPLPRALEHDFWPDVLEVVNHPKVAHLVGMGKVYVALEDTSPKAMTKLFNAAKDATIPGHSPDQLLAAGGLCLEAGDFLFSRDMHGPHDPMDDLTQAKDDLLGPKEDRTASPAVQQGDNTWSGGVQWERSDLTTPVTGSKHSYTLGTTHQIQCKVANPAKGAKIFLDGLTWHHKLRKCALQASIKVGIKCLEWGTSDMALNLKCNAEVHNVQPIGCDDASGIVNYVFPTVQINISSTKKLDDDALQSFQQDLGQFGSVHTDHNNSQAGLTCMINHPDLEDGDQAGVFVLMELGFFVKQDSLTLAVFSGLHWHIGSPPTSATPSPSSMAVLKGNTGSSCTAQALKN*
>EED84397
MSSPAPPDKEVMKHLILLYYDGKTVIKCNRFISQLLIYWQVNTALSTIKLKVQVALSLLDGDAHTWATPIFAQLAAIQVRVQGTVIPFTDVRAFITAFKSHFSNLDDATMAQVELTKLCADKSMHERRTAVEFSALFKGLVDRSRYGDLELRDKYLSGIPSYVYQKIELEVFIMWIAADKHTTEVKQQLNISQARRPELNSFFPAQGGACGGACGGAP*
>EED84398
MSGGLLGLNEDVLLEIIANLDAASAHNLSATARGIHPLAQRQALSAVTIDDIYGDLNYQKITGMCTYMLNDIPGRLHCVKSLKIRGGVSAERKAFETGRYREPFVTAGQKLAHFLENAIQLQSLTLSFFDGIITLEPRIASAIHILPNLRCLDITVTVMRPCVQEFLLSMRHELQKLRLVDHMRSGRVLPALMNMKVSDLELTLSEKLGPDHSSNPTNGYHHSFPDVRKLSLWLSDVGISMYTLWRAFPNLRALRIHDCVRFNTAETACWKSLDYVEGPVEFFEQWSPTCHVPHVSISRIAEPHRFFPYSSTTHALNTTLRLIKQTSPLALSFVIMADPGLADSFWMPLVECTPRLRSLEVKLHCLSDSGMRPGLIAACMLLEQAPSIRCISLSFAYCLRYRPPVVKTAAWKVVGARTGRKLEHITESVEEDVRRRIIAPDFDPKSSL*
>EED84399
MSPPRSPTAHVSLPSINELFPEHLLTNPPPGTHPYSPSSYRTSPPAPFAPSSTHSSPNIPPIAGSSEHPRLPHSSAFEYPRLNGDAAMAAITKPRPAFRVMMSPHEAGSAMDVARSPELSHYENGDEQRRLAFPPNVYLSVPTTPAGVSSMQQPDEADSVPGSEEKRHRCPHCNKRFNRPSSLNIHVNTHTGAKPFVCRYPGCNRRFNVNSNMRRHYRNHLTARRRDAVARMMPESPSPPGMSASPSRSPEPPHHPTAGYPDPYAPAQYHADPRGRPYPGPEYTYGYTYDYDRQHEHEHEHERRAYPYSPPPSARAKSEAGDADFGVPESSVRDERERCRLRANSSPVPRFREERTRPRASSCNVPGCDCATPISTALRPAFTETDGRPLPAPSPLSRPLARQLASAKMQCAAITTDARASISAPLSTGDRTCGARAFSDSGECEILMRRWAGLEKAAGRALWSDVQGLQGLWLQIVVGRRSRVGIKAMDGRESERTADGTVGLGVASGGCVGRSGVPLMRFVRGERQGVVGSLGDRRMEGRSGICKRCHPRRKAKKARWEASVFIPLPQDQTMVVVPYNADEDPVLAHVIDEGRASPDVVRANHGDLEGPMK*
>EED84400
MRVSHPSSVL*
>EED84401
MRRVHPACGRGDGGGPPGSVQERPHRQDSDSKG*
>EED84402
MWQRSFLDMLSAVAARKARLEEKKPPADLSKPTSAPTSAPAQVSTLTPRPSLAQHPEGKSKPPLKRKSGEQGPKPSKKKRKQQGKPPGEKRPRYFEGDAFKTQEDLIVVPDDDSDTDASRSEMSIGSETIEWTVLPTPTTQAATQPLILQTKRRRAWSPSVQLRDSSDEEDDESGEDAAYSAELPSIYQPSRPAPDEPPVLSTFRPILDQNMFHLTEEEMKQCNLPSGQRGTLLVLSLGETLTLLGTCSLIVLHGSISLYGATLHASSTVYRVFAPRSSPLPVIESLSQTRHPRDISLALPSRIRAAATAGRAAVLLQDIQTGVEGLGLVCRTFDGVFAPSRWQSSSAVPDLGLNSVKLVTHHTRDLNPLLIPHSWEAATSSLLTRDVSTSGYASARLVCLVKGPKNAGKSTLARTLLNRLLTKYRRVAFLECDLGQSEFTPGGMAALNILDKPVFGPPFTHSSIPYAAHYIGAASPRSSPSHYLESIQALVQTYNLDVQHGALLEEQDVSDDRISDLIPLVVNTMGWTKGLGADLSRKVEELVEPSDIFDINPPVSDDSYGIVDTNAFMQAALPLPQGVTHHHLAAIEPSPLSALYSAADHRFLSTMSYFHAVFTSDLTPPQTVMASSWDTTLPLCAQRPYEVDSQAAIDHVILTGAGMEDVVPSEVHRVLNGAIVALVRCDPGAVDIDADGSTDPTHVFRYRQGSAPPSPFSSNCCGLALVRSLPHSPPSPSMHLITPLPPHLLQSGRVFVKGELELPIWGMLDFRSIDNGDVAGVERSRVPYLKWGKSEAAGGERRRIRRNLMRKVFDMMCLVTSHTVAASTTHGSIALKSAENNGDVRSFCIQPMPPHIANARAGKWYSAASVSMCRAERYAGSNFGGVVFALEAPRILRYRADVAQYLFSSSGVYAGDQVDGSGGLLNLRSFELRVPVDFSNAANQRPTKSTGATRNHLVRNLRLPYRRRCVMLETVYGQPIEHACNRTTLDVIGKSHDTTAGALTWSFWEFAKVKHHNYQSKVRKEIVATRAAVRTRGDVEFSVAELESMTCDNATIKARSVPMK*
>EED84403
MTREQSRLLYRWVIDTYSPNYKPANNELLNPLLYIMEKDVELLMEADALLSWQGLRHVPQVQRRAERLLQGENGDPPAIMMRTKSREKSIFDAPHEGSPTSGSPEPAPAGWRFPKDTFPDAPQIIIEITDTEWSPTEANADSSMEVPEPESPHGPTNATPPQRRHSLPNVTGKDHLARLHLFPSRSCSDVSMYEPELPKNWECMSVEGLSEDESTPLSRASAPDVAIVPNGRAAPVDNIFKDYDEFSNWNSPISIEAPHEACAGEPTCKVEEIHEDAVFDRTSRMAGNGITELAKEQEQEGEEINETLIEGVEATSSSTIPVGKGKKAYGNLTAEDILRRAMDSQGPPIEAIVSRQVSSRKQKVRTAKGKARTRTSTVPMPVISEDQATSEALEHDAADADDDVPTVLIQNQSATAVESAVAEASSEVSADIRNMLPVVSTENKIVDTAKAEFSNALEEARVNIYDEVTALFTEESSIDIIEDQLQYPLDDDVADEPAPILSGDADDRTARDEGDPDLSGDQALDDHAQSASGSRKKTSSKKKKKKKKAKAKGPQEAELARRTGRSEDVSAANTSNHEYEVPAACGNGTSPAEPLPEITEANANILRNLAQPDRGYNPYANSTPAQLLHLATTAVTCGHDGQKTIEVFPEPCRLVCWDRYAQMQLSFLHVEGQEDGEDTVIIDRFFSGVVLASYLTPIYKRPFSIRTTTDRGLGMFATRSIKPGELLVSERPIVVAHLGLFKSDANKHGDVEFLQAALDSLSGRQREAFMGLEETSVEELRSDPLSARLNVNGFSAGFAHFPELRGTGAMYIACFKTLSRANHDCTPNAHFHFSENTCCGRLVAMHDIEEGEEITVRYVDSLAPREERQSLLRGRYNFVCTCRTCSLPRHESLKSDTRRKVLTSLLNRMSTAQGPPRRISLEHLEDCARWAREERVTDQYANVLYEGSKCVTAYYGPEKGFEWLKRAREAWFHVSGNDSQQVIEIDVTGRKIAQSLTTRGIQAVWP*
>EED84404
MRRSNWPNVMAVV*
>EED84405
MPLRGSNHSPRVQDEAPFFDTLAELDDWASKPARRLNGIVDYHPRRPEVEGLSENRHGKLLVISIVLSQRPGGYTETPSGLAYTFNFWSYCDTFVYFSHHRITVPPSGWTNAAHRQGVKMLGTLIFEGQGQEDCLRLLVGRLPQSDTGPAMPTSDTALPLSPHYALLLADLAHQRGFDGYLLNVECPLIGKIEQTRALSAWIAILESELRRKVGSHAQVIWYDSVVVTGDLRWQDRLNTYNLPFFIPSNGFFTNYTWPSSYPSLTAQYFLSLDPSLMLRPKSLRDIFVGVDVWGRGQHGGGGFGSYRALSHIDPQSLGLSAALFGQAWTWETEQDKPGFSWETWWAYERSLWLGAAQEGQHVATPPETRRQGEPECAHGPFKPITSFFLRLPPPNPADYPFLSWFSPGAGRAWFVRGTKVLETEAGWTDLDKNTSLGDLAWPRPTLQWEDGGELQPLPTVSSSLCMDDAWLGGSSLDLLMTVPASDAEDAFFRCLWIPVQSLSITSEQPYKMTMVFKVQSKTPVDFDIGLSMKLLAANLYGEFEVTPVSEPASEDPLNGWTRLDVEFSLPSDFPLDVLAAAGLIVGFAVEDPSQDIDISVRLGLLSVNPSSSTQNLSAHRPKILWVDYHRADNADSGSVAPGTLTWDIAASFAPMSNIVIPSDREDPHPLWQLDRPFPPFVYFNVYALAHAAEGSAARPEDATFIGTTGLTGRSSQFYVDPACLPPTIAEASNMRFYVQGVTDRGHVLEWDRCAFVDVRVPPR*
>EED84406
MRKGVRFTLRLHEQLAAQGYPAHPYLVPASESDEDIDAFVRAYSQTTYHYSSTCRMAPEVPGSPMGGVVDDRLRVHGVRGLRVADSSVFPHILSTHLAAATVAVAEKCSDMV
>EED84407
MWDFDHCDPRRCSGKRLSRLGLMKELKVGQRFRGIVVSPKGTQIISPADRDIILQNGVAVVECSWARLDDVPFGKIASPHERLLPYLVAANPVNYGKPWKLNCVEALAAAFYITGFSAYADKLLSKFGWGSSFWAINESFFLQYATCNSASEMDTTQQKVMQDLEVAYQESRETQSTEYGADLLVGNPNHR
>EED84408
MDGGAAHVPTLPLPTSPADARTRMEESLKRSVTIVFWYKPHSAPIRLHEEVPTFPLLQLSQFPQLVADLALSPTSYVDAYNPRARTWEQQTVSAVCVVQSEQRLLFRTRMSLLQGMADAECPDLHDEIALQQLPPLSGGVGKRVAPSDAGPAPPPPKQPRTEPAPPLPTPTPESESAGSSSSSSASSASTRAGISKRSSVPRDLFHHFMPPAGAALPLPIAHASPPPLAKRWPNDYTVCELAAGFRTMDAVLTSEPGVTQRAAFERAFGCRYVKSTVCRHRGVWRRAGRELRETYERMGADRRAVWTVFLRQVEGGTMRTDQGQSKGKGKGRGGGRADRPLAGEMTVVMAPQMAQMGGAAPSQPSSSSLLPRPRSPAVRGPIMGSLGPPPPGFDPNNLRQFVPPVEKSVRPSRATTMRLMDSDDDKAEPSGHLGSVRPLSTIRRFKDSVHDYMPFGPEVCAIIDTPQFQRLRHIKQLGTSYYVWPGASHNRFEHSLGVAFLSNLMAEHLQQSQRELGITLRDIKCVTIAGLCHDLGHGPWSHVWDGQFIPRALPGNKWKHEDASDMMFDTLVRENDLELPEDDVAFVKALIAGDRTKCKDTEKPFLFDIVANKRNGLDVDKLIHSARVIENEICYDIKDANQIYELCYTRFSLHKRIYNHKTAKAIEYMIVDALLSADKHMHFAKDISNARRFLYLTDDIMTRIEATEQPELEEARAIFHRVHRRDLYRCVDYKVFPWAEQAMCQSYFTPEAIVDAAKGDPDGGADPALLAELEPGDVIVDITKMHYGMGDKNPLESVKFYSKQHPNTCEKAKKEDISLLMPEVFGEVLLRVYTRDDRFFGIIQAGYNTLLKALGGGPSEDPLQSPPRSPTPPVFPAPSTPPRKTRPLSRVHSAGARLLSLGTTPTPNTFMAVTKDFQGRAASPTRRKSGKRERDAEKGESPPKKKAKPAPPPTDDDLEF*
>EED84409
MNNILEAIRDLKLSPEATNLYVGIAAAHMSLLQDAAWSFRTTATMKKEQGTEPELERALSELREQLDAERSEKRELQETVKKLQTLVNVHTELEAIKKSFEVDVQEVEVRIHGRELHMKHLESEGVQLIKKSSTSEPSSLMSLLKSGAPPFDIFKEMFLPYGTSLKGVAELFPMLTNETLNVDVWYTGSYIIDQPEELSAEAFELLSEEKSSSWDLPHEETRAMYLRGELIVLAYPVLCVGYNANLKRLVKSPQTAVSSS*
>EED84410
MNSWPEIWGPDAREFNPERWLAEDGVPKKAQELQGHRHLLTFVDGPRICLGRGFALAE
>EED84411
MARDDGTSADPKVDEARSLLDQEITQLKQSIHHLSVRRNILAPVSRLPVEMLTEIFLQSADDESDPIRKYWRGVALQTAQLWTRIEVKNEAWVQRLLNLSKNLPVTACFDARFGFTPNNYQGGLLLAEHPGRLRGIRIIVAAPYETILCDLLKQIPAPALESIVWEDTHRSESQDPNSIASNLFRGNTPSLKRIDLHHCGWQWNCMLFRNSVTRLVLDRSFTPCNPSLLQLASALVRMPMLEYLELEDIQATPHHSAFPNPIPELCLSRLKFVRIIASTASCVSILSVLSVIHPEAQIELDCTLEDDYDTTHVWRLGYEIRGLCRRSTTTDEGTQYRSLMMLEQPDPDELCLYLYAWTASLATEVLPVSRSQCSLQICLRPGYMPFTYKAALIEDILSGEFPFENVESLHLAPPLTEPRLGMYNWAAIMLNTCQRLKELWLVGELTGCVLGALADLCELNGTEDGEFVLCPLPLQTLQLVTLEDVDFARSGQGEESARVQLFRMLVQHRTWGQGDVQVRLCLCHNVDIIGQEIQVWRNITVSDEIS*
>EED84412
MSHQYQAQSQSARENNGYASNAATNTAANGLFLLSQAHQELTKREQQAAAAANATSPTSNGNVNGNTNGNGNINGNGGSPPSSASVMNGKRGMKRKLDSPVATHEPMSDSNSGVAKRTRSSTASTISVQRARHGSVQSSLEGEEEEEEEEDYVQQQLSPTQSNGKKSSGQKKPETEEEKRRNFLERNRQAALKCRQRKKAWLAQLQAKVEYLSNENERLTSALVASREEISRLSALVGAASVGPSAVVSAVNGGSHVVTNGSANGMNGGPPISVSVSLPPGGKSAAAMASCTEIRRSSGCSLLCIAFGEGVCGEKVRGAKEVGRGHIVGRQRMLKMKSMFICDKKRTFRC*
>EED84413
MAPTAAAGSGGGHAQRHTATVALRTRPIRTERGDRSTQLPTASASKQSSWARALLCLRTRGSNHRRRALVAVSAGTRFGAGAGPRTLLCQRGQAGTLDGRASSIGVRTYDVHFEGAAPASGRYLASTTHDGGMRTRRAPLTMTMTIRHPSCAFKLRASTHDVGSRAGGNRQSGPCACETERSGLRWWRYRSLWIGAYLEAGGGYSRSGCAIGCASCCAPAFRAQAGALRGGQARPRREPEGLDERAYGADNDAAWTHLGRRGSYDTRTRGQQAIDARRGGQKARAVRATHPTAPRDVHFPMRAGRGSVYCVAQEGRRREAWTAAGEAGKRIIGSPMLGGRNTNGCGHDTDRLGPAARKKTNAIMVTVNVDGNGRWRLANPDAAIGLRPEIVRGGQSGG*
>EED84414
MSGHKALSRAEHLAQADELFGTLDEHEESWRDRQLFFERRGYMLRPRYRPGWVPSWRLNGKSPFVSEDAILLPFRQHLIDATRISDGLLVYLKRISTGDEESRIACMLSAPSLRDDPRNHCVPILDIFQDDKDESISYMVMPFLRLIDSPPFEIPQEVTELVDQLLEGLVFLHEQGVAHRDCAYKNIMMDASAMYPRGFHPIREDLLPNDRTIAPYYSRIDVPRPRVPELSLRKRPYDPFKVDIFIIGNLFRRHFHDVFSNVEFLEALIVSMTRHDPALRPSASEALAHWKSIRPIYGGFPARWRLIPRGAVWPERLCLGVVGLISTIIHATYWLTAFGINFATENISCQLSSVTIASHGSHSIVMSGDKTLSMVERLAESGLLFGTLDENEERRRDRQFFFERCGYMLRPRYRPGWVPSCKKKGKRPTFPEDGAVLPDDEDESISYMVMPFLRLIDSPPFDAPPRCRPGELSRCASKGRRLDLTQVEGLKDLIYAETESQRRAALKAAGGITRDRFEQLREEIIQCTDFEEGEDIEEGTYDLARLRVQDLCRTLEAHLADNRRGEILRSGIRLAIFGPPNAGKSSLLNFLAQREAAIVTPIPGTTRDILELSLDIGGLPVLIADTAGIRQTEDVVESIGVERAEKL*
>EED84415
MGIDIKNHHVKKGQRTAPKSEDPYLLLLVKLYRFLARRTDSAFNKAILHRLFLSKTNRPPISLSRILKETQNASDRDSQVIVTIGTVTDDVRLLEVPKLSIAALRFTAAAKERILKAGGEVLTLDQLALRAPTGANTILLRGKRNTREAVKHFGMGPHKHKRPYTTSKGRKFERGRGRRKSRGFKV*
>EED84416
MPKPTLLQTLLGRPSQSYALPPPKDYHSAKNDLIRAADDVPQPTAPSPRALHPPRPPPTFTLAPTSPASYSPSPSHLAPPHARRPRLSRTHAAAYTAPDERVPGRDQQLLRPSRSLIRQIASPRSPSPSHTFNNSLIPPAYLSPIPHPSPPPCAPVIVVVDPPFPPPSPGTPDSADDVFYTPRSSLFPDVPDTMLLEPQALATALLPIHGRTSLKAALAPPELSRGFTPSPTPEQDPRTDGAVTPGPPSRSSSETEPHSASVSRTPSGSSLSSCASAGSTSLFDAAAPSVLSADTRVTTPAVSDAPPKSDDSEHEHEMITMVPIPQARRPRPRSIDLSSSSLAPRPVRRHSQPVPARSDEDWARDVRWLVPPPASPVRPPPSPHHAAPPHTPSPRRRSRPLHPDLLPPPGPALVIPAPHQLERHSFPDVPVVPQRPRSKARRSHRHSRGRMSALWEEDESECSTDVGASSAEVSRASTPAPVAAAEGYLSVHPGYEYEGRSATPPPGWPYTAGPGAGGGAGGSPTRRFMPLAMHRRASMSESELLQHLQVQRDTPLPSPGASPDSKLRDYARQHAQQHHRAMSTPLSSPSAPIISPARPASTTGHGLSASLPTHALPAPAFAGSASAGYTGLTLPHASYTPKHGRPQRDGHVDLVRAGRAQSSMATVEVVRGAAASLPAGSPLTRTRTRLSRGFSLSISRGKGKGARRRSHSREPEREREREKGKERARDGATPAHLRGALPLPVVFTAHVPPPAFVPGSHVLVQVFAVGVDALDSLVVQEQAERRGGAGAGKRRGFVPGRSFVGRAVECGFEVSRDVCRKGDWVLGLLDVRKCGALAEFVLVERHRVGSASGSGSSGRVFGTGGKGKAKRAVGYTWISVAVDMDEGEDVRDSLGAVVGMVEEGRVRPWLGSAEDGGEERVVPFEDAPEVFRRGAEGPVGVLKDGGTCAVKIGAY*
>EED84417
MSKMAREACGKTFPIDMYNIHRLIIAGVTVASKFFSDVFYTNSRYAKVGGLPLAELNQLELHFLLLNDFRLTISCEEMDYY
>EED84418
MASRCPAALRTFARTRCLTRSPNSLASSSRCLATAAPAAASESAPPPPSPSVAADPKLNKIVDEISGLTLLQAADLVTLLKSRLNIQEIAMPAAAPAPAAGASAGGEAEEAQEKPKEKTIFNVKLESFDATAKPKIIREVKAMVPNLTLIEAKKFVESLPQILKENLSKEDAEKLQKTFTDLGAVVKLE*
>EED84419
MADLLREENLDDLDPLRHLRGSADADDADDTDLVPAQPPSILDQTIELTFPSDPSETVSITLAVDASPGCGGIAWPAGEVLSRYIARKGPAYFKDKTVLELGSGTGLVGLVAAKLGAPRVWLTDQAPLLATMRRNTALNGLAPPVRVAELNWGAPLPLLPRPDVVLAADCVYFEPAFPLLVRTLAALVPRDAPGPDADVLFCYKKRRKADRRFFALLRKEFTWTEVLDDPDRDVYAREAISLLRLSRRR*
>EED84420
MEGHYVLSKAGYRVVSSGTGSAVRLPGPAIDKPNIYAFGTPYNDIYEELQAQDSRLYSANGLLQMLDRNRRIKLAPERWQESKTIADIVITCEERCFDAVCDDLLTRGGDFNRPVHVINIEIKDNHEEAHIAGKAIVDLAAAIEASDDIDEDMEKILQAHQEKHPHSLLHAVAYY*
>EED84421
MSSILPDTTNPATLLSKRFRPEDIPDLSGRVAIVTGGSAGIGYYDALGLARANARVIIVSANQERGKQAEADLNKALKESSSTGKVEWHGVNFSTLKDVDALAKKLAEQEERLDILICNAGVGQAPYALTNDGLERHFEINNLAHYVFVLRLLPLMKKTTQIAPPTTVRIVMQSSEMHRVAPSTTRFSSKEEINKNGDGAMLYGRTKLGLIYFARELVKRKLTDLPPDRPILAISVHPGTVDTDVQKQWTESYGVIGKVLDVLSRAVGKSAEEGAESSLWAATSTDIFEGNWKDHQGNYYSEAYGKPGTESKLAQDSQVSDNFWNFCAKLTQELLGEQLE*
>EED84422
MAPKNKGKKGKKQDDDDFWDKAGTSVANNNATPPPEAAEAPDDDFKPAKKSGFSAFAELGVDDGDAAAEEEDFGGLMSIIKASTKGKKDKKDKKAGKKGAAEVSFADGPSPGEGSDGEAAAAKGDISAPKGPVQMSAEELADEEWGPVKEKGKKGKKKGKKGKAQEDDEEEEEKPSAAPEAPAPAAPVEAEKQDEDENEDGAGEDGGPKVLSKKEKEKLKKEREKAKKKAQAAAKKAAQGEDAADAASAPAPPAPEPESLEKEDEGEDEGEGGGAKADSKKKKKKRAKKDDEPAAATAAAGGKKKAGGISALRALMEEKKRIEEEARKREEEERQRIEEEERLAEEEAKRKEEEKQRRKEKEKFQAKREQAKKEGRLLTKKQKEEQRMAELRKQALLASGVQIEGLQQQHAGGQAPKKVVYGNRKKKGPTSVTDSGPATRETSPVREVKPAPSKPAVLPAAAPPAVEAEKSDDVKSDWDASSDEEKPAADVKDSWDASSDEEDAASKPPKAAPGTNGAAKAASKAAQVKPAAIAKAAPTKSSATASTAKAAPSKPAPAKANGAAESSSESEEESSDESSDDSDSDSDEDSSSGSESDGMTQTQRQAAQKKAEAAERKAKAHAAAVAAQSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQIGATYFPVDAIKTKTAVLNKEGTQDYKIPGLLVIDTPGHESFTNLRSRGSSLCNIAILVVDIMHGLEPQTLESLRLLRDRKTPFIVALNKIDRMYGWEASPDNDFRSSLAMQTRSVQREFEDRYQKIVVAFAEQGLNAVLYYENKNFARNVSVVPTSAITGEGVPDMIMLLVNLTQQRMSDRLMYLSELECTVLEVKVIEGLGTTIDVVLSNGILHEGGRIVVCGLNGPIVTQIRALLTPQPLRELRIKSAYVHHKEIKAAMGVKIVAPDLEKAIAGSRLLVVGPDDEEEDLMEEVMSDLTTLLNSIDKSGRGVCVQASTLGSLEALLDFLKSSKIPVSGINIGPVHKKDVMRAATMLEKAKELACILCFDVTVDKDAERLAEEMGIRLFKADIIYHLFDAFTAYNAEITEAKRRDAAPQAVWPCRLKTIAAFCKRDPIILGVDILDGTLRVGTPICVVKTDSETGKKDIIDLGRVTSLEINHKPFEIVKKSQAGAGVAVKIEHAVYQSAKMFGRHFDDKDELYSHITRQSIDVLKTSFKTDVSNDEWLLIKALKPRLGIP*
>EED84423
MRIKLESVPPLPLVKAWFSVHSATTVSELKSVLCSDLPAFLDGQLRGGDIRLLLEDFELLDSSPIDVIREGDLIIVKRNPSVTSSNKRKAPETDLESFRKRPKHANEASRQADRAPSHKLQTIENKASANSGAKPSSSEDSEPSSGSSSESESETSSGESESESESHSGSASSSESSSSDESSSASSAPSVRPSRHHAVAALPPKAELTANAARSKSVPTLPHVPPGLGKPSTQSRNIRRRRKRLYERLAATAEPASVNAIPLGTRAPATGAGSSVPSGPSAQPAQNEAQEAPRQPVLMASLQNKNKKKGFRKSMSAAVPAKIVFTDLEDETAVVDEAMQEAMPFTNTADRDRGVFMVNARLVPPSEKQERGLLPLNMFVTSVDVEEGLYSKKGKKKRNQEQRRPAEVPDVGMNAGGNFELPYDDPEELVESNGLEEHSARSGERPMPVRGSIEKTWASLAKITAAFQSQVGAIVGWKELGINPRTYTPEVLLNIGRVVKNDEQLVLEPVFEEKETECGLFEDQGSPANINLLPSLIELAWMTGSTPAKLVFLFNRYTALSYAFYEFVLSAQPSLSNSICVGIVAMNCLYDELFFIIWAAFSALRVYAISGRALYLAALVFCLGMVPAFTNITVQLCQNNDCSSGNKRSEYLFQPKQIVHFPEFSVYQPFSSPKSRYLFSYACHSMCSSLKTIDNGIASIMLALNIADILLWNSGYIELVSEAVGPLTSLMVSHCLLNLREVIALPQDIADITSLSFAHSELQVAAHEVDSSTECTSDSTISMEMEPIESSHGARTSDSPQVRMVELALHRKISTERHMCSGMVHEQSTVRGDGGPRRGLWDSLSQKDTRHQGASEVSLERMDWWSSRAD*
>EED84424
MFLKGIINKAKERKERERQTKAVPIPPPRSTNPEPQASPIVGSSHPRPDTPIIFRKVDPDWTPDTTQWTWDNPWPHQEHLSGEEWKNVGRNACNEWFDEAEDDGVDWELYGDGEHAFLIAVNLINMNMYLAQLYETVTAKNFLSTYLQGNKDTSLMHFKPYIYYQTFVSIINKASKEGNKNMSRLSKPSGPAIARKKLTLMDKVGIIGFNMREMEESPHQALGYARICVKELLQQGALARQDAGHHMHNPAHNIKVKHACHYFANKTPDWKGPPRHQMPALSGGYGKSSSPDTNKLSKNMAAVKASEAINIEDSEGSNDEDVYQPLEGTPTLVKPQGAKWKALCIVFEAENKTEEGTSKEQSKG*
>EED84425
MAPSFQLQHTEDLRLFHFDRVLSEDTAARTLVVLGSFPAGDDTDARLPAIVRVEKTALPAAAPAALVSGMVRDVQVIEHNDIYTWLLAWLQPSQERPDVKINIICPATDVHIRKYSAQKILMVHETPALYEAIVMPYIAAFPRSRTQWVTDIIEGRAEAAQVLHRDAHPDYGYVLLPDMKWDRTTLAALYLVAIAASPAVRSLRCLRKAHVGMLQSIRAEAVRAVRERWGLGAGALRMYVHYQPSYYQFHVHIVHAEYQGLLGMSVGQAHLLDDIISLLELGPDDGPSVFQRMTLTYGLGEQHGLFEPLRAAQSQVDL*
>EED84426
MNPRVKRILVYALVGGAVCVLLVSVVALPALSAAGFGILGPLAGSSAAAWQAAIGSVAAGSAFATLQSVAMTAAIPALWYIVPGAVGVGIGALIGWLTGGRNRPAAGATAAAPGAGSTIFAGQATGYPSGFRRGEGTHVAEVTMAASGDKTASGDEVAVKAS*
>EED84427
MTLRRRCILLCVLLGGAVCIFTVFTITVTIVSVAALPAIALPAIALPAIALPAIALPAIALPAIALPAIALPAIALSVLALPALAFSALVLFALSAVGFGALGPVAGSFAAAWQAATGNVVAGSLFATLQSIAMTGVIPPLGYIISGGVVIAVLALWSIVSALWSIVSGVAGVVVAYGPSSPGNHGAGNISTRCDEAYDAVSGGTYACPKPGCSDVPGEGESACGRGDEALGGAHHVEQNAAGSGRRACVRWQIPGLSRTICHKGGQAGLPRASEAASSSAHSALAAMAKMTNLSGRAMGGWTAVGCVVLWCGVMQGTAETCDSATDAVGADTYVPAGVLPRWAALLAPIGGRRYHIRPRRSVSTSCGTAAFDVGLGGGMAISSGGPRCGGTCWTILVLEGARGQS*
>EED84428
MTLRRRWILLCVLLGGAVMPIIVSVAALRGIYLPVIGLPALLVAGFGILGPLAKTPSKSRWKSAQGISKLDSVQSVRVRFWQHLSVPKMLPSVSYLLAHPAALRSVTTPASPFGLHQSLHLAFHTVFASPRAEGEYLYVLSALCSRTMKRLEKIDRRSRKEKKERKETHLLTFLQRVFPHHYAGSLAAAWQAVIGNAVAGFFFAAWQYINSGGVGIVISALWYIVSMLAAVRCHAMQDTLRGVAVGPAGGSLAPGGLLLGASDRASSEASAGVEAETDSYRMRAGFTRVVSDVLVTGCEDIYVHQYAAHFCRIRQSWMNRIKPGRSDVPGEGLVVKTRHSGAHSVPGRTLRELNVDVALLSLVDTAMNPPLLRILVYALLGGIVFVIIVPVIIILVLLVLSLIGFGKLGPVAGSFAARWQSGLGNVVAGSRFAILQSIRMTRVRPVRWCIIFGVVGVAIGALIGWLKTESQSIEMTAVISALWYIVIKLWYIVISLWYIVSIIRHIVWYIVSAIWHIVTGVIGVVISAFIDWLMGTWSLPVLTLQMST*
>EED84429
MSSGTPQTTTFTDDEPSVNIRMSTLVEKLNIVRANHDREILACVWVCGKREVDRNTRMRFYRYLITCYDTAPMPDALYGKNPRVLAESLDPQTFEEAARIRVDADIGGTFIPPLMQEVFQGNPDSWKVARDDLGQLLGSI*
>EED84430
MDAGRAMAVLCPSSLLFALISLHRIEIPLVHTHLALLCRNLTASAHSSSPQAPSRTLPHCTLPSLCSYRADQRGSADTFSVLDGNAPYGPRISGGRSIHPLTVTAASREDTARAYPRTDRTRRASCFQGLSSGVLDVVCEAGGARSAQARHLHGELGKKRASQLRGLPTPTLPATMYFQISPAGVPVLMDMPDSTADDVTRRWVHPARQVRVHRRIRTHCGSCAEHPPAVDTPPQKTVQMPSAPASPGHDRLLGLLVQRIGMGGGSLLEAGHPLPALLVGSELIQYDAPGLLSSRSTRAAAASPAISADPPIMEAAFPGPGRQAHCLFACPHWPSEQPQRRITPEAPMRQAGPHLMPVHPVKYMALREAVTRRRSRLRLPTAEAAIAHVLAQGSHLPWLGSGAHLSSPCAESYAFSFATGAPLICAVAVAGTRYGISQCHMMPLGLREH*
>EED84431
MSFCVITAIRLLLYLSVFCLFLAPSSAYAHARPWWSPNLDATAAHGPLPLDVRTDLHFPLHARGTNNDGSLPIYKDPNAPIENRVNDLLPRMTLEEKIAQLIQGDLDGWMNLTDPNDDTLTYNATGLVTELTSKAGAIWGGYLMPWDKWVYGITIGQRYLIENTTLGIPAIVQSEALHGFTNNGTTWPSPIGLAASFNAPLLHAAAATIGTEAAALGFSQVFAPVLDLSRELRWGRVEENYGEDPFLTGEMGLAYVSGIQEGTRPNTSATAVARVAATCKHFAAYGSPQGGLNIAPVAGGERELRTYYLRPFERACAGVEPTLSLMSAYASYDGVPSVANYHLLTEILREEWAYPYFVTTDAGSVDLLISTHGTCATRECAAKDALENGLQMEMGGGSYTYLTLPDQVAAGTVDMRYVDLAVATVLRTKFALGLFENPYPYEDYLSMLRTPATRELLHQMEQEQIVLLQNNNSTLPLSKNIGSIALIGPQVNRVTLGDYVFHNATLNCLTPLQGFTELLASTYVRINFAQGCELWSNDESQIPAAVAAAEASDAAIVMVGTWSLDQTLLWEPGTNATTGEHVDLSSLALVGAQLSLVQAVQAVGKPTIVVFVSGKPVAEPWIQDNADAVVQQFYYGELGGLAIAEIIFGDANPSGKLPVSFPHSVGTTPAFYNYLKGGRPIDPGYVAPNGTLVFGHQYVLDTPVPMWSFGHGLSYTTFNYTNLLVYPSTIGANDDFNVTVTVHNTGTVDGKEVVQASLIYLTDVVSSVVTPNQFLAGFQKVFIPAGGSETVTIQINSTQLALWSLDNTWVVEPGDFAVKVGTSDTTYLNATMTVQ*
>EED84432
MSRETLCAQQGLLGLNEDVLLEIVSHLDAASANNLSATARGIHPIAQRQALSNLEINVMDNLHGYEMITEMCTYMLDDIPGRLHCVKSLKIRVRIIEECHPSGTGPYDKITMPARRKLAQFLENAIQLQSLKLYPFGNLITLEPRLKSAIHALPNLRCLNISDLLDLSPCVQEFLLTMRHQLQKLRVADLWTDMTEYRVLPALRNMKMTDLDLDLSQDFACRRSSNTLNGPHHPYFFPDVRKLSLSLPDENISMSTLVRAFPNIRALRIHRCGSFTSTEAACWESLDSVEGPVGFFEQWSPTCHIPHVSISSRLAKPHFDTPSSATTHELKPTLCLIRKASPVALTLLIMADPGLTDSFWIPLTKCTPRLRSLEIHLYTFPEGDVMPRLFACKNVISAALGLMPTVMHLRLSVVYSWRRIGTEIDQIQPEEWALQLLEQAPSVRCVSLSFTSCRRYFPHDTKTTAWKVVGARTCRKLERITEALEEDVQRRIIAPDFDPRTSL*
>EED84433
MATDFWASSHLSDIPSVVSTPALTIIHCNLATFRQARADDLRYVDDPEHLDFLAIFFANLISKLGKKLQLRQRVIATATVFFRRFYIKNSYCETDPFIVIAACCYVAAKAEELPVHIKNVVSEARQIFGSEEYGVKSFPTDNSKLAEMEFYLVDDLECDLIVFHPYRTLMMLCGKEGSIHVSEAEAGEVGAGIDDGRRFWGTGEGKLELQDGALQMAWWVSPRSLTAHASEQQAAARFIINDTYRTDLCLIHPPHLIAIAAIYLVLVLHNSTRSLIQAQSSSSSSQSVSRSSSHSQLQSQPSTATTPRRSSRSNAAAHRKQPQDIVGFMAGLNVSMAHVATIAQEIIGLYALWDRYREDGTAGSGDSARGVFAQHRPGYSGAKRAAGGESVGRSGSVGSGGTMSSGATPVTSESAEQGQQVVDAGFLIQVLLRMREGRFADMAHPPSGRPVAVNKRLERAQAAG*
>EED84434
MEDEFDAVLEDDSAQAVAADVVRLWAEACAGRQEPVVQLEQQAERLRGKRVQLEEGVGEESDWEDEDEDDEDDGEGEGATEAPRLLDHQARPPRQEPEVDDDGFTMV
>EED84435
MAILDEDTLAIVVSYLTASDARSLSATARQFHPVARRKVLATLELKEPKRAHGNLEFRLADGPNRAYWLRELKVNISNLNAITELAPKLARLFEHAHILRSIYLSSAEAWIYTEPHPLEQLEGVDDLELYGYDHRTLGMIQRMASKPRRLVLREQQPRFNSIATTTTRVDFTHIMQSLAPQQALRALTLEMGGGVAGARLPEVGTQWPSVTHLDLSARVDNAPDLDELVHMFPRLRSICFRAPNVPSYALSHSPGPSRTCWEALDYVHGTIVQMHFWSVACPVHHLNTWIYIPLRDGSSTEHRVALSAEPTLEVLRRTSPVVLTVDRYTAIRNFGTDEDQWRGVLEATPRLRVLTMALTNFTPSGVEEWLVRMHAIRSNASTDTDHVYSVCSFGWGTTKITIQILWQLASQM*
>EED84436
MVHIQASHEVSALDLVSAQTSEIGKVRSELEALKTQLDEHVAMQNEHANTEVLREEIQSLLRLVGEILRGREDQKQLDRLQKKLMEAEVNKAGLRQQLTEARNALCEAISQREQLIVEYGQACEGKESLLDEVKAVKANSEIERDAFIKQLAEVEQSLASTNAQLDDMHEYTITLQKDQEWYEKELTQSCETISTLLRELSEQEEVCRRSQDELAAARTRGSGLNRWLLHSTQADLGRVQVEIAILSIAERNTVLEAELAQVVDELSECRIELARLGIFDIRSIGIVTADEFIWAQCPDLAFFVKPAQILGKNGAWKGCGNKSIKRLQKEGAAELIPHFSGAYYYVGSYTVGKQERLTLQEFEQLPEKVVTLRTAQLHVSYFGRLDCQEPLKLEVYSGAETDILTRERSSIKITISLKAEQTHWSTAMCARSSHRWAQYQLLGLCLNWALFATVNIQAYRYHESFPSDPLRFKFLVCSVLLHEWIQTGFFTANSMEMFVYGYGDPSSLIRFHSGWFSVTIMSGMISVMVQTFFAWRVYKFSMSRLWGGSIVLRCRLCLWGYGEAPGFGSYVSNRRPQQRSTGFAAHIQGKLLTVSAVWLTGSSAVDIIIAISMTTLLRETMVYSTIVQNLTKFYAITFLTNLNSRVRFRKRMARDLVVDHFPMSLKFASVSAALSDEPYSELMQHADESMALLGGSTPSTIKTGQVIREQPQPKTAQMERTAMDPLPTTVMHDLLVMQGSEIARLRAKLETSELSLNERIYKSDNQRLKEEYFRLQLNGLQEELRDSKSVQNVLKARVKDLEVEKAEREAVNAQKWLITALTDQLRLLNEKHENDRLQEKLYEVEAKLAKNDEHKTEQLSRALLKETGYIKRIELLEASCEALRQENEMERSIIRIRTELDELRSHKVGTQGKNVINTESLMHIENLSSDSLQGKGKQTREEEWTGTPSSGFELSEVQLLYRPRLVRIADIFHCDMEAIVRSQGITDVLGSRPYIPDIRTDGKNLAAVSPRSEGSPENLNDLTHSVESKNIRITVESQGNFVWTSCPEAGYFVFAEKYMGSDNDFTSFKHKAIRQNQAAGRVELFFGPNPMHTYYAGSYVIEHPESLDVGAFKMLPEPVQNALTKASACGKQSKLDQAQTMYLNGELKALKYTTRRTGYRAEFDAFLKASQADPEEKEE*
>EED84437
MASHTVEIAPGIHQIVLNFSEHTSEIAQLRTCIEALESQRSAVQAPAEVDGSNSNHSVEQREPVPNVIAGVTPICSGLVKPGRYMQSLKPAFKRTVVTVLKDETIWEDFPEVGFSIKPSLIRTKKGNWLGCERQKLLRPQNELIACWDGQWCYLGTYATSPPEMLSAEEFAALPDMTRKTVIAQSGNKRYHRELRAMYATGELVAQKIRFRRVGYNVKYGQALVAIANQEEVDAGLVHTGSGMTSAVADDSDDAPMSD*
>EED84438
MAKMSLHSTSLHRLLLKYALVTALMAVVVKMITAVHDLTLIDVPPFSLDTHPFVPPKSSDSRSPCPALNTLANHGMLRHDGREITRTDYIRALRQGYNLSLPLATFLTISGHVLLSQYSTLSLSDLGRHNFIEHNASLGHWDAVGDEEYAPDKTSSRLISQLVNQSTDGRTMSMRDFSAARILREKAYSRPLDSLHEEIARGEMSMVLGIFGRGNESVPISWIEEWWKNETFPSDFVPEREQTLWKTVRGSWKINMLMRTMRAEAGIQTWLLRHLVW*
>EED84439
MRLLPSVLKHRLAVLIGVIGGSGLYHLDNLTFIKHVNPETPWGFPSSPITICALPSGTRVAFLARHGTGHSIAPSAVPARANIAALKALGVRAVLAFSAVGSLREDIRPGDVVLPAQIIDRTKGVRPASFFEGTGVVAHAAFGDPFAARLVRWLEGRVRAVLAEEAARVQRETGRPDAGPRVHADKCVVCMEGPQFSTRAESQMYRAWGGDIINMSVLPEAKLAREAELLPPPSLSVYALIATATDYDSWRPHEDAVTAAEVFKTLQENARISRHVAATVLEELNAAAADGELLAGEVGAMQYSIMPRSAQQTDEDRQKLAYILPTYFS*
>EED84440
MASAATHDPYSKGEWPFSGAWHRSRTDYRYYADTLPRLSLSIDHPPSTSPFPSPGSPFLPPPRLSAHEFALRTPAASPHARAMASAFKRRDDAVPPASPLSSPAPMSDDDATPTTASMKTTFPRPGASPPPTPTGNGDPAAPAPSTPTITIPEPSSPGLGEHTHTPAVRNSLTSSRPAPPSPAVSRRTSTALSRRSSAARSRRQSKAPSVQPDAEPSASTSAPGTPQPKRRSLLVKIRDFAFPASDARHVGRGADVPKPNRPRPRSGASSSGASDAADDDDDDEARGGWGSFRWNTLSSHFSWGPNIGAMPSTSSGPSRTDFERNFDVSSPMEESADPYADDEEDDGAYEDAEDAYPAEDAPLVPGLYRAMFAFEPEGTAEMALEEEQAVRVVGRGGGVGWAVVEKEGGGHALVPESYLELVQADP*
>EED84441
MLSTLISNVSPNPHTIRNYNRNRNRGSGIAMSLTIAQIWPLVRVPDVGHEYRPALFGLSVTAQTSSGAPKPSSTGPSVIPGAPPTPFTPTSTAPPHLLPTHGASASTPPEPPNPNTASAQWHPRNWDTIAHAAGTMNGVRFCMDKLLSTAERTLAEELKGVKLMFHQRESEMMALLTERITQRCAERDAVRRDAEALARELDSTKQQLEGVKQERDQEHDSVVRMREHVNRVYHASVGLSQECERLKQERESLRDEAWRLKEALAQEAVARLERTRSTEGAPSVDVVAFNAMFSQAKMEREQRQKAEGEAALLKGLLKQYMEAARSSSSSTSPPWLDLPTPIPTPTTLSGLGLLDASMPAASPTAADAPADGASARVSPSAVQDLLASLRFEAGYGLATPKSRMASVDLEPPRQGRPETGCAGPPRLEGRKAGEEAGAERAVADAQTRDVPEGDERGGKRARSPSAVLSEQQAKKRRLEAAVRLAEAADASSGVCSALPFTSTARGADVAGLDKTPGDTDLTDMELQYDEDDEDVKPQRAVSVSDEGADSERTEVDQLKQEDADDLQLQSEAGELLPAKDEHDEDSTDSGIAERPRAQGLSLAATPPDPPASAFATQPAPQPVTPTEPSRPPTPQAQAAAPPGRPSNSARKQLSISHLPLMYDTVGDRLLCVMCRARRRHKDAEHPITCFPTSASWTELAGHCESAHPGGFQSLILMSSKQIAETKMRMQR*
>EED84442
MSSSGRGGVSSKLPSSRFTTIYDALPADAQQELVEKHLIPLLNQVEKVRFKKAFAHASELHRRHDGLPGLNLKAKRMEINGLLDELQRDAKRSFVKDRSHKKELLGEAIGSLTDWLNDIWSVVYEHNVNFIHAHKCLMFVAGILDHLASGRSSCRCTFNNMFVTVTIKRKSGKHVKAFNINGAQNIEQVLFFIWRDLFLSMLAAGNEHHIIQISDMLEDIEDLMSWTALERILYGGKKYSRASGKDVWRFWQSSGCFCRVLVQAKDMWLIESQHDWWPILLPSVSPDDLGVALAGSHDDCYEVSDTEGYGSESNSDIEDDDGDADYYDDDGESGPQHARHWSSRVSNQMDNFRKHIYTAMMSVFKVAPSQPLYIALCQIWPDRLETDHELRHYLKSIAVSSSETFAAALDIYATEDLPDEIIRILDTHQHLLRPRDASALQNAILTLGQTNTHNARALQVVEKELLDTVRALRAALLVSFSQLELTANKEELQQILKLRSGAAGRQNRVEAWIDAVATPGTNGPNPIAFAAMMMGVPLVPGMDPMEDADPLGYLDLDPHDPDLEDLREEFRPRFKQRFEGWTEIAQAITGGAALQQQVYRETLQLMPFLRASDIVDEMVGRLADKPNKHHVCDGLDALSHFVQRQRKKANNARILQRQRDVMRSTSATSSTTLVSISAMDPTADDASDIPPPLETPPSTGTSSPEATTSATAPTPSGLPASFFAHIPFAGPSRLGTGGLEDVD*
>EED84443
MTRSPSPLSGEGSLRGPHTPTQPAASEQSHNPAQNFSPRLGRDPHTPTSRSPSQSRAASPLRRLGWALHRVHAREEPFVPVDPFRIGFFGPASKGDRVSVMQRPDSDNDIECKDAFGGCLPLPVLSPCKTKDGESEGGSWKDVFRDARTFLTDTLPRQLYLILLLGLPALYWSRVTRVFEDAELSRPDVQRMIDACTRDPNDRGPEGVPGTRDRSVPSRHTVLLPFPEEWNPPMVSPALVRFKHSWEQFVDSLLREWKTLNLVSALLCTAILTMFQVDDAEDDPVTRSAALFGLVFALMSLCYGCVFIVQFGTMRTMDRASRWAEEAQRTKTAILWNIWVLLAAPAIWLAWSMIAFCVSILSYVWRTGSIANQTPPSPLSTRQAIGVRTAITVVFALGLFKFAMIIRTFSSYHGARRERRRMREEMLGGKVRDERERGRRDAMEEVRERESRAGSASVVGLGLTGLSEHALASPGMASLTGVVRDEGDPEKADFLSIEKGRGRLRISPKL*
>EED84444
MLFDNVSTWFRAYPRQSVDELEDAYDYIVVGGGTAGCVLANRLSQDASVSVLVIERGGVNNGWISRIPFLSMQFVLGGLSTRIWKSVPQKGMNDRVFELAGGHSLGGASKVNVMLYTRGVPGEYNSWSQGGREGWSYDEIQPYFTRSETDLDQDRANPPSFHGVKGRTKQHFGAILHPVTRIDTQRDEKGGMTANGVYIQSTKANTTRLRLVRARKEVILSAGPIGSPQVLMLSGIGPDEQLKEHGIPIVKNLASVGRHLQDHIGIAVQYRVPLKDSLAKLQLQPWIILKELLLYILFGMGLFLAPFLELSIFVQTRLFDSKSRTVTQTPADEDAALPMNMPDIEVMPIAWSDAATSKSSTRDGGLGFLVIILRPTSTGTVRLASSDPLADPLVDPNYYATEHDRAVLRQGIRFTLRLHQQLVAQGYPAHPYLVPASESDEDIDAFVRAYSQTTYHYSSTCRMAPEVPGSSMGGVVDDRLRVHGVRGLRVADSSVFPHILSTHLAAATVAVAEKCSDMVKEDNASA*
>EED84445
MSTLPLIQIDLNPTMGAMFVGLLLGSIQSILEASGEQPTAGPRGTLLIKGLKVAMLCSSTRILDTLSLAMIAHGLYIYLISDYMDPLALAYMSWSLSTEPIVSGAVALIVHFKQEMALAVFAIAPFLSSIKTVSGGAEIWLNMPDFKALADAGNIMTSNAMIQLIILYTITTGLLPTLCEHTPRNSRTDCDDKGVAIRLNSRGSIRSRGAETEISAKFSSVQFRARGEPSAASNSSTELDSVTDLPDPVEDGKIGGLMVAAM*
>EED84446
MASSARCAGRRGWWKLESKSRRTTLWPVPAVVPGATAFYSSFGLPIFTGCLFDLANISARWITFHRHPAHAPRAARTALARSSLPLRPAHTWLTRANVTIATLGTRPSSSSALLSKPEPSTERIEKNEDRVNLATNPWIVFLMDNLTKLLKAERPGDALRFLNNEIRRSVSNPNQFYAYESAITLFLRNGYALAASMVYRRMSTAGFLPSASIRTQLALTALAQRSPGEREILKALHEQFKQKGFDESALCDVLRLFAEGLGAEPVLVDKMVDAFLAAHGPKYVLAYQTVLDLVRIHTNARSPLTAQRWIEYHKGHTPQPPVLALASSPNPYTSILRDITGLSPADTSTYQWLLEQLDSNGVIPDIAFYNALIASEVARGRFPQVAAIYRLLLEHRTRTHTPDAYTFATLLRANRLGAPTRRLRLRDPDRRLTGIPSLRTLIGDMIACHSLCTGGRLSTPSPVLTPSVLAYALGTLMRTHDYAAALVLVRTMHIAALPPPLSTYRIIIAELARRVQRALPALSASDDPAAFWAYRFLGMAGYPVGQRVEVDAPLWIEILSIGTEPRLSLDYVPPPQQLSAKEAQSDSPSPGMPAVQSGDPEQKMDLHEFQDTFGFPNNTTCPLVTPLERILRRAILASRPTLVLAPAKEVSIEIAEAKKALLTRERTRAKKPSPK*
>EED84447
MLGSKPSANGLASSARSVAAFAGGNMSTGVTSALSRKKAVSARVESGRLCRKSTRTSFFERWGFSKSSSAWHPNVVKMGRLALAFLTLYDKEQSHIFNVTPGVDLDLKQYWHCMDFLRTVSFGSEASWRRFGLGGIGSGKALRSEDVLGNASSLWV*
>EED84448
MVSGAHSVTYCHVHDRDEHESGIVHRGADDGWEQLGLARRVDRRARRQMVAHASSPATTTQGPPNYPPQCPPISPEGRTQSIVLDSLDPILALSMYKRHKAPAPRLRASKSRSSQKRDHEAQVPNLRSMSEEERRYWASPYLRMLSTPLRRCFATKRYLPRVRLAPVRLPGPLAGKATQILVPDGVEHPRFKPRKVGQGHYVLCWKAIIETLAERGIASPRRTSAGTP*
>EED84449
MSFFSQNANSGTQPGGSTLGAPPTNNATSGSGTTNVFGGPLFGGGGTAGSTSGGTQPSTSGGSLLGGTTSSTLASGGSFGSSNPAPTPASAFFGNNNAPTQPATATGSGLFGNNSATTQPATGSGLFGNNNATTQPATATGSGLFGNNSATTQPATGSGLLGNNNATTQPATGSGLFGTPSSATPAIGGGISGNTTSTTPGGGLFGNASPATGNSLFGNTGSATSALGGGLLGNATSATPAAGATSSTTPTTGGGLFGTANNTANANATAASTATPGSGLFGSTPATSSGTNASSAPGTQPITNLFGGWGAKPAEQASSTAAKPATLNSPATGTSGGLFGSVPKPAESSSNTTNSTTTSALSGGLFGGASLGSKPSTPAPTTTLTPPTLPPFTLGGNTADTANKDAPASKDASSSGSSIPNFFAKPSEKKDAPATSAPSTLPFSLFPNKDKDNAAGSTEKKDGAPAPSSSLSFLGAGAMKDSDKSTGAQAGTSNAPSTSGPPIAVPPPSMLRGKSIEEIVNRWAMDLEGHVREFNKFAGEIAVWDRALIENSNNLGALYAHLVAAEQEQNDIDQSLAHIEQQQKDLAATLDAYERSTEEILGGQGGNLRALDTGPADSERDKNYMLATELHGHLDDLSGSLAQMIDAVNGLALSSDNTTQDNGEDAMGQIAQILNSHLESLVWIDGAAQEVEFKVNDVEKRVRLASESGVGAGLKKGFGVNGQR*
>EED84450
MASSAASLYLDPQIRDWVLFPITLVMILVGVLRHYVVLLLQSPPKKLSRAAIREQRALMRAQILRTTSANSPVPPSVYRSISQHLSQAFVDGTYLKDGPPKGDAPSAPPNPLTDPSQMDGMMAGMKTQMVMMVPQMVIMGWINFFFQGFVLIKLPFPLTLGFKSMLQRGVETPDMDVRWVSSLSWYFLNFFGLNGLYRLILGNENSADSSRDMTTPFAAAAAAPQAPQAQDFNKLFKAERDNLEFAEGLYEWVGKDVETRILKKYGRLS*
>EED84451
MNLARHSISSTAPVLIFDVRFDPDCHIFTTSTQAGFAVYRAWPLQLLRKRELTGGTLCMVVPLHTSSLLFLVGGGRSPRYPPNKAILWDDALGREVAELEFRERVRGLACRRGWLAVALRRRVVVFKLGTEISRYGEWDTCDNPRGLLAIATATHSTLLAIPGRQMGHVQLVHLPPCPPPESLDPPSSLPPGPPPPPPTKHPVSIIAAHTTALNTLSVPPSGHFLATTSERGTLIRVWDSTTGTLVRELRRGSDKAEIYGVAFRPDEREVCVWSDKGTVHVFSLVGGSGSNRQSTFSPLKPFIPLPTYFHSEWSYAQYHIPSQSSHISLSAPPSRPPTADDIDEEKCVVGWIQAPSNNQDDSSQGAMEHQLIALTYTGGWYRLSLPRSSIPPKSATPTHHPTSGTVISASPPSIRAISMTRPRSGSVSSFGTRIDKGKEREREREGKESRECALQEFRRFGRWDGWG*
>EED84452
MQPTLNPDSSPWRDIVVFNRFAIRVLRQYERGDVVALQSPADSKLVVKRVVALEGDTVKTLPPYPDAEVRIPPGHAWVEGDESFHTEDSNTFGPVPLALIESKLSFIVWPLQRWGPLH
>EED84453
MDRRMGLESLYGVGGGTISREAQKNLDKAEDLCRKKKPEKAIPFLMKALEDPNNLDAAVQLAFVMPNMDMSLNVLEDTEKKGNISTVGCRAHLLRTLGPDAFDDNGDSVGYFWGLIETRPYMRVLQAIIRVSFEKGDFAKSANTIAETLRLCPGDNMGQRDWMGSVLIKAGRIQDALSFCQAWTEPETMRTGTPPPLGGCKFDPPSQTPLSQERLGGFKYCESSLIYPAALAAFKLWGDCELARQYLKLAAELNPHVLRKILARAEQPAGLNHLPRTPNGPEQGHDYLWLTQDLWMVPDVWAWADGDVAKEAVLKTCSRPGCGRRETTVAQFKCCGACKDAVYCGQECQKKDWKAHKPKCQERRKLKDTIRAMQRPRSTG*
>EED84454
MNVIHRSLFSSGTLASARVSRTPPGSRQSLQRGPGRPQGGGGWQNFRERLDALPTGVILWGVLGINGAVFAAWQYTYLKAKLDHFLVNAFSYYFMAPTVIQILGNARFLGLYLRGGIICSAASVLWHTYIKRNPNQSSVGASGAIYSVLAFFACVMPKASIYIFGIVPMPAWAFVTGIFLWDTSSAILDKRVGTDTAGHVGGLLAGILYFLRLRA*
>EED84455
MSHGANPRNGSPGLFTSSPGAAKNTDPSRHAQFYSDLVPGMIPVALLGSAVYLVSQGMRLLQASLSHEKYLEQAEARVKELEVEIETLMHAQAHGQDASSGAHSDPSNGICPLA*
>EED84456
MAKVVGKRSIYAVIILHVLLYLSDSLPLKHIVFSIFCHIVYLQNFTSGWPFISLTSISFITSCILVIADHFMWFFYFARLTQDARHRTHRPYGAPDNSPRIPGFADIATFFGLCVWLAPLFLFLSLSANDNALPTNTG
>EED84457
MALARPATKLPPGANRILFVKNLNYQITGEDLYDLFGRYGSIRQIRIGNEQKTRGTAFVVFDDVMDAKNALDHLNGFHLQERYIVVLYHMPAKQDAAAAKADLARREEELSQLKKRHDINDDE*
>EED84458
MNLEHPDHDDFEVVSQDSRFGGFEVLKHKERSLASFSQKAHSPRRMELQKHVMADKVFGTVYPVYTRDGRKWILMCRTRIYTRGSFTGPGVRGHYLHLPKHHRKASREALGIWKVDGRAWKVYEKDGQYQKLMDDYLRAEVDAGLPMGKFSFLKGTVKRSKAPTNGFVLETEWMEGANFQKGSFKQALERGEIPHEKATDDYGRTKGGCDAANVVGLKDCQGFVKAGIREPLRFIDVHTSWNQRTKKFDHSEEAQALVDVIDTWGTK*
>EED84459
MAALSQRLLVDVHTHVYLPRYVSFLRARSAVPRVFTRTAPDGASEERLLILDPEPSGGRPMGPQYWDRALKLDFMKRHGIDISIVRLLRPACAPSSRLTLVAPRSSANPWLDFLSAPAAHELAHQLNDDLETYCSTSPALAGGPLKQLYGFGLLPLVPEISALPHLKGVIMGSRGLGNGLDDDALEPVWAALEHAGLVVFLHPHYGVDGKEWGGRDNGHVLPLALGFPFETTIRQDGSSQARGNISATLGPSAGIVAMRRQGSRRMLFGTDHPFFPPLGETERWKSVVDNLEAIDGVHGWDEADKDGVRGGNALALFGL*
>EED84460
MAALSHRLRVDVHTHVYLPRYVSFLRARSAVPRVFTRTAPDAASEDRLLILDPEPSGGPQYWDRALKLDFMQTHGIHISIVRLLRPACAPSSRLTLVAPRSSANPWLDFLSAPAAHELAHQLNDDLETYCSTSPALAGGPLKQLYGFGLLPLVPEATTASLLHTVHHISALPHLKGVIMGSRGLGNGLDDDALEPVWAALEHAGLVVFLHPHYGVDGKQWGANDNGHVLPLALGFPFETTIAVTRLILAGXLDRHPGLRXLLAHSGGALPQLSARLASCIAHDPVVAARLQHDARFYLGRLYFDAVAYGAEELAFVGDVVGRADTFAGSSASAAASRGAGLAQRRRGSRRMLFGTDHPFFPPLGETERWKSVVDNLEAIDGVHGWDEADKDGVRGGNALALFGLVYNSFARANLNHQDGSSQARGNINATLGPSAGIVAMASCNYTPDIQTAQISLSLRQRQPAAAASIGPGAGIGWEQSPVSTSRADRTGAADAPREKEAGQRASMRNTGKTHQMSGGDVFNAV*
>EED84461
MVCFLLADGAEVRGGEMTAPSSCAKPSHRSGPPARVCAGADTVSIATEAVYAIGRVQIGRGTLGGVATVSAGSAAGCVKGAIAGQQQTSHRTDLKYGQRRARVSVVGWEQAQDTLRRRERKVHNMVARRRFDAAS*
>EED84462
MVCFLLADGAEARGGEMTAPSSCAKPSHRSGPPARVCAGADTVSIATEAVYAIGRVQIGRGTLGGVATVSAGSAAGCVKGAIVKGVSSQQRRQQQQQRTKHRADLKYGASANAHSGKGQRCAPGWHLLQQSAPLPRRVTRQRLGAVC*
>EED84463
MSRAQAAGPFKPQPSMKTMSCPRTYRAHGGAKAKDPAPDARTPKGGKTLHADMPFNVAHGFARAGRVRVPGSETIVTRQDLGTISRGQRVDRIAFGEAYLGRRERSRQARSGPPARVCAVADTVSIATETVYAIGRVQIGRGTLGGVATVSAGSAAGCVKGAIVKGVSSQQRRQQQQQQTKHRADLKYGKRRARVGVVGWERAQDILRCRERKIQKTVARRRFEAAS*
>EED84464
MATPADEDDIDVETLQAQVDMSMAFTQNLVSSWMTPSKGKLPSSSSHLNDEKELEEYMRRPPRLGVGAAVPESTSMFGRDAARLKNKLTGNNAKKRGRDEDRDVVARVDVFAKEGKGKKAKKDAFSGPSTSKAQVTSPKASHSNQNVEVAARPHTPDPADGERSPSPASRDQASPKKKKKKHRVIDLTESPAPSPRKTPAEQVADGPSKMSLSANRHEGDVDANRSSSKPDQAKLFKPFGGPPIPLLNLSGPPANTAEDASPASPKKKRRRKKKKKKPVAEVAVDDDSGSDE*
>EED84465
MGTHNTTESLQAFTNTHVPAPPWAAVAPVQIPLSSCNKAADVLVDWFGPDDLKCVVGGERWWQIRGMDGIDCEWVTEKKFLDRIMSINGEKLTDTEREILQMEHLETVMLYVHGGAYFWGSINTHRYQIIRYGTTYALKADFYLTAPPPGAVHQPVHPSKIVFAGDSAGGGLCLTVLTILRDMGIPQPAGAVLISPWVDMTHSFPSVMQNMATDIIPPHGFIYKPSPTWPIDPHLGEGRARVIPTQTNPPPHPGHADTLQPSEGRLVDQVGERLDKSAERGEDVHTGDLSEGSHVESQAEMFKNSEKETPDQPEYNRGRRMSLHSKEQQQPDEPDGPVSAASSGHGQAKSAGQDPNYDAAIDFWEPKPPKVFMDDPNAKPLELRSQIQLYATNEQLTHPLVSPILQGSLGNLCPLYILAGNGEVLRDEIIYMAHKAAHPKEYPAREGILRAGRRQRENAEKFQEPTRVHLQVYDGMCHVLTVFTFTDSAKYAYRSIAQFVRHVTTQSADHLERNPFPELHVPPDEPVSDSEEDDESHSTSQRVTKNDEKAVEDKMPIATSSSGTDRYRQNQEKAKEEDLPRVIMLRERVDIFGKVRPMEATQEIEALHLEPSSVGLIKEAPVRRWLTGQEQWDKKYKRAADKVITKRRQCERKAERLLRNAMEQGNIHMSDDRPQPQHRPSEASIASRPSGEIVADRRWGPLDLGDEKPAPSAIAGRRDTPEAVALLKKSIYHTAPATHRMVPKLKTSDAIRAAFDPEDHPTKAPQQSVSEQQIHPHGIPIHGLRIWQSLLT*
>EED84466
MSSLQGARDGKMLLWQGGEGTRLRDRRGEGMQRA*
>EED84467
MSTTEVVHGGSGSSEHIELVVVEGRRPKSPVQVARVAPADPRRKAGDVAKHASTESAARNASEPVETTTPQKLYRETVVGSVATDHEGSTNRDRSDGRTETPLEEQDIADALTPETYPLTGPSLSVVVDDGSVTRTAAEAAHEDPFESIEAAMVMVAFMGGIIATGPRTVARYGIWLPFLTLGFFWSKPRQDLVAEFLWDVIFVLLASRFGFLIVVPVMMSVIWQHIRHGGLRRIGEHSLSRFSRESTDEVKMVVFKVHARRALGAGGKGMKEAEREEDMKNNLRSIHVTDAARSFYFQGPAVLLDISDPRGPAPTSMGARSHWVGSSHNACVTIVRAT*
>EED84468
MRDSVVHEELTVSAPVITNISENMNLRMPSSRDELEHEPVLNRFAGATDTGDAKDEAQTCREEAFQCRRHVPAAEVMTDQSGRSGAEAEEIERHRHNHESAAEVVEPDLPSEQDIMEAFVNEDIESTGIPAQPSTEDLWRATHDKHNTQQLADYFFSFTALVVSVFLAQADPVLSIAFVAGVAGWLWTLPREDLIMELGLEAALTVTGLTQGVWVAACVAAMAMLRVIHRFAKEAVQYVHSWRSSDGYISGGNGGLRYLDLILTAVRDGGVYWNTGSKSWIGSDLTFADCIQAFTWPYDCTREEPVRAVMSMIKEELVKA*
>EED84469
MGYGGATGQLPALVRTGPQRTHTGWSPDTVNWLIIAEQVVYERRRGIAALPPTDGGSAECGTKKTWQRPFIAPPYRYTAVATRLRSGMVSYTIYNHYDEYVVHGREHIRPTRTIYIRHREARQFETVRSVLDPEDEIGDDGRGLGEPPPSQNHAIPYHRDLLRLPDSAAFATCAVLLLCDISARKRAFMAVVAAGLAVQARKLGTLGRCSDAAAIGKEMATIPVQRAHAAPSPESWSPGWIAGCFVEPGFKSTKERGRPVPLSILNLPRSHRIDHHQRSHLPAMNIPTEIAMVQLCWKDNSPDFVDAGVRSQIEEQPEGAIAHDDIHNEDAHVTCKGPKLSLEGQARDQVIVECYPLVEETAQRIERDGPTLMQDSGGLTADGDNGEAGDANEVRTHVSADVRRAGEIAYVSCNDQPSDSTDNSWAMTTGFAVAGHSTCIVCVAKPSCEREVREVDAERHTFADLGHAVATSTAVRASIIEPTSNEAIEMEATLSEIVIGIGRDEGADIETDTSDEHNGRGTGWTGKVLQYRRRVLRAAKKAIEGDLNMMSIFIGLVASAVQQFSNPPSRSDIIAFIIFTLGIIFYVPPRRKGPMVAVIICLVAQAWKLGVAVGVALLVLCAAKFAVVFCMQQDTSSADNPLTNQ*
>EED84470
MQRICPINGGVNPAASAYATASLFVDTMHYTGICFGRKTRCRISTEVCISLYIAPVSHRAFLLVSSLPAIHISVEESIRYTVDHPESADEWLWTATVGDSQVRLEPNRRFSLAQTHEQHCMRAGLAAGDVLTAQRAHMTHCLIFMRRLILCHADSILESPYVLTRNRIIDRWDADHRCVDWHAIYDTMRLNYLGWVYMVGSSAWRSA*
>EED84471
MSFVCVRSRKQPLQKSRVVGILGNEPDQRQDTGACSNNGISREMNTASASVAQQAKIYRQDLNDVILRKGVSAVGCPIPFSVLFRLFGCLPTRSVSFSDAGRTFASQVMLPGISVRDATTVTVIYGTSESAGIKSLVAAGALSGVAVICLLVVMLVRPPCYRNTHVIPIFLSLLFANILQAVASMMDARWIIDSAVKGGNFCSAQGGLKNGGNVAAALWSSVLSVHVFMLLFLRKGMTDAVCTAVVTVGWVLVGVVVSVGPLAIQTAARGDYFGVSGYWYASHSIRGSHPGTVLIGAGSHTTIRRSRHFWNISLYGALISGPGRITDRSAVQEFLSASCSIILYTAIVLRVRGNLTAASGKWRLRSGGFCSHVITDYSVQTTRHPVAYTVCLLPVTLARFISFGGREVPFWATVSSDFIFNLQGLVNVLLVLCTRHLIPDTSSLPMFAPRKVIEESSPEAYGITPYVLTKPPERDVEKALPEPPSDDNGISLSDNLDGDLARLSLSTTETRLSMATVDTVDSTVPLVQKLKWISFSFCRK*
>EED84472
MLTSPSTLDPLDVLLSPPADESTEERVARLSAEAEAKKISDMIDEELQRQERAEKRGQKPIKILLLGESQLSVERRAFRAERASWRAVIHLNVVRSIRVILEAMAEAQTAQRSPEEPSAPPRVLPSLTAEHLKLKMRLSPLVQVEQALVRKLTPPGSTEFEATHLTNVSNYQYTPRTKEVAVNSQFAWKHMFSRFLPDSRDSMDSEEGINWDDPQDPGRIIYACGEDMIRLWNDETIKALLKSLNIRLADQPGFFLDCLDRVTSPRYVPTDDDILRARLKTLGVTEYRFTIKEGTLGGVSRDWKIFDVGGHRSLVAAWAPYFDDMNAIIFLAPISCFDQMLEEDPTVNRLEDSVLLWKSIVSNPLLAKTSIVLFLNKIDIFKAKLEAGVQLGKYIVSYGNRPNDFESTSSCESLAYPHGRAKGADLDGTAMMIHADLKRKFAQIHKDKSPELRSFYCHFTTVTNTKSTQHILFDVQDTVVVKNLKNSSLIS*
>EED84473
MLENVDDFIRAVHEGDHGIRPAGEGENAEAKVLYVSLGKRKASVTPSSSIKRIKVAKTTSSASLHSGAKPPTYVERALAANTNVLNAAIDDSSNAAVGNSSSAAVDDPGDADLTPQSSGRTLVAEAGPVVVAHCHALRGYVGQLPFGVQWEIARFVNAGFAWDKFTVDGLLEFAKLGSNAKAAPEVARIVADNLSEQSESTKDMRADAFASAYAKERSVKLPWDELDKEDTILRHSPHGGLGCNEKEPYLMDDPWYGGRVHFTAKVVLSSMMPDDKSELFSLRLDRPVLGSSNLFARRFGSRKFIRVRMSKDIYMGPDKKAREELLMQFFQRPFVINGFVYKAFYAKEQNVFLFQTNEFWDGNRICDPKPISLSPLHRELSFIEFINFHNDLTRNKDQTMVKWAARFALGLSNSVPGLRLHPSNIAFEEDIVCSAWKGEGKAPNEQIMTDGCGLIRLDILQQIADRLAWPMVPMAIQVRICGSKEVVKRAINSYVRRYRVDVPQSCTAYIVPDPLGVLEPGQVHIKSSRRNLVDQDGRPTDIVLGEVLVTRHPCKVPTDVQKVTAVFNQKLSSYVDVIVVSIKDHVFNKKLLNRHLASCTGGGDYDGDTMEAFWNPALVEGFRNAETKFLNEPKNVEKCLVKNTETVAQFLSRNPLSTDKDIMQPEIQKYLLGSLRDSSMVGTYSTWWENAIYRYGYQHNRTVFLAYMFCAILDGSKTGVMVAQAAYESHRKQYAHRPPVWKETDEERERLLRAFTNDANLQRERRLGPFVMDEIYKQVNKESNKQLRHVEEVLTAKVAQLDEQLAAPWREAVTRVTLLAKRGNPDAEAELQAICSHMAYGP*
>EED84474
MAPLPASPVVSVDSGLLVSGDHFSLHSRWLILVLVFIGALITVGWSLAVIQLVRYCRQVFATGEGEIKDPEAASVELVDDHKPSASISAPGLDVYAPLSPVLGKETSNCWPAAAGLDLPLRLDPHPQDDVVSAPEAVQYEDLYLATQLSFSSIADTFQSAYESPRARSIADSWSTVSRAFTVSPSSSSIYSAESCPSLDGMLFDALNSGDDEACIVAAKARLTCDVWDDLEADMSMSSECSSRSSSPTSTPALSDADTESVFSTDSSSVCSMDSPSAWMPDAPSVYSAESVKTEVPYLDLLLSLALPSDLRVPPVGVKAMEPEPVFSSSVSVLHYGSLDDFGAVGLAF*
>EED84475
MTERHGPAGCRTQFGLQAIAFRSPILKDTSIPAEALLFWDTPRTLLYDARSSVPACDRKALHAHIGEARVGEARELVSGQGLSGPGLAWESITMRVHSFKDPSYCVKSRDTHMEIRVLQCNLTCDHESFSAVAEQAAKWRPRSQRPSGRGFGWAQTDPEGLESFGETRNLAAKRPWKTEDQGLLAGSSPGTDPGVYSTFSLGRLALWATECPYATRSGIAVPRQHMRMFRLGILYGLTDDYQGLSPITFLEKQARLEKMHDLTAFQS*
>EED84476
MIVRELCQRTYYRSLQIVTKRNAVISATHKGVAFEKRSLALLEEHLSMSLRRIGGKDDGGIDLLGWWWLPIIQNNPASAGRTQIDDTHNGQVPSASDAPRARLRILAQCKDEKKKTTPKYLRELEGVLYRYLAHVHASAPTTQSDCASDAEPNADWLTSVDPVVGLLISSAPFTKASLLRAHSSPLPLMLLHIPPNPTNDSSSSSVAANPELPHKLSYESSSDEPSSESNTEAPDGIIGSLVFNAALGGASGLLQGHVQPRWEYAAISTSLGSGRPGLWFEGRRLQSWTPERQMSPLAVT*
>EED84477
MSPILRSVIVATAIALYLPIVSANYAGSCQDEHLDGQNLVATCTADDGSQVSSTLNLDDCVANYGGTLNCVPRGEFSYSCDVASCGLTGGEYMECYCGNGQSGQTASIVDLAYYIYGGAFYSLVLLRALNLPYVLRMDLHVA*
>EED84478
MDGEEDAVTGDVLGALVDVIPLDVGMEGTPLVNPEERVLGDEDDAPSVVGNVTALDDTGREEAEYVDTGRDGSGELDPAPDVVIETGLTLDTDDRAVVRALVDPLVDALDRPVPPLVDSEYELGRAVSVEDTVGEASAVVLADVLALTADVSVALVVHEVVSIALLLAVVAPELELEPDAVPVDALALEYEPVLVVPPLVELADRDVQVGTLVDPGRLDALLLLHVTQLDPVALELSERELRSDRAAITGTNRTHLGVGPTLLVTTLTLTLSVSVSENEADSGLLTLRLRLGAERDTEGGEGDVEGSESDVEGTESDVDGSESEVEGTENVENENGALYDENERGNVGAENENEDEDKDAGVESGEDTDGGVYDEDGGCEDEGAGDEEYDEGGGVIVVAAEDDGTDEGGDEAGVDADVDGTDTESLADADPLDTPAPVLLPASLLLDSALCCRRANCLGAIGSGPLGATAGRTPDTMGTPGPCTPSTSPDAWIVSAEVAMGASARVMRDSTAERERDGRVLEAGERHDSEHRTGSAWAQRLCDLAADACRYSVRRDHWQDEWKWVWKWIQRGGEHGQAGRREKRLRRALAYGGVDKSNVGIGSELSGQQRQGRPGRWRQGRARRWSRVERGGQRRHDGGAPAIDANRTRRWKPALVSAVCSAVADESASLHTVRGSLLARNASPCDVMARTASRHLITLSPAMTSPSSSSGRTAPTRPAQVALPPTHHAHRSSPFASPPVLPFHPGALSLAALCLSLNALVSVLHYHPASRSILPPHPGTAPAAISPFSPMLLLSPRSEEPRCTNALCTRPRACLVIGVAFPSTRWHLSISLNLRTSDVPLPSSVRQPADSTQAIDSLVLALPPLFASARLDSSVTLTPPDQRTPTPVWQPYNPRDDIRPRCGHSGVIARPALEHGAVSLTTHFHYARTMGVSIDLVFDNYAGKNGGQHNTPWLRPIVILKLVRQYAIIRRQ*
>EED84479
MAALILGILWLLPFLSGHSYDVFGRRPRDKDSLSIPDGPLGLPIVGSFPFLTHYPELTLHKWRKRFGPLYSMWLGNQLFVIVSDPGIAKDLMVTNGAVFSSRKEMFIKSQIVFAGRGITATPYNDRWRKHRRIATGWLNLRAVIDYSNVLDYEATVMMKNLYLTGKGGDAPINPQPHAGRCSLNNMLTIVFGTRTDSIDNPLVSRALMLSREFMAGNRNCTGPVSNLVDFVTPLQWLPTSMSIRGKRLHKDLVETYGGMIKDIERRMNAGGTVPDCLAKTMILSRQEEELDHLDMAILASAFMIGGVETTASIMQWFSALIPAYPDIQLKAQVELDRVVGRDRLPTVEDEQNLPFCHAIIKEVERCHNPFWLGTPHVASEDFVYEGHYIPKDTVVILNTYSMHHDPERHVNPHDFDPERYIKDSTNNTESANLANPYDRDHWMFGAGRRICPGMIVAEREIWLAISRMLWAFDMVEIPEEPIDLKEYDGLSGRSPVPFRIKLIPRHENVAKVLGLEQLKSVVESEILNVYTKPSPKRMEAPQLAVSFSLVRADKESTNTLIEKSTPYEQHNGVDSSLSLQLLRHLGDKVKVLLIYPTRSVQKQPDPERRPFRRLTPPPEVCDMIVDHLQYDPSALANCLQACESCFQRCRKYLPVEGYAFKNKREVFLVLREDSGPGSRSDLPGTPLYAIGRHAVYPIAFRESQSVIIEGEDSMPTQGERQVNHLGSFAVMIAAKWSEVTTSLTIRHGAWSAGHLHPDVFLHLAHWRSIKELKLAEVTLPSASVFGNLIAALSSSLLYLTLSRVTFLDASKPLHLLTRSNSTAHRLPSGDSDHVSFVLTIHDLTPASLAVVAHTLLAANVGQDPWIRNLRVVCHNEAVGGCPSWTPQGLPCILRAAGETLRSMRLTLLASMPLRLSFAHNTRMVSLRLDIHIDEPDVEIAWLQRVLSTLPWSATDPALRSLALWFWVDVHVEDGHAVTQGRHCNGCARRSLPMSPLGAPATTSSIDAVLRRLAMRCRSMDLLLSRLCCHFAAARTSISKRGDRPSAPGFPAFVGGICLLVAKVAAVAEVLCAPSRTALWDDPASVGGANTMPAQRVDDYALWWYPARTL*
>EED84480
MSLISDPDYLIHSLRLNYLRHVDDPYASSIISLDPYYDSNPYIVASGLADVEKWPELARPSSPTPSDDEGRINAHSGFPGATRLKYTTTIMGPSRMGGMGLRVAGKRASMSKSSYRFSVRYGTDTPRPTLDEKTEPEVHDASAAEQSTATTASGSITSSVADTLKLPEQEAPKDVNGSIDNGAPQEGAEPPKTISPEFHPKFKGAAEMEARRKLRMQARARPVPGIRVPNPPVLLNPEISSSESESEEDEEADVEPNEEDDEFDLNADVDDSIDIDADESDQYVRPHSWKLMHFPATRGMPGLEDIHSDSDGVSILSGTNSVMSTTSSMMGSSLQPSNSARVGTRLSPVHESRISEETSPQRLSQAAAPAAPAEPAHAAHTDDLFTRRAVLPTRPGRSALAALLADSSGTSSSNPFAELYSAISARAEGDSMGVNVYFPHAREPAGRALTLKVRRDASVEEVLGFALWTYWEEGWLPKIDEGLEGEEDARWETRCSAVGWILRIAEEDGEVDEDFPPPDRTGKISKFNFDAYAVLEATASQVQQNKVLESKIQRRLSRIVVKKKKSTGLLNAMPTPATLAPPADSFLGTSAGISSLVSSIGMFPSSLGPSSSHGQQQFLRIRIADMADAGLVSITIPATSGMYTAEVLELACQKRKLNDPKDYALVLDLGPTKVFIPLDKTVKSLQGKRDLILMKRDMLREYGVEISSESSRTTDPNASIIKRNSEILEPSFNSMFDYTNAYKKYTVYRKVPMLVTRSARLLAIDGVYIHIMPMSNKAKHVFDSGKTSSYHLKSVVACQQSSKDSSTFKLVVHSNAERDKRYDFEAESPKLAYEIVQTIRALKISVERPGTIKQSRRSRQVT*
>EED84481
MSTNNLSGVFTITNLQFHNRACLLKDDDKEPITCTIPADMSTVNAMEQWELTPTSDHRYSIKNVHFESNITTDIRPTSSATVFASRYRAWWIIKEMDPATYGPDTYSIFSRDSSELCWGVRDGDSETPSVEHGLERRNISVEIEWTDLPRDHIIEFPDSLAVLYHGEVIADAEVDEYKTSADTPLRMSLHARDTPAFTAFASSILCDDHIQVTLEAHKFRFYPRKSVERPADWHYVYEALLRKDLTFEGLHAFRENVALSDLKILSSGSDDKETYLESSVNVSIFNTSRFSCAADLAVGVYFGNTKELHLRRQNPDVHELKWRFRPMNPANQEVQMLLEQHFTTKQQLPVVISVENISATICGRLFNLPRFKINSHIAGLGINLVKHVDVHIGAFGLLTQRKVSFEFTFSNPINAPLELCDIELDVIVKGIRIANVKHHFGENSKSFRIDKKGEAKSPKISNAYLVASYLKTVQLVTDSSACLDIEVKSAAIKIDQYDLQGLTFNMKNIPFKHPGLPNEAGRGAKEFGGVNTSLQECFQNPRRKGDILFIIKYEMNLVNLPPELLAYILAYLPLPGLAACLSVNRRLHDIIVSDVLLQYIVELRAAGVENNSSPSCRLTIADRLRMLRQREQAWECLEFGRITSIPVKHNPSGIYDLTGGLFLLGESRSPTRTGTNALHFVRLQSAISVSTESEGEHIAADANWSGIDLGANIVDIGLAVQEHDLIAIVTYKYWTPMSPDFMAAIDVHLMKLSSGKYHPAASQGTIHVADIPFAPGYCSVSVEIVGDTMGILLNFLKDEEVIYNAFAFLAPDVIVAPNIENNTLDICRIPLGNEPEGTEPSALVPACRLALPPLQANCVWFGIWCRSEPNPIVPGSGALYRGSNPFYSDSAEAIMLFNFMAVDALQIMRLFSMVVHRSSLLAQLPPLAEVELPSDDEEPALPPEIEWDAWGPPLCRWFDTNDLSTRWITTTCGQRYVTQGPQDPATIMVLDFNQKAIHRSLSRRGFLHSPSAPMQVRKVLSSDPDSDVTVSYEIGGGELESQVFVSPVKSYLPYMAVTKKKDYEYNSVMLDEGVLIGLQLDDESDIEKLVVHSIAPLTLG*
>EED84482
MSSQEPDELASFDAGASLFAVSAVFPPSVKGGSVGGVRVVVGAGVLGLLPSEAPPKSPPDRADVVVELVVLDELSAGLGTEPKRPPDVPVAGLAAVELACSAGFAPQPPNRLVMGCVPGALEAFVPDEVAGVDPNSPLPGVAVDAAVALALAVLFAVPNKPPPVVGVVELVAPAADYYL*
>EED84483
MPIIGTAIFGFGMMTSFLPIQLYLVDTFQYAASALAAASVFRSMLGFAFPLFGQQMFDALGFGGGNSLLAGLGIVIGIPFPIWLYFAGERVRAKSSLTRH*
>EED84484
MAVQFKDGVVIGADSRTTTGSYIANRVTDKLTHIHDRIYCCRSGSAADTQAIADIVHYHFQVYSQTHGEAPPVHTVANVFQKLCYENKDALSAGIIVAGWDKEVGPSVYNIPLGGGLFRQPWAIGGSGSTYVYGYCDATYQDGWDRDQTINFVRNTLALAMSRDGSSGGVIRMCVITEDGVERLFVPGNELPKFWEGKEVLGVSAKPKGATSVVPMAVEV*
>EED84485
MGKSQSKLSPEQLADLQKHTYFDKRELQQWYKGFLKDCPSGHLDKTEFGRIYKQFFPFGDPGEFADYVFNVFDENKNGTIDFKEFICALSVTSRGRLDEKLRWAFQLYDIDGDGFITYQEMLKIVQSIYKMTGQMVKLPADEDTPEKANSAACHCCTLLTFIFRNMDRDKDAKLTYDEFVEGSKQDPTIVQALSLYDGLV*
>EED84486
MSLTALPTELLDAICEPLASNASTLASLARACAATHGSAMRMLYRSVSVSTYAGNLPVVHTLAIRPELARHVRSFAIALDDSDAVLRPYYALLQAALQQMSQLESLELYVDSSASWVLLDLNDPSRLEHFACAFPLDPNVTAFLSRTPSLRSLQIADPALSTVPALHSMHFPLLESYTGPASLLPLLASRPLTTIHLSAPDMTLYSRIADTLASMSSLTVFELSGMHWESRPKSPGSLPAVGGERKEWISPPVTPRAAENQGEAETFLENQNQNLGFGEAFLDWSY*
>EED84487
MNTDDEGKSKSMQRNNNTSPQQVVADPFRLLFSREIRDEIIDILAASGPTWRKDLQPRTDVKCFSEIFTPERLLPYVRELSVLGCLSPEACGAEGHGSGNNTEGKPDHEWITALVPSLSRFNQIDKLSLHNLSWGDIAVSTRHFILTHFAAVQHLVLSMINFWNSNQLFRTLNSFPQVTRLLLDNTMWHLANHSLQQVVRKEPLKLQCLRFGPFLAGHYGLLIRWLIEERDTVNVDEAEINWGDTDIRSLVDLMRAIAPSLKVLKYQQQFESVGSDHWVFRVYGNEETDDSDDDQASVPDADDPNDWYGIQASDSGGDEDAGEFDDRGATKFNEQNGSEGPEEDVADDANNHNAPSKSLDDDEPTDPRAVWQSSVEVFPCIHEEEANRIGSQLLEEVPIQDSALAIIQGRIVWSTLGTFGIKLLSQLLSPHTRRFTLSLALRKDVRWGQDQADWGTIDALLDSLAPAHNGCLFDLNIKGHLRIAISHKLPRLHERKVWIIRYWQDGELLST*
>EED84488
MAYPLGFPSDKPLATVTHPTPIIWVLEMHNGEDSRLDEHFVSKALMPALDAIERHWREGWRTAQAQNDKEGGRGALILVGNRGQNKFFSNGLDYDNVIRDPNFQFNFFSRTYTVGSATDTLVLRDTATDVMNPFYRRVLTFPIPTVAAINGYCYAAAMTLSLCCDYRVMTDGSNRRTCICMNEIHFGAGWPYSIAAITRTKVPDARVQRKIALEGHRFTPQEALDAGIVDFLVPGDTEAVITKAQQVGESMSNMTKAGAWGVIKRDLYRDLLEETARDVITTTPKAEDALAKARL*
>EED84489
MSDTIPFGLGHFSVRIALALSGAALTHIALTPPTPPAQQSAVNKFGQPDVGTRIGRKYSVLMLPLYWILTVCEVTAILASHYPSTTSTRLLAILAPHSATSALNIRITHIVIVGWALTCLGSLLRLLCFRTLGRFFTFELSLQEGHKLVTWGPYAVVRHPSYTGTLMVASGNLLYAFGAGSWWKECGLAFTSVGELIALLRLISFLSFFVSVPVRIAKEDRIFRKEFGAEWDAWARKTPNKLIPFVY*
>EED84490
MPIFVVAN*
>EED84491
MIIVSASNGFKLTRTEAGREAIVLRSGSRVTCGEAGGSRGAGGSSDVESSRDPGEGGGSSHPPGKPGRKKNPNSQAARRDQNRIAQREFRLRKQQRIRDLEASVEILSGGKDEALGHLRKILKDLMNENQVLRDLLRSLSSFIGEGAGGLLPKLGWDVNDFNTFINKGETDSAWESYQRHKHDSSAGNASATGGSASTTQKRASEDDPFGIRTKRPRGNSEQNGDAEHADSFSNPMMVPLNNSGPSVSSNGLYSSSARPPHDSALLNEFLRTSSAGSPMFMSAASPPAASFASPSSTNVGSSYQPGYMQSPIDPAISSMPLVGGSSVGMQQNRVAPTPPGQSTADEEDIEPKKNDAYKLIHYHLDNYKRNSAYCLPSSLRPTLVQR*
>EED84492
MASSGNEVLSWTSQDPRQSQLFGSYGVLYRFTGVSVTTLWRAIRANKEDRVAKLEWAPNGGLGRAVIGKSTLPMADLVRPDPRATNCRMFNGPDGYQYRWRPSNSSSDIVDQNNNIIAFYRPIKPARYNIGDVYGELHFVRNAGAGVVMHPPLMDTVTVTAMLYRFVITYGL*
>EED84493
MSKSVLKAQAQVVRILVGAGKAAPTPPIGPALGARGVKSMDFCKEFNARTAHIEPGTPVPTLITIQPDRTFTFMTKTPPTAYFLKKAAGIEKGTGRPGHETVGTVSLKHVYEIAKIKATDEHLKHLRLEAIASTIIGTARTLGVQVVP*
>EED84494
MSNPPREIGTLIVVILKARNLPNKRHIGKQDPYCTVVLNGEKRRTKAIRKGGQHPEWDEEIRFTVYEDPNGEPTRTVNGDGTPPPPPPKSVVKGPPKIQGGCFMALACYAEDLREPDLIGETKVDLTEVLTKGETDEWFTLMYKEKYCGEVYLELTFWSNEPEPKKKNSTKPKGQKQYGGPGSFVPAGEPSSQTDLDVPPSLRPSHSVAQLGLYVAPYETSRSRHSVADSLTSSFSELGIVDQGSRRQSFPPQHTGYPPGPASSVGFQDQQVVSHQTSFSFQQPAYSEGSGSYSYPSDVPAHSTGASYHQSTMSMSHDPYQPPYETTHTPPSSYQPPPSIAHSSAPTPAPAGYGPPVRIPIPSSSFSGLTQPPVGSSGFVPSGPHHLRQITPICSTAPTIVERVPLQASIAPARSIAGTFSPPIPGQQGSYNPPHISSPTSYNGPASPALARAPSGSFSTQGSQTGLYTQSTGGSALLYNQQTGAQPGSYNPPGPQPASLYNSIPPPPPLPRHRSVSPAPYQPNGQAQTLGPSPTVPPQSQFQPPVQNGLVPPQQKISRRPSLPSPPNMGYNQPQYAYQALPPPPPPPNMPPHAQYEPISTLPSGSQSQTFNPGPLTRPPAQPNGQPQYIPPSLNQPSWGTWSPPIEPGW*
>EED84495
MCGFLGKGFMVPFAGVAVDNGRDGDRVAGREFEVDARSLSGSRLEWRACMVTEAPLKGMGSWLAAASRERDRLFLERERADAREKDAGIPLHWFYIQVDRVWMRAIGILWVNESLVLGLFRLYTEQPRMSAFVKEGSVVRNVKADLFGRDGMDALQLARGADESAKLRAEPLTSLDNLTRAASIKPKLPSARSCFAFRADARTMCERESSSEVEGVEGSGDDSLECIIEITDVDL*
>EED84496
MRCCPSAAGRAFTLFTLAILSAQSFTLVYAQSSSSVSAPPISIPSATPPIAPTDSASASVPHQRAPLGHPGPVFFCNTVNHPGCHLVQCGALLRTVLCALRRVVVLRCTVVVLCDTLFDFCKQLVCGRHLVFGPTFDSTLIATSINAPVPYAPPVTSTSYYDPLGPSSSDSGISTGFFANKAAVAGVFTVLGLLGVGAVLAVVLRIVRRRNRQYDDEDTTYFGGGMNGGEKFNDSGNNADDNASINELVTSAGPGSYPDRASHYGMPAFADDAQPRDSIVEYAKGTAYAAARAQAGPYQYSAQGGAYGGDFTNAHYQAPATAEYGAYPVAADPYSIARAAAGQHGQHPYAHPGDTYLVDAH*
>EED84497
MSTSEEHTSELQARIRHLEAERARTSAETERLRAENHELRHLLEIKGPDIVRTLDARDAAMQKLHRAQRFIQDLLDERRGIDRAKEQRRFTLNNRHHRRRRGKTALLAQDSALEGWYIEYSRPPATAVLTTTTSLTYAELQERLRLDDETTPGMRVQIHGDLMFLCQPIVLEGPDSTYLVDWGEEAVNEGTVKYLTQNECRPVNTVFHAFVNPKAQDAWWYLGAHKLSPADTPSVWHKIMWQKGEASWPLELRRPSSPDHYTQERKELASVLSARWKAPLGIKDIARRIRTHELKQCCLELRSDGLRAESVTFTERVLKMKYRVLDEEEAG*
>EED84498
MAPLTQPAADPAQSVATPPGVPRPISAQMTSTAGHFNGPCLVRLPMALVPSPAHARTRPMAYTASVAMLTVSAPAQTRAGGPERACRLRSRLASSERTRSHHFADRPSEDTKDAGDPDGDMMDVPDTCPPHLFRLDSAGEPPICYILLIPGMMICCLRQPRPVYACIIRKDYRNAQKRRVPHAGRLVAAVGTFGNAREKVGTRIPQRRRRASGDARELGVVSVTGEKGRGD*
>EED84499
MPTGSTALPFAAVRVALLPAKPIRAMACLLLPPPPAKPPLNGQHNPQKPTADPAETVATPPSVPRPISAQTTSTAGHSNGPCLVRLPMALVPTHARTRPMAYTASVAMLTVSAPAQTRAGGPERWLGLAAGKTARARRGARGSRPGWTAGRAALSLPCKPASIMYGHAATRRVDTPSDACAASVCGASSSLSPALRRHAHTSRAILYGDPKGRRTAAVANVIE*
>EED84500
MLTRTSSRMTRDRYRAWLQLLVDGNQNMVRVWGGGIYEADDFYDICDVRMECQLFRGVKLIDAIQYPAYDSFTKSVELEAEQNVKRLRHHPSVVILDYQLAESCKLDLDYSDEQSDFRKTTFPARYIYERLLPSVTNKLSDIHYHRSSPYSGYGKPTTDRNYGDLHQWNVWHGSQEPWHNWDILSGRFVSEFGMEAYPDIRTVDYWLGGDRSQRYPQSRSVVNHNKADGFERRLELYLVENFKHSFEIESYVYYTQIMQAETLASAYRLWRRNWAGKGREYTAGALVWQINDCWPTTSWAIVDYFLRPKPAYFTIKRELRPYTVGMTRKEKKTFADDLTAAFFTIETVLEIWGTNSTLTEKKATLEVTAFDLHSEWTETFTQSAVLAANASTELFKGALPGQPTRTKASEVPRTIIVSARLLDSNGAVLGRYSNWPEPFKYISFPEIKDLGLSITVGSDGESVELSTRKPIKGIVLDVEGDTAKWSDQAIDLVPGDPQVVRAVGLKGRKVKARFLGDGTA*
>EED84501
MSLPSSIQAIAISKTGDFDVIEKKELPFPKSAPGDILVKMQYGGVNFIDTYFRKGLYKINEFPFIVGGEGAGTIAALPTGPEIVNNEEYKKRGYSVGAKVALYRLGAFAEYASVPWKDVFPIPDSVPLITAAAAYTQGLTALTFVEEAHKVKKGEVILVHTVAGGLGLLFAQLIKARGATVIGTTSTPEKAAIARAHGADHVILYPTEDTAQRVLEITNGEGVHAVFDGVGKDTFELDFKVLRRKGTLVSVGNASGAVPPFSPLKLAEKNLRLLRPTMGNYITTPEEGRRYVTELFQLIADG
>EED84502
MPPGGTGFAFSCTGQRAHYLYSCVTSTILDNRLSSVYSMATWPGIPTREAGIPFGFYETTVSLAAAADPSMRYPRLPIYMTITGCAIIISLPRVGLFTDALIGIGLFCVAWWLHVNVVAIVLLLAAIGWGWLYAIVAPMTEEWINKMYESMRSMRRVMSAL*
>EED84503
MFEVLFAQIAYNQRRNDGLYVEAAWNVSQNDTDKPNVAATSRETTTNITTTSQRDKWNQASFSTAPMMLVFGFMQWEGDLDITAQIAIAICGCLAIYTGWTAMHVKYMTCLCGAAISWIWMRSAIAAACILAAFVIAVLVAAHPSSNADPHKQRQIVTEDTYAGSSHRKAGSRGDVSESFARRMPAERGIYKIASGQGFVDTKLAKCAIDRQVKT*
>EED84504
MAVQQGSFIQGPRPESRIVVFVAHYYKTTHAGETAGQGRTREVRLADDAIGEQPAGADISFEQHGYPTRGVTEEAALNVEDSGGCGMLGGEGRQGNESMMDGEGESHASSTTDLHQIILQSQTVSRLEAVDEETATDTAVEVPPSLIINPDHKCTVSDETSRGGTLETKVVSRTGYVVEEKIHAVETTTTDLVPGQDASCRVKLEVRGIATDERIAQQPGHTEEAAARAEPVLQDNVIVLSESDVLLGILIVLEGVVIQRFPDPPSVLDAVAFTTCNVALLCLVPADRRMCVMMATAGLAFQAWKLGVLPLAAIIATGIMKASPRIKGAAANLLPMYSVETWGTEKDTVGVTRVRLRPGDESISYGKDCWEEFHSESYPQSGNRGDPNGGTQRPDVLANPEKPSGYIHLKMLLPTAVIATGMNSPIRAQYTVVILRHLAREHDSGLLMGTQCLDALANPEKPSEYINPEYAAVYRGDRHWDE*
>EED84505
MAPPNVNVQPVEKPTDPLAVALTGGDISLLPVLARAMIKPIAFQIGDMYTATDENGKVVGFQMWVPPGRLLFNTYESISSNCKYMAHTERLWNTREEQRKLGFEDFLSQLSDEAKLYCPQATELNTYWCNLNFVAEDWWQKGIPKAMTELAYRKAKANGWTMALATTHTRNVDIYKHLGFELKGMRDFPSPWNVWQAWIYVWKTKED*
>EED84506
MSRPIPPVDSSLNSDGQILYYNMLGVAFSMFAYATVGITLHLVWETTAFLNTGDGVEFSRVLVEKVNRTQPMILALTVIRLMVVLLPTAAWLALIVFGSYYARNLASLSMNLWAGIPTGPELTCLTLSLAFNVVLTALIICKLLLLRYTARRCGVDVSYLSVAGILIESAFPYAMVSTFTLIALGTGSSWQTSLLPFFGQMQAIPSLLVAVRVLENRAISRQEMAQTMSTVSRSIVFTSPRDMTMSHPELSVSKAQFESHAEPPLGRWAGHTISTKAGPLESLLPLPCENDAGKPEHPRRTESMGDWVTNQWSYLRRATPTYVAPNIDNDIRLRTYCIRQTKVAPPVHTALGQYRG*
>EED84507
MPGPTIATPKKVLSAIHNIPKKMKSGHLFEQRRASGKENIPPGENTENRFMPQYSPDILVTSPSSQLVDRQKWLPKDGNIIIKVAVPATDDLWKFKVPEDIELDAFLARVQAKVGFSVVFRAKAGKMRERHIATDRAFKTWIAGRVSPETGKNTPLVAHLQL*
>EED84508
MAVKWIYRRRSTLAAPSNNSDVFGKHLSLRVHCFPTQGTSATGQGRCIRYRVVSCDASPAREMSGRQVPASADDVPTTYTLFNPLNLDASKDRHASAPAPSKSAAGYISYDEVQKHNTPDSCWVIVEGQVYDVTSVLGWHPGGVPAILNNSGKDITTHRKVFKSIHPPNTLRRIPIEAHLGPIDPATMPVDANQPTEEELRIAEARKHIPSPSAVINLDEIEDIAKKVLTTTAWAYYRSTADDENTYYENSAAYKRFWFRPRVMNKISQVSTSTTLFGLPSSLPIYISPTALMRLGHPDGEMNATRAAGQEGILQGISNNASCSTEECMAVKRPEQHLIFQLYLNKDRAASEAIIRNIESQGFKAIMLTVDAAVPGKRELDQRTKGGDLKDMPAAFGKSNTGGGLGVSHAISGYQDPDVCWDDVPWLKSRTKLPIIIKGIQCVEDAERAFESGVQAIVLSNHGGRELDFSPAPMTVLYELHQRRPDLIQKHEVYIDGGVRRGTDVLKALCLGARGVGLGRPFLYANGVWGEEGCRRVVQILREEIITGMQLMGVTSLDQLRPELVQYVDRDPPPRTR*
>EED84509
MSLTVDIVAVGRLYASDAWEKCAEHVWSREEAVVQKWKDEINKLLTFAGLFSAALTAFNVQYYVFLQPQASDPIANAILVLMSALVANSDATDILQPTLSALTTSRNAAKTPTYVIVINTLWFSALVFSLSAASLAISVSQWLHHHIDQPASRSRQSVRIWYFRHRGFNSWNVPFIISLLPVLLQTSLALFLIGLLVLLWTLNLIVFGVVTTLVVILLTLSVSTAIIPTIAPTCPFKSQPAWWCLAIVQHIQLGLSKIIKSFSHISSWHPSTQPLVTALSRTRPKATNWREFENMIVRSQTLDLEVSRTLDILVEADSAVMDDTFLRTVAKPCLAQSPLDAALPACHQILKHHAHSMDESTDPPTLKWSPGEQDALTVVALADICIDMLERLLPSNSQYRFGSLHKAKPILRSLTYLVSAFPENQAAIATCERAADITK*
>EED84510
MNSLDNHQGSQTLDEVQQGTSETNPNVPPTSAKTNYQGTAKEIGLEGNTEAGDVECCKHCETYKNARRLVVALDGTMNQFGLKNSNVVEIYARIIKGEDQLTYYNSGIGTYATPSWRFGLSFLKTRFDSHVDLAIAWWLSEHYKDGDRIFLFGFSRGAYQARVLAAMIEEVGLIYPGNEEQIPFHDCCWADAANISAYELYAASAGAAEINSRMTARFKETFSRPKVKVHFIGVWDTVSSIGIFRNKDKDLPRTQKTHHICQFRHALALDERRVRFSPEDVLRIKSPRPSRKVNLSEPQDVDGVIVSRATEKSSREQKEQPGKQSEGRKGVGEERERVKEVWFAGCHSDVGGGNKQNEKLLMDEIPALWMANEAQAEGLKLKISKFDWDDDKLQEPTPPDSLSLEWWPLELIPFLSRRNDFPHPRRWILPHFGAHRFIYPGQKIHLSVALKGKYRPAAQFVPSLSWKSTTPTWDDILGKTLDVSDNDWMDELRDHLELDLHDISKATVLIGALKRNTDVSDSLDRLRILALDHIIKKNGTESPLRAKALKLISRVAADSLGLEQLWHVDIAQDLANNLRKNEPMKMPPLELSVFLQLYAPQQGDRACAYKFPTDAMHVVITSLQTACAASGVSALELFLENDPMALCESPDIELVQDLIDSIKSLLDPEQHSVLLSVIRHLAEYVHEYENKMVTPNAKKGIMRYIATSAQSAIKMINRGSNTGNHQTSFSSPYISKEIWAWLVGEIATQARETTKTSWTNHVQEHGTRSIRENVCDGKFMKTLKDDLNIEKRRKYALSGVRHLSYMEKTCDTMRGLQFIDTLYGLLELKDDETDLWERSMTLIARLISHCSDYIRKALIDGDDGSSLATNVQILRQSGSWSKKMTAMEYLLLFGRYDDAAQKLQRLGFLDNLVGLIDSQSIVSLDDLVSLDSWSSSDTSGSSNLSQKLLTWVKEQPLNTSECTFYICVLSHMADDDSRDHLHENGLIPALEELMQENNELARAKVEHIIRNADKGSEWNDPSSCTGSHVEWGEKEY*
>EED84511
MSYSASVTSGTSSSASMTSGASSMSASSASSASRSLSSATSASDRSSTLGPTFTLRTSLFRTDASSRSSDYLSSATSGSASSASSYSSDPHTTADLSTSDPPSSSPSPTYSFSSSYPQLQPSSPSATATYSFPAYSTFTSSPSPTSTSFTSPSPVLAPWTSSSTQVATHSSVSLSLSTVLITTVVTSTFTSDGWVGTTQYTTTEVTGTLIPEQREAHGNIFEHSPGALAGLVVGVIAFVALLLAWGLLAYRRHRAQHLAAEATAAALANNTSRRSGPMLADEDDDDAPPPISPVSATNPFEPSTNPYESAATRSANPFDDSAGMVHVGAPASLMAGIGAGAGAGAAAGAPYTYNRLRGGSSGDVLAAPDGPGSMRPRSTTELADLALGRASHAGTGSNSGSRGNSGAFTDSAFGSRLSTTFQSGGGSAEGGNGGGTPTEEEAGMTGSGEGEGDALIARASDSDFFPPIPIVDTGVGPTPPRPARSPARSRRSSSGLEPAAWLSRRGPGEGVYATVPMSASSSVGHDVNLGGSPALGGAARLDSGDPFRDPPDDAGRTAWDGLVNPGNGCSSGSGSEELNHATAAGAGGGVAGVGISSGSSHGHGGYISGGSTHTHGHSGSLQGHGSAHGHEYASGGSAYGHGAGSSENGHTYAATAPVHEGRRSPGPSSLLPTQSAVPPPTAYRPPRADDDDDEYDEDGESTWGRKKTSRRKSFLGIGGGMRRPLPWKRASGRTSGSSATSNTEGTRSSYARGTTSGSASDSPAMNKRVSPVTSFYTPRAMGVDPLVAMPAGITAAPSMVASMPRHGFARPHSPTLPPGSLRQPPLAFLARPYANADNSDSSPSAEGQAGYGYGYDGGYGHGYGVPLPAWRVVADHMPSPAPTEGSSHNAPEGLLDPRLGQLGTVAMRSQGAISFRDEVDYSRPIGGLVNNRQHSQTTFRTVETHDDDDEDDDDDEGGEEDEDADIENNDGDADHESVDPDDSVV*
>EED84512
MCSVPSTVLERMPIPPRTLYTQPSILDRDSMGLEGIAVVSRAAVQDFLDKMEFVNFKRHRDGELRRRVAEITDTWDFKETARPHINTALVITESAYGHLTDLDAKVAITIFTALATSVDDPNALDGLAFDQFHRRHSDCTVHGDKSPLGLFAKVTSQMAACYPSFAAGAILVAALQFVNASILENATRGTMLHPKALGFVEYRRFLSGIPEVYVCFIWEKTRFPAVNCYIQAIPDACIFIDYLNDILSFYKEELTNELVNYIHDRALVAGTSTSDALRDVIGETVAAAERVRSILGEGEERDAWDAFVRGYIKFHMDDPRYRLREVLGDNFFVEE*
>EED84513
MSTEGIAAVSRTAVQDFLDQIGFVNHKRHQDGELRRRVTEITDTWDFKETARPHINNALEMIESVYGHLTDLDAKVAVAIFTALGFTIDDPNVLDSLAFDQFHRRHIDSTFHGDKSPLGLFAKVANQMVEYYPSIAAGTILVSALQFVNASILENATRGTILHPKALPFVEYRRSVSGVSEAYACFIWEKASFPAANCYIQAIPDILSFYKEELVNELSNYINDRALVTGTSATDVLRDVIGETVAAAERTGDADLEVSAAADLEVSAAADLEVSAAAHRVVCCLHTVNIRERMIAEKA*
>EED84514
MPGKKDDSVWSYNLRSYDFSDDDSDASEDAEVTTSRENPCSDDGQHDLDISSRVDTAQYKSNPWSIAKVNAACRKSVPVRSTPQTAPRIAAKKPHIGNKSTVSSVTQMSSTAPRKPNQRSLVKRKPHTPAQRVVPAVSLLSSVFGPSSNAIAPQKQPTYPILALDPSAPNGTSVANDDLVSLPMERLGQESASQMLPSSGASTPCKSQTTYELSGVQTPQFQAHIPPVYTLNARIDPAQEVSSSSYGSVSGLLLPASLSVSTPNGLVMDKTYPSHSQSPSVQSQGAKNDAPKVYHPQQITHIHTDDTQNTPHTHGANQHDLLERKAIHSVLASRAEPQRLIDKLLPATPHREGTVASAKRPQRSVHEASSSPMSNGHIRRDVRNIDKGPKMSISPVHMPHIAQKMTQSSSPLRGPDSRHGVSPTVASISHINAARPSSRTSPADIPAPRRHMAMETMISPAHKRTASPLNVSVAPAKRDAYAAFPTSPDAAWSTLPPPKKPRVAPAPAGKVRESGTFRLPLAHARAPEPVAQKTRVVTYLPPPRKAPSPHVTTGTRDEEGSRYPSPPGSELDVPPMSRARAPDAGHDADKHAPAARSAPSRPHPASRTALPSPPPSDPPEAAPPAAEDTQQDTDVRAHFDMRDLRQRYTLVRRRVAERKRLSAEVWPLLQLPSCGIVYCDRPLSESSQKGERLSEEEMRDDPQEQFTEIAIVTWQPSVKALEVNEQNVKYRRKGAATRAGPIARRTRSLSFSGSRGAPCARVRVRGPSPLPGSGHARARRRAIAACIAASTSASDGLAGRSSGRLDTVDTGREDAFELARVREAMGGVLRGGVDGTATGGAPDSAAALPVAACSAALSAAAWARVIVGFSTYRSGARDWGVLGPASVSASASGAVADSSSARNGLFGESRTGNKPGFRSREGIGAGGGVGKRACTRVLGWRREGGGDARPSLALLVADDQCAVGIMAGGIVIGEIAFNDEAFIFISV*
>EED84515
MVLPHNLCAHVQNAFRARHRHIAVSHTTQNLGILSILLRSGFLLSLTRGTVQLPSPEAFLTVGDANRRIWADLKYRDDRPVLNDMELISMPSKPIFMDVSEIRRLCSGRRAQTIKPLRMGEIAVVHTKNPEYEWLEAREALQLGLGGEVICRAR*
>EED84516
MPQNEYIEEHIKRHGRRLDYYERKRKREARSAHRDSATAQKAFGLKAKLLHAKRHAEKVQMKKTLKAHDERNIKQKDSGSVPDGALPTYLLDRESEKNAKALSTAIKEKRKDKAAKYAVPLPKVRGIAEDEMFKVMRTGKSKKKAWKRMVTKATFVGEGFTRKPVKMERFIRPMALRYKKANVTHPDLKATFQLQILGVKKNPQSPMYTQLGVLTKGTVVEVNVSELGMVTAGGKVVFGKYAQITNNPENDGCINAVLLV*
>EED84517
MALSTVDAETATALRNAVRDCSERGLLSASKWASELLLSLPASKRQPSASTQTSVPHAGSPPRPPPVTHAGASTVSASHPPAPVIQPRHPHAPPLSPLPDKTRLQELEWEAQDADHIAAARAMIDAKDLAGEKQALKDWYKLDIPINTSLLDLLEMVKNATDPFLLFLKALFLRRLSRREEAIESALLSIAAYPWNWSAWTVLGECLGDGEEMFQVKTLNTLHSPTDNELGLCDRLLSEDFFPRSLWVMSLRACVLYHMHDFQEAADQFTKVLAIDPYRIDDIDIYSNILYVTEDHRALSRIAHEFTVIDKDRPEVCCLIGNYYSLRNEHEKAIKYFKRATQLDRTYLSAWTLMGHEYVETKNSHAAIEAYRKAVDVSRKDYRAWYGLGQAYELLSMHQYALHYYQHATALRPYDVRIWQAQGICYEEMGRPREAIECLKRALIGADPQETVIHLKLAKLHNDLDEFAEAAAYHHRVAEVCRAANKAVAEYAKSGVYVARYHLIHGGGDIALAKEYLEAIASSNAEEVGQATEMLRRAKLLQVKLLEAGLAQGQTQAHTNAAAGSSQAGGALP*
>EED84518
MSKIQATSRSSSPSTASAPLSATTNDIRSGSYINGTQSAVTTPAPEPQIPTVASTSNLDAEHIVVDDDPPAAAVNGSKKRDSRALDDDYGALRRRFKRKFEAHTPATYATHDEKEIGRATSFAQWLAYHQYAALYPDTETPFADAPDAVNRLLPYHIFQHPREDLDGLVTRPVFSKKGKGKASKADLLREEVAETRFALDCWRRKTALEKRFRRALIHSGESYFLEQSLLDDERQETVATQAELRTARTELEKLERERRAAAPPTPAPRQTRPYYSVSTTTPSSGYSAQYRYSYPYAQGYGTSQYTFSPAFQTAPAYPTTPVASAGAYRSYTPATPTSSAPYASPYSTTTTSTAPPATPTPSHYRATTSAIPVQLPESSLAALRAIGLEPLAPAPAGQPQPAAVLKSRSGTTMQLEINVGSLQPAQMSGLALILNALTSKGVTVDGNASANSSATSGMLSTSTSASSQSATS*
>EED84519
MASTDIAPLVFSNLPTELVRDIFEHAAVSDKATARALTLVSSAVRHWTEPILYHTVVLSTSRGLRSFLASISNKPAGFVSTRVEHLGIFALGPVQSIDRVLNACRGVRSLACGFHMPGYKQMLGCDALQAMKGSREQHLLGLSCRDGWDTGLVAPSVTHLRIHLTSFDTGAPNAPFGFAFGTGNPTESDWERLATLSSLTHLAIVYRSSATPPAAVLPALQRLLSLKDNAPPAINPPQLKLILVQVLGGATGDKQPEAPVDVINSAAVEEGGSALRIVAENAPSSVVRQWESAVRGGPGIWDGAEDVVRKRLASIKQSL*
>EED84520
MAALGPALPPLPVDILRNVLSYLDKRKYINAAMLVHPTWYSLAEAMQYHDLVLSARALSGEFSPPSLRCLQTIQSCNPAARAVHHLTVAGVTSGSDRVTLLEALAGTTDLLSLDLRESALQDDPLLPGDPVFSPSGGFLPNLTALCTNDARLVSSLAAGRPLFSVAILRRLDMQNYTSIVNSLQHSTSRISQLRLHLNVDSMVSSIGIIKSLAEMFPALAVLALQFELPTNIPAPSGVDRCGDSLAWLDEDERGLVARGAARSASEPRGLVLHKNTPQVRILACHWLLNAKAGMLSAEELDELDDSKIQATLIYLLKCTAALTKGLSPGIITPAVCDWTLIPPLETQLHFGPYTQFPRRSAARSAPFTTMLLNAQKQPGTPLHVQPAAASPSPTSPLSNFMFNTIGQEPALLKRMSSVEESLQYPPSPSSTTSDHPIPDAASLSRPTLYELLVGKNAGGSLPVAAEATTDSSSNFLPTATETITRVNPLDESGFVSNFAAKNGLGAGPSASSSNPSGRSIYPSSSSIAGGNAPAPYAASSSRHSSIGASVTRADSVLSYIESPVSLDTSHAAVSARPESNGDRPRVPSQPHSSATPSASPSDLVLIISQVAIERADWNELKAMTERFQEEHAEYMRRNEEAVLALQREKEQLTKVLATVTSAFAKLDPLRVKQEKRFDAEQQKAERAFAHRLSEEKRREEAAELDRREVEAAESRKLAAERARQLELEEAARLAREEEDKRRAAVVENRRKAAEEAKRKADAEEERLRAAAAAAAKRAEDDRRSAQEAAKRAQVEEAERRQLLDEQRKTDKLKQELAIAKAKEESLAASEKAAKDAADARRLAEYEAQKAAVQEMKRKATEANAFAAQEEKKARLAKEELQARLEKERKEAQSRTLDVPAPNALNESPAAQPTTRGSTSTVPAIHQPFSSGVRVPSGLPSIPTPPPSISPETPENARENAPLIFQPMPPQKPTGSSGSIQAVPGVDKAKAQRSKSQAQKNRSAHASDIQKAPIQAALSQIKPEPQTPRCVSDISVKPESFTPILPKTLKMADKLGWSNGQTDDAEPLMIKREESVELPMSQSSAFPVPSQRSTIGGDDARVPAQGQSVPPPPATRKGRKQAALQSAVVPRPGSNIALAASPSYEQADASRIGPSDGPQLLQRVPRDQAESHTTHIDPMTNVGPWTSAPRTSEEDELRDYARTPPIDDLPGRPAYDHYSPTPPPYAAPARREYDYYSPTPNRPMRSREKSPAPGRKRVRDYDSALGSNEEPLVRRARFSPPRDYPEYGGTTPPRGRSPIPPYQRTYNVRTPSPDVDQYRNDPYRRGSIPIIKTDAPLMPIQMLTTDTRSLFLQ*
>EED84521
MVTLHLTQHRVATASTLPLIAPREALARLDGDQSQTTGGYPAADSHADTNTGIGAEDLYSNLSSYTFGSARPSRTEFLEMISPFSAPGPSSSDPTPRPSVSGPSSDGSAPPTRHTNRSRTPRTRPRDIEDDGSTADREDDGDEEGHMRTKMRAMNDGTRRPSLPMISYPSERSQSPPISPTTSRSRPHPQRKSDSPEAESESGTSYVDGDEGDADIGDFDTDIELDFHHNSVAPATHDVDMSDAVLQHTFRGGYGQYVYKSGSHSNDGGQGDDHGDDGHDGRKVASRILVTDADLAAHAIESSQVRRGSLPWNITEDPAAGSSGIGRGREDSLATSQSAGLADMSNVPAINPSENGTGGTSVQPPPRDLFSTAAVDLGAGVPAQSDSNALADFDLGYILGGTAERDRRKSWQSTAPSWMQVPPHTSSDPQAAGFENFEFPGWGAPVTGPGGRRPSTITVGSFEDAFTRHVQRFDPVSNERAVEWSFKRETVDGLGPDIPINARWVLTASSRALAPGQQELWRHAHVGRFKIEKLLLRLDDPNKPPSQRINVRHIPDPYSRGNTLGGPVSVVHKHSRAIAFSLFRKHNLFNRSRSGATSMPTSGSILLATRRIQEQYTSTRSTNQLKSHGLLKDGKPRSRETSGQSTTLNGSGLSSRERSHSRDARDKQKAKGKGKEYTTGTSSQTASAGSVTSRTAGSEDESVVFARPHSTSTASGGRSVPVSPTVVESQFAYSTASASERALTLRSAGDGPSTSKYGSPPSTASSDRASARADSMIIDEDDEQVLPRTSHAEAFATLDQNSIEYLRGRSELIVPDHDGTHARSITERLRRRLLGQNPVKAISRPPAGPPVAALEGHYTPPWMTMAPRSRVEERDRVIQNLNESFKDVGLLPSFRTKGSGKPRRRNTDTVNIFSHVPDDSLYMLLPLWPGDTNAQSKNAVDDTNMYSVPLEERQYLLVYYVPLAERRDNKKDGNKKRSRSNSRAGSSVSTDKSKLIQLSSFSVCARLISYHDLLGTGVRLPLDGLSITGPMAEAMDTLPSAAVRESRLETPCAIIGICYGLEKGIEFLPDGLAKVGLAVVLPSENPVVSKSVEAEAEELNYEMTPIGRAAVEMAWLGCLAMTKFGPEPQLSK*
>EED84522
MSETKKRPHAADDDEQLRPKKRAASDDHRSSSRPNGVAAHGDEPTDSDNLETFRKDAIFRRMKHYAREYERSEARVAELERRRTTCEAGLAALEASWTQFLGTIRLLMKPDDLKPPDVDTEDLIDLVSHVSADPDQRYVDALRKKMQETSELVAGFVRLASEVHIAAVDKEAYKGCQKAQTEERDEFHEDLVVAEKRLDRLQSKIVAVVERKETQAETEAEPSVESPPSPAPQPQQPALNGHADDTGEWRAIAEVREKHIGALTKEVSDLKRQVLDLRADLQYPSEEVIASTAYCKTLLVEAEVLRKRHQADEGELQVLQKECDSLQKSRQELQDSAAAASHQGLQELRSMLSKRNDENARLREQRDQQQAELSERKHRDQVKLNSLHEYKHLAESRAERIKSLLSENTRLKTRLAANSGDEDVFSFFLQLDSQILSVDDEHIPSYFAELKQRAAVAESRVAALEASLAQLDQKHPDVGRHLKLEAEVREQLAQTQQQLQRYQRVYGVTSSGLPPDAQDLAERLRTKEDELRRLQLSEQQREKAESALYNELAQLSAAWEGLDRQVKSKLFDLSGMEERLTKSNLEVCTSSHAVEFAVLIKLQKVKSDNKFYSAMRDKESVETERKNLSRTMEKQAKVIERSVEIEKALKKQLHAMTSERDNWQKRALLEYRESHAGTRTIDDLQKQVSTMQQRLEALKDNAWAKDKTVEDRAAALRKMEEDVARSKAETERLQAKLKAQVSYQSASSGTREAQLQSEIDKCMNMRNTVITKCMHSFCKSCVESRIATRQRKCPACNLAFSQGEVQQLYFQ*
>EED84523
MHQQSYTHNIDPRDPNGNVRCYAHDVIVPKLVSHTILNPNRQPLPPAAGSSGTPYAHVVQPAPETPKRPSQKERAALARKPSTQASPAKRPRTITPPPTDGPHTSSAQGYSSRTPIGQLRERLPMTLSSAFTPSQKQRRNDAITAALEGPTGNGHYSCLADKDIAQGTPQRIFQSSSQEHSYVPRERCPGAETNTGQAHHGDFNFAAAHPPLRNCTRADDYDSSPEPESPQPSLYSTGIQTSPVASTCDIASQYSQYDPDYPPQEVLDDEHEVAHELLSSRADASVYGTPSSTPVVLEEDFSLSPTPRTPVLGVGRQAHPHGTQSTHSHGLPTPPQSSPLGLDILQAPSNQPSEWMPMSPARDKGVQQENPFQEHAPNLQPSRWDSQSSSLSQQSERPTANTAAGSPSADTVALYLRGLAGVPNVMRKYERKQAAMQNSLDIKDKTIDDLRTQVAQLQEKNRRLELDLETLRTRR*
>EED84524
MTRGRRKDLSIPPSRALLQQRDYRARKARYVADLEDRARAAEEENARLKDEVDALRARLNTPGHPGYRPSPGPEVVRPPRLCRAARVLLLLPLTDPPAPRAQAAATNELMQSLSVAASSIARFQRVAFDPAPEPASSSMLQLPPIHSHTPSSLATPAFTPSPIPQPPRRLPSPRARIELPPLHSLHRDLFRGEPAPQQPHYAHAPPHAQGPPPPHGKGVDRGPPSGSYTSEGECCGGYVDCDGLAEDEDDDYDESIADEGAHDPRTHLAQRMSDMRSTTSSTSTGPDENHMPSNRIPR*
>EED84525
MDASDLWDANATRYLEGEVRLIWFAPTSFVKYTFLFNRYLVLATLITVAVEMCGFLGKIFTDDMLFEIFVLGSIALNALDRPTAANTPLVKALNSDGLGYFVAVTCLRILNIALASVGRPSFTILGVFRSLLRLRAVEIQAPADAPSAVRSASPFMLGTSRQPNMGIRESMYDMEGAVEELVLVKIGSNDDFDNDTGA*
>EED84526
MPRPRALRDAVLILIGATTMHLASVFLQEPAGSIVVNTQVSSHFIEDYNNSAPAPLDVEEAVKPKEREVQHLLQSEVSSTVDLAYDFPETTIVAHAPGWTVFRNLYMSNGTLYIISSQHASSFPDIQYITSTGLFAENTPENIAARMPTSKDMDFLTPAQARQRWGGSPDKRERNRVWSVAGNTFLVNEPSQFLDHYYHFCAELMFGAWSFWSGTFNSIVDSRTAALTTAPSVDRMIFAHADAYGWRDRPGFNSYFLRAAFPSLTVEVQADWEDRIAATASRSAPARAWHLDTVLLTDRSAAFRGEVCGARTQRIAGEALEHMQRAGTLARWWWEPLRRATLRFAGVDEPTLDIGIRAQAQIQAQSIVITYISRQGARRHLIDADHEQLVRALTAMCTSHGWELNIVQAERLTKEEQLELAARTTVMIGVHGNGLTHLIMMPVTPLSTVIEIFYPGGFAHDYEWTTQALGMRHFAVWNDTYHTYPELMSPNYPEGFQGTQIPVYAPTVTQIVQDRIAGRLS*
>EED84527
MGVLISILVGTVNAIVAAIVGIFELIFGGIAWLITGILCCGFCELCCRPGAGVGTGPGVGAGTTTRTTTGRRGLFGRRRRGLGGGAGTGLGTGAGAGGLGTGGGLGTGGGLGTGGGLGTGGGLGTGGGGMGGGYGTGGAGVGTTAGGLGRAY*
>EED84528
MSGAAASAGASKFQAFMNHPAGPKTVFFWGPMMKWCLVAAGLKDLSRPAEKLSISQNLALTATGFIWVRYSFVITPVNYSLAAVNFFVGSTGLGQLARIW*
>EED84529
MAARWAFSDLTSGWAENNQGRADNEIDLHGLHVRKALDHVEQAITAARESGKAELNFIVGQGRHSVNGVAKIKLAVEDWLRKQDIAYVPGPGNPGLLIVTLIRWKMCMLACVKPLLCADVVDLGLEVWAYAVTPHDECCQVLSIALVCFVFDEKRTKRAVGGHYCVHWAYVHVQGSKLEAEAEAWRARSPAIHALRYEFYIYCAHRDRSTGLSSPPSPSACASAVPTTMDLLTFCVVAAAAALVYALFTSECNGAQRPGPQRPSYGATLSAPQYTDPQPHQAIRSTPSYVAAQATDPTPSRPAVQPTASDQPYQAAPPIQAYQTTQSASTSTYPYQPYSSIQPPQPAQSPWASPQTRPGEQAPLLSAVNAPPRQTYAQVVNPKPQRRDPPSFSVPAARPVLPNITVCASAAPDSDDNADDSDGSSISDIESVTQLRLQYENPQALRDLARTSGDQQRVYAKRSRACARRDRGLAKEFTKTAKAHARKAKRYNARAAKWVYAENNKRRRSNTVDLHGLYVAEALEYAQRAIGAARESGQAKLSLIVGQGQHSENGVAKIKPALEGWLQKQGTAYKPDEQNPGRLLITLDA*
>EED84530
MADAGRGRGGFGRGRGDRGRGRRGPRRGGRKDEEKEWVPVTKLGRLVKDGKIKSMEEIYLFSLPVKEFQIVDFFLPKLKDEVMKIMPVQKQTRAGQRTRFKAFVAIGDFEGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGAALGEPHTVPSKVSGKVGSVMCRLIPAPRGTGLVAAPASKRLLQLAGVEDCYTQSKGSTATMGNFLKATFAAITKTYAFLTPDLWREIPVSKYPYDEHSAHLQLQSKKAY*
>EED84531
MKQQSDSRRSEPA*
>EED84532
MYNLYADRLLQTGLIAESLYDAQATYYQDLITSNGAWMAFAAATVSNTTIRNNMISMAWAHAASNLTPGVFPTMYDVITGDVTESYANPGQGAMFAPLALRGLTAYSVSNKSIVFPPSTVGHVSNKTHVNVGAIAGGVVGGVFALGLILVAILLWRRRTRVVSRRDKDYVIENPEPLAFPYESAPFVPSREAEPRGPTPPVMMSSKLREHLRGQQHQSTPSSSAYSITAPGSSREPPSTLGTGSDVASLSPNEIIGLRTEVENLRRVMQELHADRLEAPPEYEG*
>EED84533
MAESKREIDYSVYLVTGRDLLPPGKDYLESLEESLRGGVTIVQIREKTTETGEFLEVARRSQALCRKFNVPILINDRLDIALAIGADGVHIGQTDMPIAVAKALLPPGAIIGMTCNTPAHVAQAVKDGADYVGVGPVFATQTKNVASPMLGVRGLQDILAPLEGTNVKAVAIAGIKYTNALQCLYGAVRPSGLGLDGLAIVSDIVASHEPEAAARKLATVVHAFKSTIPHIFSLSQHPYSSQYIKENAAQLFPAIRRHGPLVQQITNTVVTTQSANATLALGASPIMANAPQEMADISKAIGGLLINFGTIQSLDGMIEAGKHANINRKPVVFDPVGVGASQYRRSSANSLLNTWQATVIKGNAGELAAIANSQEVRAKGVDSVGQGFKNPALFVRDLARRERCIVVLSGKTDYVSDGTTVVSLNNGHPLLGDITGSGCMLGTCIAIFCAAASMEATPEDSKLVSGDMFVAAIGGVLALTLASEKAAARDDVKGSGTFLPALIDELGKLSPEEVVALANVEVVSV*
>EED84534
MASAAGRKKSVISATTPTIESPIANNTLLNKAASQSTSLYQQCSALRTRLLQVQDFPEWFTVSSPPDSSRRSTDPVTQLWDCFALGVPLCYLFNLLPAPFSPINIDTDPKSFDATNEKTKKRSIALFSMQIKQLEGCEQFTVTELWDRNSTDGFVKVVNNVINLVRCLPDEVFVEPQLSSPHLASAQQSTDSLDADGAAPPPERDGGARYNICTGERFDAGARKAITMISDDQAHAGQVLPRQENTTENDRWNTVRDGRSENQILRAKQMPALSRRHANDNT*
>EED84535
MSSTITKIEIFRVPPRWLFVRVETQGGIVGWGEGTLEGHTEAVEGAYQDLVRRFVGWDADKIQDIWQHAYRARFYRGGPVLMSALSGLDIALWDIKGKRLGVPVWQLLGGKVRDRLKVYGWIGGDKPSAVIEGAQARKEQGFTAVKMNGTGKSEPAESTLQAISWIDSPALLLETVARVSEVKSIGLDVGIDFHGRVHKGMAKQLARLLEPLQPLFIEEPLLPTQPLEITDLKHLVSTPIALGERLYGRNDFRPYLEARAIDIAQPDVAHCGGISELHRIATLVETYDVALAPHCPLGPIALAACMQVDIASPNFFIQELSLQMHYNEGADLLTYLVDPSVFAIKEGHVEALQGPGLGIEINERLVREYAEKYKQDQPWINAIWTGADGSLREW*
>EED84536
MHMERSASRRAGHRDSKYRSRGTPARGH*
>EED84537
MVNQSDLPFRRLVRRYNATLVYTQMLLSDRLLNDHDYLQFHLRGLGEPNDRPVVVQLCGNDPELVVRAGKQVQSHCDAIDFNLGCPQEAARDAHYGAYLLGQNDWPLVENIAASMSNSLTVPVSAKLRLCQPASATLDLARRLEHAGASWVTLHARTVSVRRRRQGAADLEQIKTLKDNLSIPVISNGNVRVWEDVERNLALTGADGIMVGESLLANPCLFADITPDPVAISLEYLDLCREYPDTATMQTVQTHV
>EED84538
MLSEWVLVRQSTSLPFSNTWRPRFSSLRATQRATTRSNVSSRDIFSSQSVTTKNCKSFSVT*
>EED84539
MQHENERLVARKIKWLGIYTTELAGIGLSKDELIPINKHDEKKALTMLRRPNLPKRWRKELQHMLHNRRKAEIEVLSLATQSMFISGCSDDGPRLGAHALNSLPPLMHYLIAKISTHVAAERTATGDQ*
>EED84540
MALDMDFSSNRHAATVQEYEVPTRHPELSFEDGNLAIVTGRQYFLVHRGLLCRHSSVLGQNISSLGSTHDRLLEGRSIMTLQDTPEDMVCFLQALYGFHTNLENKDFRTISALLRTSTKYGVDELRKEAIRVLSLSWPTSLSQWEKREKNVTDIHGLYSPRLGLPHPTLIIELAREVNAPDLLPSAFYDLSRYPPSQIALGHISEIDSHNLNLASEDLLRVLRGKEQSARFLSTFIVNELEGREPSDGCLRRQDPHSSIQRACQVAFETINFELIRDVNSMVCNRNSDPLFAIADSLLMQTREDVPGAQNRAVYRACEVCRLEYGSVVDTSREDFWRRIPEWFELELCVSPLALKKQFILLSGIYDEDRSDILLLDTDDLMQDEFLDFEVFEMEHGMTSSISPTTRSASPEPIQLDCDINQFSADPQSVTEDFEPDSDSDLVDSDLRNQVIEGLESFVLSLLEQLSDTISLSDDKINKSKRIRIELANRKKPIEDGSFAVRTLRFPQKARGASIVPFAQLFKIVDHMHEALLHGVPTTKRDIYYKDVRLFKSQAVVDRLVDDVAATLDVGRADLFVRASSKGLIAACGLTMHLKEGMLELNDAEVISTQPDA*
>EED84541
MSISRDDDDALFAALLFRPRTQSSNFQEPLAAPTPPAPPPPPDYGVEYHTPQYYGGYSAQYLQPGAAYAGPSSAPSHDAWEPAINDEQPMDLASSDAGYDMKVEILEQATAEAVDDMDYDSYSIASSRASPITPAIDLPYEQYRSPSSKQPAKELSALNMAPLPPPTSAAEPATKHPSPYNVHTPLPGVNINPLLWPKPAPLPEVNTVPLPWHLLTPTVAQKTDTLGMTRASIERDVSSQQPLWSLPQEQSSSVVQTPQSVTSRPPADSLIRAAAAASAASPEVPVQALDPTRLKASSLSTLTSLPSTTVSSTPAIMPGGISQAHPPSRPETAWQPSAPSKPDLLQRQQLQQRITKLEQELARRNGGASASASGSASPVIGTTASVTERIEKSTEDESATALRLDPALAELRRSVLATRGHASAATKSIAASSTLADAAQTDPIDDAEITTTIAVPKPSLVVHAASTVQATVFNGNATSSSTPSRSTTATPSTASTAASSSEKNINLDDMAVSFITATLQAVRPALPSLSSSVPPPPSTPRLPQLDEKTRLAAHQQRLEELIAESQRLLTQHAQARSKAERQRILGDLRECTRRTPEEAQSEVFYVSILRAPNATLSFPGRPFRFACACCKISDATLGPLTSVFETQGDLRPFSDAVFRISSLREIQ*
>EED84542
MSKAERLLSYLLLSLITSRPLASASPNPETDEDEDEDKSKAKGHMNEDGAWCWRENCEGAQHSSLTKALQKTSETLQNVADLYDDHARRTQLATHEALKGVAHPEAIYAPVIDTHRSTLSRYHEAVRDGEEDEEVAARCETVLNTTMAEMETYHTQKVEDFQTLAKEHLDGEIALYEQILTRLRTARTAFDAPQFTALGRTPRQPSMHERELEHPRLVPEPLTQPCPHVFDSAPIRPVSVAIQEGVGMLLGGVAPAARGSVFGKLWRNKRARLWNVVIMNDGTNSFPASNMILLISLLVLHGRQYSEVSGRLGPSSCQWSNPKADPARPGDEQTTTGADNQTGHQRRRVPSITTARSTARADIDVGQAQIGIPDLWHLPEGHLRPLGARHRLHETQTGVEPAECKNGEDCADEEA*
>EED84543
MSTGPRPLEQLPVELWAMVIDHHRDDSQTLKRCVRVCRHWVPFARKHLFFGVVIKEKQILEAFTHLNEQRPAFVRYVRRLTMVRAEFTPYSGHLWLAVLAHFDKVEMLIARRWPNVHMTESTRLELRHHFPAVKVLRFEDLNMAEVDLLALARACPQLAEIHLKSVCLSVRNKIPAPPHIAHPTTQDEHPHVAEGHITVLSMIAVPQQTGRLVFENALHTHLTHLQIGRPDGQSLPAYTLRLLRATKETLVELVLAISGTATRDLNGLPATFPWPSGEHVFLRRLKRIHIKTALLESQAPDAWSRVTPVTWVTHLLERMFEPGRPATLECITISLRTVDICDTAGHFSLQGLNGFLTELVGRPIPSIVINICDSVEKKRWTVSILGPILRHMPILMRWEASVVIKYGQRWDAHAAFGGCLVGNVKQHQLEHSQSLRVDRRPVHIFMV*
>EED84544
MAKKKPVKDTSKAAAKAAKKNKAAQKVERREKKKVGKSREEEEDDQDLESILDKMQREWEEAHRVTEELVESPPSRRANATLTPCPSGNYLWMTMWKHYIVLFGGFYDPGLKTNYLNDLWLFDTQEYKWRQVDLKDAERKPSPRSGFSFLPAPEGILLHGGYCKEYHKGSRPVGVMLDDTWFLRSLPIHHHRRMTLNEAKDGKPPAEPLTMKWERRKRPSTAFAPSLRSGCTMALWAARNTGVLFGGVTDEDTSEETLESVFHNDLYGGIFERGAREYTLDDFYSLQLDKLDRYTCLKHSGITIAAEGDDESSSDDEDDEDGDEDDEDDDECMTPSTVQGQPVSIASALEALDLQEQERLEEEEKREKVRITMRSQPNLAHGAVKETEDELRAKATEFMGVAKDATRSPEDVISTPLPGETLAMFYARSREYWAGKAHSSSDNRGKQLRRDGFGLAEERYESYKPILKEVERILAEAGLDEEEMRKGAAAGPGGSAGQSRNRR*
>EED84545
MHRLGGVGLAAFERQKQHERSFAELSTEISQGQVDVLHAQLAQFRSALAHYASTHRDSIRNDPQFRHAFQRMCTSIGVDPLAGPRKGGWWAEMLNLGDWQYELGVQIVDVCVSTRERNGGLIEMSELVRLVSKLRGVGGGVITEDDVVRSIKTLKPLGAGYEVIDVGGGKKMVRSIPKELDADQAVVLAIAQEEGGRVSEDLLVRRRGWTRERARAELENMLLRDGMCWLDEQDEEWGVAYWVPSAMRWDE*
>EED84546
MTGFASALAYSSDSAGMHPSHTLPLKLDAIAVAGFPQVEIGFPDLEAYAEQIFPGYIKLDEAGEGDLTKLVDVAGKVKGFCQELGYVGIEGNISPSFSGFEGYTDERKREQGLQRARAWFKVLNTGWRGPWSFEVFYEADMSRDDPEVPRKWTRSAQASFDMIVEKLQEKVGVRNSNDSFKTTSGGSVRPSHNQFFHIMPFATTPVLVVQISFFSPVMLRRCVLMGDGEPWRTVTARLECLSVALELATTFSNFEVLLK*
>EED84547
MARSKGLSAAPTRNRHVNTTHKKEKASEYRPTSGLCAETWNLYIDQATKSEEEFLKACNMEMDTLLLFATLFSAVLTAFVIESYQSLQVDTDAVTVQLLQQLLASTQSNGTKAESETNLSDQPFRPTSSAVRVNSYWFAALVVSVSTAFLTILAKQWLFSLSRGLASTQEMMGRQQQYLHDNLRAWGLAPLLAALPILLHVSLLLFLVGLVEFLWPINKTVAIVSASLTSATVFFYAVTHILSLIYPTCPYRTSVTTVILSSINAFFREVLADWWSIKTVVGIRLYMLLRSYIESLSEDGREAYYYTIDALGYPGRWRRKNKVWWSQKLTKVFTPQYWEDKYISNNAELIDARALASMVERSARSESGSKLLVEELAHFRGLVSHRDVLLEAGAIGLMVRHLRSQYSGSLVGLIEKEKEAIRHLTGALVRIVTEAEEHDTRTSVHVSGVPLPLDPAIASDVLYGDNGIRSLTVSGLCTPDASVSDNEDLVFFSHLLRLHLVVSSDRWYWSPIQTSIDDFHTRLRDPSRTSNLKDEDLIALVNTTIYIAMRPIRVEGDTARAWTEDRPQAQNSVRALVTLSELMLHNPLMGHAARRQICWGIWRCHKQIPETYIQFGSLIPRIAKTANLWEHLADFLSSPHGHCADIGPPLQLAVLVLMEGLLYASREDAEADLEDQDKLFNTLTRDFPTFLDVLHQQLCKTWPDELSIFVRLFHRIVTISVFLLFPPEECNKRVRVNREHLRHALFRLLQQVARGPAATIEPPRIKSASQSASQTSRTPSMEEKKAVEVADTEVEPRCGPGDDHDTYTLVLDELSAEKLATMGFVAVLRAASLQTYSEPVKTILTMIADMACTSSQESAGGGYLGGTILAYLRDSANGVEELIRQLLSDDNIACTHVMASNHRIRQRLSASIARPDQDIQYSKLLNPEYRLSKKRRGLLFDLKPFPILPRIFDPGQHPDKYPPRFYYGWPLNRDMLLDYAYSHKLSGTEPEITASDDEELYKSSSEEEGKDKDKKEKDGEDDGKGQEGMKHEAEAKNTCSSEKFGDRARDSLTVEDLASPEKQVTGTQQDSQLGVAKQPRERDHGLAMFAAIEDILINLGLARYKHLVNTGSTVMGPHGKGSAVLYIYTNYDLRDSLPADDTINEMKNALGLGEEEEGRWYLASTGATSLRENPEMMEPNRALSSSQLRTYE*
>EED84548
MNLYVAQSKDDVECPALLKKVLFGDASEQEDWLALTKIEPFTMLPLCLKPGIDAKLYPPLFMYGWHANDDKLLDYAVQNELVERWWVPPKSDQEYGKDGDNGDGEDEGDEGDEDKEDEDEEDEEDEEDEEDGGLTRALSKWDDRKANRAASMLKAITHILQQNQLEEYASNVKLYTTIYKVLDPGSKVIITLFTNYSLDNVLPLETVKNIQRALGTSEISCWYMSSRDCKWDEDSHKGN*
>EED84549
MRQRRSALSNADTTFSGQTGRNCSACHRPLEDMCAVNLIKAGGRRYTQVKHNRGLYARREPYHWAGLPRRRQQRPSQRITSQHRLSISVSWSLMRAQSAPRANEPAAKERERIHTLAQRAADTDPPSPTHADADIWYGQLRTSWLHSRRRAGDLGRTAGPVTAQRGSGRADWLRGMRLRPGACATEPRWRQLGLKWAAGEDAFTPVFQLNWDLIGKRQIDAHLGRTSTGRLAVLCERKCV*
>EED84550
MSKTGKSLGDNRKWRTMVSVDVESESKLLAFLQEKARANSTEAPWYGFLDRVLNLVAYRAAPQNTTMWVHPQERFVRNVKPGSPKSNKYQSPDYTSYIILNDFQTKGIAYIHEAKAYTSKLSVKNPDPCDEVPGNIREELEYIYDDAVKKQLVKQAQYAFENCSQETIYVMCTIGIFYRVVTFHRKTTPPIEDNDEDIPNLHALVHGGKWGCMVVEGFTDISRSFKQHLTRCTNAIMKELTKQEDKEDDEDEDDKGDEDNKGGEDNEEDNEDKDDKGDKEDDEDEDDKGSEDNKGGEDDGEDEKD*
>EED84551
MPHKRSKRSLREKQRSASSLNLPPASTNAIEREDIPKGAARILYANKIQEEYRDRKRKAQVDGAEPDSQGQGSRKKQRRSEADGDARKSGKVEMKIQPGESMMHFNRRVEDSMRGVVRTAMKHSSTVSRKSRKEEEESLRSAKTAGKKPQPARLQTPEAPPADQDSHKASSREHGPKDFEHLSTSAPKRLNDIVLAPPDLKKLPRGAKPKAPSTGAGEVASTLRQGALSMAQKAMLEEERVRVVKLYREMKKAKAGG*
>EED84552
MVILSGLRAETWKLYIEQAIKQEEEYLKACNMEMDTMLLFATLFSGVLTAFVIESYPSLEADTGDVAVQLLQQILVTLQANSTAPPTETIHVDQFFQPDSSAVRVNSYWFAALVVSISTAFLTILAKQWLFSLSRGLASTEEMMGRQQQYRHDNLSVWRLAPILLALPVLLHISLLLFLIGLVEFLLPINKTVATVTGCLAAATILFYAITHIVSLVRPACPYRTSVTNFILAGINSILREVTADWWSIKTVIEIRLPCLWRRKNKAWWSQKLSRIFTPEYWEDKYIASNAEEIDARALARMVKMFPRSEACPQLLVEDLVRFRGLVAHRDILLEAGAIGLVVRNLRSIYGGALGNLPAETREVVLRLAGALARMVTEAEEDDPRTPVRISGIPLPLDPAIASDVLLGDDGIRSLTASSLCVPDAPVRDHGNLTFFANMLRLHLVVSSDQWYWRQIKTSVEGFHNRILDRLEITNLGDEHLLTLVNTTIYIAMRPIQVESDSIRAWAEDRPQAQNSDRALEILTAIMLQNPGMGHAVRRQICWGIWRCHKQIPARGKYIQFGSLIPIVAKTEHLAEHLADFLASPKNHIIAIGSPVQRAVLALTEGLIRSSSKETVEVDQRDRDRLLFTLTNALPDFLDVLCLQYCEGEISSLISFFRQTATICVLILSAEGGDNAERPVLDKKRLLRSLCGLLVQVARRAGQPPTPTRRQSRTSLVQEKDSASHIQEMTAPAHTLPLTRTSSGASFTQENVTDPVHEVAVSNLDGMTFDSQPGSQTSDNDSLRRIAYGTACQIALLELSAKKIIQDVPQHKPAFEAVSTETLVKEGFLPVLHAAPSLSTYSEEIKTVLSIIATLSCNRDATVLDMEILKALRDPSNAMPDLLLRLSHIQGYKDCLDAALHNLVETLA*
>EED84553
MPLTLAFVLHACLVALVDMLVQRGAPPTNLATLDWTWRLSSTDTATTNYNRLPGYRLMIKCRRKDWPPKFCPGRSRKPGYPSQTCVGDSGSAAVHWTSWPSRQQRGPGAVARHNFAGCNMKPKLSNYAGVGGAANVME*
>EED84554
MSADHTHRVHDADTQHQHWKPPVSEDYPDFREYPELHVPRRRRIPIIPDLRLEQGFSKMMQPYIHVLRDPANDKGKGIASEGLGGAVSNEIIEIQWGRVAWITARESIISPLIQGAIRIQFPVSNSTTPTVTAVVVRMVSGAAR*
>EED84555
MSLVKIPFIAAGTLGTYACLTPPQPKVSAAERPKDATTWERVFSATVRFYVGTFKALACSGGILEIAVILASRFPAHPLSQKILRSLVRGHISLTGRIDFSPLFFAGCGLAAIGGFIRYQCYRTLGRFFTYEVTIRNDHQLITEGPYGWVRHPSYTSGIACCVGLGICYGSAGSWLRECRILETLGGKVASWLYVAFVVYGTASLVVRAPLEDKLLRERFGEQWDGWAKKVPYRMVPFIY*
>EED84556
MMTSREEYSEGDPREEAEPIQAQELHAIQERLDTSIEQHGCPTTSVVRKPVLNVKKNSGCGVLGGEDSEDSEDSEDSEDSEDSEDSEDSEDSEDSEDSEDSEEGSKVVVGGEVVMGEEGGSHASSMTNLRQIAPRSQINSQPETDAATEASTQPVINANPECIVDDGQSRGGTLEAELASRTSDVAIQGAETTHIAPILRQGTDDQAEIGVRSPATVEYIAQEPGRVEQAAAQAEPVLQGNVIVLSESEVLVSRHTMGRICWHDSP*
>EED84557
MAIFRAYASSSEDEDDIEFVASASGHSSDDDRRVESEIDAGHSQSEEESEEEEEDVHQTDASQASESGPDGEEPAANVNLRPKASVQFDPTVKSPSRRRSVANDTTIIPRARELGVDSQKVHVMQTALFRVPEEEAALRTVGQPTAEDRRRSRLLLGLSRKHSRDSDGEGLRANPGQRASFGQDVEPAPVRPSRKYARVESSASAVTGNEGLFADAGLALGRSFRVGWGPGGKLIHLGALCGPYTAKTTTANSSVVHKSVVPLVAIATDDASTRASNLLSHHLKNTPIEKDANGVPFADPSRHLSFKSCVAQFPATDRSFETSLFRLGHALFDPIDLRLADSVSPDVRNCVLSLRRKAALSWWLQEAIATSVDAELRDNPGADWTTTVFTLLTGNQVEKACEVAMDSGSVKLASLIAQYPGDDEFRGDLRTQLALWREQRIDAHIDEPTRKIYALLAGIVDTLEGSKGKGREHCPDVKIAQDLSWKRAFGLHLWFCEPLESTIAEVFESYNGQWTDDSSGVSPPIPWYREKPRAESEKPPWTLPTSAEPPDALYSLIQLYADPACSLSTILKCLSFSESPVDYRLPWHLYIILSRCLGVRDFPDRETPSVKGDAAAEMSPGAEGHSPSADLLANSYALQLEQAGLIQEAAFVLLHIEGSAGRVKAIRELLCRSAPQLDDWMTSGLVGSLKLPMAWVNEAKVIVDFIFSTNGSDTCFLQAIYALDRGKIFDAYELYIMAGLHSAAHDLAVLELAPDAVMRKDVELLKELLGRFKVHPVDGWHTRGKVLLDYAHAVTRLQELYQRTEESGVSDDEAVELEHLGRSVPKLIAMLPDVLRDRSNARHNAAVTEMTTQLTKHLDRVKPLAIATAYERFLLAVEVAVA*
>EED84558
MSTSKLNIEGVMLFEQPFARVPYENYRKVFRASQRNVEKELGAVQSSANDLAKRSKARNNSTEESIKAVDSMITRVENLKRKLSELQSSAGTPTLGVMRERLQHLGAVEDIPSVNEPEFTRWADTRLDRWLVDWALRTGKEKTARMIAEDRGIERLVDIDLFSDVCRIEEGLKRQSCSEALAWCSENKSSLRKLKEYIELARARKNEDAIAYSKKHLLPWQETHLAQIRQAAALLCFPPTTTCGPYKRLYDPARWTTLVQSFRLAVYNLSTLPTEPLLHLAVYAGLASLKLPACYDRHTKNTNCPVCDPNLGELAKEVPYSHHVNSTIVCRMSGKIMDADNMPMAFPNGYVYSKEALEEMALRDDGYVTCPRTGERCLFSELRKVFIS*
>EED84559
MNLDPGAETARQNNFIHTLDYDLGSAPDPHGRLPAPSSSSFMNYHSQPPQMANMQLSMDTFQGLLNAAENAGQQGSSPQVQATPQALLEQQMRLQQLQQLQQLQNQIFQQQLELLSGGTQPSFTMSPGMDRHREQQQQQQQQYPPTPASSTELRAQRNPEDFISPFILHSNPAAFAHLVQGPSGVHHQGQGQTLPDFLPAHMVPSAPHSAPANLVFASPADLPSPAELDFNNISPLTSPWLTPYTGQPASAPNAHDGAGPSSGAGSKRRMASSSGDESAVPAKPVRKRPSPAVRAPAPTKPRRATAGTARGTRSANSTPLFPAMATGGSGVHDIPNDTPSPIELPPMPPPAQLPAAANALQMSPISPGSGASAAGSASITPVTPASIMNLGRLGLSTSGPAKGDGGSKRRESISKARAAPKSTEKTSAVPLVSPSLKPIRPAGNTPTMEPSAFAQPVVQFRKSSHKAAEQKRRDSLKTSFDDLRLLLPPIPLPSEEGYPDEPILPGAMPPRGPPKGNAEGPNRGVSKLQLLRCGNDFIKVLKSRVDRRDDEIERLRKEIARLRLLVRPEDAEADEPVDLEKDLDAIESAGGGLFTRAMREDRGMSAEGDDVDEEGGES*
>EED84560
MDPGGWGCSQGLRLAERSQLEATFVEPIEPCGAEVAVPGGWSCQGD*
>EED84561
MPLYFVDGQFMTSHHARDAREARSDDRAATIIDLEERSPSDPHRPPAAALHSSQGSYAIDVSSDEHQSKRRKIERACDFCRRRKTKCDGPKMLDNVCTNCLQTGRQCTYIESSKPRGPPKAYVTALEDRVEKMEALLKRLRPEADFTVELGPPVVRDSWKSRSLLPFATTVPPPGSAFKSLRHGTFGVGSPSISKPKRSRRQKDTPIPATTSTESLHNADQSSSDSSVSGSDNEDSAVELSLVQGMTHLTLRGLRPAHLPTKHATDGQWRFHGKSSSFKLINTARELKQRHIDAATGADSPDSSSTSTSYVAHAPRRQPYWSSLPWEISFEGLDRSTTTPSFVMAKFPPLDLADSLIDLYFKRNNSLFPLLHRPTFDTQWRDKLYESDLWFACVCMAMFAVASRWCADPRVLPDEVMHHSLEESDDGVWALAGWKYVDVALVAHAESWTLISIGLRKAQDVGAHRRKVYGRKPTVEEELWKRTIWHLIAIDRLGKVDDEYWENEDEQLAFQQPPGKPAVISAFVCWAKLSRIAAFALRTLYAIGRSKQPLGLVGPRWREDTVAQLNSAMLHWIESLPQHRDKPDISTTALSICASAAKAGTLILEVLLQRGHSRHTVLVHYAFLYAGVMLVSLWTQIAKEAAHWKRSVQEDEDDKPKPHGKQIDELLKVVAMLDSMRPRWELAREACDCVLDAFPTFILSEEQRPAASHRLNAREETLHEAERQRTHVNVPAPAPRFYYPSSGPSRQPDLLYPVDTPSSHSRSSASNYHARREQTYVQPSTSWAAQHELSTSRADPLFYNEPWFTEPQVPMRRRRAVVPYDTPIASQSYGLQAHYNGPTLPSALDGLGSGKANTSGPQPHIKHEGVDEGSLSYYFGTPFSYIPHEDRSAVTAPNVQTEEDDLGLHAVNTYRHIILRVYCHTNTRLEGRLSSPETHVRICEDIRRPRTSKRQIREVSFSTRCHLER*
>EED84562
MIHWQDPDVVLLVAFIFSQMLVYTLGLYGWQLLRTFHYVEWPLLTRKMNFKLVYNSRWALWTHKHWVQYTLLVLCIAHIIICFIVGVMNVKPTVDNDTLCGAVTSNSHHEVAIFVAYTIFFDFVILCSTLFGLRSKPRLGNKRLWAIIHRQGILYLVMTVVVNVPSLVFAHAALNPIMDVFFTIPAFISLMSLKEDNFGERQEERQELTDMSSTGKRNGQFTTNVEITPSLFLNSGNIPMSMLRDFFDESLQSTSQFIEDGEYNSHYGPPSRPPGDLGPPPADHEMRSDDITNNIRQQSWIPQELDMVNISYWE*
>EED84563
MPPLHPFRRAAGGRQCPLPDRRLPNLFSRPTDPSACWKPTEFPVVTCGPHHRTKVWSLKLLATCVFPNSKLGFPPDIPHRSDRYFAGQGVPRRAPGGRPRRRTASVSMTHMALSFAGDFAYHFLPCALNKLPLRHPICPRDLITPTRHSDQRPRSWRCSVGVKLEHIPLELELESESESESESDLDLDLSQAISQTHHATLLVLCLEKLRPIGPHGS*
>EED84564
MSPAWAGLLALVLALALALLSTMILAASPSIGSPPADFGSGSGSAVTVRAMTDCSGSVPSLFWRWDCLGTRCRGSQRLWQRETLFQAAPSATHVRTTPRARSASASPVGVAAGCDGNNASNPSSRCGQIVLPVMTLGLSIAVTAALEPEPDTNGFPDNDDTGTSGNGDNTVWAYRSMRGTPLLPAVMRPALAPTDPMMRLRPGATLLWRFQRTPLTAAQRASTCQTRRKTTTPLVILPTGPPTSLALSTYTHAAAGNVSSDETASANSGGVGADSGPVSATSGRQNASDAAADGTGSSDDAGKATRWNSALARATIRRWPLKRGQRCFWKMALPIRTAAMMPAQVRSQAAASQALSLVPPTQLPVPTAAPLATAALRQRGTNNRALCIGFICVTLNVGSETSGSSASDSVPALGGSPIQTVLATEASMSA*
>EED84565
MPRMQPGGERAAGPPPDVVCMQAEPSAAQQAQHASLAPFLRDRYPAVKAQHPGEGRRKWRLRAADAFWALPPDAKTASLSAGAADADEDAEFVCDDDPLDAASTDAPGLGILVRTDFSNDQAWHAFRSRLQEGEAEFAPARAPAGDAMEEDAAGSASGNTPAPTADDDAMNADDDDDEEDEGPPAIFSVIDPSPADRPRWTGLSNLAALRLLNDVDVRRAPRPDPGAKRIRPPNRLVDHDGWQEVYAGKTVWIYDARSNADQCVRLVGQQSGPYGTATADSWRARVSHVCELQVNLATGAMTIDFGGLDRWDYEERVRNMEEAMRPVN*
>EED84566
MLQTDSNKPLQTYVREVPVTCKRIPYISSEEKELVNPGTARANFAPTVEAPQRTQSGGWAAKHRRETVLQQHVNYFDPDGDGVVWPLDTYRGFHNLGFNIILSLLAVFIIHANFSYPTSPSLLPDPFFRVRVADIHKAKHGSDTGAYDNEGRFLPQKFEDIFSKYAADGKSLNKWEIWNILKGQRVLLDPIGWFGAFFEWLSLYILLWPDDGAVRKEDVRRVYDGSIFPELSMRRSGKSKAD*
>EED84567
MGTRAKAKVISSEESDKHHAQSDSEQSEQPLKRTNSVKSMKNKRPAHDDEEGESEEPSAKKKRVSRPKVRAQSTSKGAADDADQQIISVKANDEGDKYIDLGKKRRATVRAFKGTVFLDIREYYGQEGDEKPGKKGVTLNQEQWEKLKEGQDAIDALFKKTKK*
>EED84568
MVLPFPAELWLDIFHGLAKEGEYDTLERCRVVCKGLQPMAQECLLESMTFESTEDVERIKVDTSGEEMRRWGGPATVIIKDSPGEDQPIPHLATFASRLGGRWTSVKTLHICDAVWRARDLEADTVFHDLARFPSITQFTLFNVKFPTILTLGQLVCALPRLDDIILGDVRFTRQPFDARLLPRTQLKRLYLGGSEDVVVPTPSYVELVDVIAAIGNRQCLVPPRGPTQTCPVWSAIRALNLRTYGFPSVAALARLLCALPSLETLELDWSRTSLKHGFDHRSIPAHSSLPSRLEAVELTFGPPPYLDFRLMKHAMRSLHHLSVDADMLRLTLNGKDMSLHADKSAVTISVNSIYLQDKEWVLDALSVCLPKLAKRGILYDTSPSGSRQLELHNSKSPRHDWYPADSHPIFRLPQELWDEIVGYIDHDWATFSACSLTCRAWATAFRPYLFHHLRITARSLSHIQQILHSNAHLAKYTTRVTIDYEGDPSVLSQLRQHTVLAKVLSTLPNVIRLKLLSLAVTPSLISALSGLSSRVRELTLGHLVATSLEAYAQFIRAFPHLRTLSLEGVSSVLWGYWDVYPTLLIRLMLQRRLKCGWQRATDRLRARGDGDHPTALDAIIQNIPPSLEYLCVESRTWSIFPPPVPRRTDHTSVRSLELKFMTRVGVYWSSAFFCYTTMTGIREISFHFYIPVDVSFEGMVRSLSQMLSPLIFPQLQTVTFVIWIAQTDRVEDYTANLELICEILPDLHAAGSLVLRILRKSHAAEVRMYRCVLNLGARRYLVRPLASMPHPTWESMFKFNRRLSRDEADDAIFAHDRHVLERVIESAISADACPCVVWCVFPARCASQLVQLTMVQRPFTEGAKVLSVAAVTPRPVRHPTLVDTSSSPHHYNTATNDASTPRWNWQLRRRPWHHGFTLSTSGLGEKTQSFKPRGDLRRASPGHGRKQNQVPQETDGNHGTRDGPSVAAPFGGRLRVAALESQKKTHRQQHYGQHLQLGLVARANQRDYRAMGRSPLCAVTCDGQHLHPGLVARVCGASERKLFGETARLLRAGSVGSVRGHMRRPTPAVRSGCPGLRGLWRKLHWRNSETTARRGGRLGARFYATANTFTPGFRGRSGLEGLWGKLHWRKARPRTQPLFKLDKPTKSKYKMTIVVASTTPGTPALPSKTVDRESRCVAEAQDPPDSHPIFRLPQELWDEIIDYIDHDQAAFSACTLTCRAWAAAFRPHLFHHLRLTACSLAHIQQILHSNAHLAKYTTRVTIDYEGDPSMLSQLRQHTVLAKVLSTLPNVTRLKLLSLAVTPSLIAALSILSHRVRELIVGCLVAPSLEAYAQFIRAFPHLRKLSLQGVLSLFTGRWYMSPTPLARLMHQLRLTRSWQRATDSLRGSNAGGRRVALYAVLQNIPRSLRSLCVASRAWSFWDPPVSQRLKLEVLTFMNEHWLPVFLFALNVTRIREITFLFSSPVVFDQMVRVLSSKLSLSELWELQSVVFVIGVAQPDRVEDYTADLELIREITPHLHAAGSFVLRVCPRESGAPL*
>EED84569
MKWGAILSALAAGAGWMMGNAHANGENGIGGLFTGGGVVPTVGGMILDMINGQGQNAAGGARSSRSSRTQKQKAPRPKAWESWDRHREWQYSENAQNEDDNAGNVREAGWWEAAKGAVDGFSQAMGDNVKEDVSDGKQPQQGAKIQTKMKAGSR*
>EED84570
MDRLNGKASEWIFSENNKDRRPDEVDLHGLYVKEAISFTERAINEARAQGTAKVHLIVGKGLHSPRGVAKLKPAIEELMQKQGLVAQLDEKNAGVLIVSLDGQLSGAGSVVQPDDITRQLEKDGGCLIM
>EED84571
MKCDGTRPICGQCICTDREGDCEYTDGPMRSPTQLLEDKIAQLESQIYDLEHPEETAPSVLLHQPRISFSEPGSTGTDSSPASLAEYHTGDFDFSIGHPSSSSGASSLSSTSPFERPAKLSQMLLERFLSISSEVGFFLRRTRFPTRHPTLALLSPVLADCVCIWGARCSTDVSLQSHESILLSRAVQSISSAIVEEPRHNLIDLIQAEVLLAVYFYSSNRFLEGRYHCNAAITLTLCHRLNDISAAQPQLGRDLLLPNDVFTSEEWNDAFWVVFTLDKVWGAVAGLESHLNGAQPSQVGIPWPLEITNYSTSGVTSACWGVRAAKVLSIGKGMNTSADAVFILHAKAAALFERVSRLASQWKASLGSTPLTIVSALIYRLTFPDPSCAEQLTTELLLLGNVIDIFKTSLASFRLHQEIDVSRKLLVIYTLAHSATIKTQEILKQVAGTDNGRALIAAQAVAREIENAPIAKMMYIDPICAILWSNACRVLFMEMDKIRLTRSPILSSADQAAVEHELDRMGYSRLRDAVRKVLAAINVFAVMSPLMGSLAAQAAQVQREVESANTALGMS*
>EED84572
MSGPPDSLWLVARSMFCLSSSCCVRLACLLKTRHPQDWTPAESKIYCAKASTGKKSTSGHSLLFDCRSGTAIAETWLPQPADIRSLGDRPDALELLTFTRPLLQTVVCFLLAELSASLAYIHLADASKNVWYLCVTLLHLKRDAGITAIRQALLQPLKLAASPNVDRLLPFSSAPLLDTSSHIETQGRVSHRCTVGDLRARSLPQLASFQSDPAPRLAMGPRWRLRDGDGAFWSPRVYWKTSSMPIGLWHAVGGGNQHAPYFFCVTAADILTFIAAAFHATSCSLCLASAVDIYSGSGLGLLPGLWSAGCGPTVHRTTKTWLPAGQNLCSAFSLSYVFMLLPLCAALIALRLSLAYVRYLNTEGLPSACACFEEGVFAMDMKLAASLNMTQHALCASIAGGQKTCSGKGDRECHFRRRMSLCRLRPFTEGAKFRAKVLSVAAVTLHDPFDIQHSSTHRLRRITTTPRRTTLQPPDGTGNSVEDRGTTGSHSQRARLLRYAEGLGEKTQSFKPRGDLRRASPGHGRKQNQVPQETDGDHGTRDGPSVAAPFGGRLRVAALESQKKTHRQQHYGQHLQLGQVARANQRDYRAMGRSPLCAVTCDGQHLHPGLVARVCGASERKLFGETARLLRAGSVGSVRSHMRRPTPAVRSGCPGLRGLWRKLHWRNSETTARRGGRLGARFYATANTFTPGFVVARD*
>EED84573
MTSSVDPDNTGYLSCKRCFNATERAGTCALDVMLSSSNAWVWKQQGSCMFAGNFDRPYHAPLNDWPVYPNSPHRANATWIERIRVSDSDGKLAFTNAITESVAEAVTCSSSIHHLQSRIRERRREIHQNGYQHCGATMIYLKLSDKAVASDNPPKSQLEVLDTQLTVLCLVLHQAHVFRLDSYVKDLQFGVGDNDILRLEHPRTLT*
>EED84574
MSSGDADEVTSTKEESLPTMEDNTKIQNPLKKDSVKPQPEEDSDASGLTAEEWKIYVDEANKALKEYVDLYNSQMDMLLLFASQSSPQTATLFSTVVTAFVIVSYGSLQADTGETSLQVLQDILATLQSNGSVQNKQTATTSAPFVPTPSAVRINSFWFVALVLSLCTAFLVILAKQWLLSIMADFSVHMEQKGRQHQLRWKGIGDWELSLIMASLPILLHISLILFFVGLIEFLWSTNTTVAIPVICLTAATAVIYAVTHILSVLSPTCPYRSSATDLVVFLFSSLAREVTAEVMTAGVAMLKLALLAIQGILRVFSRDTDAMEFSKEIKWMSRILGRPSRWRQALRNRSLWQAGISSLALNTRSLENRHISQHSSLIDSQAIARMVGEFPPSTDGTRNALLIHRITHYDCLLAHRSVLTDCGAVALLARHLRSILPADGQLQSSSFEEAVRMSTALCSLLTEAEQDDSYTQLSTKEVPVHFDIVLGLAEYSQNNIGESLKTANVCDTDASVPYQSDIVIFANMLRLSMVVSSDEWYGSGLHQSASIFCQRLEDAATTASLDDTALQSFVNTVVYLSFFPVEDTSRPDNQSDVELEHDTMIMHGLTALVRHRPEMGMPVLRQICWGVWFCSQPRWRIGSGYLVPVMKTAKHLVRPIVDLLSSLHSNSSIPITVLTITEALLCPASKGTTEDVNDRSHLRTALVGAFPTFLETLEGEIQTKARNGSDLIQLASHAIRAYGRIVSASADKNEASPHSDSYSGLYQKTTRVFTLIDYILEHFEEILPDNQSKIARDTFVVVYVIGQLQMAAQLGSDSASWIRVTASDRGQDDKAAPMQADEADQHETNEAHGLVEGGARNSLETDLPRRGSNAASPRNGVHKVQQDVASAPEQQTSSLQKDDGAAEPEGTSEPRECSTIDLETISPENIADVFADSLRKSSTESSLPDGIRSYLQNLVRMIVALPAIAGSDQEFSGVLLECLRDPSRGVKRNLEQMLQPETQQSEYNAPIRKALEVISDSGPLLESPKKSEA*
>EED84575
MRGVVRTSLKHSSTVSRKSRKEEEESLRSAKSADKKPQAARSHTPEAPSATQDSHKASSREHGPKDFEHLSTSAPKRMNDIVLVPPDLKKLPRGAKPKAPSTGAGEVASTLRQGAPSMAQKAMLEEERVRVVKLYREMKKAKAVHNHDSATSSSLQKEELSTLMESWSLSHLSLVAVVQAGIPVKLAIVGRTSWKAGPQVVTRRGRMALWRDDTKGQRNKKKNSSRLVTWKWTQAQIVHIAQVGGYIVAAVAAASQIIGRREQCFSFYRGPSCEFFWGVFATARYERRVWMPERTAHGVHVFSSYALRKASWSPRSSTFTFSCPARAATRPIFGIVNFRSVAHLVPPYRTEEALQVEHRNTSSDTSSWIASRNYSTKGDCRNGHMQPGLDPETWKSYLEQASERQKQYVDQFNSQMDMLLLFAALFSAIVTAFVVVSYATLQPDLNQSTLQVLQEILVTLQSNQSNSQDAQVPASHSFTPAHSAIRVNMYWFVALVLSLCTAFLAILAKQWLLSLSGSLSADMETRGRQQQFRWDGVKDWQLSYIMAVLPLMLHISLALLFVGLVEFIWPVNTMIAIAVACLSGATVLFYVLTHILSILSSTCPYRTSTTDLVSCTFNMLAQEFLVNSMTAVIIAGLCSILALMSPFVAAAMVVSWVRRHTDNDRPEHERALRTTLQDLRRLVRFIGGPSRWRRATQSIWTWQASPMLSLRSAERDYIDDNSTLIDARALARMIGDFPRSEDSSALVKQLVDFGPLRERRSVFTRCGAVALLAQQLHSALAETTTVEPVQHAETLRVSDALVQLLTEADADDRYTLLPAFDDVPVHHDLVLAIGTEYDYVDSLEGSGLCIADHAVAPHPDLAIFANMLRLHVLVSSDGWYSTDIGRSVDSFLDDLKDPATTATLGDDTLVTVIDTAIYIALRPVDGTGDGSNSSQEYPDLLARVLEVLTTVVTNRPDMSIPALRQACWGIWYCSRRQGEVIYKGGLVPVLKDMKRLIKPTVHLLSVVKARNPFTPMAVLALLEALLYPRTRSSITAEQEEERCQFASALAHAFTTFLEDVGAALGSLSQKQNIPPLALTTRIVSTYSCIARSHTIEGRDPNIPQLDIEHMTASLLGLAHHLFEHAGDIPSVDQSTMIHVTIGAVCAIGSVLQTHTTDGAQSSTAMVRSGQAGEPHTDSGVYLFEVPRPVAIEEVTSEHIADSCAALLRSASYAPATDKTPRHLDILLSMIADLATRFEKSASVTQLLAYLRDPARSVATSLQRILQCTHEYDQGPIVAALTAVRSPVPETAVQP*
>EED84576
MSPQKKTSKPHVHKWESTISIDIASKTQIELLQLLKQEAGCTTLEAPWYSLLDRVLNLVASRAAPPNTVMWVHPQQRFARKSKSSEYQSPDFTLYITSDDLEHKQIVFIHEAKAYTANLSVLNPDPCKVLDIDICNELCNIYNDKLQQQLVKQAQYAFENCNQDIIYILCTVGIFYRVVVFDRKSTPPIKDDSDYLPPSYAPLKSGKWACMLSDGYTDVTPPLKGHCTRCINAVFEQASRKNGSKDGDEDKEEKEDKEQKEDKEQKEDKEKEEGDEDDNEDDNEDDDDDDEDDEDDEDEDEDDDDDDDDEDDDEDEDDDNDDDDN*
>EED84577
MTEYDFSPEAYEKYMATQTRVSNWVSDQVSRGPRYADPHVPPSNPPSVVPSTRSRPARSKTQPQLQPLHIPESRSPPRTSPPKSSSGSRRSHTSPPPPLPQPQKARYVSPSRDDRPRPAPARSRTLPMAHAQHPAHEASASRPHHAAPPPPQPIPYPPPITFPPAPMPNLPPAPPGHSAVYRAYPYDGSGRQIVLPPPRPGQTYVIVPPHRGAVQVVVTRRRCPLTHGLPLSRWEQHAQPDQQQQEGRAVPPAPPRLDQPDRGRVQLRARRPRAGGPAAAPPTTLDEPFLAAQSRQSPPAAPRGPGSALGRGFPLRLGIVARPGLRSFCPTLEVARIADIGAIAAAPIASIRALKNIAPCVRIALRELGAHTTLQNPDASASTEVRAADSPGARLRLACLHLGAEIPQTTREDSTFRLPRVSGTLPPYGALAGTGLQQELIFERLEAPECTLCRRTWRTRSKRRHSAFARAIPGSTVTNEHSEAGPVVCTPASLDLAPRATLRILYTEGPAQYERRVRTSVRCITLAQIDRLCEATVDPKTAGYVYGPTERHALHSKVAKAAGASGRAVGAHVFQSYACSAQSSEGISLSLRRGRASIQQPDQYKTTA*
>EED84578
MSAPITEAHVETEIPLELEPNYTIGMTWQECASVLSKVEPFDELPHELVPGQKCFPGCKPPMLIYGWVPDEAKLVEYATKNNAVILQNSLEDELKHFPRLSHEDVSEAEIEEERAQEEREEEYSSNDMEDETLSAFLKLYPKGSLVLTLNTAIHMILHDHELGRYAKNIRISSTPYNGDSRLISIYTSYDSLPHVPDDQVVDRIYEVLGGTEEPRRCKLATPQHQKANSYHFAVSSVETQPPICDTHGNKNERFALLRRKAESAIRRFNTIAAHAGGANPPAGRESSNRARTLLPSESVQTRLTEAVAAHNFATSPEYLSAIRAWSPKAIEHVLERAQHGGVPEQSSPTQDKLPHGAPSPTSAQIGHSVKDASKRPSHQKEEHKNPQGVRTRHSTPWHYLTTACLPAAQSAPRANEPAAKERERIHTLAQRAADTDPPSPTHADADIWYGQLRTSWLHSCPRAGDLGRTAGPVTAQRGSGRADWLRGMRLRPGASATEPRCRQLGLKWAAGEDAFTPVFQLNWDLIGKRQIDAH*
>EED84579
MYATVAQSHEEVECPDLLKKDFIKISKIEPFTKLPLCLVPEEGSELYPPLFMYGFHADTDKLLKHADSIGLFEMVDEEEEEEEADSIDLTEMVDEEEGEERRKEKEGRKERKEKEETKGREGEETANEDHESTCTAPQPRKSSVYDRVFMQKAIVDLLGKHQLGDYSNNVELHSTLYKVLNNDSSVIITLFTNYSLDKELAFETVDAIQKALEAPEGCRCRWYISTKYVMWNEDANKGFAERDYGGK*
>EED84580
MSSIPTTHPSPWLGLSCSVVDNSDSDLDSPPDSDLGSDIFDDHSGLLSLQTSTARPDQVIQYPKLLSPKYWTKNINCKSLCGLEPFLILPNIFVPKDRARIEPPQFHYGWALNEAFLLKYAHDNHLEIEPESLLSDEGELFEDYSEEDEDKDPIREKKNGEDGEKDEAEAEHVCSSGKQIEDRSRDSLTVGDTASPEEQVTGTQQDGQLGDPSDSFTVIILAIDDILTKLGLERYTHLVKVGCTVIGGCSFVLSIYTNDDLLHSLPTDDDIKKMKEGLGGEGEARWYISNDRFVRSEETSTLATCCVSCEGLGRLTRDKEIAKGNSDEAAYTTSLLRTQMNPPHSNERSL*
>EED84581
MAAFSSDSPLAPSEVNRLDPRKGGGGGHGGASGHGGGASGHGGEGSSGRHGSGSGSKGGKKGSESTGSTTGEKSSSITMPGSTVRMTATTYSGGGGRAVVIPQGQPFSGLTYGSGTRGQVYGTSTYGSGYPGLPAGSVTDRGFPFCFWPVVWEKQPYGAPYLYAPEYGSPTNTSRPGGPLTQATFTSKTSNNTFWVVADNATVIALIATVHDSCTLGNGSSTNPSVFAGSTVRPDQVVQYYRASSVALALDGYNDTAKLNNPNASAIPLPGWVDNSFLKCLNSTIGESVPLVNGANAQFQAPVGLVGLLCLFIFLWF*
>EED84582
MACIEWLCKSSVCAGAGILIVAICAVILAQPFYSDVNVLLPVNIAVNSSPLRILLLTAHPDDECMFFSPSLTGLNNYELAGTGLIPELFSLCLSIGDADGLGDVRRNELKKSLDVLGIHAGRRWIEDRPVGLIERWRHKLEAHEPLCVCGWQARFLDNVSVGVAGSQKTPSGHGEKLRKHRLLEHLVNFVGISVDKPAHAHDKRCEDVSRLICAARAHRSDLKDNFTASWDAETIADVVRPYVLQHNITTILTFDERGISGHPNHISLLHGAAHLLATLRSSGSDRTHAPAPRLFTLVSVPLLAKYTGPLAALHARTAGLLSAAAAGLPSPFLASLLPTREADPPIPDTDVDAGTRQMPVFASGLREYVTALRAMAQHRSQLVWFRYLYVAFSRYMWVNELREVFPPAPTDVAGTGSP*
>EED84583
MTDELGFGREKRRELNIATLKDEVTFRDSFREMLESVVAKGHGFEECKEELKKNIKLDPGFKEFYHYCEATDIPVVIISSGMAPLIRAVLSNLIGETDADKIEIVSNEVIIHPDGKWEIQYRHPSSGFGHDKSQAILPYRRLPNPPTIFFFGDGVSDMSAARHADVLFVKQKGDGENDLAAYCTREGIPHILFEDFGKAQKIVKNVVEGRLTVKEALALGRAE*
>EED84584
MEYMEVFTSKWKIEKEQKTSQERELADTVAMYRIQRDPGFVAAKQPLSGHAPKCNWNVAEPTQNNAPAATSSTYHEEATTKHRLPHFLTVMDNSVKVIAVQPCCEAQAEGQSERTATVDETYNGKARLTSKGPKLPVDRARDPNATIADNVDEESGVAGKVRTYASAGVKHAGKVANILFKIEPSDTTVMDNSTTATAGIVVEHDGCGVYVADQSHEREAREIDATSHEFVDPGRAEVTSTVVGALIIEATSNEAVAVETALSEIVIGRGHHEGNVDAADTSDEQEARGGGCGWTEKCLQYSGRAIRAAELKAIEGDINMTSTFIGLVAGAVQQFSNPPLRSDIIAFTIFTLGIIFYMPPRRKGPMIAVIVCLVAQAWKLGTAVGVALLLLCSAKLAVVLRMLPGGTSVDNIYLFHDGYVGSIDDRVLLQTQESPVS*
>EED84585
MNPFTAGGWGTSSGNPPSIFGALPSVPVSSSAPRSIQADSVQFKLTNFNTTVLNCTVVGPHNHVVYRVVTNSGAPSSTMWKDNESRNVGMVTWQPNATLEVRGVASRQRVRDWLRLSSDQSKRIMQIGGVQYAWSPIEGFICLYKLQSTAPRVLARIARLQSSILLDMTPEAMQLGLLEPCVVATVIFTCGHNID*
>EED84586
MVAPLYTTASGLLFHAGKILVLTVGLPARGKTHISRALERYLRWTGVKTQVISLGDYRRKVLGGTRNLPPDYFTLGEKSPETMALRQKISDGCEQLIMDFFNEQGQVVIYDANNGTKERRQRIADKFIKHGIHVILLESLCDDKELIMANIRSVKISSPDYRNWDPEKAVEDYYSRIRDHEVHYEPVEETAYPFIRIKNVGEHIMVNDIRGYLQSRIVFFLMNIHNTHRTIYFARVADSDLSQAGWEYAERLKEFVLERRAKSMKERGIDPTDRRLVIWTSARRRSHHSAWPFLTVGPHDPAPPAKVKVIERPQMSEINPGVWDGLTPDEVRKYYPDEWERFVHDPYAYRAPRAESYHDLCVRLEPTLIELERQKEDLLIIGHASVIRCILAYLIGLPASEIPAIEIARGDLIEVVPTSYGVYSQAYHFWDGPGRSDTNGTGTDDGNFYENYAEDTKGKRRPEVDVEQAV*
>EED84587
MSIDPYHAVQQEIQASLQTASTLRASYLRIRSTARDDSEELVWARNELKATLAALEADLEDLEESVRVVESTGARMFGLEEAEVMDRRRYVSHVRHEIETMRTEVDSDASESRPRPRSQIGVSSGLSYTGSRPATPLNGDDQAEWAQQEQEMIIRQQDQTIDSIAGTLTTIAEQAGLMGREIEEHNEMLDDVERGVDRSDAKLGAAMLKMRKFIRQTEETKSGWCIVILIIILMALLLAVILV*
>EED84588
MPTRFSNTRKHRGHVSAGHGRVGKHRKHPGGRGLAGGMQHHRSNFDKYHPGYFGKVGMRRYHLTRNLQWSPIINVDKLWTLVPAEEKEGLTEDSEVVPVIDILRHGYAKILGNGQLPKLPFIVKARFVSAKAEHKIKEAGGVVKLIA*
>EED84589
MPALSSLRRGAHVIAISDYPLDHAYPSLIIPLLRSTTNNENIFAEHADLSDPSSIRGFCTRFLTGQDQRLDAIVFGHEYHTIGSLLRRARPADRLAEKRETASLATFLMMTLLLPALLVEPAERDIRIVNIVNPFYAASIPAFTAQLAAAAGSPAQAAALVDEGSRALRTSVQASAARTPSCRC*
>EED84590
MRSAVMPQQEHLQSRKMRSTFAHPLQVLPIYV*
>EED84591
MPKMSVQQRANIMYKCFEISEILEVIIDHVFMSSEGMEGMRAVVALALTCRDFLDPALNRLWHSQESLNPLIRTLPPTAWAFYGKSVVTIVRPLLPDDWTRFRQYASRIKVFGCDPRHPEGSLHSYAALNYTLLEAFVAQHPCLPLLPSLRHLHWSSGKMDPKTLPYVPLIAAPTLNTVSLDFTHHLRRDDARAFTTALIRSIRLHCPDLTRFLVNELQSHTRPVIGTALRRWLAQKRQYVPSLAFRDVMNLAGMRFLREAQLFLDDTDMGAVHDATALTFSSLRVLELHARTLGVCLALLKTIRSCELEVLSIYVNERPVADLIFSLLSELRTVCDPASLHNVTLLDTADDDYIPDRSWGGDMPSRYRAFVVDAHTLAPLAAFTQLRSLVVEFSAIYDMQETSLARMLSAWPQLEVFSLGAMHGWHAASPLTFSGLARMLTLCPQLRACGLALGSSHLELDVAACRAGELRPNHSVTTLCLAVREGTDELLLAECVQYLFPNLRCFE*
>EED84592
MRGTIDVDSRMAYELRLRDVATSREEADGSYRSLDDTHRLVEEVPRGSTDEPPRIWTSSPSQMLHADEHKRYGRTREESNATPLPQTSPKGTRQRMNSEGLSSEPPIWAACAEIVWARESEMVEKWNAEIDALLVFAGLFSAALTAFNVQYLISQPQSPPSNPTPQITIQIPAQLISLITDAGSRNNSFADPISIVLASSNNSSSNNSALTTSSIAVNTLWIAALVFSLAAASIAISVKQWLNQFTARVTSMPRQNVVVWYSRRRGFVKWKVEAIINLLPILLQIALVLFLIGLMELIWTINMVIFYTAIPFVAPLLLFSLCTSVFPAISADCPYRSPQAWCIAVCVQWIKSGLGIGRRSVDWIESERDFVTSQDHRFADPMLLVEADAAVMDEVFLKTVIQPYMATCSLDDATEILFGIFQNRIHGISDSRLAWNVDRNALTTIARMTFDLLYRFVNNQSSPSSDAPPQKAPINIPPKLVTVLQKLIVAMHADPRASVFLRLLRFLTRDDHRLSVDVFNRLVDVVLEDARGYLEQGSRVHDVYDSLFNLLENKKDILSGRARSRLMHIAFDKSDWLAILISESDRPGTASSSILLHANQREPAAEEAGSPNGDISPLVILEDCLTIAERDSVGAQLRGFSAWKNLIRLVRQGGTVTYDTFIQHAEDIFLTRGDAYNLWLVL*
>EED84593
MSSYAIIGGSRGIGLELVRQLSGRKNVHVLQADVIDHHALKVAADKVSELTGGTLDVLIHSAARMDHTSLYRRLTDYADDNQLDADFTEAFRVNVLGIVHSINAFLPLLRKGGFKKILVMSSGAGDRELAWKARVATSAAYGTTKAAANMVMTKYAVLLESEGFTVFALSPGYVDTTDTAVDQPDEAGKAALDEMLENIRKVYPGYDPAPAPLSLAVKSILMAVQQADPSQNGQYLPAPRLTS*
>EED84594
MPSYAVIGGSRGIGLEIVRQLSSDSENTVFVTVRSRSASTYLADLVAKAKYRNIHVLEADVIDHLALKAAAEEMAKATGGSLDVLINNAARMEPTNLYNGFFDYEDGDALDTEFLESMSAFAFKVNVLGFVHSVNAFLPLLRKGSTKKIVLIGTEGGEREFVRVAHLHGMSAYGTTKAAAHMVVAKYAVLLERDGFIVASVAPGLVDVSATATGGSGQIWKEALETQEAKIRKEIPDFMFHAISPEDSVTTVLRTIAALDLSHCGKFIGHREQKAAQN*
>EED84595
MSISAERTQDASTTLNKHEAPVREVSGSVERVQSSTVICHAIVHEFLEKVQFSCPRFIRDLELEARVAEAVRTWGHEERLRPYVVTGLILTITAYNHVAHFETRVQITLFTIAINAMNDRQIYNHLSAREFHRRMCIGAVQDETGMLGTLMKVLDSMWDNYACFTANTIYASALRHVNAILLENKTDITLLRSHDLPFVEYKRSITNTPEAYACFIWDRRRYVIRQAREVSGISSSIVKYAHPSYSDILSFYKEELVGERANYVHERAYQVRDILGEGEARAAFENFATGYISIYIDTPEKRRAVDTVLKGEM*
>EED84596
MYSIESTVLEYLSTLLRSRQNVTDIELRRRVAEITDTWDFGESMHPHINTALVLAESAYDHLADLNAKVIITILTALLTSTDDSNALDGLGFDQFHRRHLDCTLHADKSRMGLLANVLSQMVECFPNFGAGTILVSVLQIVNGRKLESIARETTLHQKALPFVEYRRMVTGGPEAYAFMIWEKARFPTVDSYIQAIPDILSFYKEELANELSNYIHDHVIVTGIAPSDTLRNVIDESVSASERVSTAAAFWRQLEKCGGRMNSFIEEMMDVVSVSTVTGGCIVGDTSAETAVS*
>EED84597
MLDSKSDARAGVQNAATGPTEIAPRPFDRADADVILRSSDHIDFHVHRANLSIASSFFNAMFSLPQTSDTHLDVQIVDVTEDSSTMNAILRMCYPVVDRSISSFAVLSSALRAALKYDMELAVELCKQSLRLWVHSQPLQVYAVACRVEDESIARLAAVKLLLRYIRGDIGKDGAAFLTFCHPESPPMPELDILGDTVTHVAPTSALPADADCPILFADAQAIVLIVTADERQLRVAKEVIALASPILSEMLSRAQDATKHCNEDNEQNVPMLHVEESSQIINHLLRILHPSLIPSPIDATILPNLLQVAIKYKMEKVVWFLRQQFDSLSASEPLRLYLLAASHGWKKEACTAAKAALDQRFVDLEKTYVSELEVAPAGPYYRLLKYHQACGEAASVVKISDFKVEDLHSYHYHRDCHWRGTAHVQQLGRWLQERPAPSGFIAGLVATGTENVNGPGYCDVPVSFVEDITFLSQVFDRGREIEAAIAKASALFIVYNTQP*
>EED84598
MAETSHSRRVSQSHIPVFTPRKSSSSPGLSNPHLGLVPPSRHLSVSGSPTHPSPSSASSSTSGGLTPFRSFKNLFFNKHAPNTPKSPFAGFGSIRRSINGERSVSAPHLRRQSSAEESPVLEIVLPCTEPLLNGMELQNIGLGATRSGPPSFWSSGSVSVLAASDEAPSYSPPAGLTDLSTIIEAENSGISKHIPVLDESQEEEVLCKVSRELDMSLLHANVQKPSRASSQESSVLDLSTSRVTNEVLQAMSETGRTEGWLHGVVVDDTGDDILSDALLAHNAAGDPDVSFNLSALDPDLAALLSPNRLGGSDPTLSSSADITQRPLRPSPTRPSTRTSPLLPSPELKLDSPRRSTFTRSAASSRNTSPVRRQAPLLKYGTPNLPSTALPRLARSASDRPAHSKLGHIPPPLPSPILRAYSHSPERPSTTGAMRTPPTAFSPLSQDESRPQSSSGTDSRRAGLSRFLTPARSGNHLAPGSSSRPPSRQYNRTPPRSWDPPLPSPTFRPSSAAGTRIESTGNRQQRSVRTRTRERSSSVSEAFAAAGLLDLDADRRPPSRFGTNRSSIDDARSRFAPSRLAFSEAGSSSSWSRRSGSISRAGSLSRTVIASDMGGPMSESVSPRTTFSGTSTAPTSVSAASPARQTMQSELQLLQDRHSIETGALLSALADSQRTARVLRDENTQLRDRLQEVEDRLAAALEQIGRLQYSVPPSRIAALSSSQYDLSKDRQLGLGQSRLNSYLRPDIDASPEFSSPERAISSPETPGDAELFADKRRKRMSTTSSLFPGPPSNMSMLMHEEADARDHSIAFSSRAPSPSSPTLVLAKLGGAQHEHKHKHSIPSAGNISPITANFSIVTGSPGSLHLRPEHELHLGDMPSLDLNADFDDGYD*
>EED84599
MSGQPPILTAETGTPASEWAKSTTTAAFVREDPAPAVDSSLPPGIQSTLGQQSNSGQQSGFGQQSAVSTPGGDIPGAYPRDENIGSTAANTQPFGQAAKSAVQSVTDAAAQYIPAAKSMHSYSPSSTAGAGTTTDGAVRASEHDNSHSTSLPSNEIEGAQPREHVGGAGALPGWYSEAGVTKLPDERNSNGQSSVISNSTNQSAMTQSSTYPASPYPATASPDTSTALTTRTTAVTARTSLPSQEPVGQQPFTHQDGAGSLPGLKREAGVAALPDEFGGPTGTTGATSGATGTHPRDYAAQPALARPGDEAGNKSLGLGERRAGKDAVGGVGSLVGGKGEEGVAVLPDEKVAGTADTGVSAHGKGEKLAEMDESEREERHKRMNAQPDAKHEAHGAESKVSYRSASEGDSSASCGVREENGEGKEMRMDLLHLNIQPCTYTLGTPNATWHGIGVGANSGYQDGREVDIADVLFDVEEGDGYDTDYHPAQLHPIPKDAPAAGTGAAPQEQDVATKGDATKEGNEEGGAGVPAEHHGDKVKKAGFLDKMKGEAKVLMGKMEGKKGVAKVEEGRRVKAGEA*
>EED84600
MPFGIGAINAVRTTFYVILFMFSAVMIGLTAARLEYTTHLPPWDPLNQGHSFYNPIVAELLASSCLSLLWSLFALHILFPGWTFEYGILSSFFGEMLGLFCLFVLWLVGAAISSTMWAELGWCHEYEACRLLTALVAFAWLGWAMLLFLLFISVLFAVMNRALFWQMHGRYNPRASTY*
>EED84601
MKFTTPMFLLAVCVALVPLVAASSNGMDMSMDSSMNLAQGEMLPYLHFTPGDTLWFLGWVPSSSGAMVGACIGLFLLALIERWIAAGRAVMELHWRRSARVAMQEKNAAGLPVAYSSSDSKSPSTAVSIASLPDRTTLRTFPPFIFAHDLPRGVVYMAQSLLNFSFMLAVMTFQLGFIFALIVGLGVGEMLFGRYTSHSALHLV*
>EED84602
MAWISCCACSGTVSKTRPLCLLTAT*
>EED84603
MSFPANCSDFPNLVTPQAFYPQVVATGIELGRYVTVATAGALLWDVLSNMFSDYDILFNHRFTLTALVYAMARLSVILFVLLSAVFQTAPVKYCTIFQQVRMATLVATISTTTLLFHIRLRGVYQMHRFITEAFFFIWLCVVAGATTLLFGGTGENLGLTDYCIDGPSKPYTALAVFFPIFHDTLVFIAISYQLSGNILREATVYRRMKSFFSGKYLPAFTASLLRDGQSYYAITNLSAMILVILMYIKALPIEYHGLFSVPNTFIDKVLWEQMIKLDEINLVRFNVAVSHLIKGLIFIVVKSEELKRALFFHLSYYAYRLSISKREPSFAPAYPAIAITMAGVNMFASDAQRRADGTGGFSVNEEMVKLCQQPGFLKEKEGGTKLRHLYQMKSAGFDANMLRQFALWCFLGQAESIKKAVESGSAPDLTATETPYKFGYCTLVIAGAQRGAVGCPGSDHPATLSFLLSSGAPPDAPDIVGYTALHHATQNAAGPTLSLARILLTHGADPNHQNCYGEVPILGAFQTNGVGAIELLMEFGAQMDVADADGITPRGLHLLAGPQVTAAVAKWLRRRTGGHWRTHKQTCLPFDMRHTVTVRPAYEGVTNVIPLADMTRASFGIPSPPPPARNFRGAKVPRMRRDETKQMVIKVQVPWTGGYAPAQAGDMLVYTKKRDFVCRIRPEDGVDAYRRLAQVVHEQGVGRAKAYFSAELKDKDELVIKVGDVLAEQPF*
>EED84604
MPKNTPSEMLDYTFGLVCLIFLILGFVTTRRRSRYPLPPGPPGSLIVGNAFQIPRTHSWITYSRLAEQYGKGALSMQIALLSLWPEKCMTFYIYFSTFILKSPQRRFVVAVVLQITHGRAVYDCQDPFVKIAEDVSNDFSELIKPGRFLVDSFPILRFTPGWFPGVQYKKIAKKVQERLHQAYDVPYSQIKEQMEFGTASPSAIVSWIEEHPNATPEEINMAKLAIGVFYTAGGDTTVSALESLFMILAMYPDVQRKAQAEIDTLIGSDRLPNFNDRHTIPHSVMKDDVYMGYYIPAGATVIANSWAVLHDPYLYPDPFEVKPERDFQSGEGINPDPRAFAFGYGRRVCPGQPLAEDSLYIVAATVLATMNIDKAVGPDGLVLEPVVEYTGDLIRLALALSHPDTQD*
>EED84605
MRFAHVGSSRDSLGTGFPANTDMTLCSRSDPVQMLAGRSSINVACVGTRRLSTLAKHALLYVDRGLLLVNKPSGLVCQYNRKDDREQNAHSDFNDFTDDLKRQLSLDADLLPLHRLDKATTGALAFALTHSHAQDLAEQFNAHTVKKSYLAIVRGGTASFPNRTGNIRGVLYTTNGRVSSEAQGQWEKRAITETDWEVLASSPVAPLSLVRLSPFTGHKHQLRVHMAKILKGKLTRYLPEGPNKRLRMTFGASLPRYFVRLCDRIKIPLSSELIHGGLWIEGKRVPIGKGGQTPTADATSPTSSDVYPETRAEDGNVDDILTALGGRWLGPGTASSKPTSSPPRTPRS*
>EED84606
MARLAFTAAALAGFVVAAFASPVVNNLGMKKRDYSGQATFYYPDGGDGACGSSIQNSQYALALSSDIYNGGQYCYDYVTITNTDTGTTQTAQVLDECPGCGEYDIDLTIGLFEALGGTVDEGVFPVEWSFN*
>EED84607
MLALLIFALWAVSARAVAIVKREDVSCEMVFSGPLALLSLDRPTVWNVSNEQAAENFNALVVQSPGLSQLQVEFQKFTGFPAGWPDQCLFTGELIVGESSSYVIEVGNCSYADDSSQLSQYWSLGQNWDDASMDLFFLGRSVNGTTLISGETSYQYVLNDFEDTQAIEVWTVFVLNADGIYSAFYQVVIANQCTIIALTFGAR*
>EED84608
MLLPCYYVSIFALENNVSRSSLSTLSYIIITYGRFYTERKTLRLWPFATTQAHANHYMSWVPAPIPARLRRAIPLRYETFRVAEEPLHVVPFNWLQHPQLSGMRLVDFDLPIADQTMRARMLRTHMRDGHDVVLRRPNATGSIHFDLAWLDPPCVFSFPIDLSAHDNLQGIMRTELALRVVRIVDEFIHSKVPGAYGRHKDITILDILMQHGVNYDDIVLVGVIVFKRAFMCIRLEAWRHTPNEGLYVAHDDLALSPGYRFCGACVDGRRLDVLLPPSFPYKLRHNYPEPVNISSKTIILFDWKQRHGEGVLITDLFSYDVHLLGQLMRGGLVLPFEETGLDEIMVELELKTICAVPLPLKQYMVAIRDKVTKRQFTLAEIAFEICKALLDSFEEACVDEQWGQTSYRYILANKGAHWDDLAMMQLSHLEGKRCRLRLQVRPIMMIPVPPPL*
>EED84609
MFANPPALAYTHARKVYLYDRPGGRLLTRAELFERIKNEARHWVNVGITLLAYRTQAAGDPEGNQWPRGLVGVMDQAIIITHISQLNGKLFRLHLAVWPPRNLAQVVPESTGATTTPAPLSITELERNALLTLTALAEQRARAREATNLCFRPHRLVELASTIEL*
>EED84610
MDAVTRRLLVLASLGVRLLKYTACLVFLVNLRSWPLSWHLRVFSPLIALRLRLHLLRLRLLFKPRHVKQRAKAQWLAALSPVGKSPFDVTVAWKGWASPDDCDYNLHLSNSCYAKSLDSARLAHVLKCFPTFFRAGGWMPLGGTHYTFLREIPILARYEIRVRIVSWDNKWLFLVAHYVTKSKRSKTQKRAAKQPVAEHRLPPTPPEHDTRAQQAPVHLLHTPATATPQPAHATPDARCGSVR*
>EED84611
MDAVTRRLLVLASLGVRLLKYTACLVFLVNLRSWPLSWHLRVFSPLIALRLRLHLLRLRLLFKPRHVEQRAKAQWLAALSLVGKSPFDVTVAWKGWASPDDCDYNLHLSNSCYAKSLDSARLAHVLKCFPTFFRAGGWMPLGGTHYTFLREIPLLARCEIRVRIVSWDNKWLFLVAHYVTKSKPKSNKMQKRATKQPVAEHRLPPTPPEHDARAQQAPVPLLHTPATATPQPAHATPDARCGSVR*
>EED84612
MPSRRLPVRSGHMLLFISAFGMTSLYRWTWSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTHSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEEHTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEDPIPATSNLITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTVANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHAMHNHAPALRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAATPASSSSPPVQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNNKGKEPVYKTIVPVIQLKLAEEIFQCSMKSPFITLTPEELLSIAPDVRNKHRDAVTPKRVSTEPVASAHIVEIGTNEVTAVNQLSCSGATLEPGATIVPNPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQLANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTMPTRPRGPLAYRKALGAIRDEETELRVAAAVKQLAERAPESWVEWARGDWPELATAIDAEVERRVEEQKRLAEEEARRVEEAAKRAKAAEERRLEDERRRKEEEERRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVAELSDDPSIKTPRTVERPLAMTEVDMAAAAIEKRQSGQKCDRCAGYRSAPVDCVWSENATTCDRCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSGGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKDALAKGGIGFVRGVVDED*
>EED84613
MSEGKPICQLSTVHRGDDTKLKASACSVTGTVAALRRLIARFDPIAFCSPWGNVETKRRLLRSREETIVFALAADSKGRGIRLTALQRGLVGGVVRHATEPVVLNWEGDFICVTVQFGGYGSHMGVRGCTRREQPPAGYPRSLSRYGNQPLALDDCVQYLLITISATRVTSARLPSLILYFRWNEQLQALSSPHLMLATLDLQPIAQLTRACLRDVVDDVPRPYINPIIVAHPVSHRWSDLT*
>EED84614
MGMPLRSCIKAAEPIEPPTATPSCTSPPWLAGISSDCRWAGGPTCTPTLETHALIHRGEGQRFIAVLPPATEGLLPATEDVARSYKPAGLRCFMWVALGLFDQMLCMSGIAERHRCLQVEPCSVMAGEFAPGTLPAISVAVKEEDANRAIDGDLSDTGMSNSDGDRPPLMIPPPQVTSRPLDSTEEQALDGRLAAGGWCNALTSVRYNL*
>EED84615
MRACEKRPSGFEEMGQGKGNRERWGVAGSKGAWRHTRESKLQQNIFKGLAATHTLSSSTIYVAPPIALSSRAAILPPASILTLRANSLAHRRSTRCPGQRRRASGTRHWAATSKSARPATANTTTTDADRAYNAARCCYFHRFEPSPRDALASVALPTARYMLCPLGLASRSRPTHPASHFGQRALLTALLEVAWRLFFCRRLRLQDRRSMVSATAKSQGPQRDERHSALEHPVVAIASRPCMCIGGEARWSHQPLFSCQTFFCTTKLTLSTKRSIATLCRSRPPVVRRLAAPAHAQTETPYDNGSQRARRVGRQRARTLGQRQAKKSAARVQHPCPARMRTHSGFARLNVVSRREARDAANLSVRLAACPLHSDDRGGRGSRTQGGQGGYVHGSMCPRCLSHRRPASSASGVLDATQHEGETRETRDRDEPSRPHPGGVRANEARGAQAPGAGGRDNVPGAGNTHGGAAGRRKAGEDASDAADTESPLARTSFGVQDVCSRATFRSGYAYRCSSVVFQVRVCDGAPVRDARADDHGRECTETADGTTFTAGVALDKQESSEDDCWRAEHGGAESQPGEPAKRATEGDKRQQRDADERGRGGQVQTGNVDLRGSGSACIRSAAANATRAAAAAWRRFRAWRSCAREERPPRAQLQLAPAQPSYHCPERPRARVREALSLRSSFSPSPAVPSVASFFPSLSAARARARCMRSAAATATACASGVASGVCGRGGVLGCGRCVQEGHGGLLGARALGGLRLEAVLISVRARRSGKRAEQAQTANEDFEDRGGSRLAAGATFHYVVAYRLRLAIFLYGTIQSTTS*
>EED84616
MRHTCSAAHDKQMGVLQTFYCTLVHYMTTIHGTGSGSIVEHRLRYTQQCERGQYGTRTMEGVLAAAGRRANGEPGARGAQGALFFSRAQWMRVYQARVERASRMREQRECGSHAQRKQSWINYAPVREKRTPVSVRERVCIGEESGPAFSVCCGGCMEGARERQQLDHIHTRNELREDCSEEGVVAEGAAWSMGGRCIEDVTTE*
>EED84617
MDLPQSITLQILEECLVDISSDQHHAAVVLRTHQRVLTSHNSGLPFKDAVSNMGYKVAVTARTQAILDILHYYPLATRIDMGDVDIPIVTSFEFLEDAIMYDGALVRADAAAQREDQVLVVWSNQTDTIVDDYHFVEHQLRHFIGLCTCKHLSVAPHTGIQSHHERSYGATGHSQSLIPKNLGYEGLSGRDLQARPSDGGQPSRSTTEQALPITPVKTRMDPSLPYWNGSKWLPRVPQSSANPGASIPSLIARSTQIRTSPTSNAFATSSGLTSKMSSVFCAGSPSLHTQGKGDLEAGHDDASAENAELYSNDNRSDEDSIDKSVHRRIQSATVTGAARARQYTQSNECEPSTLHTAKMSNVLERSPQSSSHRTTQPRLPPPPRFTAAEKRKECAIASTGVDEPGPSVQNESIRVQHRRIAKKQRLGYSKGDDDDGDTDHDVNSDADGDRRKMKREPPKNKKAYACPLRTCEWSFDTRRDFRRHLLQCFKVKKHVCDSCGMRFPRLDALKRHRNGQNACEDYLKRCAKQAEAREDPGNGSVASGGRVGPPGLNEEILDILRQFLYDDEMEALLEDVDVSEGADTTH*
>EED84618
MDAPASRSYALWHWWGDNSVEAVLHGDATSRGTRAYIIETDAFRGRERVCVGEGIGSASSVCCGGCMEDPDTLRADDNGSTRSPRKGRSTWGGANIRVVIVVRIAGNIFETESRTTVGRVPLRRLWLPVYDDWIRKGERMSASKSKGYQGIGASSCELERSGKVICCQEADVSCCPTQPPFISQQGLATTGHRRNVALSPGFYKENKKRSGRNERFDQMVFCECPISG*
>EED84619
MLTTVARRCPDSRQEMLKMIRGVLASQSEIADAQTCGSLGLASLPVAYSPLVTWQQAAQSEQTKQSDPAVPCRIARGRRDQELELRTELLGDRFLDEGHLLPFFLRAVESNPEGLQHWGWQTGTKFGNFEAIDQAFIPSERRWGDSLKHRTPYNLKAVECYSPGHLYGVKIHCGSLQVYEGERPLKMLKLRFGQPLPRKTCSAKHCSKPAWQRKRARVSTLMRREICTYKRDHPNATPEAIGRIFKVARATVCRTLKDKASISRLAGLENNLWRWAERRTLDKKLIKDKDILIEAKEIHETFPGLAKGAFKASKTWLRNFKRRHGISKGVILADAERDKWSAAFGFAGEGPYTASKMAADILSKYPDNLDVLHDPTYTPPDISGLHPGAVVHNVIDLAALSAMIPRTPTPEPQPPTVTKRGPERVVHSIDSAALAASIFRTPTPDLLAESPAAVLDIDAFAVKTS*
>EED84620
MPRKTSSANTPSKPAWKRKRARVSTLMRREICTYKRDHPNATHEAIGRIFKVARTTVGRTLKDKASLRRFGNLESNLKRWANRRFFEKKLITDKDIIAEAKEIRERSDSTVKGVFKASKTWLKNFKRYEGIAKEVIFSDAQIYEWSGAFGFLGSTDPHPACRMAADILSRYPGNLDVLHDPNYTPPAVSDLYPKQVDHHVVDLAELSAMISRTPTPEPEPSTVTECVPERIVHSTVDPIAFAAGISRIPTPDPLAESPVAAVYPVLAFATTISRTPSPDLHMMSERHQADPSPGPDAVLVGHPGEE*
>EED84621
MESPEFSILQILVHSLVNASEDEHASACLLTDSLILASHHRNLPFAAAISGLGFKVALKARTRAVEDILHAYPWNNKVDENDLYIPIVQSFEDLEYAFPYDAALVRKEEVLVTWSDKSSTIMNDYCNMEHQLRHFTRSCLCNCSTETSLLGIQPHDKQSPGLPIPDHDQSSTMQRREISEYSGYQGFFDGALQARLHHNLAVVGNRTDTIHESLRDHPRPIKTSRMYTADPLTVPPLFGDLLFAPTRLSTTRRTILQLIYQMPSFARSWLVDSYSENGSSDDNDKKYVDTETPRKHEWAHTTSTGTFLAPHSRTRRPQSGSREQKQRHRYTDSAATAEEKRKGRAVSCANTLEPVPSAETGCGRAQSGRAAKRKRLDSSIGGINDGYAGTDSNADSDANANKRKKKKREPHDGKRRFACPCPGCEWSFETRYDFRRHLLQCFKISKHVCTEAGEQLGDGSSASSSRVGDLSLSKEVLDFLHGFLHEDEMDGMPYRRMSACSMAAIAARTSERAEVDFGMFSGNEPVRVAMNFFNHHEDLTDTTSVVLRETNKKRFEYFQRVRQGAGNKGRHEKTASGEVYGTSDNAYSRLKGDFEKFPVSQSIRINNRRSQLFTVVKGRREAVHTPSAL*
>EED84622
MPKRVPTPPPADDEPRYLTVVFPYPLYADMEREPDRIAFVYWMASCIGRDNLLALFHKPRSSNMVIIEVPRRYPGFSTVLGEHRWSEFLRQPSDEEKTKVSKVFYCTYRSGREVEKYGRRRKGWKRIFILDSFYETPQKKPKWLRINDIIKYPYPKTYRCEVPPEDKTREPLCRPLPVETFPRPPPVRPPTVGTPEWAKAKEAEALVSAKVKLPKNAWSAGAPASLKSAQSAKAKGEQSEQPGVSLGVINMSGWARGPNGPTLPPGLLSPPVLSRSNTGASIPGSTSSGSSSSSGGAHRPPPGLSRLRGKEVSPALTGSSGASSTSSSGGNASCATPIEPEPAGGQRGLGRNPMADDLYGEDESDGSGPTESSVDFILEPDASESDDEEFEVPFHTPGPLGTEMERRTSWDDVEDTSEAQTQDHNHRGGGDVEPASTDTDTNLWGDNQTEAEPAKEWECPTHGARCSRGLCTEYGKYKAGKNRAEREKERANNAGKHGKKAKQQSGRDGSGSDGPWRTGSSKGHSPATGANNIAVRPKPDRFMNRDAGREAASSLARETSTTGSSGPSTIEAPTMPDRLKNGRQGSALSDTEPSRGGKSIPSRLMRLDRKGESATSNNRTSKSSSAASGVPPMPPHLMRQSSTTAPSEKPPNPPPQSSTIPPRPQRLNSGPAKNANKTSTPAEIPQMPARLVSKPTRDAPTKAEWLEENGEHVSGRKTPTQAAPNGNGPRGSADPWDLDYRPSLKMNVADADVQSIAASTTGGWDNVSEGPWGGGNTVKKDKAAKKGKAIEKGKAVKKNGGEQPKRSWADEMEEEDARPAAETDEEDAHSVAETDGWGSASKGPW*
>EED84623
MAPAFAMQMCPNCETDVPIDTSPLSSSTLDCMTASCPVELRTISPEVNERVLLCKLNMLSADESVIIMMVNSSDGPPNTHVGCHIVPGYEWADTDQQGMMEDNAAIVTETGIGVGNATETATETETGIENAGAIAVATEIATATATATEIVYAVVIVKADARRTETSQHEDESELSLRDVPNGVMGDVLSGDFASLRGRGKGAAVAGETRFR*
>EED84624
MSSIYVDEDKGSDETGKGTQEQPYQSLAYAIFTHSTTTILIRKDPAGAFEEPTQSALKKAKKGADGLEKKRKKAEELAEREAKEKSEERERRQRLLEESKKIVLTEDPSLPRAIKAKIGNLAPLRSKRVRTSGWVHRLRDQNKIIFVVLRDGTGYLQCVLSGQVNRPWSWLGLSRKSLKARQPPVGMN*
>EED84625
MGSGRSRRSSSHSRRTSNASIFAASAPQANPPTGQGKILPDVHIDKSATMETSHQQTRSPSRETVLETVIVSPLYGTENDPSSNAAIGDIYERNDIMSNGPIAGRVSHVHVSAAIEQRRVPSVKDGHINPPQAINEAPEQAAVAEDGRGIVGAPMESSHAPLQNNAHSTVREASGAGEALKPVPQGHEDDTQALEAWKLCAEQVWTREELVVKKWKDEISNLLTFTTKAGLFSAALTAFNVQYYVNLQPQPLDPNTLAMLIMIGTLAQMNDGTDAMQPMIAALNASSYTPVPAHVISTNVLWFSALVLSLSAASLAISVSQWLHHHVDRAPSQSRQSVRLWYFRHSMFNEWNVPLIISLLPVLLQTSLALFLVGLVQLLWSLNTIVAGVVTVLVAVLLLLSMLTAVVPAFAPRCPYKSQFALWCFRANRPARRWLTSAVDNLLRADGHSFSSLHSIFFRLIGEAVRERMKVWCITQKRWIHTSNWRDIDDMSVRIQQENTAEALDMLAEADATVMDDALLKSAVRPCLLEVSPVAPVLPVFYRILEHRAQDVDNLTDPPTFTWSTGEQDAAANAILRETCADLLVKYLPEITGEEYRVIPLLRVFTSMIRAAPLDASRTTLDISVLQSIIRPRLMETSSVISVLPVLYEVLKCRAQDVDTSTDPPTLTWSTNVQDAAEITYLGEICIDLLYKYLREIGSGQFEPVRLLHHLASLIKYMPYDAARTTSCEIMETLRTYGPHDATWEQRRDLCAILPVSFIDAVVHPSIQPGGLPLDIAIQVYHTILRYRIHGVDYLQTSSSFQISGTDADVVTAMGSLSLEIFTRITSEVTDTTELHQQQMQTLAITHYLMRDTPRNKLSVYHHLVDLLPAPELSQKVLNKLVKLICYLTSGFRLDIGGIRRLLGFLPQAGKRLDTDVFLQITSSALLQCALLPPDDFARVHSDVRGVLDAAVEYFSLSGIIDVVQTHSWSDFRYLLGACFDLAQVIITHPVRVDSLFTQDFVNALGGCVSRGPGWWKHAMEPQMEEIYRTLGFPTIFTQPISEITTSAAQTPQEDVDEGTMEPLLAVEEPPVV*
>EED84626
MLRAVRLMLRQHSTALVMSEITLSRLRMDGSPRCKSKLQMMAKPPSSLRERAAKDNDTPPTQPQGECPGTSLRPDTNGNQDTTTGDHEGRRKDLDDGRLPYTTDEQGRVQPNDFKVMLNADGGRAYAKTAEKEELTGWARFAEEVWTFEDKRMERWKDDINYLLLYRYFEITASALLDSARLPHDDFDRVHNDVRIALDVIVEYCRLFCLEDVVQASTWDEFSDLLYICYELARADITRPTRDDTLTLGHSTDSIPDEPVAEATVSPAPSSQDTDETQREYPQVVEGTSMQ*
>EED84627
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNISDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYNGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSHQPKIGEPPAFKGSEDKTKLEEWLDLIILWCKHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKNWTLFLDILLNINKIVNPKKARGSVFKNSGSDNGGAVPMDINSAEKSKSKGKGKGKARDAEATSTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAVKKAKKTRVIQVELTDSEDDTPPSMKAVSANTARIEEIANVKESTLAGKDEPQLSAKTEPTVATSDFWKKYM*
>EED84628
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPDICNELASLNITFKYEV*
>EED84629
MPILLKRADPRGQERARKLGRASSRGPEAPIVVYNDPARCTYRTRLSLTGIATLVDKRAPAPSHRLFQQICQQSGHLEYDPITAQLALGSSPIQHYVYAFEQTVPAHTYAFVGSVSVEFNPEEKRTVLFLMIAEELNRMHGDGAPRWFTHNLLEPLNIAGKMSWEKLKESFGTINEEYIAKRTSMLRLGREWAKVENEIIVIVKREEIAAKARNRKSPPDSAKPINYRAIQVSDRPDAVHNGRPWSLSAPSVAIWHPVFARFMREISERAPVDSDELKNAFNFVTAALILYPNKSERIEGLSPYMQPLIHSDVLSCRQVRLDTSTIMPDGLIYASCIPNLAVKLITEVKNEVGEGGCDPTVQAECCYVSLHASNKYRLLRQMSCCPTFLIGILGPYLQVSGAVFADGFVSQRLTEYIYLGPTAAISGSPLDTAVLKVAHLFRVLKGCLADLDVHYTGLRLARPERAAAQSTPPVIISPHFDSFQFEGQTVLLQYKEHMVRRAERAIFRAIAQRGTEEDEVIVKFTYIYGKRGHQLLAAKGLAPQLWFCEQVPDVGGLWVVVMDYVEEREQEGGPLYVGRLTEAVEELHSEGLVFGDLREPNVIDTDKGLMLIDFDWCGQEGEARYPPTINTSDINWAKGVGAGNPIQKEHDLHMLRSLCSQ*
>EED84630
MPRRRSSRSSPVGVNVSIIIENAVTVEGQATTASDEQRGGWSDTPYSAGAYPEEPQGDAYDGRAASSGSGREWFDGGQLPPALVIGADDIEPPNQVTETSPHGVPQANEGLTPAQQSEKVVKSVAGGIVGEDKKVDDSWSLCADEVWKFEERQVNKWKENISNLLLFAGLFSTILAAFLAAFYMLLGPQAPDATTQVLVVMSIQLSLLTAAVANHNLTNTQQATLDAAIATTAPTTTTISTGVLWFIALIFSLSAASISIAVGQWLHHHIDRASSLSRQSVRIWSLRRRGLQKWHVQAIIDSLPILLQISLASFLVGLLNIVWRLDYVVASISTIIIAALLLPTLFTIFMPYFDADCPYKSRAAWWCFVILNRFTHSRCVVKCGKMLWMRMSNMVGATTRRVLSLRGFPASARSMLSGLREILTLNQLVRLRPAAMIAHIAKRGQSLTKSLLYHMRKLPSGAARTFSVLLQIVTHRPTNIQRRLPKIGRNCGNRMRWYPRIPESWSKWHLNTLGARNWREFENVLVRAANTPEEEKLMMLAEADEMIMDDAFLVNVVHPCFQDGSLESAVPALLRILRHRAHKVIVEHHAGCGVTVLKWLTSEQDSAAIIAMADLCIDVLLKYDSPGSRNSHGLVDHLLQLIRAMPLIDPARMVCDRARDLIQWAATHQWQLHEAHIDELVNGADDRHSSLKPFLNILLKEFKDLSPKADSSNIVLTNCTLHILEKLAQESWEGNAEHQLLVLKVIHEACHTTPSAASVYANLPRLFLSPTLALSQDALDYFVTIRLLSLPIPTKHREYVLPPYPYTVHTMLIAYSADTRKLLTLLPHARERLSAERFLQTASSALLHSSQLPPDDFDRVHSNVRGVLDIVVDYFSSSGINEVVQLSNWRLISDLLSACVRLAEADIARSAHNCTLFTWDIYKTLEKCALKCPAEDLWQGDVAYGINGIRDIMNYPTNSPENLSPAPRDVDEA*
>EED84631
MAHPASWLDIASCVQPTLAYTKGMFIPIPWKRPHGYAHQKIWKARDPIGMQTRSTPISMNAPSSLPLNTTPQNGIEVGQFQAQAEEQHTSVHQTVISPLQQQQPVTSENHGTIPIDREGRRSDLDNGRLSDTKVQDGTERDNLKSVLNADSGRTYVRSAEKEELTGRARRAKEVWTFEDERLERWKNDIANILLFAGLFSTILTAYIVSFYGYQEQTVDPTAQPLSLIPPTSILWTIALILSLWSSATAIIVGRWLHHHVNRGASLDRQSVRPWYFRHRGLKTWHVQAIINTLSFVLQSAMALFLVGLVEQLWTMTQSSPRVL*
>EED84632
MDIGGALLRVLAGVHAYLRHGFRTTDGSHRRLLLALRNLCLPLRDSLEHRAPGEATAFSILNQGLRAGDLMVVLGRSNCVSFSRMTSPDRISDGAMDATVQAATGSSSKSTLLHGFLDSARGGVSTRKTLTFHHHMRMSVSHHLAVIALPKLLLSDHNLLVERKRYAERYLPQSLPNAEDIVHTLLRCAADAILVRLRGLFLMFFS*
>EED84633
MTRAHSYSKLSYTSVPDIEAGADDHAMGISDEWDGSDSDLKSPATSDHSNSVTELVNPAGWKDCSKAVWEHDKKMIENWNTEIDAFLIFDGLLAAILTAFIVPYYVLLQPQANDASLVAKIRLFDSTSQGNAGNTTVPAYIVELGSSTNITPEEATIITNTCWLSAFVCTLAMASIGIYVKQWLHRYQTSVPANARPSVRVWSHRRRNLDAWWVANIISVLPILLQIALALFLVGLVVQLWSMNALVAVIVSVQIGALLLFTVVTAIMPAFVHGCPYKSPLAWFFVYVVSHTKRMVRTILRAHRKRPEIPVTWTDFERLSAEDAAPPQDPHLMAHPDSVLKADDYMDKAVRPFIENSPLVDACHTLELIIQGRAERRAFDKLYRDQGKPLQLTIIATLILDAIMRVGTDSTSPTASVARANLDTPEVMLPRASSGPLHALLENPLRENIFEEGTNAAVAMAAVVHSGRRDCTLRGNTELLG*
>EED84634
MHTRVASTPESHAHFWICSNSRQSNSIRGGTPSMQAFLRMSF*
>EED84635
MSPFEPDRWIEQLRECQHLAEPDMKALCERVRSILMEESNIQPVSSPVTICGDIHGQFWDLLELLRKGGDVPQTSYIFMARLLKRMQGDFVDRGHYSLETVSLLLVLKAKHPDRVTLLRGNHESRQITQVYGFYDECQQKYGSALVWKACCSVFDYLNLAAIIDGETLCVHGGLSPDIRTLDQIRVLSRAQEIPHEGAFCDLMWSDPDDIDNWAISPRGAGWLFGGNVTREFNHVNSLSLIARAHQLVQEGYKYMFDDQLVTVWSAPNYCYRCGNMASILTIHEDGGRSFTVYDAAEENERDKGMLKQRKMSRRPKWAPQ*
>EED84636
MKLRLPAIPGLFSALLYSSCMKGHLRLGCDLTYIFLVIDEYTEIENESGCREMIDMAIDALENPRKPRPEGEIPLGEIIKQSAAPLNYQALALTLRSSRKFWARAITIASPAAQRHFEESFKAYLDSLLGADLPLELDLSIPDEIFYHPVIVELSTCIADLTLVDNDILSYNREQATGNDGHNLLTCVMHELRCDVNSAMEWAAQYHARVESKFIEGLKNLPSWGAEVDTQVTEYLTRIANWPRANYCWSFESHRYFGDKGLEVQRTRRVALLPKIQRDLSHRREQVVVPLVE*
>EED84637
MADSELTAPPLQPPLLNTSSPRDKEPDALELEKLQKWQEERLGRKLRGEYESAVLHLAEVINDNLTTPLRIASVRVEGAVKTRKSFLATLVTPFLPQSATPFDETKTTLGDVLHTTRHIGNLLQETEIFQAVEAKLETSRDLLAQAGDVDIVFKTREKGRFYLNTSTQLGNNEGGASATCRVRNAFGGAEIFEANLAFATKTRVAFNAALSAPLTPTLKTRGELSVFGLERDNSSFASSSEGLRGVRAIVRTGAIPVGMHEFAYEAALRHVGNLTPTASISMREAAGQSIKSAVSHSWVRDTRDDRLLGTRGSYVKLFQELAGLGGDASFYKGEAHTQLSRALAPGITVSFAARSGLLWNLARPAPFSDRFQLGGPLSVRMFRANGLGSRDGPDSLGGDLYWATGVSLITDIPYKPDWPVKTHFFVNAGRLDAMDKAKSLKDNIMDSISKPSIAAGVGLVYKLDPVRVELNFGVPLVASKSDGLRKGFQAGIGLDFL*
>EED84638
MWTLRSLMKANGHDHIDLLHIDIEGWEFEVLRSMVRDFRAAEGALPFGQLLIEMHIWHQRFGEFLAFWEMLEAVGLRPFRSEVN
>EED84639
MSNIPLGPGPEIVPLSIWTENHITAIYKATNLADFDKAFDAFISKDAQITLNGRKLTREEYKLQLVGETFAEKSANVSFLGAVDVPEKVIGLTQTGTVGVFFQATVFGRLLFRGAPVTSTVNASLNATVAAGKSGTTVTVLNEVVVDHVNH*
>EED84640
MRYSIRSFLLAAITDIHPNVLELLGASSASSDAPWFFVSPYYKNGSIVPYLKGLPTLEAADPLRMIHEIANGMAYLHGKEVLHGDLKGANVLVDDRGHCIISDFGQSEMKSEAYRISGEPLPHGTLRWQAPELMSGQSGLTQQVDVYAFAMCCIEVLVKGSIPWPYADDDAVRHFVLKENMRPEIPLIQQQWTPHLSEIIKRCWDPAAPPLRPRC*
>EED84641
MISAIRSKIAPESPVAKLVESWPDDILPPVFKGPNQDLTIDKWLTAVAATCAKRKVPKEQWPEVARHFMSGKARRRIKDLEAVMESAYGQPWSWKWSSFMVALRNLGWHIDAKKTQDVEVQEKAPGRWSFIKRGGERGNRDRVEEQSLDLGFLARATQMATFRSTPASNVVQVATNKVAKDTKPTMTKAAKDTKATTAKSVKDTKTIVKASGITKALKTTSAATQKDPSAASQAFTRVVQGLHLAPAPADPPPVIAQVPIWLLNASEALFAVINEPLTVTSVLAAVLITIGSIPAIPAVSAGPTVQVAGYLAVAVGTRMRNQPKITEAGKKDKKAK*
>EED84642
MGTLSMHIFCGLQSLLSTPIALHRISLTRKAPYIQIDPMWPCSLT*
>EED84643
MVVSIGKKVMAWKAGPVGSHVKGKNKSLKSSKHNGLAKWQQQIEMHRDIAESRRDLEEETSHIRRVFGREREQHSVLSHLGLSEVEAVEYVLMLSRDEEERRRRIDRESFPASTSTAVVDEGVFTADFDDIQALAPGPAAFIESPSSTTISSRSLSYPAGSPPRDTIVINGRSLPRTYPPTTAHKLQMSPRVRPEPVEAGFSTSPIPGSLSSSFSTRSGVSIPSTSDPGQFPVISRTPSSAGASIPSTGSLAMSRRSVSGTPESNRSAWATPLRSTRSTTSVPSPAFGPISASPPISQRASPSSRPGGGPSLITTGFAQQMHRQSTDQAVPHESDDDLQFAIELSLAEARSRGEDV*
>EED84644
MYKLAPSALLWRDSGTPVLGQHQGCTLPRFVMLLAGNAWAEAYAKAEAFVAGLTLEQKVNVSTGVYWEQGLCVGNIGEVADLRGLCLQDSPLGVRYTDYNTAFPAGISTAATFNRTMMRLRGQQMGEEFRGKGVNVALGPMMNMGRVAQAGRNWEGFGTDPFLSGEAAYETTLGLQSAGVQACAKHYIDYEQEYKRTQESSEVDDRTQHEIYLKPFLRAVMAGTASVMCSYNMINDTYSCENDRTLNQLLKGELGFRGYVMSDWGAQESTLSAMAGLDMSMPGDITLGSGNSWWGPNLTAFVENGTIPLSRMDDMATRIMASYYLLGQDQDYPNDGRLIPNAVSFNAFNQYDQVHNLHIDVQADHYQIVREIGHAGAVLLKNTNGALPLNAPRNVVLIGSDAGNGAMGANGYTDRGGDDGILGMGWGSGTDNYPYLISPMDAMQVRARQDGTTLMNWYYDWDTEGAATAAIQFEAAIVFVNSDSGEGYIEVDGNLGDRNNLTLWHNADNLITAVASQNNNTIVVAHSVGPSIIDSWVENPNVTAIIWAGVAGQEAGNAIVDVLYGDYNPSGRLPYTIAKRLEDYGVFLTLGGNGSTILSVPYTEGLFYDYRHFDEYNITPRYEFGYGLSYTTFEYYNLATSIVPQYDPTDYALEAAWAAGVPTPQGEGSSVALWLHRPFVQVSFEVQNTGAVAGTEIPQVYVHFPTGIGEPPSWLKGFDAVYIEPGEVTTVTVTISRYDLSIWDVVAQGWVKPAGEITFSVGASSRDFRLQGYIPI*
>EED84645
MEYMLVGLILRTSTVGAMMSTMVQGRMLTARQDRETFRLNLMAQAARGSAASDVCCLIVARDWGPLYMDRARQIIQLVQHPDTCIHGQQALSQKLNPFKENVERQHLHSSITMAMATSPRQAESSSREASLKEIIALLNDPSSNAAIGDTRTWDGTMADASAAGRGPPPPISQRQLNSPEDQLQSALSKAGETQTSEEAHKEASQNSDDTKISEAWKLCAEQAWKREDLLVQKWKNEISYLLTFAGLFSAAGPPTLACLASEQDAATIMLPRQISVELLVGYLEEIAGGKFEAVPLFRYLMSMIEAAPPDVARTTTDISVLRSVIRPYLLQAPSVITILPVLYEVLECRAQGADTSADPPTLTWSMNEQDAAATTALTEICIDFLDKYLCEIGSGQFEQVALLDHLASSLHSMPPDAARTISCQIMETLRTCGPHDATWEQRHDLCAIWSASFIDEVVRPSIQSGGLPLEIALQVYHNIVRYRIRGVDYSQTWSRNTITGADADAVTAMGYLSLEMFTRIMSEVTDTTELHRHQIQTLAVIHNLLVDVPHGLLAALHGLVRLLPAPELHPQVLDMLVFTIWNLDGGFRLDIEDTRKLLTFLPHARERLNTEQFIKITRLALQHSARLPPDDFGCVYSDVCGALDIVVECFRSSQMGVAQADAWLAFSNLLDVCIELARVDIARPAGDDRHLTRDVVNALERCASQCPERHSLRFWIRHTMQEICSICGYSAGSVAEKPQEVSMKHLWSIRGRLRRLVWYR*
>EED84646
MLWLQLASQIGITVFTLIVQMQMPNNLYVPETVALFIDAAVPHLIYIAEEVALSFRSTQSSLSAAPNRHDLPPSLNTMTSKDIIIWTPTTSTVSIELQPPALVTPSVALLSVITPTSISVPVDARDSAIEYSSPDTIILPNDEIDPARHSCPAYLAFVYPDCHLRPVHIVAVSFALAMMWGIYVLVKFFKTRRYERTVTSHSGPQRYTQSNTVSKLAEIFYGGVSLRFGTSSLDRKGTSPIVLCTSADPVSSVLSHTEHISGIIDNSMAAHTEQYTTISASRGSSSSSVSSKSSRALPRIAPIPMTVSIARTMRACESYMRMCAKAVKMLEYQSAPQDLLQETLVKEREGLEAVVDIEVQRVKELQTRCQGRYARLMQAHAEIGLLQDDYCEQLETMKVTRARLDLERRKTKQVSEQLARERARLENEHAHIEAARKRAEERVEIERGLLQATLEQKKLEDQRELLEKEHFRREAERVRQKAEHMHDQEVQAVQPRHLQDQEVQIYEEGPERRDVQARPEGLCEEGTQVDHAKDVHEQDVRTGPAAHLRSDEKRTVQEDYKAGLQCERDVQAVQEELPSEGHEHMDHDVEDGKHSATKGTNQESDEGGQPAGADSRSILPEDAQDPREEKPVKQVGQTGWFEQAIQPVQRDHAQQGVSSNHGFTFSCAPLPQPTVSASSWLQVYKRDVEVFRSQVAAPDPDPASDDDDDDDDLPPLVDPPSLSCSAAAADLDHPSPPPAADDAAAETHVALAPMDLNPLQAQDAQRVQAEDGMNSGPNDQPQGHYAEPAAVPQCQGMYQPNLDNSVGSSVYQAMALQSWNSPSGATGPYDHQWSGESFLPAAATEQQFAAQIPVPSPQSAPKFEQGSFTVPSQYVSADAVNLGQSYHANTDTYQGFPFAAPMPGPSSWQNGQGTQGTYDLENLRRALGLPAEQTTAHVQSSAFSFESSSRYPLESSIPYSSDTSVRSDLRDTSTLSNPQPLHDQSSAEVSHSQEETVQVLTQPSTTEQLPPDRVPRSDQSASPNLDTSADPVARSPPLPGPSVEEGFVTAPPIGGPSSSSEASAPVPHESSSAFTFAMSVQSDEHATPAATTPVPPEGVNLQAEESAQDSDDDDWSTTAADIEAFRLQVNAMRHRKSASARSGRQRGRKSKPSQVDDLIADLAGI*
>EED84647
MINKATISALIKYWEDEQLTDATARTEYVKKALTGPTPLFLYRCIKYDADGLLIHPIIAETLASHIQVTEEAINGCDKYCRGALVLALVAAERAHSLVKSGNIVKTNADRFNENAWAPRIAFYGKSIEELDHDAWDAILTKAEMVVRKRGLVFGVDPDSDSAILGNPAALASVLYFDVESDEDIAA*
>EED84648
MSPDLRGGQLDNPSAWSNGGQRPASVVAGPIHLRQRARVSTAMRKEIALYKRSHPDASQKAIAGSFGVCQATVSRILKMKDKWADPGTIASEKYCRYSFSRLFEIEDEMRRWVDRRALAHKLITDKDIRNEATRISEECEITFKASKTWLKNFKLRHGIAMGMVFANGTKHERSAAFGKAGPDPYAASRMAADILSTYPERLEALHDPTYVPPDISRLCTEVVVHDVVDLAELSAMISRTPTPEPDAYVTALGNSERCGEGANVGDGEQKPAAEEHQ*
>EED84649
MALYCDGKLAAEARQYDFKIAAGFVPENSIYFRSVPEMDKTSKSNRPIGVRLDNLDAAIVAGKLDQAMNPVRRNWGEAIIVDINFAGYPDHCKVVETVKLTGESFSRAELALKVRRIVDKAVQQCRGVYTVMVTNPATEPLIGSMPLDDPMPFNDSIPFTGLDALVTKDLYLNLFSHLEELPLSVFQELPLSDIQMTFMALSRPIGLGATQASRRSGHVSFSAQVRYQRRPDSSLLIPPNLLKLGRLEPSKLADYENRGTSETVH*
>EED84650
MGDVDIPIVTSFEFLEEAIMYDGALVRADAAAQREDQVLVVWSNQTDTIVEDYHFVEHQLRHFIGLCTCKHLSVAPHTGIQSHHERSYGATGHGQSLIPKNLRYEGLSGRDLQARLSDGDRPSRSTTEQALPITPVKTRMDPSLPYWNGSKWLPRVPQSSANPAASIPSLIARSTQIRTLPTSNAFATSSGLNSKMSSVFCAGSPSLHTQGKDDLEVAHDDASAENAELYSNVNRSDEDSIDESVHRRIQSATVTGAARARPNTQSDECEPSTLHTAKMSNVLERSPQSSSHRTTQARLPPPPQFTAAEKRKECAIASTNVDEPGPSVHKESIRAQPRRIAKKQRLGYSKGDDDDGDTDHDVNSDADGDRRKMKREPPKNKKAYACPLRTCEWTFNTRTDFRRHLLQCFKVRKHVCDLCGMRFPRTDALKRHRNGQNACEDYLKRCARQAEARENPGNGRVASGSRAGHPGLNEEILGILRQFLYDDEMDALLEDVDVSEGADTTR*
>EED84651
MVTDYEGRACRCGSKSEWRTRGERRAYVSRGTECDRKTVDGAMKDRRKGALFFSRAQWMRVYQARVERASRMREERECGSHAQRKQSWINYAPVREKRTPVSPTTTFACLFVEGTAAPQMVHLLLPCMTHDLIFRSRERVCIGESGPAFSKDVDELREDCSEEGVVAEGAAWSMGGRCIEDVTTE*
>EED84652
MYGCESMGLHGGQGAITLSETRKNHVREKESERKDSQAPPEPATRHLLPRAPGGTAPSVHAEVVGEEEEGDGVHGAEHMDFLQQQGAQARDAAYPAVPGTRSTDTGDTGRWSNRKQYTLARHADAGLLGSVATIWAGPDAGCVKGVIAPRNLQKCSKQRALTEMARWRVLLRCASELARSMLTGRYRRYLHQGSARTWRLVAGRRCASDGRGDIDGTG*
>EED84653
MPLSLALSPFLYADDDASLHPTPGPPPSTPVARGLATHNGDSVSAASDASSPALCRPTVPLCVFPAHPSPHFLSPSVLHTPPDVPAPLYMPQPHYPRLPLPVPVHPLPHAHGRPPDADATRQTRGGRYAARDTRRENGRASAYPSRGRVQDTRRAARVTPARQTARAHRPMDVAALPALRARPASSPVIRVRGTCRKTHREIRRIADLAARCAHPPVACRLPLPDAADSIDGPTVRHPAERSREAAVRPHPCQTRVLHSRGVLLLLASAKRASPLPQEQERKREAGVGGQSRDGTRVGEDERTNGKGCVRNGRLSVKAARAGAAARRMLWRMRTLIRGSDRREQALHRRRTAPDCVYVFYTAALTPPTVQGSTAYARSPRPHCRRVGPFCQPAADMSRGGPRAGPERRNAQNAACSKGRRAEDNPSHAREMRSRAMTTW*
>EED84654
MLYALDSWPFVDGVRGAEHMDFLQQQGAQARDAAHPAAVLATWACVARKARHSAAQRGDRIVFGEVTTRHAPRIFGSPYLGWLPGTRSTDTGDTGRWSNRKQYTLARHADAGLLGSVATIWAGPDAGCVKGVIAPRNLQKCSKQRALTGMARWGEHAQWQRAELRARSASFVVVFALAGLADSDVAVRCATTQTGTAPATCRKRVGAKRADRAVQTLSAPGIRAHMEAGGRTAVRERWAGRHRRYRMRVWIPARPLNIFCCDLLFLRSLFPLPCPISSVPYGLFSHAHGTPPGLLPHRAASRIGRGVRGLRRGRGGRVQEGHGGLLCARVVLGGRRRQAVLRDGLLRRPLLRFAGLGLGDVVGDEEELRGAVRTARRRSAETRARSGISRRNVYSAVSVRARGKEGRLTAERHPASGAEECRETLQHVREARRVQALQTGSACRTPYGPEHGRQRAHLGVAGVAQVEVVVAVVGAWGRSSQLEDRDACGTAANGKGRYRGGPRLGPHMGHGFRAKIHMANGPQGRRDGVGHGQKNGGNTEDSLAQPFQATVTSNGLLPTGDSAASHCALARCLTWRGLKRRRRRRRCNLRRSAMSGLNTRTRERVRRRARADAGTLTVPRERPGAEVDEEDEAGGVSEEADAQGGDA*
>EED84655
MLLSLALSPFLYADDDASLHTTPGPPPSAPVARGLATHNGDSVSAASDASSPALCRPTVPLCVFPAHPSPHFLSPSVLHTPPDVPAPLYMPQPHYPRLPLPVPVHPLPHAHGRPPDADATVRRDLTSPASPSRPSVRYAAGDTRRENGRASAHPSRGRVQDTRRAARVTPARQTARAHRPMDVAALPAQRARPAPSPVIRVRGTCRTTHREIRRIAGLAARCAHPPVACRLPLPDAADSIDGPTVRHPAERSREAAVRPHPCQTRVLHSRGVLLLLASAKRASPLPYANPICAHEQERKREAGVGGQSRDGTRVGEDERTNGKGCTAHGTGLRVAEWARVVSQPQTCRAAVAELAQSAATRRTQRVLKGRRAEDYPSHAREMRSRAMTTW*
>EED84656
MSARSATPASTPSLVNRRLASLLVVLEAPPTADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEERRLEDEQCRKDEEDRRKQAEDERRAQEATDEELARIAAAEGLLPDPAPAGVDKGKGRARVDDEVTELSDDPSVKTPRTVERPLAMSEVDMAAAAIEKRQSGQKFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSVADASGSKKRRVDEPLRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGALDED*
>EED84657
MGDVDIPIVTSFEFLEEAIMYDGALVRADAAAQREDQVLVVWSNQTDTIVEDYHFVEHQLRHFIGLCTCKHLSVAPHTGIQSHHEWSYGATGHGQSLISRYLEYEGLFGRDLQARPSDGDRPSRSTTEQALPITPVKTRMDPSLPYWNGSRWLPRVPQSSANPAASIPSLIARSTQIRTSPTSNAFATSSGLTSKMSSVFCAGSPSLHTQGKGDLEAGHHDASAENAELYSNVNRGDEDSIDESVHRRIQSATVTGAARARPYTPSDECEPSTLHTAKMSNVLERSPQSSSHRITQARLPSPPQFTAAEKRKECAIASTNVDEPGPSVHKESIRAQPRRIAMKQRLGYSKGDDDDGDTDHDVNSDADEDRRKMKREPPKNKKAYACPLRTCEWSFDTRRDFRRHLLQCFKVRKHVCDSCGMRFPRTDALKRHRKGQNACEDYLKRCARQAEARENPGNGRVASGGRVGPPGLNEEILGILRQFLYDDEMDALLEDVDVSEGADTTH*
>EED84658
MHTLLDTSFRPHSDSIVDYLCPRQTVLSRETSSSVTCASVACSGFAHSLLATKNAPGRSEGIWPAKYTGMEQLQTEAKKFDASMASAPDVDVETTYDCIPPAQNFNGGVWFLEYVVPTWMAKIKFEAAAAAVTIVRLLRDNKHLKEGHADHAMAKISLDLSSTFQDEPVRLLLDELRRSLGKMPMRKPPEIYRNLISTMTVGPARPEEERERRIDIAYTFKYKFPVGVDGLGNTRQTVYASMPSLRATEKPHTTNAKAIIESSNVRKTQTQLIEENLLPSSDLRLTANKALVSQPTHQQIMDKAPAFPHTSRPLGLPFEMNALKMNFPPFDVLQWE*
>EED84659
MDAVTRRLLVLASLGVRLLKYTACLVFLVNLRSWPLSWHFRVFSPLIALRLRLHLLRLRLLFKPRHVKQRAKAQWLAALSPVGKSPFDVTVAWKGWASPDDCDYNLHLSNSCYAKSLDSARLAHVLKCFPTFFRAGGWMPLGGTHYTFLREIPILARYEIRVRIVSWDNKWLFLVAHYVTKSKPSKTQKRATKQPAAEHRLPPTPPEHDARAQQAPVPLLHTPATPLLLTSGTPEDAATPVRATPDAKPDAHAVAVAAALRMHADPDDDVTLHCVAVSEVCFKVGRITVPPALVLTCDGMCDARAAAAGSGSSDGAGGEGDDAAAGEGFSHARPPPFWAEVKRLRGSELELGGLRAFYEVGAPEDGGEDGGARWWEAALGGEVEARRRAGMAVVGALKTGMDGMEVGARGK*
>EED84660
MTLAHWIYTAIALLRRRPGASDVSSWSRRGGIRTRKPDDGYALKAQCDRRSAAAVNRGDDTGAPRGTDPALFSSSLALCFSSLHSCPLPPRVTRHLHVLGLRLFKYTACLFVLVNLRQRPLSWHFHVLSTLIALRLKSHLLRLRLLLNSHHVEQRAKAQWLAALPPVGKSPFDVTVAWEGWESNPQDYINGQTSIPASPLEPGRTCPERREGLNGQTFVSRDISRLGPQIAERRIIDLAAIAEEMSPSPTPEPQPELPTSTKRRSDILEQRRVDLTTLATAFSRTPTPDPLPESSLPHTHPVLDINASAATGSLRPLPAERPPSASFHETLAGRSLDHQRASALAIPASPRSSTYPESLSTMPPASGLQALAIAATFARLSSLPRSEDWYFTSDHQTTPSERRSSSPIALAPVSHTRNERYQAGRSPDPGAGLALRQGARFDFQPSRATSPVTKDVVYTFNTFAGYPDSCKVVETIKFAGEIVSRAELALKVRKSVDKAVRLCRGVYTVLVTNPATEPLTNSRPMDDAEPFNGSIPFTGLDALVAGGPKPLAVGCRKCAIAFAIMASVNNCTQGIVFQQNTNSIMRQPGFQPQAPIVFACGKDKTPVQLFGTRTPEVGDLLRATEPLRHNRDGPSIRISVHLLGYSEYGFVFKDIPIEGLTRLDLASVVQDVTRRVIQQRGYCRADAVTIPARREELRWGAEAVIAPAEESLDGVGDHLCDMFFTHQFSHQAAGELTTPGPFHSATSLGNAPRSVS*
>EED84661
MDPNAHDQWDKMAALVARQHRRDHPAYTFSPQHTKKENRNKAAPMDVVERTQTAQDVRLPEITEERAVREEARWHSRTSIHFCRDIIYRDATHDAFSQYNRDALCFGEQYIQEMQSQQFPASAQASESPNSYVPQCAAPQPILQHLNPLSQSIPMDGFTNNVITQPDAENPPLDEFTTPYTASSAEGSYRHLFEPVSERLHSLVTMQGPGVSHRRRSD*
>EED84662
MFMENTHSVKPAHPEIRRGRVPQTAWRIETVSRLPTAPQLPVDTIFFTHKNQTNGCGVPLRDLIACPPRQVDETIGENMAGGSDEVFAAVEYKSITINVQWPSYDFVYNENVPIVNRGKYITRAQLAVRIGRKIQSLVEALRTVLPDERGAYWTIGDEAFGTEDIYVVSLSQLHGRIFRVDLDIECEHRSVLNDTENDEFPPQPPSVDCSAGPRMLGQRHLYLKYEDPPSICVYTEGVTAHELPCAIVTISDSPTDEDGVVVEAVRGEELLAIYEDDIELFSAGGQTGDPNTKIHIDEVVKALLRSISCLVVAECLMQTWCCKPRRMQERQRGVLDKDCMHSKESNVASQIDDQSITTHCVLKGIVTWWMENSHLSAQKYGV*
>EED84663
MSTPCDERHSMSNGFSVFPVIAFEDQHAAVDASQPTTPGYAAVGSPDYAYIEWDVSQQGPPTTLSTSLPNTPEPCMLVQTDGAISQKTPWLPEASTSSSGNATFRTKRQQKPVCKGKAKRDPSYVPRPSNCFILFKNDYLDRMRESGCKIHMQSVVKVIGAKWRALPPEESQYWKDRAEQVEKKHKVAHPGYEYKPIRKQGRGCSKDKPRTGAKPTETTERQSMDKENRPQGREVSEDKSMVASTCNEDGLTVEHTQLHWSQHRSPVPSLPSAQKYVDAENDWTSNQQFLPYIHPLPVSSALPVYQSSGPQYVATPQCQPAQPSDVASSYVACSLPYHLNPNRDQYQTLQPTNGYQFRAPIPIQAFNGDPRLVSASSSPSAYAFTPNLTLFPQRTMNTDSTQSTLPVPHAIPTHSSSLSPSSSYTSTGAFPQMVPPDLSRTQPLTEELAWTLAALETQGSYGTQQGDWLDMRSSRTLATHRTQPTLSEVPSQSGSWLPGMSPDLDQSLGFQ*
>EED84664
MPAPHDERHSTDNDSSAVAFENKLETSSVSSNATVGSQRSPLLKLDVSHAVPPTTPTSASPSLPTTSSKSFPSYMSTVTSDTARPHKRTRTDDDLSSGPLKVPRIDVSMDETCNAQSPKDTTHERRNAEETRGKYGENHPAYQYEREHASKKKVAMNYEELTQEVEGAQDEGQEAEAQTVGMSVPSTNAEVGLHSSAIGAKSLVGPLPILPPTVPPISRLLRSKLALQAPLPLRHAELELGDTRNKRPSIKRCLPRVQPYFGRESAPALQPRLAAASYPRNVQHDSFLLPSSGAASSTGYAIPQVTYPQPISGSTGQPHYQPPLHYQPQLQHQPPLRLQPPLQLQPRQYQLPPPMYGYWFRPAVSIPPTYTTVAPASMSYSSMAHLQQSPAAFHQHPATASFPQPTALHAMPIPDGAGSFYATYRGLSGSVASVSSGQPLYAEELSSLSIAQGLYALQQEQSDRPDPDALASAPQYGQLAPGNEVVQDQSFTLYVLKRADLRGQERARKLGRASSRWPEAPIVVYNDPARCRRPVDLMAVSPIVNGLLPFRIRIWDQFDLANHLSQMSQAWEMRTHTESRHALVTFSSGTRSLQPATRGWAADHLSITSIIGAAIPALSDCVVVRQPYSGSCDFDGEGTRTYLRPSRIPPLHAAARPSRGLCTHAAAWSNMYQMRKGGLNVFAEDVLQASSVHAFETFAGRTRRILLKPDGSDSSGSRYYVRSTGAMRRRLKQEGMNSVSEAHIKREGERMRIIGLELRKLRDGESDGSGIMLPKRFA*
>EED84665
MTIFGGCTSPDTKRCRRTS*
>EED84666
MNQVELSHRGAYIDQMNLQSIQCSSDPAATSRDGYNKFERSLKVTLSTAEEPRASERDFSSQNQPFPVATGISNKNGLPLARRFRKENKVETLFCGVRFSSYKSFPYFESLDSSMETKQSKPRNPLASIRRISGARRVKVTVYGKIYTALVPLPIPFNERQPIPQIAYIPPLFVHNTLSIQLPFINFDWSGNPYRSGIRPIDVGHIYTEQLTECMEGGRDHVFAHVPFSNIIFLFQFEASDPNRLTRAQLLVKTRDIFLSLLNSRGTPDPVYDRGVQLLLAAKGVKYDGMIMTGISQLHGNIFRVHCDTWLESSAEPSP*
>EED84667
MSEALTNTFLTDVLEDGRRAQDFVELHDQVQTSVNLLDSLENFLSTFQKDLSAVSGQISTLQDRSKDIENKLKSRRKIEKPLSHLLVDLCISPELATLILDTPVGEPWIPAIVEFENRLDAVKVRVRVKAARDLAEVAEGLRIVAATKLRTFFLAMLQPIRSSMTTNMQVIQTSVLLKYKSLFEFLQRHAPPVASEVQRAYVGTARTYFETGFRRYIRSLGYLKARWVEKNETIIAGAGETNVEPEVDVDRFSHSRIDGPGPVLAYMVDDKSHKEPVEALLRSMLLVLMDNATAEYTFIMAFFAVEPRPSMHSKQSSGSILSPTSLLSPTQGDFDDSRSNAGSDFGFTPKQRVTSIGSIGALMNAEGQATKDDQSAMNVIWKQIMDPVLEYCQTFVRSMLDPAPPVVPLLTMIRLTEDVMAEVQRRSCSPLETFIFTIRLQMWPVFQKAMADNVESLKKLAEGTTSGYFRRGSTTTDATVMSICRRYVRVFNSFIALTDQPEETMIFSNLLRLRQELSTLITSHTDKINDVVARATMQGSMYEIILQGLSRGATSHPKAQSEIAFWRGREEEARRRIVSTNRPR*
>EED84668
METDIDRMIAQAGGRAPGQETSVPDNGETIHISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVQVVDVFAMPQSGTSVSVESVDHVFQTRMVDMLKQTGRWLLGGTIHTLASDVGYQASTSTLSRHSFEQLDPRSVAVVVDPIQSVKGKVVIDAFRLINPATVLQGQEPRQTTSNIGHINKPSIQALIHGLNRHYYSIAVQYRKTELEQAMLMNLHKRNWTEGLKLRDFKVHKEANEKAIKSMLSLSEAYNKSVQEESTLTSDQLKTRHVGKQDPKRHLEEQVEKAMGNQVVQNLGTMLLAEL*
>EED84669
MSAVKKAQELRALIRMISDASEVVISDWECTERVSLGRQTSGTASSRPSSASLYEAQRIISGACGMCVDLVEEPESRLSAVGFSFFLSRALHVAIRARVADVLARGDQQGGVFVGVISKEVGIDEQKLSRILRALCTVHIFTEVKDGYFANSSTSQPLVGNEFLRCWILLHSAEVYTASDKLLLFLFDPVKTHSTSPTISAWQDAIGSNLTLWEYLERGVEQPNGSVKPRADLGIYALGMIGGGHAFASTISTDYPWNCLGTGTLVDVGGGVGGTSLDLAKQFPHLHFVVEDREPTIEQAKVVWSQEYPEAIGNGRVRLLTHDFFTEQPIRGARVYFLRHILHDWPDDECVAILSQLRKAMCPESIVLIADKVVHTTAGSSRLKSAPWPLPPNYGSAHQSTNVHDLVMMAVHNGMERTPEMFSALATRAGLTLTRIWECQSSNALVEMRLPGVRGAMAAL*
>EED84670
MPFVRRHVTRRLKAAKAECDKELQRVVNSITAFFEERLREGDHEAESEREQRERENEHERGRDRSDARGEPLKEAFVFQPAQLRSALQFEDSNNNRRSSRILVDDPVDPIMTTLYEIIGVAQDVQEMSLNQLTAQPKVCEELVQRVQNIGKAWDEHPDWHGRNWYVQVLLAIASLSRVVEWWEAEKQFWNFDDNDDEQEEPLTFVLKPAEEEQSLVSTPPEEESRLRMSRTSSQGRRWRDEAPKDLSLTPARQGSEHAPRSLSKLPDNTESARVLATERLRLQAEIAQNQNIVLELSMDGDHFLWVNYAWRNVVGTDPEDLIGTRVSKLLAPSDWHVFRDATRRLQENDSSTVEVKFKIKVTDPNADIASFRNLYQQMEGKGMLMTDREDGTPTHTMWVVKPVGSPEYLQPPPSILLESGDVGEEPASAEATQVGFGERQTTDPVTPFPFARPISTQPILCRICECTVPQWYFEKHNETCAETHRLEAEISECNESMGELRNTIRDLQTAMDRSSPITVPEYRGMPIFSPSTSPSSSSPMQLLRAPLKMKKMSVKRMQRGVLEQLETILQTAADVSVPALKEEESAEPIERQRLLSPASESKMSIVRRWCKPTIEDAALTQLIDDAERVMRQKIDNVVRLQNTIKYSEKIRQEWEEKVAQSFAQDDLDEEEGDEEGEDGDEDGDHSSNTSEYAYNGQTSSPDPTPLASASPIPFSGGHDRSTGGPFSSTSSLPLPMVPVAPVPAYPTSVFHQTRSSTPSSVSSPLALAAPIVASSVLDPPPMDLNEGYNQNTIRSRPSLGTLEPRLLITPPLSPLVSPEDASTQRRPGRRRHSTAQPILSPTNSMSSTPLSPRLPSVAPLSRTTPTSIKDFEIIKPISKGAFGSVFLAKKKATGDYFAIKVLKKADMIAKNQITNVKAERMILMKQAESPFVAKLYFTFQSKENLYLVMEYLNGGDCAALIKSLGSLPEEWTRNYIAEVVLGLEYLHQRGIVHRDLKPDNLLIDQHGHLKLTDFGLSRIGLLGRQTRDSQLSFDRGLRTRSRYSPGSRPPSIDSAYMSSSPMFVPDLHLGGSYFTQRTHSIPRLGSSPYLSLSDDVSESSGSESLQGSGLYPLRRTGSKNQPYSDSPAQSFATELTTDLRSHPTPGGTPPGEQKVVGTPDYLAPETILGLRGDDAAVDWWALGVITYEFLYGIPPFHDETPEKVFENILSGRFEWHDDFIEMSNEAKDFIKRLLTLDPSDRLGANGADEVKTHPFFAGIDWDKVTMTEAAFIPQVTDPESTDYFDPRGAVLQLFHDNEQPQTTDSPPIGVDTINPAVASAPPALANDHAASPSDDDFGSFSFKNLPVLKQANDDMIRKMKTDQMAPLAQTLSEPNTGHARRKSVSARVNLKKPANVTISTDNGGKPSVTSPPSPATSTSSISSSMSRGPPTPGSASGHARKPSDYGAVERFKHNHLEGDPSRRNSMPSRLRTASVSTTGESVTSEWAVPSAHSNHFEVNTPPSSVVSIDLKRGPDPNDRAVTCLLAEDNPITAKILETLLIRLGCRCVVVADGSEAISVAMGDIKFDCILMDLHMPILDGEGAARYIKNTSNKNASTPIIAVSAYSGSDTLEAGNLFAGYISKPVQKADLISVMRQLGFKTSTAQGQGRGQTAKVTAAAR*
>EED84671
MSASLDDVSNHFFDYVICGLTLAARLSEDPNVSVLVLEAGGTNIDDPAIRKGLGGSSSINFMCWTKPHANDIDDLEKLGNPGWNWKNYEKYLSRTEGFLAPNFDVQKRNNMCFDSWRMGRNGTIDEAESRILQTMINAGLPSAPLPLSGDPTGVFLAPNTYDPASHTRSYATTAFYTPNKGRENLAVLVDAAVDYVRTERTKSGKLSAVGVEFEHGGKKCTVNARKEVILSAGSLKSPQILELSGIGSKEVLAKAGVPLKLELPGVGENSQEHTFIGVSFGEQQCHLFHSVPDATNHVIELRDDVDFDTVDLLRDPVFAAQHLELHSLGSGIFTKGIVGFAFVPLSMLSDKSGDIYKAAEEKIVRNADKYPPGLLEQYKIQLERLRTDAPGCEIISFPGHLSAPCQPENGKRYLTLLVAMNHCFSRGTVHSTSSNPRKEPEFDPHYLEEEVDLDIWCETTKFVRGLSQVSPLKDMIVKEVNPGPAVEDDGQLRGMPGLVLCADFLLIVSAEWIKTYFGTTWHTAGTCSMLPQPKGGVVDPALKVYGTNNIRVVDLSVVPLHFGAHPQATVYAIAEQGLVTTMFAAAGTLLNISKRAQNQGKPVRYHIDAWDEMMMDRDKRLTGHMRGQTNAAVNPNSAGGIRDQQRMVHRKGVTSDSSLLPRISDSITESSTKPYRWSFSLSAIAFSRAERDGSTPSGSTNSQDMMVPCFECNSATWRAINVWQGSKSWRAYGVAPSKRALDGMREFWSLAEAHVVIKFVLLAECEYCRFDKPFMADGWVELLSPNMSNRVEMSIVPWWYARLLTILYAEPDTYRSDRRARQVDGEIRIAEVLYKDG*
>EED84672
MSAGGWDEPQPPGWPGPAPPPAPPPPPMSAPSLAGRPSGPSGAWKNWGNEARGLPKVITATSSVPPSAASAAPFLTAAAPRSRLMLSPEQQSQRLQSLLTHPTQTKAVPLQSQPGPPADRQQQAAMHQQAVAALQQHMEQQRRLQTMPQQARAQPPAQPAMHRQGYPSQGHRAPPMQQADSWGSNGSGAGGWNSNWPQPIPEEEEGEEEEEYDEYGEEEYGNDGYGYGGGEDGGGYGQRVRFSPAVSYHTEPPTARGPRPYGTPMSAPPPPPPPPAAAAAFTPSAYPQAIHPSFWTDEKASKTMDMATGRHTTVFELASPRNGLGENAFIESRGQALMHAQRAFFSRSRPAKERIYWAFNPNKDDRVASLLRWVQAMSNGLATIGLQKFLQTGERGALITNADYRVGTPAQPAFDWINMAQLQLTLDRILQESVALYDPSMQVIVFVFLLSNSGNSMAVWRRKVPVPESLRQAYEQDIMAAKENLEQDYPVYVEELPPKPEEKPKKKRNLLSKLNLFYNVRLWIILGSLVFDAYRQVWLSSTLMFGVSFAQDKALTCQKVRHLLPYALVRKRLALLHDQVAKAATADALMRRDIRNLVTENALMRGELAKTLGERGAGLQDIRKQLQLLEEHHRAGLEGVEDIKEQVRQGEIAIFRSLSLRVIGRHPRARVGSECLSTSPPSISPTPVGFQIDAYGPAQPVDAPRGTHTPYLTLLCLGTIALAPYVLARRRLVSLQTEVAALRIEREETLRRNMRWRALLASAQRTEHRKVIALLEETKSCVEKLREETEDDRSTRADAEARVQGELRGMRRMLERLEADGMQRDHMRAEWEKLRRNDLRHLLQDNQLIRAHLGAVKNVGASLADVAAFMHEVELQQGYTPRKDDGRGIERMRQVAKRLQEIPDVERGFTASFWVCSSFLPSIFSGLSMRSHISTLCRDRRTARVHDLVFPVWHQELLHQVDIDLAPPSVFSIVHISASPREEREMVDENGGPLVLRCDLDKMAAIAEEVVREHRRRATRELVQSKDGEVCVQRHEQSVERFVEVGSHRQRGAHDAVLERGVDEVVFRLEYAARVELVDVGSGKRVCEYGSDGLVVDVREEVQQVAQALARRVN*
>EED84673
MPSNKVKAYELQSKSKNDLSKQLTELKNELLTLRVQKIAGGSAAKLTKINTVRKSIARVLTVMNQKQRQNLREFYKNKKYLPLDLRPKKTRAIRRRLTPHEKSLKTLKQRKKDIHFPLRKYAVKAA*
>EED84674
MQCIDLEDSDDDISEIQQEIANLAQFDSEYVTRYYGSFVVAYKLWIVMEYLAGGSCLDLLKPGVFSEAHIAVICKELLQGLDYLHVEGTIHRDIKAANVLLSASGKVKLADFGVAAQLTSTLRHTFVGTPFWMAPEVIRQAGYDAKADIWSLGITAIEMAKGEPPLAEYHPMRVLFLIPKAKPPVLEGAFSTAFKDFVSQCLTKDPVLRPTAKELLQHRFIKSARKTSYLTELIERHQDFRARTPGKQPQMYQASVRNSGAWDGTLRSDWSFDTIRTSSAMGSLRSMAKDIMPAETIPDEEYYEDDVSIYPDSSIDTTAATTRGSDVPLSGTALGLNIGAQHSTVIIRQVGPSSPMDEKDMPGLMADTGSDETAGPRTPLQAPENSEPPPAYSGSMRSTRRASFQARNNVSTGTVLGEADLGSGVDTIRPVKKVDTVRSLRLSEEFVGTTRSREGSSSAPSSPQGTKSPRKRSASDAATAGKSIVDEVLLPLLSKATRDDMDAREIESISMISRGFEELRDVNPELAYNVILDLLSGINENTAVRQHVQTARGLFPHRRIIRKSEMTAKGLVVTEEEEISGLPASSSPTPGEEPSSPTRKSPIAELLYMRWLEGLRLKWPSIL*
>EED84675
MARYPGLRGVKEPVSTAVRQPVKLSSYRDGTSIKVGKRDTFVLSAAPIKVGISIPCRCSKFGRGLMNVDLSPESTIRHLADDNQEPSRDTTPKSSSSADSISALADELAECTIHEYASNNGPMLDGTDVDDLCEGISSLTVSTDFDDLCDAFSMLAVVSELHKEMDELTDLFAKLTLSNEDINTEMTLYNLDDYTDYSIDSGMTIPVKVLGKADSPPTLPRACVSLPSDLHALPYTSSSLSTCSLPSISPSSSISRMPATPWVPTATFMDQFPAHIRLNPSAALGCGFVVVSVGLRQPTLTPPAAIFTTYRTDSQTTLDVD*
>EED84676
MTEHPMLSRPKSFFTAQLLEEAGLERLKQGIAHVNLRLAERHYRIRILELRELIAAEEAKFKTLVTEIDEIRGGLWDDKIRASLAMSPAEPEPEPEFPPAEEAQIEEAQAEEAQTEKPPSTAEQPMEVHISEEDDDDDDEQDHPEEAQVIVDLTEGTFGGEEEPDVETVDVSAESPPEQAVPVEVLPSEEQPSEAATSQEPTLVQEPEPLDVPEPTSAEPSAEEPDENAMEGVEEEVTEEPEVTYEDQSAAEADVQVQANDPREEDAIEEQDVPESTTLATEGDVPMDVDVDKQEVQAEGEATPVPEDESRTDAKRKASEEGTPLDAQRDKKRLREGSEATEEEPGPSTAPKGRRPGRPPAVDTPPVSKRFQTMITMVHSQISQHRYGTIFHNPIRKVEASDYHDIVKRPMDLKTIKARIKDGLISSSLEFQRDVYLMFANAMMYNRPGSEIYNMAEEMMLESEGHIQTFQQTEGFHGRCVFS*
>EED84677
MPFVKKVKSDAYYSRFQVKYRRRREGKTDYYARKRLVTQAKNKYNAPKYRLVVRFTNKQIIVQIAYARLQGDFVLCAARSTELPRYGINHGLTNWTAAYATGLLCARRALTKLGLADKYEGVAEPDGTLSMTEALDEEDAPRPFKCYLDVGLRRTSTGSRVFGALKGASDGGIYIPHNDKRFPGYDVESKELDAEVLKKYIFGGHVAEYMESLEEEDDERFKKQFATYLADGVGSEDMEEIYTNAYAAIREDPTFKPTEKTKDWKEESKKHRTVRLTLEQRRERIQAKIEAFQAGAGAEEDEDEE*
>EED84678
MYVIHIIALLVPLTYVLQCIARKVPELCKAYTPGKTDQDVHVRLARLEHIVEAALPQYWSQGHGHTAGSPDTQSDRRRSMSPGADDGNRSQPEDEDPCEGMFDSGRWYGKSASGSVAAPVVLEQLRHVGDSSGSQLPADLLQPAAKILSTQEPNAADRLQRLISDYGFSPTKVPELISELPARHLSNRLVDYYFTAINWTRYPIPERDFRASYASICAEGLMLNPNNLRFLPLLFVVLAISVRLAPEHIGGDEHTRKLTSTRYYWSSRRALIIAAAIAPDCFEMVLTRMLSARFLILDRRMTESWNQLGAAVRTAQALGMHRDGAAMGMDLVRVEKRRRAWAHLYHADRSIALVLGRPAAIQDVYTSTLPPSNVDDYASSDLHKPLPLSTPTLSTFMILRHTLAGIMGRVSHHFQQVRSPSHYSEVLALDDELVKFMQMLPAHYSVEPDTSLDQSHPYIPVHRFLLVTEVLFVRTTLNRPYLLRRLGSDRYERSRQACFESALTDYRIRRVFLATTTKEARDPVASAYREFQSAMISGIYLVLYPRGSDAAAMHAVVDSFLKGQELRKELDEMTRREVNIIQFLKDKSIKLAAATESGSSKLHSSLQKPNFTLNIPHTPTRSASMGSVHPSGTASPVVSALSMTSLPPKSAYAHQPAIPSHLQHVESGSNSSTGSPPGEETESSAQSLLDQWCNIFSGGPTDDSTGTTTRLPWGTPGLTDLSGWLPTSSPMLANEALPGLDGSDWGYWDTLVNQLNPGSDMSSEYVPAAWPNASDNDRLEEATADGNTGGNTPLSKVARLESSLPSELPLTSMATTSMATRATRILSWNVETPVPFLNLPPRKVGTSSVPPGSRLSLLRELIARHDFPDFVCLQEVRARQSDKEWIVALKAAANKSDSGPKYTAYMSLNRALRGPRHFGVITYVKDAHKIAVSREVDWDAEGRVIILEMKSGWALLNVYALNGSEYFWRDPLGQNAPKTRNERKRDFNRLLMQECQAMRARGLRLVLIGDFNISLTKKDCVPRLRTEYPHSLARKEFNEEFIPTLDVVDVYREVHGQKAAFSWFVKGKPQGADAARVDYALVQRTLMDRVIETMYHEDSVERAHSDHAPLTLALRDMDGLEEENDTVLLGEPLA*
>EED84679
MRRIAAVFASRRTDKSDATSSHRDDASTQHAQATVDAAQKQQQLLRPKARFFRTISRRAPALSSPHISTEPASSSSSSAGPPTPDDDARSLHPDRVYLQPFPDKAPPAEPTAVRAQASSMIPSQSRSALTASQSASAIAAVGPARSALAHLLPHPRLHLNDTDDDTSDSGDSERYAEPPPRARTAQPSATPVARVPPLPAVVRQETARARPLTAMEYTRALAQNALLPPFAPPPTLHVSDAPVFPRSCNLHSVLQSAPRYDGDALLPQLFQRRILHRLAVPGADTRALAPFARRGKAPAARGPTLVLDDTAVPKAFARGVRRYSEGLLRWIERPCFEDRFAVYLPRDAAEQEHGEDAVVCVRVSGTGQGEALEAPAGVFADEDEDLESRAHVVAPHSILRCRRSIVSTTAIQSRDAPFFAAAYACNTTARRSRGALFVAATYCSRLPLNVSTCAVMAYRTGRVLNDVAHAPAAFSTSVRRAQAGGSRARGRVFSAVSYLVGLIVDRWQQQAADTNSGHSPVSTPLVERTGTAHAPRKEARRQMQAQAETGWMRVRPARASD*
>EED84680
MRRIAAVFASRRTDKSDATSSHRDDASTQHAQATVDAAQKQQQLLRPKARFFRTISRRAPSLSSPHISTEPASSSSSSAGPPTPDDDARSLHPDRVYLQPFPDKAPPAEPTAVRAQASSMIPCQSRSALTASQSASAIAAVGPARSALAHPRLHLNDTDDDTSDSGDSERYAEPPPRARTAQPSATPVARVPPLPAVVRQETARARPLTAVEYARALAQNALLPPFAPPPTLHVPDAPLFPRSCNLHSVLQSSPRYDGDALLPRLFHKRILHRLAVPGADTRALAPFARRGKAPAPRGPTLVLDDTAVPKAFARGVRRYSEGLLRWIERPCFEDRFAVYLPRDAADREHGDDAVVCVRVSGTGLGVAELEYSEALEALAGVFADEDEDAAVGHGDGAARAPGAGAIASADSSPLGAGVPFPRGAAASQESPPLASLANAAPTLKPQRQQSSSWPALNVEIDTGGPLLNFSAPDLTPPLSASASLLGSPASTGPPTPTGGVPAVGKTQLPAQPQGQGQAGSQAGSPSPRAQPYKAMPSPLRIEQNMALPSPWSPVKGPQQQYKPAPTTTTTIEKPASTTAEKPVPAIEIERTKSTSPAPTTASTSTSTATITSRAVSTPTPTPNAQRPRPAPPAPERPQAASPGRTSKPAVRFVDTPKERREADDTSDGSADGRRDNIPLGYVQRIKQQRLEKAKFLAAEKVRRAHADQAQRRAEREEEERRRVESREREEERRRRMYAEEVAAARSRRESQRFIPPALVGPGGVGSGPAEWDKGRERERDRHDSAGGRGRGREKQAEREETFARPVYDGRRQSSSPAPPPAPLPSNNSARNSSPAPRPPPSNNSARNSSPAPSGSGSQSQSQRDSSAHSHLAPPGALTASVRSSSAPDVRPRENRAGSVGAANRASMISDAGKPRTASFGSTPPPQSMWMAIRRRAIVKAIAKGKAIIKGKARVKARPVTIALIAVVSVLGLGYVVLGAIFFVNRRKRGEKSFIRPDMKGRSLVPTLDHDKFDASAGPYDPMAASSSFVRPERETAGDVKRATKARGEVVSSTLSPKELDMRKVDDKCHKIYLHLPLRPRHSFDTPGFVEALPYFGFSKFDLGILSSEASI*
>EED84681
MRRIAAVFASRRTDKSDATSSHRDDASTQHAETAVDAAQKQQQLLRPKARFFRTISRRAPTLSSPHISTEPASSSSSSAGPPTPDDDARSLHPDRVYLQPFPDKAPPAEPTAVRAQASSMIPSQSRSALTASQSASAIAAVGPARSALAHLLPHPRLHLNDTDDDTSDSGDSERYAEPPPRARTAQPSATPVARVPPLPAVVRQETARARPLTAVEYARALAQNALLPPFAPPPTLHVPDAPLFPRSCNLHSVLQSAPRYDGDALLPRLFHERILHHLGVPGADTRALAPFARRGKAPAARGPTLVLDDTAVPQQFARGVRRYSEGLLRWIERPCFEDRFAVYLPRDAAEQEHGEDAVVCVRVSGTGLGVAELEYSEAVEALAGVFADEDEDAAVGHGDGAARAPGAGAIASADSSPLGAGVPFPRGAAASQEAPLASLASATLKPQRQQSSSWPALNVEIDTGGPLLNFSAPDLTPPLSASASLLGSPASTGPPTPTGGVPAVGKTQLPAQPQGQGQAGRATLRSAGGDCEQNHRAAWQRFGLGQTRAASRVSYRNGRATLHSSPLPTPAIPPLDG*
>EED84682
MRRIAAVFASRRTDKSDATSSHRDDASTQHAETAVDAAQKQQQLLRPKARFFRTISRRAPILSSPHISTEPASSSSSSAGPPTPDDDARSLHPDRVYLQPFPDKAPPAEPTAVRAQASSMIPSQSRSALTASQSASAIAAVGPARSALAHLLPHPRLHLNDTDDDTSDSGDSERYAEPPPRARTAHSLATPVARVPPLPAVVRQETARARPLTAVEYARALAQNALLPTFAPPPTLHVPDAPLFPRSCNLHSVLQSAPRYDGDALLPRLFHERILHRLAVPDADKRALAPFTRRGKAPATRGPTLVLDDTAVPKAFAPDVRRYSEGLLRWIERPCFEDRFAVYLPRDAAEQEHGEDAVVCVRVSGTGLGVAELEYSEALEALAGVFADEDEDAAVGHGDGAARAPGAGAIASADSSPLGAGVPFPRGAAASQEAPLASLASATLKPQRQQASSWPALNVEIDTGGPLLNFSAPDLTPPLSTSASLLGSAASTGPPTPTGGVPAVGKTQLPAQPQGQGQAATSPGRTSKPAVRFVDTPKERREADDTSDGSADGRRDNIPLGYVQRIKQQRLEKAKFLAAEKVRRAHADQAQRRAERDEEERRRVESREREEERRRRMYAEEVAAARSRRESQRFIPPALVGPGGVGSGPAEWDKGRERERDRHDSAGGRGRGREKQAEREETFARPVYDGRRQSSSPAPPPAPLPSNNSARNSSPALRLSPSSNSARNSSPAPSGSGSQSQSQSQRDSSAHSHLAPPGALTASVRSSSAPDVRPRENRAGSVGAANRASMISDAGKPRTASFGSTPPPQSMWMASPGQGMPLNGMNSMGNMNGMNMAMNMNRMSMNMGMMGVPMMAVPVPVPVPVPGYGMPVGMGMGMEPLLPPTPPFVMQQFGYRPPSQHSQNAGQGQSQSREHSRSSSPGRSSAQSHTSPARAAAKPKPQLLTDRAAWASRSGVPAEFQQGGGHGQPRSEPQHAQGFLALAAFAARLYPSTPLERRCRPSSHVPVHEPAYVCGQERAPPGDGRPPARALVDRLAPAAAVAAPLLDIAASRADIPACCGQRAAQLVGDPVVWLPDPEQAGAAAEADHDLMTLALTSISLKFFGPACSKSVT*
>EED84683
MARPRSEVFFSVHHYNLARFIAGPHSLPPNKLAELQGAFTRVLRAGLANLPEDGFDEEMLDVERPGSPAEDIITLQYDDPRAVDFRNTLRTWFGKVPWSAVHKHEMYTWLYWSIYNASYTSFEALPPVHQKALQEVCQLIERRSGTTIPEGSNPTVKPLLLTLDPVSVVWRPLVWYLAVEISNFFVRRRLVREWNIKFGTYHGLDYVLRIPPGWNHITGPRPLVFMHGLGLGITQYQRFLTTLLRTAPNHPILVPLQPHVSQQIFHPRYLRPLGRRDMAGTLAGLLDKLGWAKWEDKEESDREREKGEGDRDQGMELVIKYFVGTELGVANFLQRHFDWNANSLWYEEIPNPRDPKKTKFFLGGRDDIANAKRVRRYLTSHGIRKGLWYDPNGRHGQAMLSGSPGQKEFFNWLLQDNRKS*
>EED84684
MALSYVSLALRLLLLSHPGEYSAWAIIVLWALRIVSLSLLFRTYVGPSILRLVSKRLQVRSVSLRSIRGIYFRAGSGTWQIERVGISYHRPSATTASRFSLVVEGLKLELAEAEDTSIIKPSLSRTKPQHLPSKSLTPRMRQMLSKAWRVLGVIYASVDPYIRPFIRSTIVGVLRVVIQALPALTHVLDFELHSAVVTLSAIPGVELAVAQVQVHTKVSLSSLGSLVIPESTLARPVQHRRFASVADWNARLASSVRRTWDKAWGATQVTTSLSVRVKDISGTSSALALNDLPSIPSVGMVPFVDIASTEFTVSLRIDPHRAIQPHSVTTSLSLDAVNLNIDVVKYLMKALKKEDRTLDTPIDRTQPNVQPTFSPPLRPSSLESRRMTWSSPMSPGSPLREALSSASVRFGWGFGPTPARKLNRRDDAQTHISMFKAVSFNVNKLTLRHCLTPVQTDVSQVFAVTMNNLHLGGGLSHPDINSMHRQYLGSRSVPNDDLSADVYRLDFSVSSLTLDRFGSGAVVDHLQVISVDLVKIDAVVSQWPFPWVCGPTFMSGDPNSQLLAVNLELGSIRLTERLEVLQSMLANRPSPKPHSESRTLLPSVLSPLPRVAFGMKIGPVLVRLISTGTSSDEGPFALEARTDGFMTSFDSQYRFMTDKHLSNMAHDHPGLQMDFYLKCALHRTFVSVCFGSDMSEGHHPGPLPGSSSYPGENLLSLEAIEISGHGSSLGDIADELHGAVTMDVPSLFTELHCSTEAISIELWQPGVIGAVRRMARTFGGSPKPPVKRDSPQYVLDHLPFGIAASVAVGRLVVFVTGPDIAPGDELELTRGVAIHSGISFSYCAVHSKHSDRIRDLLPRSQKRLSLSLPSENIVKAVAGATVPSAMPNTRVLLGIALWDVGLRDAIATRFTADDPYCYADGDGSPASRESVRIKSIDVDVILSGMRPNGSYRPGVKDDLLITVLVSKVRGSLRLAHIYNMLLAAKTLKTLTPASPKPVSKTVAAPSTLALHFQSDLRELQVLFEFPLRSKMFARISNLRCQLLPEEAMQVKWSSLTLAVAATTLRDGREKENWEELVRLTDWRVTIPSSTRPPSLLVEGDSGRLHIPFDFVLADLILDINLTVKSSKHLIRMVAAGKYSQPPAPEAEEAKIVPDITIKVRCLTVQAADEDLESNLGLIWRAGSEASRVRLERDEAFEAKASVIRTSHGSSLTSKPGDVDPDFHFGNKHTVSVDNARQRLHQVHSVAWRSAFVKAKQTQAAREVSYAYYAARTRCEEDTFGDLVRVNPLRSIPPLFRLTFDSLSLHLIAPPSLINNVPDFLFAAGNGLPKDTEFSLLVPLHLNFTVASLRFSFREYPLPLLNIPPNTSEGSPALEFDGHVVIAEEMGTESSVEWFPAEVVPAHQGLHGAAHLSIMVPKTIMPVKTYAEPTIRVLTSGTTDFSWGVSYGAATQDLMRIIDTLSHAPRDCSPPIGFWDKSLSLIAMGAQLLAATRLPLALEGSICGRYTSAHESLKGPGAGFALCWSGNPQLLIGQPNDQNELIQVISDSMLVIIPKSEGQLHMPSISSVPFTESETSKSREPKVCAKLSSGVRFGVGFALERACGPECQECSGKPFDRKCRFFDFKPHYNVKLETKEQAPEPKSAEDSYNGFRSDFIHMSLSLTSAVHKGRRHLTQQQSSIHLSPEVFEHFWAWYHLFDGPLYARKRPVSPKLGQHLATLKYRISFEKIFISHLYVDNSRDAWADGVTPYVGVKTLIDHFQADMHQRDQESTRIIRDGPKAIHHKAFYAIEVVTKGLDLRSMLAVFEEPLKQQVRMESSPLLSNYRTKIKADPISAESLWLDADDFSKPEWAPSAPPTVYLLPAARCPQFTYFKRAVDNNESPSGGPVVRSKFGNEDTHTCYLGKEASVTQVQIALTAARIRELEHKLEHTSGQCERSHSADCYDSSHGLADERSTSVRKNIALLNSYGLHLEKIEASAAQHNYYMPSEAVSQEEWAEFDNVYQLHFPQIFMNQTIRDIMMQYYYCSRAKRGIEYHMATRSVIHHRDQTYWNSYPSSAVKFIRDQAKSALADLLHESDGPRGPVASAQAAAAAVRNFLTGDGSTGVESVSYPIMEAPGAADPLDGWSQGVSLRKSDFCLLLKPQIVLRSEATAESDADSVCVLAAVQGKMKSYNIMDDANADDPISGKVMSRNFASVTGLQTFSPSASNKSGDEYVPLEVLIDLRCENSQFDRLVPQTDASLQYDKFNRLRLRTNAASLTRSIHDANHPHDHLQNHTDLVRVHVPRFTVSANDQHFQFISNIVTKLILFSDAALKDRADKLEKMLFSYDFTNLASAADVVANMQARLRHALETRREAVQRLQGFGDDGKVEVYKIDAHIFLLADELNLIFDAIKLAQDKANEGSEQKSALLLHASSSEISWGMLDRQDQLLAKLAVRDIHFYWLSRQDSSTVNNLVVGDLQAFDGSADAEWTEILSRYDEPSSHPLVKQKLFLVADWTVLPPVGGITIYERFEITFHPMRLQIDTRVGRKIMEYVWPARRHRHNTEDEVQAFDDIPESPSAFDESSNVVIVPESPMSPRRSSWDVSPRAPRQSYDSHRLAPASPLRKLGASRSFTDLRNTMSDSMVVPKLHKTRSTDALLAFSSPSQSGSKVTEGLDRRLSARSAREIDDATVMKTRSSQKTFVWVKVNSIAKEDSFLCRDARIRTRALEYRNQTWSFEELVDQFIPSGRNWRGWVKMAFQQPLVPVLPVARELISKTKWISNKSHHSHTQDERPNSPSLFLPFHGRHNNTSATTSSSSTVNTPSSTTSETKRQRGISLFSRHSKSQPILAKDLTAEPEPLPEDAMEQVAAARSGRVRVLSVFKRKHTTGPRSSMDSDVSAASIVLIAVLVGIAQGPSRSRILQLPYMAVHVAGQTVEKNRAHPLTRHREGDEDMMSLQGSQMNVLVPRDDREPGRVGSALSLPDSEMSQLDWDGEHHPDDIVEHLDVIDPQISTIATLTNAANAIVIPPLDFYSRKPVVVLPRRRRRKSRANAAEKGRAEEDDDDEDNLDRHVEDVLRKRDRFRRVMRGVWSFVKTPVYGFLVVFWGTALVLFLARFIDLHNDNTQDFWVEVCQQIETGLFSVTSIGLGPFRIVDTYRVCKIWYYQRKTEKLRLRAGLPELYDPNDLPDPHYDANYIPVLTEQEQIDLHYRADVVSPSWDADAPVWICGMNDLNTFFQCLLSGCMWSMNRFQRPAWTTATTLPAAFVAGIVAGFLIYWGGRKTKRVKEVMERLRMALAMERPPLDDGAERQSITMMEGASRVPEARPGDYTQTFPSEMQKTRSKDFAESEGNRVPETPLSPVTPTTPGITIVDEMTVPPASALEDDNRRW*
>EED84685
MAKSHPLDTIPTDTSLRPRGAIPNTPLAVSAVSFLLGSIFALGFLTFAVGGFQRFWWSTYELGFFVASWAVFHWGEFAVTAGWNKDKCSVDSFLLENGIQYHIAHGFAVFEYLVTLYFFPWFKRNTYVSLTGIGLVLMGQFLRSAAMIHAASNFSHVVALRKLDGHALVTDGVYRWFRHPSYAGFFYWALGTQFVLINPISLVGFVVVLWRFFYHRIPEEGYLIRFFGKDYVDYRARVGTKIPFIP*
>EED84686
MPSAHLQTYAQRAEKHANPAAKALLETIERKSSNLCVSVDVTKKADFLRIIDIVGPYVCLVKTHVDIIEDFDSSLIDRLKELSAKHDFLIFEDRKFADIGNTVALQYSSGVHKIASWSHITNAHPVPGPGIVTGLASVGTPLGRGLLLLAEMSTKGNLATGTYTADAVRMARAHSDFVIGFIAMHRMDGIGAQAGEAVEDEDFLILTPGVGLDVKGDSMGQQYRTPREVVLESGCDVIIVGRGIYGKGSDAEVREQAERYRREGWVAYEGRVSPRA*
>EED84687
MRRMPPRKR*
>EED84688
MSSTPTYAPCSASMVILSK*
>EED84689
MFPSGHHVHLRKAASTAARRNRAQKIYRSSLAVHDAPRSKRPSSIVYGALATTGLATAYFFWPDASRAAPTYDSAILSPAHFTPTTLVASEACPDTETRLLTLKLPPQSIPSREENIFAPIWSIFIKDDDIQVERPYTPLEGIDEEGRMKLWIKRYPKGEVGRWLHSKNVNDKIEIRGPVKTWPWQEGQWDEVIMISGGTGITPFYQLLHHAAVKNDSRYSQTRFTLLHSSRRPIELPPTEILAPLLSCAQKSPERLTVSLFVDSLEGPQHPSITSAALRFADRIRPFGRNFSQGEVGGVLAAMGYEREQVWKL*
>EED84690
MAALVAHTQDALSSPSRLSPELDSIPAKISAYYSLVFPNFTYYLQTLNVTIGRRCIPASAASSSENPQVDVDLGPLKSVSRLHAKIEYEEEEERFVLIVIGRNGAWVDGVWSGSGSKVPLSDRSQIQIASRTFHFVLPPPPAPEDSPSPSSNSSDNRARSRSPSVDIVDVTSISPPSSLPSCSPPPAPVSPLANKIPPLSEAALPNSNTISKFKSNAKKRKKSDAAPPPPPPPELMPPKPPFTYAQLCYRAIKALEGKASLQDICLWIKETYEWYRYCAKDWESSVRHNLSSNPGFKKLLRSKDEKGKGALWTVDEAFEHNFEEQDARRQAQVAAAATGTGGKDGKVAGKKGKTVVPLEPPFKRSVKGDVKGPLPPPLTSTPLTLKTNSTTTPSLGLSSLSAAASLHSSISPPLKLDTPVSVKFEAPAVKLEPLGASFVPSSVHSTSPASGQSAQSPPPGVGSTSHAPEASSSSTIPPTSAFPAIPATVRIPIVVGPVPTQPSDAPSSPPKPIVLHDNTLILNPEIFSHLTPDHLKDLEALGAQKALEILQSYIVRYYKEKLKSEGGRGRGRGRPRRGRGGVGGRGATPSSAPRTDTPTSGPFTTTPLPPRSAQPSPQTASNSPESTAQLGSRAGVPAPAPPMGSLRPPVDSAPSPILVIDDDSPDSDEGPSSKRRRLEGAGTGDVS*
>EED84691
MEDLTGRLKHSCVLDLKMGTRQYGMDATPSKKKSQRKKCDRTTSRALGVRVCGMQVWNHVTQSYVTQDKYKGREVRPDDFPGVVASFLHSGERLLVYHIPLILRKLYALARIINRLKGYRFYGCSLLMIYDGDREETEEMTSGKGYTAEVEPETGLIYARFPPHYPDQPDRGFLFGLRSL
>EED84692
MARYWQATWDVSAFVSSHKADVFKCHATNGGYMDSPYTCAYSHGAKRGGTPLLALATEQGAVHVVNTTKRRDWEYEPQRTIFSPHQNGVFAVRWSPSDTLLATASGDQSARISSLDASVSSENRTLHVLRGHGSTVKCIAWDPLKDGSVLATGGRDGGICVWDLRAGEGRSRRGSVLDGAPEPGAIAPIIVIPGAHGLDEKPTKPNGRKGKLTPAAPQRSITSLVYSDDSSPYLVSSGSYDGILRKWDLRMPTVSKKKSTKASKAPPKPVCSSGIDPTTVQGTRRARGITSLAPGHGPTAGLLFALGNDSRIHTYSLPSLEPLSGYATPPATEDPWAYSHVNMQTNSFYVHLALSPCGRWLANGNATDGRIYLFDVGSTASVGRAVEFGYDAQNMQAVELRGQAGETGALDWAHDMLATCADDTTVRIWRPDIDVYNRCMADSERMGWDWSWATKP*
>EED84693
MSIKASDHVKQQAQSPEGNNISSADLKKKSKKGKGRDSQAERDDDSAVTSEKKKKHKKVHVEDTDATAVEGQSTPAVTDTGEESTKRSKRRKQKSDATEPPTPQPTQDDDSPDKAKSKKGKQKETPRAESGAAAQPEDATQTTIEDAESSKKLKKLRKKERRRAEDGGAEGADAEELVVTTKAEPKKHKRKREANGADEEKKQKNKRKRGTSEFEDPNEDESLSEQGRKALHYAYSQFDDPTSWKFQKARQNWLIRNAWSPEAIPDAYVPLLTRYLADIKGGVREALLKTCQEILAKQPENAQQDQTAEKPSDAQPTSTPSASTVDLVKHERASKIIAVLQ*
>EED84694
MYNGIGLTTPRGSGTNGYVVRNLSALRVHETAADRASAWDVAPPKHREPDAEILEHERKRKVEVKCLELQLDLEEKGLEEDEIEKQVDELRVKLLANLNALGSNAKNLKPSDTHGIAAAKKEELSKLARALGTRSDYTEGEAFDREKQEELKIRRMAEREDRERQREEEREKMRAQKERWEAEKKERDRLRRREEDRRRK
>EED84695
MANASAKRVAQQNAQTIKNMKYGTLAAGALSLLLRMLFRKGSLSPAKLSLWLLALSNLPSIFLSRYLERIGSPRRDATTDTLISAGEDLGRPGIIEWAFDVIYITWACQVGSGVFGDWFWWLYLVIPLYAVYKLWTSAISPLLFGRPAATPDPRGDSAPKEPVSKRQEKLRKRGERGDQRVRMQSVKE*
>EED84696
MSSQPFAFITPSTRGLSLALTRHLLRTTSMPVFATHRSDEPDTVRKQILSPLHDVDPARLKLLKLDLTSEDSIAAAAHKLSHLLKDNPKSHLQTAFFGGGVLHPERQPADLDFKQIQETFQINVISHLLLIKHFSPFLPGAQAALTQAAPLAKWVHISARVGSISDNNQGGWYSYRASKAALNQVVRTFDLHLKQKKLPVVCVGVHPGTVRTDLSRDFWAGVPKEKLFEPEYAAERLVEVVDNLKAGDRGKVWDWAGKEVPP*
>EED84697
MPLDAAVNYIAASTNRHPQAGDASSRSLVAFGSSKLIALWDAKWRTTSSTRAHDKSVSAMAVLEDVIVTAASDSSVKIWKIVHKEQSVFYIHIGHTIYQDVLLEQQTISLRGRYTLSLSLSPLPGAQGVFFACISRYTATILAIGGTDKNVQIWTRSDAQFVSSAILSGHEDWVRSLAFRQPEKDSDPLILASGSQDATIRLWNIESFISKSTNRTDGESSTEVSDELLDAFEASLAEVAVGEEGGRQISLKRHILTVKGSQNSTQQFSITFDALLVGHEASVTSLAWRPLPPSSSSSAAAFDRTPTLLSTSTDSSLILWSPSTILTSTSAQENGQDGTTSLWINRQRFGDVGGQRLGGFVGGLWTRGGAEAGHNGPVRGISWSPDGEYLLSASQDQTTRIHAAIPTTSGDQSAIPIWHEIGRPQVHGYDLINVATLGPLRFVSIADEKVARVFEAPREFVDIVNNLKIAHLAGEGERPRAATVLPLGLSNKAVSEGTVLRLEGELAAFTLWPEVEKIFGHGYESITLTVSRAKRFMATACKATTADHAVVRIYDTEKWQPVGKPLAGHTLTVTRVAFSPDDRFVLSVSRDRSWRLYEYKEDNGYIPVAADRTHARIIWDCAWAPEGVAFATASRDKTVKVWQPKVADNFEQWAAVATLKTVEAATALTFAPKSTDGRSTLAIGLENGAILIYSCPQDNWVDWRLDLTIDSSTAHVDHIHRLECRPCTNDAGMQLASCSEDNTLKILTVRTVQSGSETKHST*
>EED84698
MEKFSAFRDPGTGIQPFLRPIPPTGSEALLIATSPLRYAIGLVRTLLVLILGILHALIVEVVCQVLRSVPPLYRVVSHVSTALFARLALLFIGLPWIPVHVVSRKRGYAYHPRASECLILTSPRRRNSKTNETWSPGAGDLIVSNWVSTIEILWLAFRFNPIFVLPVSAAGEQAQQAATPSSPITRTPGRRTGTGSAAISSPAARAPTPRVPILGFRQTSLFGMLRATGHVPSAVQAHTTSSDVKSLEEIRGGADRPVVQRSRTAQCPLDKVQGVHHVCPVGAPLSAFRFILTPLPCSYDPPTTFCPTLAHPIPYSALNPLPHIFSLTTSLAPYTLSIRLLSLADAPSSGAFVTSEFTAGQNSDILTEACASLIAQIGKVKRVGFGWEDKAAFLEFYRSKRR*
>EED84699
MPRLAFLSSVLLAATLLASFWSNAAAADISALQGSLEKRYSTAHSLGDTYQFDPRDGWQAVNVTNLQYKYSRSVDDINANEEALPKTTFHKHGKRSSKKRSTKSTTKTASKAKTTSSASNKSVSKAANGVLGSLQSIINTIKAIGSPEPVTITWYTGHDLLNPSCWSNPSWAPTDESFACALTLDGWTTRPKCFKFLELCTTSKKCVFVRVVDSCAGCAPGSKHVDLTKAAFTQLADLSEGVLTVQMREATDPDGWLENLWGPKEP*
>EED84700
MASTLPPPAPSGGNLSWTSQTEATRGSWSRSGESGSATRGTNKGKSGGRGARSARGGRGSSRGGAAPSQGGHQSSKDESKDKSLSEVARTPAEPPSVASAAVPPSSKPSGRSKPAKKVPEIKVSRKASANGADSVPPTPLNPPPVNGNTSRASNRRKRSSSKAVSAAPSKSSLSSESSASQLRPDRIASVSKDLPPHLAPPPEQPPHDTRHNIDALVERVRAIAMDRPHTPGSHFDWASDDDDSLPELPDWGVSTSQTDVSVQSGKGSIISPILEDALKPLPSIDPGTPITISLDGRPEDQHDGISAETPSIAKPEKKERERRPRRESKTEQATGGPKTDTAEDLGDKPKAETLNSTSEPHASGMPSPNVKHSLHPSLPRKPVAVLDPVPHKRQPRLKGLAESMHASLPSKPTGQESATQNNAAPSKDAPNKPAGSNEVETKAHSPAQSVTKPADTPIPASMSAPSYLSSHPIPDLPPHQSGHVRSRTLGRAHKQPHSASVTSFPGPTSDSDRVLRGDGGAHARTRSTPPAGSGASHGHTRSMHATRPIISGDAISRLAKTLGGSPLQRRSPPTVSISKD*
>EED84701
MPTTPIDAKTVLDVDPWLEPNAPSIVHRHNAFRKWRDTIEQNEGGYDSFTKGYLKFGLHVRDDGELVYREWAPNAKEAALIGDFNNWNRTSHPMVKDSFGVWEITIPPKEPGVCAIPHDSKIKISMITPSGERIERLPVWIKRVTQDLSVSPVYDARFWNPPQSERYQFKNARPPQPRSVRIYEAHVGISTNEYRVGTYKEFTAHMLPRIKDLGYNTIQLMAVMEHAYYASFGYQVTNFFAASSRYGTPEDLKELIDTAHGMGITVLLDIVHSHACKNVLDGLNQFDGTDHQYFHEGGKGRHELWDSRLFNYGHYEVLRFLMSNLRFWMEEYQFDGFRFDGVTSMMYVHHGIGTGFSGGYHEYFGDNADIEAIVYLMLANDAMHELFPSCITIAEDVSGMPLLCAPVSKGGVGFDYRLSMAIPDMWIKLLKHKSDDEWEMGNIVHTLTNRRYKEKSIAYAESHDQALVGDKTLAFWLMDKEMYTNMSDITEYTPVIARGIALHKMIRLLVHSLGGEGYLNFEGNEFGHPEWLDFPREGNGNSFHYARRQWNVVDDPLLRYKYLNEFDKAMNHTEEKYGWLAAEPAYVSLKHEVDKVVVFERAGLLFVFNFHPSQSFTDYRVGVEEPGEYHVVLSSDEKRFGGFENVLPGGQYFTTPMEWNGRKNWLQQIYIPTRTCIVLGKN*
>EED84702
MSYGDGVRAAMGNGPAHLGHTDVDVDATRGNRSACIAYCVSRIWLSLANGHGVGITDTDGTAAHRVDTEPAGHRDTGMRLQHRQALRTCAGLRLGCGGDLRADADIDYLSRCDDEQPTQRAARVGEPRWGRAGALAQTDGWQKNKPESARIGTPTANAADGDGGEGRSVCPVLFALDHDHESDGWMNAGCARAQGGEGGAGSSGWGEAERRGESDGAGGGDDQGRGAAGGADAGDTRATDRRAAAEPMVVLWWLRRLLIRALFSSVGPYAFAGSEGRAAHGRPRCGWMEGWIEGRQWWRLGEDTDFVSAEGQELHEQSMMVVLRDTGSMLYMIHVGAFHAAGSSRTLVQLFLTSNKLWRVMYSGPFMVPHHTVNGRNADKTKQWMNQANNERKREGCLALLRYDDIMPRRQLETRCHDGQKGAVSDDVEQDRTAPTAGAPIVFDFVLEGDQSMHGGTATSPDWLGVLSENGMEQRDGRARKFYRPWRSYSYGRYAIAPVPPPSRAGCGGGKLNPLLDGASVNVRGKRQELSRLPNYASISRRKAQALVDESVCSRPPIHSREHREYREQNQTTKYWRWITFRG*
>EED84703
MAPKRTGMDLLFHPKKRRPGQPPPPPPPKKEGLPPSAPPDKPSEKPAERPARPPRPRPPNPDDDSDLRPPPPEGRFSEFRLMSSSLNGWKYDIMKFDSRKPVDPLLWELPIRLNRKDLRREADDAPAAVPQAVGPMLGPDGKPVIGVDGKIVMVDAEGRPIQGGGGGGAGGDKGKEKAPPKKRFQKKTKQVFLVPEEVRKLRREERYPWVIEDATQKEMWLGRMEEVSKSETHAMFMPAPDNAFKFVPAHRWYKFQQKPKHHVPTLEEAESLMTKIQKNKDPERWLLRRRNGQAPSEATTALFKAGREGTPAGMPAGAGSGGRKLKVVDDGMAGLFGDDDDEDGEFRKRKLRRELGAEGDVDELDFEETFQDDEEKMEPEEADDEEAKELEASNIRFERLKREYKNANKTREGYIDESDDEDDITLTRAGKNLQKTLQKLEKDGGYDDSDEENPYMSEQEEEEEEEPTPVHTGPAIIAPEPKASRTPSQPPSTPAPANGVKAPTGSQPPLQIKTESQTDALSPTADTLSWRNAR*
>EED84704
MQTGHRNDGSLVEIRISDFLSNVAPGHEPTDEALAVFRAKSFERSTTTKNEWEMYTGFADIRTTKEATPAGKCDRMSSYILPIEAQEAYSLTEGELKTAENVMQEQRTFLARPSWAWASLVIEFKTESGGHPFTFSASEIIFLTADDDRLTRGQIINYAAAVLHNQPRQFCFMIVVSGCRARLLRWDRSGAIVSESFDFVHEDKETMFRFLYKYGSMARHERGYDPTVTAATKQEVQDMKAKITKNRDGLHPVDPVRGTDSHESGRGIIFAKPHKSSGSPIGRGMQGYVAYNVDEKRLVYLKDSWRFADVIPEHKTYIKLWQNEVPYIAKPISDGDVFAGTDAQARPRVQYTLTQDYLRKRGNAKSKSKARIHYRIVFDEAAWQKAEVLHRDLSVSNVMTKDIIPSDGRRRVQGVLNDWDLSKLKKESEKGSSQVHRSYSEQKKHEVSDDLESFIHVTNWLTLKWHWHALTGEPTLLKDYVHRTYDAYNTDQGYNDEIQRMKSQRILRSQPNQPSDRKRKTTXCIKLEDDLPHFPVTSQRRDASPPEGSTITEAVRPPLDDHDSLIQIFQARPECRYRMLRQSGDRPICVAHRGILNSHNEAKILCSTDGEPRPKRSRQSSLNDVKRPARLHTEGPLSILSRKSGSYSLITSRREFHHGRGRRLFQRMSLSEAVVMT*
>EED84705
MQALTCAIRSALRRSSSQWRSSAAVSAATAARRHNSSSAPANAEVVEALQDAGDSTQEAPAARLAAGFQGVNTPLASDKLHLRGFQKHKFIRPAHLTYNSRVSTRRRPARHLLGLDAKAARLSDLFHQLNVDPLDECTNSSLLSRYVTDIGKIQSRAVTGLTWKNQRRLGKSIRRAKMMGIIPVLSKRPLSLFNREY*
>EED84706
MSYTPNDATTNEADTPKTPAIHVTIQPRSYLLPGAAVLVGTMIGLRRGARTASLQFLAENAHRPPTTVQGWYFYNKTKNYRVLMSGLKEAGKEACKLGATAAIWVAIE
>EED84707
MGMIIDTDDEAEWIVPQVQSVADFELCRAVKERGRPTGVYELLDESMVVKNTLANWDAVFVRFKNTNGELLPIKVLLPPVLDEEEDEAELAAARKGKRKAPPETQ*
>EED84708
MSTQPLLQRTAAKRIALPVRVEPKVSFANERTFLSWLHFTVVLGGLAVGLLNFGDQIGRISAAMFSVVAMAVMLYALYTYHWRANAIRTGGRGPYDDRFGPTILCIALLVAIVANFILRFTQPDV*
>EED84709
MPPATLSSVNITILGSASAQPSSTRNHSAFALRLGGDVWLFDCGEATQHRVQKSTVKMGRIQKIFITHTHGDHIFGLLPLLASRLDGAGGVVDADDPRTKAESRHAVPPLEIYGPLGTRAYIRSGLTYTHTLLGAPYVVHELRFISDPPLDPTALPPHSFELPGRDLQQVDGVWPEIYRDDLVTVSAAPIMHSVPCVGYVVTELPVPGKMDPKLYIPHLKRTKMPMSLMSRLQQGESVELSDGTVLRGPPRRPGRKLVILGDTYDPSPIADLAADADILVHEATNSHLPGVDPETKAEDTHASVEARAKSRGHSTPQMAGRFARRIRARKLLLNHFSARYAGNDDVDEQARSIMEAIKALAVSEFDGEVVCARDFMSFDVELRQEG*
>EED84710
MADPHLQLPPTGSGSGASSRSPPTDYGAFVLQMLPRMSQPSGSVNQSVLRQCLGLSSSYLVTDTTMDPDRGLASWHTGFNRLIDVVVALHTRGELELATINDASRACSECWTVAGSWRDMEGGRDSVKGVAARLKTLLDQNGKTYRGGRIYVP*
>EED84711
MRRQRWSCLCPQPRAYLCTQGQPHGLSSEAGRAPVRFSSYHPCQLAPEKRLSAHTGYNLTGVRLTSRWHLSFLVSPQLPAIHPNTFSATDTPGVPSGLVQLCLPHGHPAAPSDADQRTERAPTPNAPDWIGGGCRFEVVEDQIELEGYQIYAVEKCPQSSLSPVDAYVEWDKATRILRTDGARPKETSKGTLFVTSLANFRSDYTIVHIPNGNFLDIREQLYTNINLLRMGCSGRSALTLEEPSDTTKDRFVLMYEIPDKALSKSGSFFNATVLELVKLIQAALAIFDMFDLSPEERNGLLCDVTSEGIKRWVTEVGEQCMKVEHMERVADPTVVAALLSLILTVRNKLHALGYYVPKDPFLDPQGFTRALAAYHAAKPHGHAHAHHLVHGHPPRGSSPPGSRTSPTLVASSGPAAPSPSPNPSPIPTVACLSHALVEAIHSAYEKKARQNESYKVHRVLKSKLDDLASDLRTGTDSGGGGDGSSASGVVPTADMATFVKVVVGSSKDAPHSLRYLWTGRPEEVGRKRREKEAVWSDAEREEREKDGERDGVKDARDERDKEMRSSGDESEAKPWPGRVQRKIESWAALGRTKKLSVDFGVLGKSIMESPNRGGPSEQSGLSSTVPSVRVTGETEEPLSSGQVSPTYDSSRNLPLGIGSSTPALRSESELLEYDRRIFEFNKKRPLTRPHIQSRVISWSDPVSAKELVDDEDAKDEAQAVTLQVPQLEADDEAASVTSDTEMEGRQRRRTLTLRPRRCRSFDAAADFRGVRVLPTERMRIDVDLCGQLLVMRRREQHLANVVACLSALTARISHTNTRLRADHEGARPALDDLRERARVLQDVEAARTRADGLTQETHALAYESAQFLVEDLWHMAAQPRRRVLAMREKAFGTGRRHPQGVRGADGRVTRVQWTLDGRERLVDALGRTESEAEEEEGLLGAEDLGLEDDGGEVDAVENQSLRPTWLLKFFNYWGSKWKGTDRPKAPTKVTESDREDSPASGSSTSLPPLPEPLQARPSARQIITA*
>EED84712
MGLTNPQIPIPSSDYLIQANDLTNCSPVVYKDLTALKKANKTIEKNNKRLKATGTLLAARRHAFEKIRTFWAAGVGTWCAIDFEAWDRDHKLLTEFGWSLVRWEGDDNADGEKKLIEEEGHLIVKERRGYTNTYVPQYRDHFGFGQSEDVDKTTFAQRIRDLITMHRAAGPLFLVFHDSHQDIKYLRSEAVQALPNFDYLLPDQVPDSGIYVVDTADLFAALEGDASGNRRALERVCRHLQIDTKFLHNAGNDAHYTMAAMRSMASGDPVDEQREKRWPNRTTSTNPKVEFKAWEEDSDFDDENFMPVDMNARLENGEETTDNGFDL*
>EED84713
MRKIARGSLANTSSNLRMEQTAKGLNNRSGEGQTIPHMATLPPVHSRSASVRREIAFLQAQLENVKGEKEEVVKRLKAVKDAAKRSLETTSKRLSGLGAAMEDLKAQSLTSFEAITQARCTLPDVQELKATVSEAIEKLLEARSRVTELELGQSADRQVLQTSTANLANAGAIGSDRRPVQSNSESQLELKKLMHYCCRCANMQQHIDNDSMIIQELQQSVQDHTTRETEAQRQHEQRSHEISKLQTQLKDMQGNLEDMQKEVERRNEKVTNTFMEPQVLEERFEDQSVTLRITREANGDLQERLLTAETSYARARDLEAEIKRQADGIQALKELYEEKFKERHDALHAQINFEAQRAERAEDEITSSRAHTQTLQDKLAASAAEINNLQEQLNSAKMPDPRQIEEVNNLRATAEGEKTFINNLIQTSQAIHEKELIAKGNDLRRRDNTIKELRSKIQLLESTLAKHLRTQAKAKAAAAAVENRSMINPLAWKSSDLSSPPAVPTEILDQDLPSTNVDPTVTAKSTPAPSRQVGRVSPDTLAASIHVTALHEARPTVMKHTITIPKTPAAVLPGSSHTPTGDRNKPAFSRLARDSSDEIVDFDDMSSSQQPLATTLGKRDKMSSPGEPENQSVPRPAKRVRTSTRKLEEHDIIDTVTDKPTNQGIKKTKSRRRR*
>EED84714
MAVSTLLPSSRVMVSVTRLRTP*
>EED84715
MARSKELVPGVGRLSRSQVFARRGLYKGLKKSEKPAAPEVAETKEKEIGGEKNGGKRVIPVAKAPRFYPAEDVRQPKKSRKSPKPTKLRSSITPGTVLILLAGRFRGKRVVFLKQLESGLLLVTGPYKVNGVPLRRVNQAYVIATSTKIDLAEFKADEKINDAYFAKAAAKGPRSAEAEFFSEGKPKEKEAFPESKAADQKAVDAAVIAAVKKTENLNKYLKASWGLSKGQFPHQMAF*
>EED84716
MKDVIKTEELDIPEGVEVDIKSRLITVKGPRGTLTKNVRHIDMDIRLQKGKTNKVSLAVWQGGRKHVACLRTIKSLIVNMITGVTKGFQYKMRAVYAHFPINNIIQDNGSALEIRNFLGEKIVRHVNMLEGVTISESKAQKDELILEGNDVQNVSQSAASIQGVCRVRNKDIRKFLDGIYVSEKSTVTQ*
>EED84717
MDLQNSGVKNLTKGDSIVYWMRIEDLRTRDNRALAQASEQAREDHVPLIALFVLSPHDYIAHDRSARRIDFTLRNLRCIRSSLAELHVPLYTTTHTPRTNIPSYVLSLLNEWNATRLYANIEYEVDELRRDIKVCELAREKKVACTFVHDKCIIPPGKVLTKGEKGYTVYSPFLRAWTPHLTPSKGEADPLACAPSPAANSPSIHNHPVYGKLFDQSVPDEVDGFSLQDTEERDRIRTCWPAGEDAAKEILERFVNTMARSEQMGAVNPLSNGAKVPTKSPTKDSRIGKYKDARDKVDADTTSRLSPYLAAGVISARECIRATMQLSASKAPKVDVSRETGSGRWVQEIAWRDFYTHVVALFPRVSMGRPFQEKLSSIKWEADTAGDHLQAWKDGRTGVPIVDAGMRQALAMGWMHNRVRMVAAMYLVKDLMIDWRLGEKHFMETLIDGDLASNNGGWQWSASTGVDPAPYFRIFNPYTQSQKADPTGDYIRYFVPELANVRGADIHNPPPALADKLGYPRPLVKHDEARQRAIRRYKNPGQE*
>EED84718
MAYYPDWAAPTFPPEKIDFGRFDWIDFAFALPDQNYNLTWDEPSSAPGLLSRLVSAAHRSGKKVKLSVGGWTGSKYFSPAVSDADSRQTLAANILALYREFDLDGIDIDWEYPAQDGLDGNEVSPDDSANFLSFLQLLRTTLPPTSKISAAVQTVPFADANGNPLGDVSDFAKVLDWVLIMNYDTFGSSNTPGPNAPLSDACHNSTQPSSNAAAALGAWTAAGFPASQLILGVPSYGYISQSDATRLRARAQDFASEAKPLPATRRKTRSRDRGTRHARRRASARDWPGAFRVLAEAGPAIQATNDDGGTDDGQVQFRSLIAQGILQYAPQDDDGGGGGGGGGDNGTSAAPTRMTVDGQEFDSLYEGWSGFTRYWDECSSTPFLRSGAADQVVTYDDPLSLGLKAAFARQSGMLGVNMFDVHGDTDQWDLTDALRKGLGVS*
>EED84719
MSYTTGTSAFTLFPTATASPNAFSIFVATQSPRDTHMHEDMRNLLRPSSTQNVRRVSASSQKTRGLKKFFGIHTRVKHREGIETESLPSHARRQRPNQNTFVAKRLAGPAQKQLKHIQLGVGTPRSRSAMDLPSAREIELETLLRERDAQVAELTDEVTQLRQYLSTQPGPPTADSISLPPALVSLLLPHINDRSSQSSSNTVTAALVQRTKVLQQENDELYELLKSGETGKLKEDVRALRRVVQKLEGALKESHQVISSLSSELEKSHETIMANGRPAAAPKVNPHSQSPIPRNMYQQPPHLSSANGASKLPPTGPRAHKKPRLSESHTPPAPQGMPLHSGPKSGHAQSGSSARGDSRERRGSVDRKPVIGMDIDEDQRTRPRSPDRDRERDRPSRGERERERARERELDRERTRDRERVRERDRDRDRDRDRDRSRRNGGGGGGGGGGGGGRGGRRGRGHNANSNANSNATASTNANAIVIANVNKPNSNAAYDSADRTLAERMGL*
>EED84720
MAHSPSASSVPSLSHGGSSECSLGDDSHTGSVKDNLQCLLDLYSPALGNKTPSGSDALVGIFADVNLRQLAIKYDPPELGVNPADIMGEDTCVKSETETDGSPHLCYPSFSPPERDMRIEDAKPDDAAFPDDAINVIVSVLSASKREQDLQEARLSAQSASCPPWPTIPYSGNASATPAIFSHLAAPMATQHWSFPSPARMAPPDRSSLAPIFSPQATPFVPLSQAVDRVIKPQSPILNAHMGVDLQLLRRRADDFRRTNPGIDIDKTWLQEYAGRLSERGELIEDYRCYVVGCTQRNKRKDHILVHIGSHVEHRPFQCNHCGMRFLRKNECKRHESSHGGRKPFQCSICAPVQDKSFVRQDLLKRHMRVAHGVQTESAADRRKRAKLAEDGEFWP*
>EED84721
MLMQLQGPAYSTEVGKLDESLAWLRPVHSIGLHYLNPTHNQASTPDAVSEGHAIEQQPNPDTQVLQENQSPPEINQQDEDSELEEEAQSDDGYQMDQEEQSDDENLIEEETPMDVDDESEEGEDDPVPPSPASSSSSRVLTTATTRLNARRATSPPPDQAVKPHKRRRVSNEPPRTSTSNRHTFAIRLASEWAKAIRAYIRMADGTRPERGLSEAQQVWLKMKWAMGEVNEAKMNISQKVVTVSGVGKAMRRFAKLEPLLEWQNIEPAHKRIPIRPTPILECWKERFLLRNSAGSLTGTDDDRTHSSRQPSAATD*
>EED84722
MGLSLSSLFTSLTSLVRWSKDQDVRILMLGLDSAGKTTILYRLQIGEVVSTIPTIGFNVETVQYKNIKFQVWDLGGQSSIRPYWRCYFPNTAAIIYVIDSSDTARLPTSRSELLTMLSEDELAGVPLLVFCNKQDVEGALKPEEISEQLGLAGGEKERQWSVRGSCATKGEGLEDGLDWLVNAIQKK*
>EED84723
MPLEIVQNAVQANKDHQYALKVYTERLEAELENISRLLTAADVSEHEDEIEIDTGGAVVIPASVKALGPVSSSDLLSEGSPFLDDAMKRERYVQPITVHQWKAQELEALADAVRLENYRIYALEAQLRGRHTFNVQGGHPSDHLERNKTGIDWTRVALKVSESSNVQRSPRECEIRWLGDRHPDFNHSTWTQSEIDRVKELVADATEGQVDWVQIAAELGTGRTPVDCMRHAILRRTHSWNFEADNRLSQAVRIYGTDNWSVVARYVSEDATPSQCQNRWSRTLDPDLKRGPWTEDEDNALRRAVDILGNAWSEVAMFVPCRSNEQCRERYQDYLNPTVTRGRWTEEEDNALFKLVQESGKVSWKEISKRLGTKRTDNMCRSRFITLSKRKQTEDATAADPSSSGALATPEPAQVESFSASPAADSAPTPKPKPRPRPRPRARHKSAQAKGEASSSSTPTAVSASAHDRTESTLAHEAGEIQVEPEPTAIKKPSRGRAPKRRPPADTNEKPPAKRRKKTAPEDVGEAGAAASAGVGVIDVAEVRDTHDNLESDQPTDEHHAEQPVGKAKTTKRTRKVRQAPQKQPRNMQCPLMTLVSLYLGIHRRPLRMVTTSRKNNLPPDGDARNCQ*
>EED84724
MITRSNTAGRASKRLASPVDGQSAALGDTTAGSSIPPKRVRRTAPLASTTKVEISSPNFRTAVDVQVALREVWRLESLGRCPTKEQAVHRHMNRYGTQRSSSSTEPTPPTKALEDAFTKLRGIHQDQFRTYASGTLTEPFNLRISLPHCKFNGQIRIHSSNDRSDGIEERLKQWYNHSVVSGFGDLRSQETKEDKDVRHAREIGARDFSVEPELLQRIAVCWDSHFHANKGVRVEPYKIHLYSEGGHFKAHRDTPEKDLVGTFLLGLGDTVRSPCFQLDDQEFHSHPGQWVAFYPDVPHSVTRLPYGYRAVIAFKIFRKSTRNKCPHVDQLTKDVTDEMVPPFGILLERKYCLGTTELSGFDAIIHACLRAKHGVKVILLPVVVDVKATWGAEDESYDDDDWEQECTASVYPFTEAHIDYLVSIKSKDRDSEDEKKKKMAEVLKTSVKDIPFYSTDFAKSTITWSDKEQETCVSVLCAVGGARL*
>EED84725
MLLRTNICHG*
>EED84726
MGILGIGVDVLHVPRILELIKRRTAARLAARILIRFSVKEAAYKALYPVAKPAWKELTFHGLQRGVDGRKPTLEFHPSMPSGQVGKLHASVSHDGEYVFTTVLVEESGCKA*
>EED84727
MNLQLANTEEFQDGKSNGALGEVFIRCNNVLYI
>EED84728
MHPFASYVLRSYYRGTGWNEDNLYANLTRSSNAILDFSVPRGLHFSISKSPNPLFKTTYSMNALPSLNGSIGYIFTSCELDVKGSGDVRFKDMVDRFRVYDQPRRPEGKEEEWLAGERVDTRDYLLYGRVYIPTGRLDALYSTRLSPTLQAMVAAISDPRSSLFAESPRGIAAPSSNIMFSLQHDTGKWCTEYTWSAEDGMWGVRCLHNFGKVGGPSEPVEDSEKSTAAPTKTRSGVKRIDEEDAMEGGLKGRISAGAEFYFSAKEKSAGVSTGIRFTTLPDATPPSFQLPSSSPTQPSLLAHGAPSQPPTTITALFNPMLGHMSGAYSARVSRDLSMSSRFDFNVYSYESEWSIGAEWWTRRGRGMFTSNPPAADTSEKTPSSPPALEAVGDVTGVVKARASTTTDFSLMWEGRLHNMLVSLGIVSNLTSRSKPIKAIGLELSYFSSG*
>EED84729
MFVLRRRCLYGALATLVSLVWAQTCLTSMTSRRKTYGLRRECEKAYSVTTKLVWNPLPLVASIPCS*
>EED84730
MSFPLGLNGTGDVDALRHPILCDQILCSLDYHDNWLEVVAMAQYPAESSCEVIIKIEMALNMILLCSAAYYAALRVYAIFEQQKVWFLATLAIGMINPICCLYIFVRSSSNYFKLPVPAGGCSVTTFVDSTGYMIGGRATSIGLDGLVFCFTWWKTRSIRPSTIVAILNRDSSIYFGMTATLTSHFILDLRKVAAREDGEEFSEREDIAMLSFSEETTDSFPRTPPATAVVLDDIV*
>EED84731
MDCYLLGNCGPFSEHFDIVGPHASLLIVIALLGFSLWKERNPISTRVELGCLGLAGVLWLALSAFIASSDAEGADVECFSSADSDEVVNVSGFNTEIYQAQYRVLEAFSFFNVILILGFFLFLLVLALRHHRQGQRRVWITSVTAFPWFGKSVKQISGKLPAPVTQRSRSRSRPAMAEKRKDSQRTQDSGRSKGDDSLREWRLVDLVRPLPYRDDSQRTKDSQRTKDSSRTNVHRQDSSRSNVHRQDSQRAQVNRQDSGRSQVHRQDSGRHQVYQHDPSRSQARRQDSGRAQPQRQDSQRTRGRLPPMAGDGPTRNESPTYVYWLPHKEPDAAHSLNTTFITVIAGVIKFGTSIHAPGSQTSIMTQLFKEMRLYGYGDHRLIFTRRFDALTARYSHYPAAFCRRARYCRVFRKHILAQSSSCVPVLAGSSEILIWRFPDDFGIFSLIVPSLTILVFLILISWSAPRTEAIVLFILGVLWLAMGAWSTRPSDIIGTTQCDALGDARMATKNGTVLTRSWCYEMKVIQAFSWMIFCLYAALTYATDAIFLWILISLTSRARVMGLPYAWRAPIFELPWFGELPGWPYPGQYAPVQGAQMGGYPMGAYSGGGMPYPAPMINGGYVVQQAPGHSVVIQPGLGGAPTITQVPGSRAESEPAL*
>EED84732
MVKDKSEKRDKKKVKEVTETVTEVPEDVEMEDTDAVKTEKKAKKEKEEIVVPLEDLSPIAHPLAQKKLVKKLHKTIKKASKQRQVKRGVKEVVKGIRKGEKGLLVLAGDITPIDIISHLPVLSEEAGIPYVFVTSKEELGHASATKRPTSCVMVCPDAKKKAKRKEGEKDDKEDDYRELYEECCREVEKLDQKLLL*
>EED84733
MAPTTRYWTHRQHLLSSRGSSTLDDLLSYGVRLAKSPRGCLVGQTATSLSVWEREKSQLRSLPRGGQMP*
>EED84734
MPPPSTLQIGDDLLPCPATPPRSQPPRMRDNQKVIPRTGAHFLTPQKRRLGGKKDQVLEIPVQEPSDASPPAKRSKRLLPDAEAHKTFDRWHALIPKLTLDHKGDPIRDPFRRGLGYATQWYDTLRHSIQHRLDAAIDAAHACLAPDPVDTLVDNHDAHALSTPPPVDALTRCARLLSTRCPACFGGAAFGRSFDEGADIHVALDATFSQCHSMHAGDSPHFYEPEFFIPKAQVCRDLRSSDRGKPKKLGAFD*
>EED84735
MVHTTFQHYSRDRDLVQIMTSETDVYIRRVSASDASALSRICLLTGDAGTSAEHLHDFGELPGLVYAEPYARLPAGFGFVMVDPTKPQSDQVVGYILGTHDTRVFEQQAETLWYPSLRARYPNHDPPAHPEDPSRPVKDADARYIRLLHSPQNAPSACVAFAPAHLHIDILPDYQRQGWGRRLIARAIECMREERLDRVWLGLDPRNEGARRFYERLGFRKIDGAEGMRPRGLPFETALDSICLTMRDLQHTLRSFYSNLYHMQELAAGSRRSWDNASLVTTVIRGVGWPPHPPSIIEAEELDITDAGKVRQSRYASYLETLPPKHTTAADESDGCRAKEVIRAPVTGCSQWPARGSWGLRERAGTALRKAARRDQWLLVEAGRGWWRRIIARPWAQGIDHWVGQICMSTSTSTSTGCAGWGWGAWGDICEIRFHRYSVSLLGQRDNATGGRTAGTNPLAPERRYPESSLAAPHERTNYNSRQLRASGGGAALAGRWTFPKHDCLEREQGEHLPFGHRNTHSSPARNRARLSAAPRPLQPAPRPVQSLPLLPPARRLWDQKAVRSWARAPRLERRGQLTQGKERDGHFSSDREDVQWRVPGVHQRHGRVRDVPQRRGGLALAVLSAALRARTVAGDRRDEIVEGVWRAVRGEVDSDILGRALGQRELVERAGGVWAAEGLCEVREVRLERVGGHERLGLEQRAPLGYVGGGTHNDGVFANVVAKPARPVRIQEELLAKLHPIAAPGAEIANGGCWRNSKGSGLVQTLSKISERKYDRSALSGKHAAVHVGFLLPIVIQIGTNSLRAFSLHYSDSYYCSTALLTLSITGDETYLVPEDVQKDTPPSYAAAQADAAPPYWETTVHAPSSASSAGEIIIDALPTGSVFSFLWNMLVSISFQFVGFLLTYLLHTTHAAKLGSRAGLGITLIQYGFAMRRNEENAFQGETTTQDVWSKPAFATPTEADEYYKNLNATGTLPTPVSDEPGTFIIGTSEWISFLLMTVGWFILLTSLLGFWRVKRWERGILAAQREDPSAGRPSGPANGALIHSLERVFGLQGLADGSLIRTGLGLSRNVDRDGDAEALLREMAGPAEPERPPSPRGEYILPLDPDDPERNARVAQALAVQARLDQDLRSAGLI*
>EED84736
MAEAIQQLVLDTLDKSGIIQDTRTLVLPGQADAAADHESQIVILGALNSLASRDMIAYTTQETTSHVLTPEGAQIALEGSHEARVWAALPPKGQGAAITSKQLEAVVGSETAKIGQGRAFKNKWIAKEGDGLVKVAESVQDATQLEMREVDSTGTLKAGEKALAELRKRKLIVQSGSWKTSTYKKYNFEAEGLPPNGGAFHPLLKVREEIRNIFLEMGFAEMPTSSFVESGFWCFDALFVPQQHPAREVQDTFYLSGKRNPSTSLPPPASYYSRVSKVHEHGGYGSMGYRAPWSDAETRKLLLRTHTTASSASMLYKLAAKCRGEDTDDSVNEQAAHPGASAKDGDDGFRPAKLFSIDRVFRNETMDATHLAEFHQVEGVVADRGLTLADLIGFMRVFFKKMGIENLRFKPAYNPYTEPSLEIFAFHPQLGKWVEVGNSGMFRPEMLEPMGFPKDVHAYNDSVRGAIDPSLSAAHVACLRRYGISNIRTLVGHKVSIEGVETSPAVRF*
>EED84737
MVVEGEESRSRGATRALSLVAAGDRFDVQLPSFRHTPVPPARPLPNSAASGGVHTVMVAAGSITVAGARLPQQTYDNCIRTDGALSTPAKSTATNAPLREVIQIVDDRVLTFDPDEKDPSRAFVERGFVPPGTKRYKDRRFIFDRVFDYQARQQDVYHGTAKPLLSNLLEGYNATIFAYGVSLNCCGKTHTISGTDADPGIIYLTMADLFQQIEDKREENIIDVVVTFLEIYNEEIRDLLAEPGTPTPRGGLQIREDKNVKVVGLTELRPRSADEVKEIVLLGNSRRTQSPTHANETSSRSHAVLQVHVTQAPRTASITEQRMMGTLSIIDLAGSERAAATTNMGQRMVEGANINKSLLALGNCINALCESGGAIRHVPYRNSKLTRLLKFSLGGNCKTVMIVCIAPTSLHFDDTQNTLVYAERATRIKTKVVTRNVVNVDRHVGQYVEAINRLNLEVSELKQKVAGKRDLEAEKDRRKRREAAAEVERAKSDMQQKADQMQPSIVDGASCAGKIAVAEAKLKTWRLSASS*
>EED84738
MQFKYLTVVFAAWASLLGAASALPQPTFSSNLSTAMKKSQDVEPAADAFDIQDQKRVKHTRIGVWDLYEARKDPQKLGIPGAALVYQYKQIVRALPYLWTVLNDAVRIKNGILLLSLYAVVQILKALVPAAALWYSGQLLSVVQSAAETRTVDRSFLLRVFAGRTLCAVAERLLRYAENELCRPFTTRMYHHFEVHLARARARLDVPTYGDVVVKRQFQEVDPAFCGNLPWRSLDMIASAVTLVVQMFTQTAVLFRILKEQPDGLVLAAITIAHSAFHWFDDQSEPLYTAGGKPLHRDFEVATKISYTPAVWAATTKNADFIKLEGLRTLITQTCFRKELVAGNLGTYCASEFKRMVERLGSTPRGEMYTLSAMTDRRSIISFKSLLEKCWGELPQVVFALRAVQYPASIPVSLASLQLIRQTATSFGSDVAYFIETFGSMAEQLSRARQLYEVAEIPNVVPDGHEPFPENVQKARAGLAVETQ*
>EED84739
MARHTKQFDIRVFDADTLRHEAAIESALSVLENFLADSAYSIDALIHDFLAIPGLTEFVISGTALRDQSAQTLIRVILDGFPSKAETRLLERLSVFFTLETDILAEEDVNLLKSHRRYIELACPMVELLAVYWISVKASFFGPRREQGVELFEKLRLPVPTNTQEAVMLASQVLSHQKDILNYYLEILRYPHLKDHFKKTYVPEFRQGEALASPSGVLDIPVSEFPDAASDPDKARQDDHYVQLRKATLYFDSVQGFGALRILVSHNTDTELRLHAHSKKSNLFHKAIDILRRLKGTGNGIPIYEARVDIDSRLLYHLDFVPEFESEAIVKVVKVIGIYTHAQLGRYSWQAISRALKRRTREHAFEHSQHLPSEDERQVSCFVPDESDAYVPLVPTSPSFDEDEQLAKTRALCCILRGTTASEKTFLNNILANKDVSHVFYVSPKEKAVIEYPYSCYVLGRSGTGKTMAILFKILGIERAWHALRETIPRPRQVFVTKSRVLATKVQESFDRHYASFIDDLPGTPERRTRLYGQGQSYRPMISAEEQAEWDSDLPRRFSELEDRHFPLFITFNQLCNLLEADFGDSSAEQQPATGLRPVNKRPKPQGVFISFHVFRSSYWAHFPQSLIKGLDSAMVFGEFMGVLKGSEEALTTKTGYLDREEYLRLSPRRQVMFAGQREVFLKRKKLRGDYDVADRTRALLRNIADRGVPGQFIDFIYVDEAQDNLLIDALCDTAQTISAGSAFRFHDLRAFMWRTETRRSANLGPQPLLFQLGTNYRSHAGIVNCARSIVELITMYWPGAIDILDREKGSINGTKPIFISCLDGDIDKYESYLFGTSDNPVEFGHQQCILVRDAEARDRLQERLGGAFAATVLTIYESKGLEFDDVVVYDFFADSPVTSGQWRMLLSIAGQELKQDSRKMTRDLDAGRLSHICRELKHLYVGATRARRKLWIADRSVCASPMREYWQSRGIVVACDVKENIAHIAKASTRQQWEEMAAIFFQREEYELAMRAYERASLSREVTIARAYHLQTLANLLPENATGNARTCSFASAAKTFRKVADVATVQEERITYLKNAAKCFLQSGDNRNAAEAFYLIEDFERSAQLYRAVGDFDDAVRVVTSHRNRIDRTVADTIISISQTVYLRSCQFTKARTLFKDDQEMLICMQEYGFHSPQAQFLESRGRLSEAAELHFTNGRTLEAISLLLADERDHSSVRRASQYILEGLWQNITLGTELQSDAALSNGVLLGLLDLSKRVDTSMLEARGQDEAIERDDYAKLLDLSDTFMKRHNDTAAALMCLHYAYSIPPDLLRQSVSTALPKLKAFMWYWLHRLYEALMPPTPALGGLHMLTQTMIPEFERGFSVVRSWILDVLWFTTPYRPRTDKLGDFLTPLMRFTSLGFVFNVADIARHSVCVPSVSTSRPLQLRNTHGEYVVQDIARFYRNDGDAIVKGISFVRHLLMHKVEVDLWVLGDFFDDLCASLVIAMRLRQDFSLHGVCLPHSSLLRAARDFDGLRRCRTSAAVTAYIDAMTRLLQTLSQDDASAKAFASPSVELRIFKICSLVVFGINIRDNRLEIAILSKIRSHGFPDATAGITSRENVQKQLVKALRVFSTARGNSDEIVQLQCRDRLSFDSSPPRPPAYARVIIYDHLDELPSLLGPRAPETTPSRSGPASSMLAVPPSNNPGMQSRSEQKNRGYGHIDGLVDLDADVIKAHEMRAGRIIINAWRRYRRHRETVTQPLYLMKMRYQEASEAKNWPVGQQYRLVFRVFVPRLIVALERVRMYAEEQRKSLKRKLGQAKHEALDAIHEKLAWTSTLTEEAERLQQLLAPDAIVHKESKMTRLREYAREVEGLVQRLPPDSTGSWRVDVDLGVRGILAGEFGPRQTTKARPLLNTSDMYT*
>EED84740
MYTHLESKLAKTDRSNTYQLSSHGLIHSRSDTNIEIEASLRELLKESSRYHRYEDYNERVRVLLQQILIEHTGRSVLGSMSLRKKQPLYEALVPTQHGLGDLHVLIPAQIPEYQRALKIVNGWICDSLKYASPPSQGESRPDDFTTVWMRFSYLWFTFNPGALLLIPTCDERLLETVRHILQNGISVDICVLLDYLDDICGSIVLTKRAKRLHGVLLPHSWLVRVIRSQYLYEDTEPPLVAYMNAMVGLLERLVNGENGDHLLYKRQRLSCGAQVNRMYGTFIARWYIIADTWDQLASTIWMYSAAISSLDSIVQLQTTTQTETFKLPPHAHVRVVTCETLDEVPSFLAHGSSPMAISRACLPPFRSRPPDENQILAAMVICRAYKRYRVIDDQQEKLKQAEVKKLDATYANIAEARKLLEDVRRLKIDLQPEAVGHKECNLQCLSTHTRTFQHIVRRLPSTVTQQWQEDIDIGTRILENVFAEK*
>EED84741
MCSYVDFKNPDNPDDESFNPDPVSYPVTELEYPNSGASEKFILLAPRDREHYNPIMCLENTLYTMLRCYLTPAQRALFGTLPEDVFQDDASSAAPSPAITVQTVAELSPSSYPSSYVSSPPPSESESTTSSLWSTSSADSVSSVSSLSSFTSLSSLSLVDSIDPLKSDLDASIDPTRFNYLRLLQRAYRKRDGPRFLKVMDAINTLLRLLKYPPMPPDPFEPHSPNHFVDAVKSWTQIPPDVVTRILNETYQRAVGPRVAELSRYPAFSSTVYGELEPKLVARIIRETGLRADSLFLDLGSGVGQVVLQASLHTGCTSFGVEIMPIPADIARSQREQIHMRCRMWGVTMGEVELEENDMLKSTRVDELISKADVVLVNNKVFDEKLNNDLKSKFLDLKEGAIVVSLNPFVPSTRLSERNLDDISGIFQVTERPYGHGDVSWGSGEGSYYIHRVDRRGYTEIKTRLESRANNPRTTRSRR*
>EED84742
MSDVEAMLEGEQWLKGFEEQQSTPRKSVPTTEGILPAFSMSQTTHLPTPESPGNVDMYASVLSFPHESPVRAQGQHPSMSVRVYLGQLTPAHTSISPIYARKTARAQDEAAMDPPANLSPPLSRDSSRLSSPFREQPRFAGRGRSRTKTPMRTHSDPIDAFSPASSSSKTPLPLSAPVPQRAVGGEFQRIRLQMAADQAALLSETEARRPDYLVRERRPESAPDPLGGDELDWVDPDMLPPHLGVTVSPVKGRRIQLFQETSDESFEQSLLAGGYPGYGSTPAFDPQTPDHKLKPGLSQRALQWLQQVTPGQPTPGTVIAEPEEEEVPSEKELLKRKRLAAFEEHPDAFEPPAKLQVVEVNGIGRVLMNIVPEEQPAPTETPARRRAGSKRKRKSAAHSTPSKRVYGAQPEETEVKGPNWLDNDFPWCVRVKERKEMSKQEREQRLKQIARYLERSDSEDDIVEDQALHPLVQSDEEVAPRRGRGKMVPLKVHPAAGTSAQGRREIMLVPSDPADARAALLSKRSVRTFAFRRRQLREQDEDDPNAIICVCDRGEDGEQLVQCDECLVWYHLSCVGIQDLSELPPRDEPYFCPPCVDESVRGSRLLPTFVPTDDEPPLGGRRDPLFYQSSIRESPSASWNNRTREPRTPVRGQDASEPHTSRSSWGDSSRGGPSTPVNTAQSVRVYTTPGAREVDNHFDSPFDPSQTPSRGTGPAEAFTTPKWDRSTSFRTPGLGSLKFSGGSLSFSQFAANGLSPTPRFRDIYSHDDTPVRRSGPIDESVWRERLWLPDSPVRSKNSTTETSFSVFDNDKSKSKTASSRHGQSPGKSFMAEDIPSSQSLAHRLRLAIVWTNVYFPAVTNALTRERDLRRPSRFCAASPSSSLMHFPLRHPEADEREAWRERALSLERQVKDLQARYDNEHIELLSLRETATAQKTTGPAKDSSAAGDNKKKAKKRTTPKAAEPKSSSTSRRLDLKTVLDSHSDPVVLRTPSRTSVLHSLETLDKLVSIRARERSAAPDDLLVVLAKRSIDALGDLLATLLPPSAARPRCPADLAALSTLCHRLLAAVVPLLAKSTRTRGAAAPAGSPALEDVLGLMSARLLMPIVRAFGPASRAFLAGLFAPNREGKTARAQDDKGVDIRPDVFAILGGVLAALQEVASAKPSVNRVVRHTINDTLAIEAIRELQKLYEDTHSPVPATATTPASRALKVARTDRIDKLARKDALWYLCNVLHLVLPLEPGTSLPAQDVLLEDTIYATLSAVLRRSRPAHRDPGDGGPCDSPKAPSMPAAEPRYDMDEVERGMVLAVVERAWLGSARPQSMIANIDMNRDVDAVRVIAICMQGYPEYASPKDAATEAEEHISGSQAVWMAEGSARPRPDMMRLGSTNGQPGSRVLGHCGSDIGRATILTQGERTWVARFAIRCAGFKHDTTRGGIAAFCQRRRAMCRQRCVWGGGHFIRASDDGHDATSARWKTGKTQTLTTEIWNSNDMI*
>EED84743
MAADQAALLSETEARRPDYLVRERRPESAPDPLGGDELDWVDPDMLPPHLGVTVSPVKGRRIQLFQETSDESFEQSLLAGGYPGYGSTPAFDPQTPDHKLKPGLSQRALQWLQQVTPGQPTPGTVIAEPEEEEVPSEKELLKRKRLAAFEEHPDAFEPPAKLQVVEVNGIGRVLMNIVPEEQPAPTETPARRRAGSKRKRKSAAHSTPSKRVYGAQPEETEVKGPNWLDNDFPWCVRVKERKEMSKQEREQRLKQIARYLERIASTGAI*
>EED84744
MVVAQRAQTLRALVRLLANAAETVIREWESERALADTAEGTFAAHIPSPGLYEAGRVIVGACGECIALVQDPVERLLEVTTSTCAAKALQIAARARVAEVLSQGDTDRGVSIQSISSQTGISDKKLLQVLRCLTFNSIFAEPQRDHFANTALSQRLVRNDGLRCLITMWGDVGTAADKLPSVLFDPVKTHSTSPREAAFQVAYQTDLTLFEYLERGQNAPRFEGEPLHLETWMVGMHTIGETEAAASYVDYPWAALGSKLVVDVGGGVGGMSLELAKRYPQLNFVVQDREAVTKKAEAVWAQALSRPALETGRVRFMPHDFFTEQPVKGADIYLMRHILHDWSDEECTIILRHLREAMRPDSLLLVAEKVTHTATGSPHLKSAPTPLPPNYGYAQMVPGTYAIGMMSLLNGVERTPEEFIDLAEKAGLRLIKLWECRGLVHVIEMRRDDSSPMLK*
>EED84745
MSIQAGNYRIQNVGTGNYVDLADGSSAQDTKVQGFMDLNNLNQLVRHNEHKYWQFEKVGDNTWKILNAASGTYMLAPVHAINQGVVGGTPPDVFTLIDSDGSYQIKLVGEALVLYLGSSVNFTQIILQAADGGDNNQFWYLHPVTA*
>EED84746
MFLVDISPSMGKLREIEVPSGKDGELDTIEITNLELALQFVMLKIQEMAALTVATQIYNGRKTDQCGVITFGSEETDNIIHEKHGGYENVSEYIPIGQPNAGTLAKLARLQPSTVIGDPIDALIVGIETQHQYLSSKKTWTRKMVLLTDGENPIEVEDWEATVHKMNTVQIHLTVVGVDFDDEYLPFLEEGKSNIKRANEEFYHTLAKSMAHGIVGNCDFALQELSRPDIKQTKSALLGTVLRIGDVDARPEEAMEILVKTSKATAIARPKSWKKFARRQKEESQEEANIPLDEETEVYAQLHMRTQYMIEKQPPEGEEDQPPVYEQLEGITKEELVRGFKYGSSYAPCPGGQFERLPTRRGIDICGFFLEKNFRREWEMSEVTYVWADPAQPLQQVALSAVVQAMYEKGAMAIARWASRDGMDPKMGVLRPTMFEKADCFMWVQTVEVNALSKMPFADDVRNFSFASLETLINKKGEVVTDHPYLPTDEQMEAMEHFVDAMDLMDAGEKDEEGNREPWFDTRLSYNPVIHRTKQAQFHAAIVADLNTHPLPPAHSELTKYFEPPKRVLKRSRDAIEECKQVFKIKEVPKRVVQTRKDGHVRAGDEDEDMILLDKMPRRRLSKSASQFVASQQEASTSRSQVPRRKKSNPNDSATESETEDEDEELLLDKKQLPTPVPDADEGSDAAPTARIVGTESPLEDFKDNISRGDLVTKAVEDLGAVIKEIVLRPFSARRTEEMLQCMQELRKVCLEEDEVDAWNAFLPDLRQASVEKSGDPDFWLRVRDLGRAISLISSSEASSLGGKSDISETNAVEVRSHAAWF*
>EED84747
MPRIICTGYEITDALRKYLEVGHGVDFDKDLATDSEDDDEVDEINSNVDPQKLAMQREAEIVMSYQGYFRSILSYNKYVEHGRRVYMFMPTGAYTLEHYRSGALASPPEEDRKVIQSFIDSMNALLPDDVVREKAELKIEEMRFEVHSPRAAHAPPYYRREREVAAHAARRWTFEFQSSVAQPPACVRTSDCVPEEGYEITDALRRYLEVGHGVDFDKDLAIAMEEDGELGEIDRNVDPQELAMDREAAIIMSYQDYFRSVRDSAPPEIRKALEIPYDYSKWAGHGLRVYMFMPTGAYTLEHYTSGALKSPPEEDRKVIQSFIDSMNSLLPDDVVREKAEFKIEDMRFEVHSPRAIHAPPYYRREREYIVATNNCTFTTCACSTPKAYSIVNSLSAYGSSNWGKIRRIVLCHEIGMCERTCAIKIRYMACGDTEGHTGGSRIAKRMDVRVGFAPNGVGMTVFPPIWQRLERESAKDLKSTQDEDGDVMRLQMRYGNTSRITSDAFVTERLRLHPEIRLSVSAVFGRRSDGVSQLYHSQAQKHDVTVTCGRFKALIEMGVQIRGDGYETRGSRIRNAHVSVNEPLTTPSARASPTRAERTPAFEAGRHWTVRYVSEIPAKDSRAGGRG*
>EED84748
MTPFRNEAAFTAAFKACFSNLNNEAAAQVKLAKLCADKSVREKCTAAEFSVLFKGPVDRFGYGDLELCDKYLSGISSHIYHKIELKTFTMWQEAEKCATEVEQILCCGLRSSDRGISEGEGRSTGSHRSDGERGSNLRLGSS*
>EED84749
MWLPVKAKFTHSTPRISSTSTSQTALRPSSTVASSSRAQIGHPDQLRRTTLVTRRSDERNIRSVLEAPLHLDPPRDTLALSPLPPAFLKLSSPAQVKRKEISLQTLCQSLSLRRMTVKKESWSPSPWILLGPPRRQRSPPRQQSLTGRPPQPPPPPQRPLSPPTPIMSSPAAIPDKETLKLLLPLQYDSKTVIECNRFLSQLRIYWLVNTSLTTIELKVQVALSLLDGDACAWATPYFAQLASVQVGVQGATTPFANEAAFAAAFRARFGNLDDKAAAQVELAKLCTNKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQAAEKRATEVEQILDISRAQPRSSSRQDALSAPMSISESGVRGCAWVSSGVSGCLAVSVVGEGRKPIMAILCTSSGSDARSGSARGAPARDAEVLPPDSSVPSPAAAFHSSSSACVSAVPGVAARGGCGSVCEDKGGLARGEDRGGGGSTDISGRYQSLQGIRFASTTEQAEQNRRRGLRRSERAESEGYNTSIDVSYAARNLVYPDDTHSKPTITYCLLPNCTSPVILNSVRHRTIHLILFTITDALHGLRLFTGARTAAAGSRYM*
>EED84750
MSARQRISRPSELETPMDFEFTSRPSSFSKPVWASGGEDPSTPRKRPLADANTPAPAFPAPPHTPTYSSFGAHSNVPFLFQQPVPQSPHTPAWVPPPHFSPAKAFPQPDIKDVDMAELSPPKASQSLPVKEVKKEVESGDGDGDRTVALGAMRRVFRSRQKARDRSRLRRGRSRSGEVDDSDEDEDSDDEGRVTPLTQNTSNHYTLNMPGPAPPQSDLPYVLLGYLQFFFNLSLILVFLYLLVQFILTVQRDVEQRISEYSMDIVQEIAQCALHFKTNLCATNPIPAMMRQCAAWETCMNRDPSKVGRARIFTLTSLAFLTAFINALLSLYRSKINPATHAQPVHHPIQTFPIAPPNTPYANHHHYLSPPPEWSKSWSVVFMWRSCTETYMPVKRLRQSSIGIDCVGQYCCSRMNSPGAPGLGLKNEDPAWLEKMFESRRPFSESFGKRSQGSDFGPRFLQAPVRRGKGSIAALLSKRSTDARERLPFHIHSHGALITGPLGLVPFPENICHKSEIRSVKLSRLLVIAVSDRNGAPKWTISTYIKADRRSQEDVAGVTFGKSVSKNGLVPLLYLTTYAGEHSDSATSRWKSCRTSLVDIEMATLQCFSHRRANSARIHIGVNCYNEAGCGRWLVSAEEWCFTQQIQVRSGAGHSAYLGWHIRQHRDSPSGGYAGHVQMFNAPDSDIRVFILSTRAGGLGLNLQTADTVIMNNLIDDSFDSDWNPHADLQAQDRAHRIGQTKVVRILRFITEKSVEESMFQRARYKLDIDDKVIQAGRFDNKSTQEEQEQFLRSILENDQAEENEEAGDMSDEEINELIARSEEEEQIFRDIDIQRDRYPACGSSSEYDQYASRVLYRSGKLTNRLINGRMYLVNSLSVRLTADIPRAPSSQQAPALAIKYGIKSRYLANKLMCCHFKMAFAWNHRGKATANVQLLEQECSRVYDAAAQTTMRTIG*
>EED84751
MSIQAGNYRIQNVGIGNYVDLAGGSSIQGTRVQGWTNANNLNQLVRHNERRCWQFEPVNDNTWKLLNAASGTYMLGPVHAINEGVVGGTPPDELCSSTPTGRITRVKLQIVLQAADGGDNNQFWYLHPQAA*
>EED84752
MSQLPKGPWTKTFFVKNVGTGSMLDLNGASAVQGTRIQGYQQNYGTAQTWSVSADEDNNLAFMNANTNTYAYSPEPINGGNVVGSATLVRFKVVGDGGKYQIKPLDANDLAWSLANGNDCTPASTVFPSIEPVLLQVSVSGNPAQQWVFETVG*
>EED84753
MAESHTSESLEDTALSRPSTPESVWRLKSLLQQLLRVTILDGRIFLGTFVGTDQQLNILLVNSEEYRIGPESVDGDPNGRFVGQLMVPWRLIKTVEASTNAGGALLCTREGERRKRVNTIFYQVCEENADVLRDTCSSSLTVGYDSSTLSSVAIHYPHASKPGLKLVSKRYSLAHKRSSAGLTLIFAHCTGAHKELWEPVIENLLQREDNTSLAVREAWSIDWQSHGEAAELNEHVLGTDADGLSVQEWATGIQGLINSKLLAGHRLVCIGHSAGATAMLLAVAALPQADTRIKGIVLLEPSLITRDEFHKHLRERTAALDFMQKAVAGRRAEWNTREDAMQYFKKRMPWKIWDPRVLNLFVSRPMASEMCPPRIKNAPGKSH*
>EED84754
MSQGPVDVSEDDKRRHREAEKSLREAKARLAKQVKVLLLGSGDSGKSTILKQMRLIHRVPFSSQEVESYRQLVFNNLTHGLKYLIDAMEDMELTVSPENEDYVQLITDAPDIKDSQPYPEEYHEPLRRLWEDPNVQKAWERGNEAALPDNELHVVSRARLTPRAYFSLIYYFSDLDRLFDPEYTPNEQDIVQARARTIGITETVFQLKDHEMLMVDVGGQKSERRKWIHCFQDVTSILFLVSLSGYDQCLVEDKDANQMQDAMTIWDSICHSQWFKQTSIILFLNKNDLFEKKVEHSDIKNFFPDYDGEEHDARAGRDYFKKRFARLAQKANQKEREVYIHVTTATDTAMLRVVMAAVEGETSFGLSCRSIANGVLQSECSFSFTPTPFPFGPSAVYQFINSPPHPTLQHYSSTKSSDCSSDLSFHSMLFSSCLRATLTWIFCHIFPILYLPFTFAL*
>EED84755
MDDAQLSAIQRKMTKDHKSATNLAFTALLGLRKIGPFLGQRPALLRLARVAQAWMGIFKWSVYLFSGRIESADKSDPRRRASIDVLSACWCAVGQYDSIRETMVSTPATIEIATRCWLEEDDGPIPSHVDAPVGTCVLGNLLKHATSAQLDRVLKMTGGKADEIAKLAISHLRGALTMRPVNATRLVIYLDFINSLSRVGTHPLRYALLGANVICPFFDKLDPDDLEMVLGVLGDILPRYCVYRSVIQTMDEPMRKIDGGAQKERVMRSIAKDVWFKFFKLVRVRVLVLWEADTHKGKHIICDNVKECQAIAWKEGDHKNMCKMKQRERMEGKSNPIPKRDIALFHQLSMHHARTHLPRLRRRAVAEFSTVPRHRLVICIDYTVQPAVYSLRPLDTYNTGQTSGTANTEARNDALIEGVRENPERYALIESKIACGRSPQCVLTLATGAFWEWMAEQDSRSDSADVDDDSDFEDKMNDARDVLKAFVLNMEGHLPPEQSAIIVADLEAAHLKESDAEAYIRRIPTAENNECLTCLTRAKFNRNPRGFIAAARKGSETEIYFLAAHLSDILDYINPDDALDIFLLHIADDRIPTSLGDRHSRQADIALWSIMGLGSAVLCFSDKSPSLRRVIDAWPSILNWTEFFLRTRMSNIGNNNLYKRVALDQLGGCWHTLTSLPSILDTMRSSPTTLDVVMRCWIEDEETTSINGPLWSTTLAKLLGENVDPEHLDRIVKAARGRADLIATYVLSRLRIATSATPVNPRHITAFVHLIGSISCVQDSPLSDALLGGNAIWYVTKALLIISIMVNTKGTKACAEAMMMCFLYLSCSLEKTDGAAWVLQALEAGLLSAFVNCSQHFSKLSGDKEDIVDVKDVLSIIESIIPQYLVYRSIIEEADAAVTKINRGPQKERVMKSIAKDIWERFSALVEERATIVRQADAWTGLRIVCDYIKECQAIGWIGGHENACERIRQERMEGKPNRLSKSDNMFLHQIATCYARNNLPVLHEMAETDYSSTPRSHLVIRIDFTTQPVRFTLCPLAEYEVEPTPESIVVETQNETLLAGVKENMGSFCGWIQVRWKRESLYQKPVEHTVKLAAPSATRMHFYAQSSTCVISDGICLTFMSAEEP*
>EED84756
MADIRFTCSEASLLLEKLSNSCREWSISRKGELTCQMLDSHSLADLHCIENELLHTLADVRMSRNAQRPVNRLPVEILSKIFHQILPPLTPYLEGFSRERFLVWDSFFDFKDTDALLPLSHICRRWRDVALDTPTLWTTICNSSHPDAIDEYSLRSRGVPLKVLDIENKHLDVQQLSRTDGQHVQSLASYTECDLVLPTSYAQGLHALAAWYCLLQGDVSNLKALVLRSVDWHLPGTLTNLTHLFLANKQLHIVDLFRILSIAPRLEDLGLWAISAKEAFDPREDIPAVTLKHLRRLGIYWPDRNIASGFFSHVGLPARLAVNFEYCEVPDLQWLVPLTRNDAKSLYISDDSVIAAGPSKALRFKYKDDSGGMIQWIVALLSHFQLKDIWIAYSYNWYELNEAVIKHTPWVETLHLGIVDSTTSTMTESLGNNPTYWPKLTKVILSRPDNLFGILKLARSRARLGCPLEELEFHESRSMVSEEYSEDLENIKSHVEVVRLIEDGPIALPLPDICQDGVPSPYFWPKKWSAMPNRLMP*
>EED84757
MPVFPIDEAQLVALFMQSVTYGVHVVTFTICMWKLVKKFRSGQGSAHWPWTVIALFANGTVDVSFNLYHNLIAFIFYRGNGGAEEEFTASSTWLNLIRNMETVMDEKKTMIPIIIVIYTTTITDNIVCTVTLAESVSLLGLTNISLELAGIVFDLIIIWISQGTATEQTQAFTVTSWSVGFFSRISIMVVKLRDPRCSVSTHEVYLMIASSNSSQWTMSSSLGAIDRMRNMSMTCSRLLARKRYFVKIGSQFEWWKYLVEDFTKNLDRKTIDRVLCINGHPNVREGVTQFYQQQQCLRISEMDIRHVDEP*
>EED84758
MWICYLYVILFSVSTLAHFVQALHSRLYWMLATACITGALEVTGWIGRLLSSHNPAASNPYLIQIVATIIAPTPFVACNFIILGQIIRRLGEGYSRINAKWYTIIFTTSDFVCLVVQALGGAMATGTKQSQVDLGSNIMLTGIVLQLFSITVYMTLATEFLWRYLHDRPLREVTRTGYTLDKSTKRMILALIISGVCIYIRTIELADGWHGVVIHTQVLFNIFDAGMITIAMYSLNIFHPGRLLGRGKVWKEVNHGEKGYALNSVPEFSKSEMVSTLSV*
>EED84759
MSSAFDTSFGAMLLGVLMSSILFGITNLQVYIYFKTYTKDPLWTKFSVCALWTIDALCVAFSFHLIYQYFITNYFNPVALLVINWSFKHGQAQDISSPTSSQFKTLVDASANRDSGLRYFLPGIASIFVCAGYGEMVTKSFSKHNTNRLLLTVISYEIIYWDRYDHRGVSLLSFIHQPHRVPTLKTSPHTFIVAAIEFLLTKIYVNSFLAMLNARNSLRDSGARMRRDYVLNTLQPTVTSEVTDESKPPELPQVLNIRNDTDSDSDGPRPYTDVEIDKPAARGYVPPAVSKGGIKEEVLESPSKQRASYPGPLLAPPQAHVYDTIHDTARSMPRHEKTLRESKDKESGCRDLLHLVHTRQALSHTAASVDKINTLTCALIMKNLRDIFLEAREICCEFFIRERRVIKRLCQLSAELRRVATQKNETSGKCYPEKCLAANCNLIRVTFAMFAFLQLAELVCYSLSLDNDVLGQISEAG*
>EED84760
MSLLQGVLRTHILDSQSGRTTPHDSDDELVGVVSSPGTPASGSRPSSRPASPGPGSVRHPRRALHVASTPHGVPSDPLRAFHTEVSQRIFSQLSIKDLARCARVSKKWSKSQTINYVWFQHYRRENFHDDSLPPGKWTKRESKQNWVYIYFKTYTKDPLWTKFSVCALWTIDALCVAFSFHLIYQYFITNYFNPVALLVINWSFKHGQAQDISSAFDET*
>EED84761
MHYSDVSVLVIEPAAPSQYGYVPTAWICYLYVILFSVSTPDSAITVAHFVQALHSRLWWMLATACITGALEVTGWIGRLLSSHNPAASNPYLIQIVATIIAPTPFVACNFIILGQIIRRLGEGYSRINAKWYTIIFTTSDFVCLVVQALGGAMATGTKQSQVDLGSNIMLTGIVLQLFSITVYMTLAIEFLWRYLHDRPLREVTRTGYTLDKSTKRMILALIISGVCIYIRTIELADGWHGVVIHTQALFNIFDAGMITIAMYSLNIFHPGRLLGRGKVWKEVNHGEKGYALNSAPEFDDPSVSSRGLGTVQIKTKVGMHSAIFCLGVP*
>EED84762
MPSATNQARARRQYSTAAPPAPQPLRPKRQAYESEEENETDSEIDELEEHEELGSGTDIGSEDSYESESEQDIETKTRKEERMRIANDALAELRVRLSPLDGFQIGQRVWVCTSEDDNYWETGIVCRISFGGNISRTTYYTVYDKEDVKKDDKESDEESDEESDEESDEEDGEKDGEKDGEKDGEEDGEEDGEEDGEGDGEEHNKKHVKEVDEGRYLGRFRAEHGFIKADCEWARDLLRRAGRLA*
>EED84763
MADQAAIRDNEKRAGPPLSSYGRAQVERGKLLLQMHKNMRNTYHPLHNPNDFTYGDGITGTTRLTNALSTFLNSYFGPHLPVSPDHLIMGTGLLPMMSHVTRAVADRGEGILLAAPYYPGFDDSLAIENDIIPVGISVPPSEMNTMAELAYLENGLLESTSSER*
>EED84764
MTARLEQFRKSMNGLTGRERDGAGDGGRRWQDATRSSLVEPNGRLHAVVATMVLVGRPFPRILSSRFHSASSLWAPRRTLKEFKLADIGEGITECEVIKWSVKPSSSITVFDPLCEVQSDKATVEITSPFDGTVKELLVKEGDVAKVGSGLCTIEVEEDSGDAPSPAHATTPPAPVSPTSETTLEPPTTIEQQAPPATLKAKLHPLDPRAATQASTGLSHTDILATPSVRHFARQHNIDLSLLAPGSGKNGRIDKRDVEAFLARSASPPSDTPSAPAAAADVDTIIELGRTRFGMWKAMTKSLEIPQFGVSMSMDLTALHNMMPVLNANIPTRYLPDTSSSASAPVISPSAFYEQAALSPVPPSATYTRLTFLPILMKTLSKAMAEWPLFRSSIVPSSLPSGKPAMGIRPHADISIALSTPTGLYTPTVQRVDTHSVYALASQLKHLAHFMLAILPTQPDVQID*
>EED84765
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVSDSTLLMLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRDYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHHFQPLLPRSIRARHNKFIPIGVSNVVWQCDFECTFNEQWIHSATLGWLKAAIGHDVVLSISVPYIATTQGTNISVPALQWVVLVLTDVWIRFLLHTSQKQYWAPRYAHLPFLPPSWLRVKALSTPSTPRTSLTSTSLTVLPLSFLPVNNNCALIACLDRSSRITSDTRPSEGPNIRSAPAVPTPPDPRLDTPVLSLPAPVSLKLLPAQVKEEEIPISLQTLHQSQSLTRVRVKKESRSPSLHFTVGPHRRTRSPPRLQSLSYVTPPPGFLQRLPFSVPPPSIMSSPVSPPDKETLKHLLPLRYDGKTVIECNRFLSQLRVYWIVNMSLTTIELKVQVALSLLDGDARTWATLFFAQLASVHIGTQGITTPFANEAAFATALKAHFGNLDDEAAAQVELAKLCADKAMREKRTAAEFSTLFKGPADQSGYGDLELRDKYLSGIPSCVYRKIELETFTTWEDADKRATEHQCGRRKRRFPQ*
>EED84766
MGGKEGERIVGVCTTQPIGGEMKGQEVKTEVPRAAEAGLYTGEDKGRLCALVRAQLVRAQHADAARGPTMSEGLWTPSRTSWTMERLIHECSLAFGHTLDVSSQHTYSLALNSYITFCCAHALPLNPTEDTLSFYVVYMCTHIKPDSVDNYLSGICHELEVFFPVVHAGCKRMHGTPVHRKCALTGDDLRLTAASLSSSDTYANVLFLTQLFTSFHGLLRLGELVWPDVLWLRNHRKLTLRHTTTCDGSRQYTFLLPTHKLAFQGCHAEGGTSPDLNQAARRWSSDVFHAYIHRHPVLLAALLFARGGDPPSDVQSPARPESWGYGLA*
>EED84767
MDIIYLAWLGQGDSRPAVFTPDSLGRASEFNSFIKIGTDKYPILRLKLGHQANSKCKVYKSTFLPQDKVSEFAQMSPRQLLREMQRAAGNAKYIEAKGQYSKTQTKQRNLQERVLRLQAKNASINERKTYRKNSEIEKLEAKSENAKTELENLKSEKDRLTKISTSENSIRKSENN*
>EED84768
MVPSGAYGEGGLLKCVEARIQERARKLGCASNQGLEAPIVVYNDPARYSQLARGYEEADRRTPGVPPPVAEAEPEEFEHAMEDVAPTSETPVQPAADAQSGIELIRSASLCEEQQAQICALDEELTLVKAELENERQLRITEESDRREHKCIEILENNDAICDQLTELANLVIQQREEIGVKRKPALKKKEPPEQRIPPYKKSLLSWLACGKRWANWWLLSVICVSGERQTAIRAQCTEQHERTMEAVRATAHDWILFNVQECLEEHQEKCTNLHMSR*
>EED84769
MLLVDAHNLVTGRYIRAPCMLLPLPPLLALQPNRTCLFLRNALNANLELDADKGKDDQMSSIVDKLTLLIAEGQHALDKEVMVMSEAPEDEEDNSTDNWVEEDGGRAQSSHGSLPPYSSWHGLPLSASPRRDCFDLSSSHATSYARSIPGSPHCHGHKTSVESNCFASTSFQEDESAWQTPELREAMECARQWCAPGVDEIGGY*
>EED84770
MSAEIAEKTVRHIPKGTPAEFTGLSTIRHTSTTATVCHSNWQGHETVGKVIAPFKEGQTFPEDFDGVPSNSTCFVNANVWENIIHPEVLRQCDTIVRLPLKDTDVLSQSGCLTLDQIALVQRIYSDYVVDGEEKCESGLMSSKPFSVGEDWFRYFVFKPHQPRTGGRSGHNGKLLQYPYVYRILNSSTKTAAEVLVGAGSLNHEEAGHQTFPASSSRSIFPHRTSPSVTSDLQPQSSFSQVRSHSISSTDGHALTTKEPDPDNQLNVITQHISSRTTDRASRTARELPEDLIHLHVRIEDLVDLIHNLQHALLEAGAYHVLRE*
>EED84771
MACYHFRSTAALGCCAFCLSSRVHATQLDVDLQFCLCIGIISSIQLFVGRKRLQQMQAMQTAMEEESEDEEEDVNGKPKEWQVVMVDEEQAKVIEGQHQFYPPRRTDLEEERQLRKEYFCGERDSRMDAVFDDTEKNIKIFLSSYFHDKGLIWSEQCVHDAPILIGFFINFIICNHILPEHEKGLWCALSVTVLARNELPATFVIGKALLGDFSAGCEALFSNMSVLVYPDPEELLKDMVGVHNIQVITGEQIKDLERRRTRSVKQATRVGGWGSANNTASDGWGTGSNDQGGDWTTIDIDDDNWQTVGSAWDNGQTPNQLMAFLGPTVFPLTHTAGVMEHSMRYRSKLPKKKAKRSGAKKVEDELEGRFARMVLAPLIVEDATKDATVVSGAGRPHNPFKDEITVLLDPSVAVKMIVGMGLSATWVQLARQDPSGVDWMDEKSADEPKPGSIAGKMWGLEQQEHQPSLIYTARATYHAEGLFGVHLHAQEAWFHFFIASQRRVERDANLLTCSSALDFMICGARVDDNGENKGHHHAICTDLFTQFLREWDLSARRAGKLRIAVGIRLMFCSLTTSMLYLSCAGMRTMSEDSAKVPSPAISWDGYSGNECLGTFNKCGDALLSVLYAWASLIKSTLFCRMMTFLGFMISTGAKCSDVWGLITCTCQFLGQKEYKSHTRVTQHNGLPSPLATGHQRRIGVEMSNYFATWYLTANTFDRYSQELNEGRYALSYNAPANSKLLVHERWLVDSLLTVKPHTRAVSRLVKLRATIIVDSIEDALAEVENWKMLEWE*
>EED84772
MILLDILPIVGHLNCSITVCLSQHPAGCQCSYNAQLEINVPVFGIIALRWKIAGLFGYLDGEGRLTLSRLSFCSIHYSRAGNLDECCLMKGDNSSSWSSRKKTASLQSMPASQALVADSTWGKKTETLETLETLAANPIHTPQSPEHPFGGARSAMLSPPRSASLLPPRSATLSPRPTCTHAEILNTSSTKRGDSFALTAFGIPLTMEILDNLVFDPESSCNTSSVGQLEMSLAIEVLNNMVFDPEPLCGTPSAELAMPLAIEILDNIDLATDVQMESLGDDTDTSLNYVAPVMEVFDH*
>EED84773
MRIYIIQMEDIELDLASNTTIYKLWNSKQKSAFTDKGLIHQVFKAHLFTLRAQYNKQQCPNQEQTPQESEKNQDAKRAQQRKNPLTKYSRRRLAWRSEEAEIWLLAQDAVQMWNRYGLEEKPGPGIFPQIRIQGSRREEHDRAPVPGLPPNFYNVEFLRRCSCEEEALLDIQPAVDLSLSPEVQDRADDQVKIRGFRIELGEIDLYPSQHPLIGENITLVLAFEHGADFCFRAIPVYRPKWRVSPTSPSKMPSVHTHTYEHAPQLMFIDAPLFRSELQGDPLSRCAVLLIPGDSIAILPIYQSQAELNIMNKKPIKQGLCASCKAAPISSLYNNILMYPHQSLAIRSGSKAEGALEFCHGSILAAVEFASYDEDGNTVWEPDAPNISFPNCECLMLELISPEPEGWVTMDGFESAQKEFVTCLDCVTLETTSTGSGMMDFIIVGTTINCREDLAVKGAVYIFSIVEVVPDLQCRDDAKGPVAALCGLNNSLVSSMGQKIFVRAFDLNERLVGVAFLDVGVYITSLRAVKNLLVISDAVKSKDPYKLVILGKDPYQVCVTTADLFFADGQVFLLIGDEDGVIRIYEYDPHDPESRGGQHLLRRTEFHGQMESRMSILIIRRRGKDTDIPQARLISGSTNGSLSMFTYVDEVASKRLHLLQGQLTRNVQHVVGLNPKVFRPYLEAFWTATSWLPSWIY*
>EED84774
MTNCGVQSLSGEARTFYGRKFGIFNEVTSISGKLNHQEDKTGKEAQSPTPMQDHIPAPAPTLSAYRSIVTSNFTLPSLWFTDDESAWDSASLPVQHPSDEGEEQQQRALLVPYGELELDPQQAASEDQSQEEFDFGAFHESLPHIPLRPFRRSHSAIYKSTKHAVSVEREPPPLLDFVPRYLDVMLVSYQRAPWLNGPSSAHLTDHSCRAHLPLHKAASDQLRSQQPSHPHSGASQQTGDTNAPEAELFECRAMSQLDASVSLFSCPINRRLRGVHLRGCTASSPDLATSIGHVPRNALYGGTGYTGVNMRFNHHVLSTLLRRILKQASGIAAHGLRAENNGGLADGEAEDASVGTSIVKGRREHLNQVDRLHEEDDHLLEQPLRAYVWSGLPTVAEIPTLFPQRSIILRQYKASMYHPFIEALSLTLMHIPIMFATMMIFSVILYFLSDLQKSAERFFLPIVMLWEAFTMSIRRSCLGVLVLPHMLSQPSNVSEYHVHPEILRKRKPYERLALSTDAASEHIIPPRARARGLIGASSLSNADGEESMGAQSESGSLGWVVPLNVGGKNFLSSYPPVFLLHEYGVEARIQERARKLGRASNRGPEAPIVVYNDPARLGGQRMGLERMTLVGHSLEGYLSVAYALKYPTRVSKVILLLHTGVLRDPNSTVYSHEVTHAPDSGAPSSDHVEDSTWPHIEEVKSGQQEAQRKERQSRCVLTYLWEDGWIPLAVGVDNIFILVHELEHSLLDYKRVLSKERGVDEAKQGTVTGAA*
>EED84775
MKVPDGSSTTVYRCGAMIDLCVGPHIPHTVSIQSPHGYNVNDLLQRIYGILFPDKTQLSEYKEFLAEAARREHRKIGKEQKLFFFNDLSPGGYFWRPHGTHVYNTLVELMRSEYIKRGYQEVVSCNIDLCALAELQERHALKLMNFPGHCLIFDSRDRSYKELPICMAEFWIVHRNEVSGTLTGLTRVCRFVQDDMHVFCMPSQEEEIYNLFDFMQHIMTSSDSTSVSSCRRGLTTTWARLRRGMRLRTSIEESLGQAISRPVTSGRSIPATAPSTARRSTSQFAMRCAAHFNARTSSSTSSFRLKYRSADDTASADKPPSRPVIIHRAILGSLEWFIAIITEHFARKWLFWLSPLQALVVPTEYASEVAQKLSDLRLFADVDSGDNVLPIEIRDSEITQYDFTLTRIAETQCNHYMTVLGQEELGGRSVNIRDRDDISTKAKGHMIPLEEVVQKLIALKNSRSLKKQFV*
>EED84776
MALPEDDEEISEEAPGTNGVVPRDDHSISSTVHNRARTSASEPESAPCRPSFALSKSLCHCHHAILQGPSSSSQHSGTTKETFLTVFPSVRTDRRCGTRHEEHIEAASGSSPRLTPTRLWRHSINLLISSRIAAPVLLIQFLIFPEGQQDYPGSSCIELHIVLFPQEHSPIANERRRSNGSKVCSEKVLDQAGKNQTLVFVHSRKGIAKTAKLFHNMFVVKRNDSLNLKIVLGTISNPDKAIKWVEYTYLYVQMLKDPASHSVGYDYLNNDPNALVQKRADIVHKGAVLLEKYHLVKYERIGMSMMSTIELFRALALSQELKRLPVHQNASLFIGRFVFIPSCSTLRAMSETYLKWSWAVLTKAALKLCKMIEHRMCGSCGSSKYVPQFFQYRFPNLQLQAQVQPIMWSLLRIDLTIISDFRWDKKIHSTAGSYGITEDVDEDIVIFYSTFMLLQHYTEDEHMSSLECGLQGFRPYGLAALTSVIP*
>EED84777
MSSPSTAPDKETLKLLLPLRYDGKTVIECDRNLSQLHIYWLINTSLTTIELKVQVALSLLNGDARTWAMPYFAQLMLVQLGVQGVTTPFRNEAAFAAAFRACFGNLDDEAAAQVELAKLCVNKLVREKHTVAEFSALFKGPVDCSRYGDLELCDKYLSGIPSHVYRKIELETFAMWQEADKHATEVEQILDISRARQPELNNFFSA*
>EED84778
MRLPRRVPWANIGELDQLCAWIYDDEHDLEAKVHAVNRDRSQPPSSSYLALRHAYATALIRLVNGLVDPLQLGTYARSISSIAAQLGLPAWLVELRHAATHEDLPSVHVLRDAAREALTWLLHNYFLPTLNPAAPPPASAPRAPLRPLAPLLKRYKALQKAAARDVSLAPQLAPEITRVLRDAERWVADARLAAPGAGWDDRDAADTRERWALDRLCDELLARGALDGDAADLRRADVVVGLATALGPTSDASSDEFKVAHDLLKALCKDHPPLQRASALVTGLPAASVHDAWQDNDIARMRERLAALRALATPSPALDAPHASAGSPTSPVGVMIALPRGWRRVSEHDGWRPSPIGVFIPCATSA*
>EED84779
MLAIATPPPVYYYNYNVGECKDLIFGVTLVDYATARNLPEGEVPKIVRISIREIERRGLDAEGIYRVSGRHAAVQDLQHKIERNEAAFGFNPAVDDVYAIASLLKMYLRELPEPLFKFSLHDRIQHSEDLDEHRKNDFQVLRGKIRRLPPIHQAILKMTVEHLAHVAAHHERNKMDAKNLAIVFGAVIFGEDEMPKGGDLLSVQSWKARNILDKSAFPPVSYGSSHTKVSKMGPPLSPNRNIQMPPPPSPDSRNTRLARSPSLPPRPPPALHTVRPPPPDDFTPQLPPHPPSSIHPSLRAGPMSALPARQSLP
>EED84780
MLAMCVSTRASLLYCGTVLSEYLAFEALSERANGTECMHESAQVICEKREKIGQIEATLNLLCKGDPHENTILWTCKLTPYVRRTTSEGLWTLYKYRLRMDHCICVVVHEVRTDPIMPHLNENYQSDLNATSGNMLLLIHRNMSAIQSVNVTLGLSIAHHHFGEIQSNAPSLRVCLSLSLDPKSRQSLPFCPDPHPWAPTYLNLLPVQVKLEEIPISLEELRQLQSLQRPLNYLGQLIISSLLLDSGPCRTSPHHWTSSVASTAVAAARPLAHSFSPKSTWQTATTTASAPGPSSIPPAAECNHFLSQLLIYWQINTALFTIKLKVQVALSLLDGDARTWATPIFAQLASVAVGVQGAVTLFADVKAFLMVFKGCFGNLDDATSAQVELTKLCADKSMRERCTATEFSTLFKGPADHSGYGDLELHDKYLSGIPSCVYRKIELEMFTTWEAAEKRTTEVKQQLDISQACQPELNSFFSARGGGHSGACGGAP*
>EED84781
MRRSRRVTSRSGTFNPEDVKRVSHTRLGVWDLYEERAQVLGLRIPGTSRLEHYLSVSQSLPYVWKMLKDISGIQNCWLLLLLYLTVEFIKALLPATSLWYSGQLLGIVQTAVESRTVDKNFLLHVSIGRIACAITERLLDYAKRSISFPLQARLKQHYAVHLFQARARLDLPTFEDPAVQRQLEDASGTSRGSIAWTTFQMISTAGSTAVQIVSQTTVLARILREQQDGPLLASISLLQSVFDWLGGRRGSMGSNNKKRGLHQNARLKTSEFKRAAQRVGDKAADFWEIVREQQFHEKLTLTSLLKDCWRELPQIVFALRAVQHPAHIPVSLASLNLIQGTTQRFGFTMYRFMEQFGSIADQLGNVRKLYEVVNISNKIPDGTVPFPEVAQKVKTGVSVEFRNVSYRYPGTDEYAIRDVSFKVGPGELCVIVGANGSGKSTILKLIVRLYDPEEGEILINGQDIRTLKLADLRQAVSVLFQDYTHFPLSIRDNIALGNPSEAANDEHVRLAARLGGAEEFIERLPEGFDTYLNRPVSDYYSGLPEGTHKLFGRPVDYSAMRFVGNMSDKSTHGLSGGQMQRLAVARMFMRSIVTEDSPVGLLLFDEPSASLDPTAEHDLFARLRDLRGNKTMIFSSHRFGNLTRHADLILYINDSVIVETGTHNELLKHGGEYARIWSLQAEAFL*
>EED84782
MSCAGTRQHHHANGKEKKAVIQLLRVRDAMDAKDAGKVEQALDIIQATDLIPTDGDVARIQRRAEEFRDLPDAIQRNLQTFLTLTMDLLATVHQKAKTSMAADVARQMTLAALRKKSRSLMMFAGILKYRMSPDVYSYLARLDVEIAL*
>EED84783
MAAGVVNIRRDVDDKFYRYRMPVLLTKIEGKGNGIKTVIPNMSDVARALSRPPTYPTKFFGCELGAQTSFDEKNDRYIVNGAHDATKLRELLDGFIEKFVLCKSCKNPETDLIITKNDDIIRDCKACGERTAVDMRHKLTTFIVKNPPKNPKRIKASRKGTADGSPSPANGHENGHSTPEVDAGGESDDELTRRIKAEAAELNTDAVAITKEDWSVDTSEEAVKARVKAVGASLAAVSLSAGGDEDSDDDFDSPYAQLGRWVEDSESLGPVEVYKKAEDLGIAKKHKTVQVLAQVLFSDDILAELPKYAPLFKKMVTSEKHQKSLLGGIERLVGVVHSEIDLNNTVPKILMAFYQADILEEDIIKQWGTHVSKKYVDRDTSKKVRKASEPFLKWLDEAEDSDDDDE*
>EED84784
MSIEPGNYRIQNVGIGNYMDLTSGSSAQDTRVQGCTDANNLNQLWQFEKVGDNTWKILNAASGTYVLAPVHAINQGIVGGTPPDVFTFIDSDGSYQIKLADESLVLYLGSSANGTQIVLQAADGGDNNQFWYLHPKGIVASPPPISHLWFAAYNLHGTGAMLYAVPIESPNVRTISMPSYAVIGASRGIGLELVRQLAASPHNVVFAIVRNIVTSTHLTAFVTESKKNNVHVLQADVADHVTLKVLSSWTNVLGPALTEHKDAAARAAEITGGSLDVLIHSAARIEVPTMFKRLTDYEDDKKLEEDFLQYYKVNTVGVVHSINAFLPLLRKGTTRKIVALSSHVGERTITWDLRTDAQAAFGASKAATHMILTKYAVLLESEGFTVVGLLPGIVDVSATAVDAPLTSMVTDIGPLLAKFKQVNPNFDPTPLTLENGTRSLLEAIYSFGPADTGTDNVYKYGSSFHLTYCALLGYPFFDAFVEDRINLSGIVDDKIVPASGKIELLSRILPKFFATDYRGVFVYTTARFLWAMQTAPLQGGLCIQERDASGVMPLEEAGNIIRIVVRIYSGDDQEEYVRSALMGSDS*
>EED84785
MTIKPGNYRIQNVGTGTYVDLAGGSSAQDTKVQGYTNAENLNQLVRHNEHRYWQFEKVGDNKWKILNAASGTYILAPVHAINQAVVGGTPPDVFTFIDSDGSYQIKLVDESLVLYLGSSEDTTPAADGGDNNQFWYLHPVQA*
>EED84786
MSVQPGNYRIQNVGAHTYMDMFSGWSAQGTRIAGWWDNDNLNQLWQFEPVGNDTWKLLNAASGTYALAPIHALNKGLVGGTPPDLFTFIDSDGSYQIKLADEPLVLYLANGLDDTQVTLQAADGGDDNQFWYLHPATN*
>EED84787
MAAPNIPNPQFPQSQPYLNGNRQPIPPNVARQHVQQMVQRANALRAQGFTAENSPELAKIYQYLAQLQPQIQQHLWRRAFVASSFISALGIAARKVTPCVLVREPMKPAPAKVWAENAATDHSHTAVNGLGAAVSLTNGAPLTNGNALVNGHTSAPPYPAAPHTPVSFTPEQIDALRAQIKAFKMLMHNKPIPEALQQAMRVPSQVIPELEKFLQPQDVNARIVDSAVKLQKSTSGGTLTVAEQTPAAEEVKPEDIDMTDMPKGPFLEEDINSGVYPYNAYLNPLEFLKLDGATTPAMLATRLQRLLIPSLMPAGLDPHEIIAERNRYVDARIEQRMRELEQMPATMGEGGLDNVLDDIMPEDKENTTGNIEDLSMIHPPPSTHGKLRALIELKSLRVLDKQRNMRALVAERLTHGSLLPLNRVDFRRVRKPGIRDARMTEQLERKQRVDRERRAKQKHIDQLDVICMHGREVVAANRAAQDRIIRLGKAVLSFHAHTEKEEQKRIERISKERLKALKADDEEAYMKLIDTAKDTRITHLLRQTDTYLDSLAQAVMEQQQDQSIFDTHPAPFEVEDGPIGEATFGAQQFEGEQDDKGRTDYYAVAHKIKEKISKQPSLLIGGTLKDYQLKGLQWMVSLYNNRLNGILADEMGLGKTIQTISLITFLIESKKQRGPYLVIVPLSTMTNWSGEFAKWAPGVKMIAYKGNPQQRKILQSEIRSGNFQVLLTTYEYIIKDRVHLARLKWVHMIIDEGHRMKNTQSKLAQTLTQHYHSRYRLILTGTPLQNNLPELWALLNFSFDEWFNTPFANSGTGDKIELNEEEALLIIRRLHKVLRPFLLRRLKKDVESELPDKVEKVIKVRMSALQSQLYKQMKKYKMIADGKDTKGKSGGVKGLSNELMQLRKICQHPFLFESVEDRINPSGIVDDKIVRASGKIELLSRILPKFFATDHRVLIFFQMTKVMDIMEDFLKFMGWKYLRLDGGTKTEDRAGHVQLFNAPDSDIRVFILSTRAGGLGLNLQTADTVIMNNLIDNSFDSDWNPHADLQAQDRAHRIGQTKVVRILRFITEKSVEESMFQRARYKLDIDDKVIQAGRFDNKSTQEEQEQFLRSILENDQEEENEEAGDMSDEEINELIARSEEEERIFRDIDIQRDREAQEAWKAAGHRGKPPLPLMQLEELPECYQMDEPFDNRDELDELEGRGHRRRAVVNYTDGLDDDQWAMALEDGEDIQELAERARERNARRGATKSLKDIDSLGSPAAEIETPRGRKGRKGKGKAAADIDSTPANGKRKRGVKAMSVTPSIQDDDDDEPRDSKRRKTKGSEIPTPIRERMKKAFNEIYRAVLSCEDEHGRKRCELFREVPDRREYPDYYQLITKPIALSTLRKRLQSTYYKSVLDFREDWRLMYNNARTYNQEGSWVYVDADEMEKVFNAAFERLIVGSDLPGAPPAAASLSSAVYDAALTPMDEDERPAPKGKSSRKQIISDDEYLTNPSDDE*
>EED84788
MGNLEHALSAYENALRHNPMSLSGLTQVAGIARIKENYPKAVEYFQRVISMQQDNGEVWSALGHCYLMQDDLQKAYQAYQQALYLLPNPKDPKLWYGIGILYDRYGSLDHAEEAFASVLKMDKDFDKANEILFRLGIIYKQQGKYADSLECFDRILRNPPSPLAHADIWFQIGHVFEQQRDHVRARDAYERVVQDNPNHAKVLQQLGWLYHQDGSSFQNQDVAIQYLTKSLEADPADAQSWYLLGRAYMAGQKYNKAYEAYQQAVYRDGRNPTFWCSIGVLYFQINQYRDALDAYSRAIRINPYISEVWFDLGSLYESCNNQISDAIDAYARAAELDPSNTAIAQRLQLLRQAQLTGSALPAAPGPQDVHP
>EED84789
MGSADRCPSSIRCVQSCDAHGLDEVRGSPGERRIHRGWPTSWHSX
>EED84790
MSADKARATQKATPTMTILPGSYRIENIGFQNYMDLAGGSSAQGTVVQGYTDAQNLNQLTYIHSIVSSLIQWTVEPVACISDNAWKIMNAASGTYAVAPVHAVNQGIVGGMPPDTFTFIDNDGWYQIKLADESLVLYLANGDDATQVADGSSNNQFWYMHPLFCTALARSVVNYCPRVV*
>EED84791
MSTSDLPIGPPSGTFLIKNVAFPTQFMDVPGDTSTPGNKVCGLHNDGTLAQYWTVTKEGDAYTLTCAGTSTNLDAPDASIGQAVVTDNNLQTFKIYGANGKYQILLTDSDLAVSLPNGNDWTDLVLATSIASNEQQLWYFEPQSDRTNVISGIVEYKKTYNYHKDNQP*
>EED84792
MSTFGTLFRVTTYGESHCASVGAIVDGCPPGLPLEPQDVQTQLSRRRPGQSNLTTPRDEKDLVQLQSGIEHGITLGTPIGLLVRNEDQRPHDYSETDLYPRPSHADWTYLEKYGVKASSGGGRSSARETIGRVAAGAIAERYLKLVHGIEIVAFVSSVGKVHLPSSVGSQASSEDDDSVEDILSSEFVQLLQTVTREQVDSHPTRCPHTETAERMTKRIIRAKEASDSIGGTVTCVIRGVPAGLGEPVFDKFEAKLAHAMLSIPATKAFEIGSGFRGTEAPGSRHNDAFFVKPDGSMGTKTNWSGGVQGGITNAEDIYFRVGFKSPATISQAQETAQYDGTPGTLAARGRHDPCVVPRAVPIVEAMASLVVMDQLLIQNARKATASLLPAITTLPPTMVKPASGQVNGKQ*
>EED84793
MVDICITCSEASALLARLSDSCRQWRTCFQLECIENVLLHTLADIRMSLNAQRPVNCLPVEILSELFHQVLPSLHSKKITFLNSSFHLKDTNALLPLTHVCRRWRDVALDTPTLWSTLCSSFHPEAIGEYYLRSQSAPLKVFNIEKNKHLDVQQLWHTDGQRIQSLISFTGCNSDLPTSYAHGLRALAARNCVLQGNVSNLKTLILRRVDWHLPNTLINLTHLSLAKKWLHVVYLFRILSIAPRLEDLRLWAISAMEAFDAHEDVPAVTLQHLRRLAIHHPDRNIVSGLFLHVGLPANLAVNFEYCQVSDLQWLVPLTQNDAKSLYVSDDSVIVAGPLKAVRFSGKEDPEAMIQWIAALLSHFQSKDLWIASSNNRVGLDEAMIKCTPWVETLHIGSIAYLTMVATLVNNPTYWPKLTKPVTTVMS*
>EED84794
MTRPSPDNRSIGPRIPLPSPALPSHHDDDDDDDDDDYVPALPPDMLAARTAAAPKPPPKKVIGPALPPSMAARAYQYDDDDDDYGPPPPPSGTVVQEKDGVQEFLEKEEKRRKQIEASPIPHEASKPKALQREEWMLKPPSSSDLLGSIDPTRLTRPRQFARTSAPARDSDNSLWTETPAERQQRLADEVAGKRRRVTDAAPDPEEEREARKRARHDAEVRRGVEEHTKKVRGGTLIERHAHASAKPKGDGDGGDGEEGPPAIWDHARDMALSGRLMDDKSREKAIRDARGLGERFGSGKSGGFL*
>EED84795
MTSRTSFQNAAASGSSPIPSSSTIPSLAVIADPPVRQMDGAAMDYFLIEAVNALRESSMVAAARAKKVEQEMLEAGLIPAPTAVPATLKDGQRDSVGSASRASSVKPSVDEEEEAVRTRLEAIGMHVGANITERLSHDRPLFADTLDAVKFICKDLWAACWDKQVDNLRTNHRGIYVLQDQAFKPIARISSWEGRAEALKKAKLYVAMPAGILRGALIRLGYQAVVVPEIASLPQCSFQLRLPKGP*
>EED84796
MSAFPTSEAQLVALFMQSVTYGIHVVIFAICMWKLVEKLKGKRGSVNWPWAFVAIALFVNGTVDVSFNLYHNLLAFTLRDGTVEGFGELASWISVIRMYRAWIICDRRWATILPTIVLWCTTVATMIAYLYRMTVTEEVTVTGEEKRMAPMLVVIYSTTLTNNFICTGMIVRRIWQVSKRSAQFFVSGNSLSRTNRIFVESALLYTTTMVVLFITILVGNNALYGVSDVSLELAGIAFDLIIIRISRGTATELTEEFVGQKGKKILLLAVHLVKKW*
>EED84797
MSTFPIAEAQLVALFMQSVTYGIHAVTFSVCIWKLIDKLRSGKGHVNWPWIFVAVALFTIGTVDVSFNLYHNLSAFIFYKGPGGAEEEFMAFSSWINVMRSVWYNLGIVISDAALMYRCWIICNRRWFIMIPSIILWCTTFASIVVYMYYMGVTEVDSTIGIIVHHIWQVSRHTSEFFTTGNRLARANRIFVESALLYTVSVLITFIATLAGSNSLYGLSDVTLELSGIVFDLIIIRISGGTATEQTYAFTQSSERPAALRIIANGAAADDLTQYSRSAARWKNRGTPAGLMEEVELEKMPHSLSRAGSDV*
>EED84798
MSSPASPPDKDTLKLLLPLRYDGKTIIECDRFLSQLRVYWLVNMSLTTIELKVQVALSLLDGDARTWATPYFAQLVSVQLGVQGVTTPFANEAAFATAFKARFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWEDADKRATEVEQILDISRARRPELNNFFSARGRGRGGARGGAPQSHGASASINAAVGKGNFPGECFGCGKKGY*
>EED84799
MYNDKPSLPPVGHVYNATTKGTCASHFPLIGILQGHQNQFIYENGRLCTDYARRNQWNIRSHNTPQPSTWTAEKQPHAFPTHLGSVIALSYQLQISINNQCGLIDQRPPPSCIGTDTNGREPPSLCTTLSAPLFRVYMVEKTQKYPSRKVPTSIVMSSLPIDEAQLIALFMQSVTYGIHVATFATCLWTLINKLNSRSPVHWPWFGVAVALFVFGTMDVSFNLYHNLIAFVFYVGPGGAEAEFLAVSTWVNVMRMYRCWVICNRRWGIIAFPMVLWLAAIGMAIAILYFVITLRAVTTLLDQTTLQPLIVAYLLTTLVNNALCTGIIVHRIWQINQQSSEFFKQTFRGSGRTNLKGVIIILIESALLYTASVLATFIAAAAKNNINYGFSDMMLEVSGIAFDLIIIRINGGTSAEQTQAFTDYGAHSGVAVHVSMSTTLDVGTAHMKTSGSQKAVESGTPASVEVVELDRF*
>EED84800
MLSDIPIAEGQLIALFMQSIAYGVHLVTFVICVSTLLDRPNGRLHLMSSAYGPWLLIAIALFVVGTIDVSFTFYHSLVAFIFYTGQGGAARVFDDISNWVNVMKTQYVDALLLKMYRCWAVWNRGWPVLVLPIFMWMATLADVGVYLFLICTLHESTTIPTAGKLRVTLLCYLSITMTFNLITTGLIVWRIWRVKKRSSQFFQRSWRGSGRLDLSAINTIFVESALLYTTSVVITLITSVLGNNLSYGFSDISLEMSGICFDMIIIRISKGLAAEQTQAFAETSPSPPTEVELTRSSTKSDQLVSGYTGIMDSHARNGAGASDTEAGRSWISAESRR*
>EED84801
MFERGRRIKYEILHRWLMSRPERELQLSGCPLAHYRQAVYQTLHWTTPPRSSRSATNELNNIDLGRSAIPMGTSNSARLTFGSDSTHKSRKRIDFFDIMFAEMSLRHFGKDSMQLVQIRQYRDHRLVRHLVVLDEARATSAVAKIPPRGISTNQAGAEVGTSEVKYCCQAALPDASHNDTVTRDMMVHCPQAVKNQAALTKESFPSPSEPILSLLAALALAIRLAPLAMLIFALPEAIPDASTLFLLTRGSPSTLSAATLFVPAPPTDVEP*
>EED84802
MVNLRSQKRLAASVASVGKRKIWLDPSEQAEIGNANSRTHVKKLIKDGRIIIKPTTVHSRARTRDLLAAKRKGRHTGPGKRQGTAEARMPSKVVWMRRQRVLRRLLRKYREAGKIDKHLYHSLYQKSKGNVFKNKRVLMEYIHKAKAEKTRTKVLTDQMEARRVKNKAARERRAQRVLEKRQAILAVEHDAPVQE*
>EED84803
MSELVSRGEKDRVVQSVDGGPDCLIELDDVTVGTAGGYGKEKWQTGARWATSAHGDSGGTGSRRDAQGVFPDVDESLRIQARLTSFHMSLNTGQAQQWDLEDVNVICTLAGAPRLFLFRAILENMRSINDEQLGFILYENSLQEPVVLYDLNTSICHTMVIKAMSKPENGKRRGIMTGSLLRTFSDHMIENSFADVAAPQVHGPYRDSVIVKYIIFWP*
>EED84804
MDVDSLEQVDGSKRPRYTLFHPAIAEFRKQYLSFYFIYATLLILLMWALLPIYWASLAYATQHTSRLTVWVVSRDRSTVGNGVVAAVQRAAADAASIPTLGWQLVDSDDDTVNSNDKVIDSVIDEQVWAAVAGELNQDATSDTFSVLSGGESSNLNGSAISVYYNEARNQFAADFYIIPFINSLLVPTVAEINANITSHVLSSNANNATALGHLAKAMGAAGAGAQNGAVVTYDLNNLRPFSAPVVSALTEVGMILIVIFSFILTMANNGLRPIIARHLSLPHYILLRVFAPLIAYIPLSLSFAIISLPFHVPFHAKYREAQGFFLFWIICYILMGGVGLATEFAIGILTIRFAPFFLVTLIITNVSITEFPLELLPGVFRYRKGFPFYNASQAFLTITFDTKSHLGHNIPVLIGWLLLSMTTVALTSRCAPTPRTS*
>EED84805
MGHSYPSGIAW*
>EED84806
MAFCSMHTSLSSSHPTWLHVKAMFTPSTLRTLLTSTFQMDLRLSSSLASSSCALIGHLNRSTRTIRATRRSKGHNTRLVLKATSHPNPPCGILALSPPAPASLDQSLVQVKREKISLQTLCQSQSLRKVRVKKESWSLSLRILLGPPRRQHSPPCQQSLTFLSQLCIYWLVNTLLTTIELKVQVALSLLDSDARAWATSYFAQLMLVQTGTQGATTPFRNEVAFATAFRAHFGNLDDKVAAQVELAKLCADKSTHKKCTTTEFSALFKGLADCSRYGDLELHNKYLSSIPSHVYRKIELETFATWQEADKRATEVEQILDISRARQPELNNFFSA*
>EED84807
MMGVGEDGRDLSLRADLSGEHSVRTTQVGSPLSDHEYIDEAEEGRTSISCGTSAGPKVHQDVEGSLDEVLNTGLNINWQGNLHRIQWWWYDAYVQGSRLITRSEITGSIRKRRRRNHISFVRPALLLERRRPLLQLNIHRAGYNWNLEERHLDRRGRGYDRCVLWYETSGKRLLSMSTHHWHGWVQIIGGGDSPRAGKSYESSADAVTNQE*
>EED84808
MAVTRYHIASKQWIMNNSKSKYHGIYMNDNQAIISAGKSHASNEVQRDQGWTAGTLAKPARQQAESVEVQNQPVQIRNDQLPHFHVSLQSLTTPQPPRPQPGLRGRKKCRLVPSQSTKHSRGNDLSLHAGRRVATLQGVKVKLRLAVVSPQEVHQGLLLHTIQRPVAYIDYV*
>EED84809
MSAEMAEKFLVSNQTLSSAGCWLHNVEGKYHVFSEPIITIRTAMDLKNVFEQIQAAEKAGNLSPENEKQLEDQAAEKVLQTLFKGMKMETKVVLRETCDHILEGSSLAQNKARLTVIALQIIGEAYMGEEE*
>EED84810
MPIVDKRECMDVKLWIWLSALPQSKLDPMAVTVCSLIPQYKIKLPEAENHVLNIIIPIGSKVEFEIIGKNSVHLLGNYLSLAQKSPANKAEFPLLKSSPCEVEGPKPDSVPSKSGDHSLSCPFSGDHSITSGIPGMKVNGERTFVIPPNLGYGVTGDPDHGIPPNEPIVYKCMVLGIEPQTESAANIHAQNA*
>EED84811
MSRPLSSRAYQTLERPYYIGSDELLKHKNAVFEAPGDSNPDEFFKDGSTIHEVFFNPSDASSSGHTNLTNTIPLSIKIAHSNAVQHAGESPQQAYMTQKKAGTIPSSMPSYLPHEPDLPALTKPSEQLDDGLPHIVYLSPPTVVEPGVLASKPKPSASPSQAALTSALYHAVTTPLVLALYTSHPTSPAPLQHFLNHNPSVRLSVAHIPLTILTDRVMQYAPTITEEDNPQDMESAHAPRTYPEKTHTSKRTQPIVQNAPGQTLSGVFFTGDRPCWILFADKSGVNVFPSGHSVIHGFTVTSMWGSEGDFLLNFEEARTWALLWWSGFRASSWTIICRADLCLVSGHAPTMFTIRQRPSLLQRPRSCRNLRPMTMAISYGNQMTQSRAPGSCQNQMLAPNILFPNRECSTLELISPEGWVTMDGFESAQKEFVTCLDCVTLETTSSESGMKDFIAVGTKINCGACFGYTPPYRNSILTLKCRDDAKVSITALCGMYNHLISTMDQKIFVSRALGPSRALNNTSVLSESWHITAVLSKDHEAALSAARNLNGQELGGRPLRIDLAGSDPFLQGKTSVRREIIDGGETRASPRRPPSMTALDTISQVLATSNPTQLFEILAQIKLGYALFQVILLHRIAHSGILHGCFHPTAGSAPATRPCARSDAILTGTTAAPSASLPIPKYPACTRPPPVPPPATMYQHPPPATYILPLMQPSPYYRAPPPPYPQSPSQPAFDPAQRDMLMQVLSLTEAQINSPPPLEREQIQQLRKQFLDSSNV*
>EED84812
MFRCVASNTHHAANDGHVGYWLYCKFAQMASAMNPVPRLVYYTFDYLDGRLCDPFIGVRWSPDNPYYDPGPPPPPPPPRPPKDKKKAVQREGERAVPRAVRFGDHPRASDVQAGGSSIPIAPIAQAGPCGPKPAVPTSIKAATAREGTVAWI*
>EED84813
MVEKYAWAEEDAAALCEFLEPMLVVDHRKRKHARDMKDHPWLAVDMDSEELWKWRAISTVAFKISGVNASLVCAPGVCFAGAGDRRAEFDWLNFAGPRAFSESHSTVAMAASPLADSGDAHPQEKWNAMDEQSHDVAMAAPADADANGGHHPSKDRAASQAADNADYARGGSAAGDVADAALSSKSDVGYRGEKQVKLLARRLAFVTHSLTASALMAPVTTAPPPTRPVQPNKVYIGGLPEHTRREDLESCFGKIGSIVNIELKVGYGFVEFETREAAEESVAKYHEGYFMGNKIRVELSHGGGRTAKYSGDPGACFKCGQLGHWARECPNHLSTSRRSSHHPSDAPLIDRIQPPRDYPAPPRDYPPYRDEYRYPPAPPRDARYGYDYPPPPPPGRDYRRPPTPPREYRDYPPPPARSARDYDDYRMRGPPPPPLPPPARYESRPGYYAPDADVPPPGYPPRGYPPPPPRDYYDRYDRRAAPPADRYASYPPPPAARPRTPPGGPSARSRDDYERPPRDYVAPAADVRGRPASPPPPTSSRYAEYPARAGSSEAPTRYRRRSQSPPPRSSTGAYEAGYSGSGGAPYNGNSSGGYAGAGGGYTNGSSAAPPRGSGSGRDYPARSSRDVEPSASYRRP*
>EED84814
MEQRTSSRSEWKDEINKLLTFAGLFSAALTAFNVQYYVFLQPQASDPIANAILVLMSALVANSDATDILQPTLSALTTSRNAAKTPIDVIVINTLWFSALVFSLSAASLAISVSQWLHHHIDQPASRYRQSVRIWYFRHRGFNSWNVPFIISLLPVLLQTLLPLFLIGLLVLLWTLNLIVFGVVTTLVVILLTLSVSTAIIPTIAPTCPFKSQPAWWCLAIVQHIQLGLSKIIKSFPHISSWHPSTQPLVTALSRTGGQGAPGCCLIYMRENPLGTGNGPLVPSWNDVMVQKESGFYLEKVWHQSPA*
>EED84815
MIVRSQTLDLEVSRTLDILVEADSAVMDDTFLRTVAKPCLAQSPLDAALPACHQILKHHAHSMDESTDPPTLKWSPGEQHALTVVALADICIDMLERLLPSNSQYRFGSLHKAKPILRSLTYLVSAFPENQAAIATCERAADITKQLRLHWSSWTLEEERSARICELCIDATVSRESAPDEEDRTRHQRRILETIRRLLFVIPISEPAVYCRLVGLLRSTEVTQEVRGKISMYIWHYDEHFHIGVAHIMILLETISDGCERMSISELLRMSTTALRNCMRLPTQERAGLRGEIRRALSALAVYFNSSTVEAFAAKITEARGWLTVQTLFNTCVPIAQWDADTFTPHVVEALARLALGCAQADHWFAEIQERVKTLREVIGITLPECLQSAQ*
>EED84816
MTRCRNTTPLTVAGSSSKDKSMT*
>EED84817
MWRITLVWHSLLFTFSEVFYKEAISSRYLMTLHLPNAFHSFSLRLSIMPGPTTATPKKVLSAIHNIPKKMKSGYLFEQRRAGGKENVPPGENTKIHFVPQYSSDALITSPSSQLVDRQKWLPKDGKIIIKVAVPATDDLWKFKVPEDIELDAFLARVQAKVGFSVAFRAKAGKMRERPIATDRAFKTWIAGRVSPETGKNTALVAHLQL*
>EED84818
MTQGECSDPCEGDPGETAGPRQTQEHHAIQFQERPAGADDDFGQHGYPTPGVIREAVLNIEDNGGCGMLGGEGRQGNGAVMGGERASHASSTTDLRRIVLPSQIISRLEAVDEETATDTAVEVPPSLVINPDHQCIVSDETNHGGTLEAEVDRSGRAEVKLHGTATDERVTQGEDAAARAEPVPQDNVIVLSESDVLLGILIVLEGVVIQRFSDPPSILDAVAFTTCNVALLCLVPADRRMCLR*
>EED84819
MFEGAQRALGLSCRKPNVTIITQVAPPSVFAALFAQLAYNQRRNDGFCDEPRDHHQYHHHQPTRQMESSQLLFGFMQWEGGQLDITAQIAIAICGCLAIYTGWTAMHVKYMSCLCGAAISWIWMRSAIAAACILAAFVIAVVRQYGVRWIKQRNARRRERNEAPVEESSVESVYKRQTTRATAHLGLSHRKAGSRGDVSESFARRMPAEQGVYKIDSGQGFVDTQLAKCAIDRQVKT*
>EED84820
MTPLGGTGFAFACTGQRELEEEYTHKYGHWPVPSNLNLGPGSAWAMAVCATSMPTWPGIPTRELAGIPFGFYEATVSLAAAADPSMRYPRLPIYMTITGCAIIISLPRVGLFTDALIGIGLFCVAWWLHVNVVAIVLLLAAIGWGWLYAIVAPMTEEWINKMYESMRRVMSAL*
>EED84821
MAEYYREPAPFPSVPSGQQLYGTTSGELPAHADDAQFDDGQPRRCSVRGCGAPLPAGYTRKMCETCRGRHRTYASTKRAKRKMEKAALGSQQGIVVWMPPDDTVQTATSTRSSRSNVASSARHVHNDSEPFDFSAPIWDESAIDPALIEAGFSHEPPDPALISNDSELSRALVPALPNPIHDQPGGDNENTVERLEERLSDEYSISLIVVYGTTKRAKWRREKEVAVTEMQKMQDDEDXQRATAGLPPLVRGNPLEWREWRADGTPAPEAMQALQNIPLAPRMCTVSHCREILSGDYKFLRCERHRLQNRYHSKLKRVRDKEVKAQAFDGWAAAASANARAMSVDSHDGIVELSAATSSGEHDNGLDADGEYSEEVREETEETEEGNASSNTAAILSGLSGPQTPFGEPKTGVPPAARGTRRTNHVCSIKACYNLLAPTNPWKMCDLCRAKDRQGRREKALRDSGLLPPPSRPPRTPRTLKSKALEGEEGATKPKKKKKKKKKAQATTEVVAQDSPIASGAGDLPEEAVDQRPSPEEMSQPPSDAEVPPESSAPSSEPEPIEQLTTVQPALVFMDPLLADSVPPPSFDEAPSIVQEQTPHETGLSPPGSDPFFLISADQIASLGAESAATAPTSKKSSRRKKAAAASANTSGDSTNSTPSAPAEGTQPPELLHQTSPVPTPHAASERVPQVLAEPSHPPLPPSVTVTAPPASAASPLPIHHEVPPPGAPSMPSSYMPYYMPPYYSSQPSPYPYTPYQYARPPYGPGPMYQSPYGPYGQPYPYPPPYGQPYAPPPPPSGPLYQVSADFVSRTEYTAASQTFNQTLASYGSSASPSASTYFSAFSAKTGEPHNRPTSVAAAPRRKRHFDQDSEASASNINKRQATAGEHHIEHPAAPQLPPAAPASSAPSVPSVTLATTNGNIAGEPAASPSIQETLAEPTPATAICGNKSCHRSLPANYAGTLCARCKERLKKKQAKVKQRFKLEPRKLLVGRGINLTHTQTLDHAGRNQDAISSTLEQTAVAAA*
>EED84822
MLPFALRVAWVVLSATGLLCSLVGSPRFVSALGGLWIPIAYGIANVTLQVVFCLEHIHPVVSTVGMIWHMNAYIMPLTFCVAQAAFKALAWFVMTSLCATMTITTSVAILRAQGARVLSELEIQKALRSRVAFLSLVIGFPAVAFTAYIAVSSRLHAVRNYVDMTCDASDPLWVRLLSFAGLPLLLAIPSFVLSCTCVICLLSTRQRFHAHSQLPRGEVFDMLTSLPRRRTSRDKRHTLYSPSGLGDAAFELKALPSLESQPLPDASRRTPAATSPMSSPSMTLHALPTPPHSISSGTALALPGRAPTQPTVAHAQRFHLPFSWRPPSSRASPENEPSHGDRTSFFSQSHHSQSPSPMLFAPPSNPPSSRTTPVGLPVFPSLSAYSGTSNDGPRPVITGYEDLDNDAMSGSLRWAHNSDASSRASSKSDLEFAVDGGEDDSSDDRPHLSITDRKSTAFQAGHIAVPHPHSHSISIWERSAPDPRPAHESTVVWRVLFFQLLLSGTQILASISSLVDMFASRGVPTPFGTQHVALLLAAWAPVLAFGILPWRRKAR*
>EED84823
MYSYNVQSPDQPPYLDNFRSWEVPSGPSSTNVGLQDRMPLEPVAESWTSRYETAQRYMADGFGSLNYLQHPYSSWPLDATYPSHHNSAVVHHTADPTPSTSDRQYYGPSTVAQVRNGGQVACRWGGECSMIIDDLSPAGITRHLRAHHFAESSDTLLKWEKRSRGSCEWSNDTHACGRRMFYASFGKHIAAVHLGTISRRCPKCNPTSSEAHNLREWSSSLSFGSLPDAVREEKLPVACHDIIITRGPRPAKIHTNVSPVEGAPNHGCDVMNRGSKAGLAMSKRDYDVASHKEYTCSVPMFKLTETNKLVNKLLKAWIFPLYLHCTSDFNASTVATLERSEIILGSNTQRATPCRWGTGCGAILHNLTPTAISNHLKEHHFEFWDDRARGQCQWQGTSCRNGIDMYFGSFGKHVACVHLRSTTEKCRYCGETFSRPDVLVRHEKRYCPGQRQLQFSFVSNVWAPKVHPPTAAHQFSGESKQQFTLGSIGYTQRSMVMARFLPKENS*
>EED84824
MIKRKHQPTPQDLYKARKQREEEEKEANLPPGLINHGNTCFMNSTLQGLMATELLHNLVFFEPIPPSVESKFGGSIIAHRSPQLTNGHGLAGQFEHQRVNEMPLGDVFVDVMRKAWDIQQGHRRETMSPKELLFTMGRKYDQYLDFRQQDAHEFLRHMLDAMRMEEQDIIKQRQPPPPKESRKRGRKHRKPDSPVASTSTADGSSSTDGPPSTPEEQLVSFVDMIFGGHLASILVCEKCKKVSLTYEEFNDLSLSIKPEDYAKGRKRDRFKRFARKLRGPFRPASGSTQRSSSVPADRARRSLETEPHEEEPPVNEGPRRKSFEHVAEDGETEAEMNELLADVHASNANPFSVLHHVISDATHGTPPAAAAQAAWAKLGHLPGIEECLRMFTSVEILDGENMVGCHRCWKIAHGKYKPERQGVVADDDDDDDAGSNGEGTASSPSDQVLGDKLPESVQEPPMSPSSETLSSSPDANGHISPASTPAIRSVTSFSDTMSDATSMTAPTTMGSVTATVEKASYPPLVSPTRPTTYGGLPIPSISTTGPESPVATSRRSPVDKAGEESSDRRSDSPLGVSPSDDSLLTPKPKRRSKAGTGAEDMKSDSSDDEYDSDSDVSGSTSVYSSRSSVASAAASPVASPRASVENVQTVGSTKKRPKPASTDPSSAKVSRSKQVILRRMYKRYLIADPPPILVVHLKRFQQTGRTHTVTFTGGVKKLDEFVSFPEYLDLAPYLAPRREDYGLDDKATRAEAKEASKDARCMYRLYAVVVHIGNMHYAKSSLQLGGHYVAYTALPPSHPLANSSRPEDSSGSDTPSAHKPSIEIAEGMAKPPKPHRQWAYISDTVVRLTTLEEVLKAKAYICGGLLESDIAVSYT*
>EED84825
MSKLNFKVDDAELLKAYGISSLSPKKWEVIDYDNDDTLAGNLTGANNAEGEPDPLGLGATIDVREMDLDTKTAVLISSKSFNPKAFLSAAHPNATYQDLAAGISHLRASIDSRSEAVRVLVEENFNRFVAVKASTDALYAEMQEGLLAGQTEFASRPLKDHLKLSAQKADQVFLPVLENALKAQKLRTTLGVFERSKFFFSLPGSLIESIETGRYDVAMRDYKKGKFLLESRPGQILPVGSAKDGHNLEMQQRRILKKVWGTVEKIMGEMRSQLLAKLQEPTRSVDEQEKTIEILLELNPSDDPVWTYFDAQHQYILRHMREVYDAAVNSINGERSVDMEWLCQLAHGAQATRERAQPEIRGPDILPRILSAQLQTCIAALEAKQADAILAQGAGNEVWQAVLAMVKSVSEVMLSSLPNFWKIAKGFLEGKLKKLYISLLSEFFMFSDSRVSSPPTGAGDVTPPLLPMDSNALATGHQLMRIIGEIQDSVNDINSMEISGEATSSLKGLLESARWRFEDILIHAWIRDANIFYYLEDWIGSTVDPFTTVYLSKLRVFQKEMSTCAFKIAGGADLSTSSTMFSSRPSKRKAVALEYTGKITKAFLDSLFAVLDGLVHLASDESPAVMPVQPAIGDMSGATSNNPLELVNVQDADNRVLLVVANIDRLKRSLIPSMAGELGTALGISIEEDQRTLMTIVQELDNTLFESYIKPKAAVLMGMMRDGVLDPEMDWYETPQPRGCLLAEIRPYVYEILMFLVGVHAQVSAVAAPLLERTLNALVEDVAEEALRCFRQVKRFGMGGMLRATLEIEFLHQTLSRYVTSSADQTLSDLYTKISQAYARRPGDENLQTHLDGVKKTLADTRRATGIEFLCFRQTKEKSRDKSASNTRTKEKTREK*
>EED84826
MHGYFISLPLYDAAQHRERAVLAKVEQLAETRIRARKETNVKVNKLLAEKIQKEEERERRREERKLKRRAAKEQAEEDAMEVDEENAASGSEPKKEQEHEKEKEKEHEKPSLLTDPRFKALFENPDFQVDETTREFALLNPSTAAQQHNRATAGGKRTKTAVEEEEEESDKASSDGLSDSEEKESGEDSSEDSDVAGELWHDDIRARMAARDSGLRARPPPRRREPKVQFVPLQAKTDASGARLVDRNATFGQRRATLADAKGKRRASAHDADVRHTADGGMEVSFIPSQTRYDGEEMIGDDETPAGTRNPKGKEKAKRKGVESFGAGMERGDQYHPTGKAQRVLNGTHEPEFQQMQRLSYTLRISVITDISLEAVGVPAVDVRTGERARHTL*
>EED84827
MLRSHLCLYAASQ*
>EED84828
MALLTSFLVSLAACLPVDQDDVYVYAGVEDGQQCYCGNGIDNLGEPTDSSNCDTACAGDSSETCGASSYLNIYWSGVPPPSPFPVTVYQVGHWVSLGCYSDSEDGRTLTTDSNLAQDTVTVETCTSYCYDGGFNYAGVEFYSGCYCGLAIENGGVPINLGNCDIICAGNTSEYCGGSYALDDKGTMRRPAIDAEQGDPMA*
>EED84829
MPSALILIADGTEEMEFTITYDTLVRAGIACTSAYVPAQDAPEADKTALSFATCSRGLKIVPDTLFSPQAAVPEHYDLLVVPGGAKGAQTIAQSAPVQHLVRRYLQEDKYVGMICAGSLAAQTSKLPRQPLTSHPSVQAELEKDFEYSDAPVVVSGKLVTRCVRARGLRLLMSIARKLTPPTVPPPRNPAPLLRVLCTAVVQDWRTGTAFPFALTLVELLCGAPARAEVAGPMVFPAGTFA*
>EED84830
MSASTSSTFVIPAIFGSLSQSRPEYDLEAQVLVGTHEQQSLPTPPSALTHAPRSQPSLIDEGTNPIDDFFGVVRPSRSVHGTQDSRHDAMSLPVHRDDAPPPYSSTGEPPAYSRFAEHPTLAMYLFRFGFLFPLFWLAGALILISPLRAPEDWEVSKTEAEREELIENMRRTEMKWAKRCLAAFSMFSLVILVAVLAAVFIMKP*
>EED84831
MTNVACTVGQDMLHVRDSMELDSTNAGVRLAAIEQNPAVASLLHAAAQPAFPSMRARRPSVRIHIIGYRAWSYQMYVRSVHGGLTIEEAAIALTNVDLAGMICMPWGLHLGPTGVAFEQLFLVAVHQVSRGSIQLTLAVEL*
>EED84832
MYIFLSQYTIAKDANAGVQLAAIERDPSVASLLQGATQPAFAGIRASRPSVRVHIVGYRAWSYLMYTHPERGGLTVEEAAIALTSVIRRAHVDLVGMICMPGGLQLGPMGITFEQLFLVEVHQVSRASIRLTLAYETST*
>EED84833
MQSTSTKRDKERASHPHPLRHEGGRRITNPGTRRGEWERGHERANRWNCYKRVRAKYGRATVQVLYGIDGSKSAFLMTMFKVRRRCLALIGFVPWGLSKKKGASYADLQHRVHMARRKCSTTGRKDDNEDNKEDNVQQSRRILNNYPSELKRRSYLSGRSGGESYQGLKREGELDEGTGDLVHFDEEQLLKGNACRAKLDGPRQRDPSGVILRREHNTHIVGSTNVPNESKCGLLDGCDIWGNGVRECETTAFSHADRATHVVRRLARTRRWRAPAR*
>EED84834
MATVQKLPSLPQMVAEKRKCVYDEKHRGCDVKARQWERASAAITLTRSRLTDCKAHGYAQISSPSWIKGTLLTQERRVTHAALVEKLADKDRRRLPYRQTSRRDPLRNDVYLKSERATLIGQSLLAIGLGYIHFKSTDATVQDQYTQLRSGPSAHWSANAGIHLASIEQDPSITSFLQHAAQPAFPGLHMTRPSFRVHIVGYGSWFNQMHIYPERGGLTIEQAAIALTRVIRRAYNVRVVWTSCARRFGSLSSQYHVGMICMPGGVQLGPTGIVFEQLYLVEVHQVSSASIQITLAFETLA*
>EED84835
MPCFFMKVTSRRFAPILDKGDEKLSRSASFIGRTSAWIEAIKAEALSALHGSDTCLGNHMYTIEGVVQSTKLGGWGTLLFIGGLSQMVFSLSHGETQGDARPSEARLSGLLLEKGKADTVCPLEKSRVINRGGKGALFRDAD*
>EED84836
MTLATILAAMHLRPLLGKWRRTQDEYDPEKVTALDNSDGRLANGPRTEDINLDNEGEDEEREEEDLQETDDIEEADAETGQQAAQLRSRPMRRFTTFTSGSTLTTFTWSSNSSARSWLARMRSFLLPQDPKPEEIEQYVPTYRSSPIIAGVLIPFSILLEVPGLTEHWYIMTESNNIVDSRPNPALLNAGMGISIACAVFANICLIMRFAERWVKPTTLLCILFLTVHDGVNIAAVTCFGIVHAKNDGYTYGQAFWMTLCSTIVSTITNINLVMDYARVRDFARSGSGLTRKQRSLVILVIILLMYLALGALINAELLGISYINGLYFSTVCIEVIGFGDIVPRSTGARVFVCGYIACGMVILGTVISITRDTVLEGLEVGYRKRLQNMRARRAGARRFRHWQRRWRDAVVWRLRAQSKPVWVPDTDWQHGDDAVRFVGLGGPGGGGGREPLLGRALEALGLRDPPPSDPSMRPAQHVPGHPRGMHLNMNALTHSELEAAALEAGVPLNQFTEVSEARQIAASGGRPTGQGPLPDGGWPAQVGTPTDAQVGRMAAMLTEVSLAMSGRDVRLPGPSAQDRSHARAAVVEEHANRGDEQRRNEANDAIVQREGEATDSAGYAAESKWIPVDMKDEEQNANVMKLSVAWTVFFVFWFAGAAIFSATEKWSYGVALYFSGFGDYAPVTPAGRSVFVFWALFGVGTLTMLVAVIEDAGSTRYKAAMHSRAFDKAVAKYRKKAALKATKAASRAEHSTQRVTNASDMTAEEGAAEAAEAAEAAEPDSSGLVLTASKLEEVQAQTQRHLEALPHEIIHRARTFHDYMQYFAGGRGRDLPGEGPQTNVPDELRKLLDEIVRSEGIGERVKRDILQDNDAKNTLFLLSVERALRKMIGSAEQALEVLANRNTLLAQFEAQKPTNDDDGAVYEGPTPSGSAAPQQSTNRPILTPEVVTPSSQSQTASSSNSFSLRPSETPSQSVPPSASTPFSLSPSPSRHSWLMDTD*
>EED84837
MHTPKLARYAYKRITYGSTAAGEATEHCLDVNIFSSQMAAEINSSDSFSCTHEALHSCIPEHFSVGHITTPFHGSMFPVAGIRYNIDLTRLNIDLLCPDIFMREILLHDYQARGERTFDSGDSLKLFGFPGALCPGVQVAALLNDSSLASKISCPQDRAFPQMGSNKSSVRIHDLSKWECAPGSLKIGAGGISFEQIYLVASELAELASTPPLWVIYIHTATPLLIARNPELTSETNLIKGFPPSGMIGPIMRDTNFEVNAYKDYYDVINLTGAMHTVIPSDDPIQRVSFFLSLSPLLRVFPELRRVGYYIHDTDVAPNAMAWGAAGHEIVATIAQIHLDPSVLPVLCDILYPPSSSSHKASTSSAYPPCHLAPIAAWADRVRGSPAYRWTAPLHYVGAVDDAPADSCAFPGPNGWAGRHNINVLAAVSNKTGQVAAFLSGEAGLHEGEEALKYLVHFMGDMHMPLHLTGKERGGNGAKVTFDGRVSNLHSVWDNLLIAQALRTVPPNYTWPLPDMRGVEAHLRGAIYDPYIRRIIYEGFGTDAVAGRFTDVEEWLDCPADDSDAGGKWLPDAASAFTAQHPSLSLKRNAGIRKRHRASRPKFAPAKRDVWAWAWVREALARVWRGGHDEERWDTDALCPYAWAREIHRLNCALPVWPAGLSVAAEDSADTHGCAHGENVDGSEEGAELAGHPRPHPDLLELDTPAYAGKIRAEWVVERLLAMAGVRLAAVLNTLVLGGEAGLAPPPGGVELA*
>EED84838
MSPTNLDSNALLEPTAPLPNSLPTQIRIQRQKDRWRGGQNLSERWHRLERSLRGKEAYGAHRDTLVDQTEDAEHADGSAASLNIGPPTFHGLVVPEKPKEPQSDECCMSGCAVCVYDIYEAAREDYMRAVDTLRAALDERGIPEREWPEGICRRKAKNAAAQAEPKQESPREAITNAFEAFERALREKKERGTAGADVDHDDPVGCPPRSVRKWAAPSRELWLHANKLCLARVITQVLSVLRGDATRTRSHPDVLLGYERLLSLDMAGHFSGVIVSISMGYPLLRVLSGKKGLMLCLSIQTLENALKLS*
>EED84839
MIAKFSTTAEPVVSHRELQTTFNGIVHPLSTSEAPVHQYRGLKYASIHARFRQSQLFTSYPPVVDARHYGPICPQHNHSGMEAELFGVSEDVIPQQTFKQNEFECLNLNITCPGDATPDSRLPVMLWIHGGGHRGSGSSWIYDGGALVQKSMTAGKPVIMVTFNYRLGLLGFAASNALRDDNKAAGDEGVGNYGLRDQRRALEWVHRFISDFGGDPWNITLFGASSGAADILCHLHSAANEKQPLFQRTIIQSAIMDLEIPSVSSAGWQLSRIMCALHVHSIDELRAVEPEKLVTLGQHMRATNDGVFFRKHFTGSIVADEPPEHHAHHHAHHHRYENHLDDYSGSSSTDTAVRHSHWTQNRSHLRPSSRSRSRTRHPHVHVHASHGPRQPMMIGDCSDEALLWSLPASMWSATGVERRVRAVCQSLTKANALLRAYDIHPHIPADELHSRLLELINDTRFAWPAERITAAARHERGGHGVWRYVFDQEGPGRGVPHHAVDLVYLFDNVPQPSSSSPGSSHSQLPADYMPESFDLADSDDEGVGFVDDWGLLVVDEYTYARVRDAVQARWIAFAHGEAPWAEDKVYVFGPEGEVGERSMAIFEGRRRTQAWREALEPLGMHLAQKVGAELCNGPSLSSKTRI*
>EED84840
MLYMLPLTLLAALPLVPVWAAPYKCSLNPQSGVSAAASSTGSSSPSGGGTGTTSSGGSPASDLVSMSWFAGWHATADPAFLPANISWSKYTAVSYAFAVTTEDPSTVSLGASDEVLLPQFVQAAKQNNVKAMLTVGGWTGSQYYSTVVSTPENRNTFANAVLGLVSKYDLDGLDFDWEYPNNVGIGCNNKSPDDAANFLSFLQTLRAQPAAKNLTLSAAVGLKPFDGPDGSPTSNVSAFADALDYIEIMNYDVWGSWSTAVGPNAPLNDTCAPAADQQGSAVSAVAAWTAAGFPASQIVLGVPSYGHSFTVEPSAALVSGSGSTLAAYPAFVSSQQPLGDAWDTNATAGVDQCGNPTGGPSGIFDFWGLVEEGFLTDNGTAADGIAYRFDDCSQTPYVYNPDTKVMVSFDDPQSFAAKGSFISDSGLRGFAMWETGGDYEDMLVDAIRSAAGFDK*
>EED84841
MQQALLQQRDYVPSREFTRRMLARFRLRGSTFSGVRLAVLVLNPSVAARLQGAGNSAFPGLTGPKISVRINILGYRPWTHQMTIRTARGKFSVARAAVMLAEELRRAYDELRQTTCIPDVWRLGDGGITFDQIFLVEMFQARTMAMVEEITVSKDDGALTTSSKDTSTPFMDFHPRSYNKRKRIDEDDETELHLDLKHHIKRRRTEGPESIGDMFKICSDIIARLFRRPAAAADNHEEHVESTQPVAAAEDNKDHAESSHPVAAAEDTKDHAESSQSVPVVEVHEDHVGSTMTLTDLPLDILLQIFSFLDPGDLLILARTNKPFRSTLMHRESRSIWTAAIAETPYLPPCPEWLTEPQYAELAFGEQCYLCGTKVFKPRRWTYWEYNVRYCGYCSAKMMIDVKSKKHLGRLSRNVYYGHLLPVNLELKGDGHYIYHKPDLEKMQIELKEAWAVHVDKCNELIVKYMAESAIIMPYAKKCRMFACKRMWF*
>EED84842
MWLQGALCECMIYGVELALFLICFKLVLQRFNRHDYKCPAFILILITVIFILGTLAIYSDMAMTQLSFINNRNYPGGPSAYEVDMYSIPTNEVATVSWVIGNWLMDALLVWRCKIIFTGFSIVPLWTVMLLPCALLLASIGIGLGIVYLQMTWRSSPFADIHATVPYFATTLSLNIAVTLLIAIRLLYCYRSFTHILGRQHGFRYAKIVAILAESAALNATFSLIFLITLALHHPFSAFVDQCMTPIQSVASLLMIFQAQSKTAQDDEHLTWLLPPASAEMLRYDDTPTLHSEK*
>EED84843
MSTGVGQCTGINEQPNTLRHGVPYTDCLDATRRQWSATQPYISNLPVRGPLCTVERKWIALIKSVLMVNPYAPDESHHDLVAETEWLQGAMLSGILYGVEVTLFIICFKLLVQQMRRENYKRQCILLAFITTVFTLGTLLMYSIADMTQLSFINDRNFPGGPAAYEVQMYWIPVDEVGVVAMVVGNWFMDALLTSRSSPFNSVDFTVPYFATTLALNIVVTILIVSRLLYHRWRLGRALGPAYVSHYTYLAAILAESAAFYSVFSILFLVPLVLNNPLSSVFLQALSQSQTVASLVIIYYVASGKTWTETISTQATSVTRQRSNTIQFRDLQTSSSRSEHECDLPKVDITVVREVVTDGERASVLND*
>EED84844
MFGAAFAASALSDPAWKLGFDNGNNVGGLFEAVLSPAGGFGKFITVLVALSTPSICAPTMYTFASSLMAVSYSFAKAPRYIFAVISTAIFIPVAVVGATRFYATFTDILSIIGYWSVVHGVMVLIEHFLFRRDNFNAYVLEDFDKPHRLPPGIAALLAFLCAFGIIIPCMNQAWYVGPIARAGSGDIGIIAGSCSGRKVVLPGE*
>EED84845
MADSIESVLGATAGWGFIGVLFGSLVLDLARTAISTAAQYVLGGFTSSLVQLYYIRIIYLLETSGIGTLRPFSWKASDPVAQAIGASNVLACIQLGLFCVTDIQITAALCMALWHEKTGFVRTDYLLSRLFIYSLNRGLITMALELVELGTYAATLNSKWYNLVWTGFHYANSKGEESFLGDDCPPHIGLMPYCLNCSLQAAPEVTVCACLMVVVFGNKYHHWPCEFPTPISILHVHQEGFRLVHPRYLDREGAVLPRLSRLGVK*
>EED84846
MCLTLWHKRSEFVRMGYILSGIVIYFLSRGLITVRFLYIRALELTEFGIFAANLHLEWYNLVWAAFHFADSQVYVNALLALLNEREHRKGKMLAKPAMELKTIELRELSAGDIDIRVERCNDDDDAAHTQIVVKKKTSDLTMTPWLTQDVVGLLEYSLRVTSRHSNPAPGPNTVRHLYFQHIPRCFFQIATPDAVPSKLQPIIARTRRVPSINECAAIDTGQIPPTGAHQNAAPSPSVFCTACLREARARLCLWMVAPRHVACTSWVPGSPRLQTLESPWFKGAESSGLGIRSSISLTSQQVLRAHRARPQAPRGVSPILISQIADTISPAAAGPPCLFDRAVYRTGRAPAVLSFPSANRATKQCLRRSLALVMLTSGLGTLAAPTPSPLPLSLPILATAIAYPRTSDIGPSYVLNPAEESPRPPSPKGRPLAPQCRMPHAVARVHVSESESESARAARVRGRESTDRWPEIRAGPARRGQKPEGRRLARAAGGCTGEGDGRKRKRRHSDDADDDTRAQATHTQGRAGQGPLRAPILIARDPDPELSARPHASPHSVIASIAGQPARKWGRTWGKAGGKPQPGRSDADERLAVHNRLQRNAGSSPSTALLGLGLEPY*
>EED84847
MSNNAVNPVPLGLPALAGSPLLQYDRADRCFITSTKRAPIYPRGGTWCHATGPNPQVIAHQELVSYYQRHPPTHPEDVFTTLRIDVEPTQTAESVQSPINEQPLELPEVQYVPIKIPDIELPPAPPAPTNTLVTVPMATFTQEDIDQRIAVTLTAYQSQQSTANRPLRLDIPTPEPFSRKAEDLRHFIQSLMRKDLGKTWADTYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTHFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELPAPRIAARKRPHDKGVGG*
>EED84848
MSSPASLPDKDTLKLLLPLRYDGKTVIECDRFLSQLRIYWLVNTSLTTIKLKVQVALSLLDGDARTWATPYFAQLVSVQLGVQGVTTPFANEAAFATAFKAHFGNLDDEAAAQVELAKLCVDKSVREKRTAVEFSALFKGPVDRSGYGDLELRDKYLSGIPSHVYRKIELKTFATWEDADKRTTEVEQILDISRARRPELNNFFSA*
>EED84849
MSSTLSFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKTHNPEATNATDHATLEVYLSTHHEYDEAVKAADEAIDHHKRLLRQQDDRLPIAFNRSYRAASGRDTTNLSRAPSPTRTYLYPHPYRRLPSGGPQSHPLFSKQRHGALLSRLTGNPTLGGPQREAVDDADRLDTGYGTVWTYDAQDAEKKPLDTWSESAEPATMVYTPILMPDS*
>EED84850
MSVVAHRVVWRAACCFLLLAHVAFAKLTNRTIDDENGDSVTGLQPVYAPASGAWNYGPTCSGCYVQPDVDDCFDHSWHDVTASPTDPEPRNITLTFNGTAIWVFGVVPNNVLYATTFVNISFELDGHTVGTFSHLPSGVVGGVAALLIALLAILCWRRRHGRGSADRIRSMRPFEAHDDAIEPKPEAHSSDLSISRSMLPTQYTLRSAAAPSGLPLSTAAALSDATSSFAATNTSSIPATASSAELSSTALTAGTTSARSPRGPRGQSKAAMRREELSRQMRDIEAHVADLRRRQSQQSAPSSASLYSQSRTGIGRPPPVPVVERYDDSDLRRQIETLQTEVERLRLEAITHEEPPPAYEEPEEEEGPLAEHEEGTSRAD*
>EED84851
MCSWMAASYLRPRDARWKHESRSCKIEITDGLLGALHVSCRIRHIAPGPAAVIRIPLLTSLSTSTGFLPSPSPAVEQLEQGTFRMPLPKAGFTSEASPHFISSTQLASPVRAVHELEEGLILKGTGARLASSGEISPNGVIGHEDGHDQSIEMFGGYLSGLFVHLSIWIGDDPASTLVKARWYSNECIWYGDVFLAAFWLPSLLQGSAVPNKLKQAHPVSLLAHRYKCLRQPLASPPCGVIPNACALVAATGLARHATLSFKMQSDWQDSRDPQPNSLRLKGELRSIRRMCILLIISISVVMPPPRQASPQPNLSSNSLGAAHLTVHAQSGLLDRVLSAEPNVRG*
>EED84852
MARNLFSVPIFFIVFRETLEAAIIVSVLLGLVEQIVHEDPNAIAAARRPRPQNENEEDPEDENEANEEDNALAAARLVRKMRIQIFLGSALGLFVALAIGAAFIAVWFTQAADLWAKSEELWEGIFEVIASLIIFVMGVTMLKMDRAKAKWRVKLQRAFSGKHVDRETRAGKWVLFILPLITVLREGMEAVIFVGGVSLGQPAVAIPIAAIVGLVCGLICGYLIYAFASRTTLTVFMVVMTNFILLIGAGLFSKAVWAFDEQHFNNIVGADVDDTGGDGPGSFNVQGSVWHLNCCNPENNYDSDGWTIFGAIFGWTNSATVGSVVSYVAYWVAVIVVLVYLKFKEGRTTLLGKESAAGVRRRERRERIDREDRDDREREEAAAAGEVAVAAVEEKARPPTPEVA*
>EED84853
MSPILRSIIVATAIALYLPVVSANYAGSCQDEYLDGQNLVATCTADDGSQVSSTLNLDDCVANYGGTLNCIPSGEFSYSCDVASCGLTGGEYMKCYCGNGKGGQTASIVDLGP*
>EED84854
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESQHITVQNQQALVDSYKRQVDALPASTGSGHSHQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMQEGKDLGTWKAFVAELAQIYRQCDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEATSTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED84855
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIRRPFNPERAEKAKHDIEKLALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYKYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYKV*
>EED84856
MAPLPASRVVSVDPSLLVSGSHFGLGSRWLILVLIFVGALVIFGWSLAVIQVMRYCREVSTAGEAEVKDPEAASVDEHKASASIGAPGLDVYAPLNSILGEETSDCWPAAAGLDLPVRLDPHPQDDVVSAPDAVQYEDLYLATQLSFSSIADTFQSAYEPPRARSIADSWSTVSRTFNVSPSSSSIYSAESCPSLDSMLFDALNSGDDEACIVAAKARLTCDVWDDLEADMSMSSECSSRSSSPTSTPALSDADTESVFSTDSSSVCLMDSPSAWMPDAPSVYSAESVKTEVPYLDLLLSLALPSDLRVPPVGVKTMEPEPVFSSSVSVLHYGSLDDFGAVGLAF*
>EED84857
MLENVDDFIRAVHEGDHGIRPAGEGENAEAKVLYVSLGKRKASVTPSSSIKRIKVAKTTSSASLHSGARPPTYVERALAANTNVLNAAIDDSSNAAVGNSSSAAVDDPGDADLTPQSSGRTLVAEAGPVVVAYCHALRGYVGQLPFGVQWEIARFVNAGFAWDKFTVDGLLEFAKLGSNAKAAPEVARIVADNLSEQSESTKDMRADAFASAYAKERSVKLPWDELDKEDTILRHSPHGGLGCNEKEPYLMDDPWYGGRVHFTAKVVLSSMMPNDKSELFSLRLDRPVLGSSNLFARRFGSRKFIRVRMSKDIYMGPDKKAREELLMQFFQRPFVINGFVYKAFYAKEQNVFLFQTNEFWDGNRICDPKPISLSPLHRELSFIEFINFHNDLTRNKDQTMVKWAARFALGLSNSVPGLRLHPSNIAFEEDIVCSAWKGEGKAPNEQIMTDGCGLIRLDILQQIADRLAWPMVPMAIQVRICGSKVKIKHAVDGKVPLDIDPAMLTIDVLRPSRMTSPARLSTETIINLAENGVHTSVFVKLMQESMQKKVEGLTAWQEDYGLYRLWMNVARAGGIVSARLAREAAGAARAKGYIFEDFTDEAGDEDGFDQMDKALEDHSTAWWDDPISGCPSTLEETVLVLLDSGFKPDTCPVLAAKLKEVVKRAINSYVRRYRVDVPQSCTAYIVPDPLGVLEPGQVHIKSSRRNLVDQDGRPTDIVLGEVLVTRHPCKVPTDVQKVTAVFNQKLSSYVDVIVVSIKDHVSNKKLMNRHLASCTGGGDYDGDTMEAFWNSDLVEGFKNAETKFLNEPKNVQKCLVKNTETVAQFLSRNPLSTDKDIMQPEIQKYLLGSLRDSSMVGTYSTWWENAIYRYGYQHNRTVFLAYMFCAILDGSKTGVMVAESAYESHRKQYAHRPPVWKETDEERERLLRAFTNDANLQRERRLGPFVMDEIYKQVNKESNKQLRHVEEVLTARVAQLDEQLAAPWREAVTRVALLAKRGNPDAEAELQAICSHVEQEGRGATGSNGNPNAKTKSGFTGLPIEKRQDKLRELSKEFNAAQAKPLLYFSEADLRRVRASYAFVFDHETSRDHWSRFPWDVAMRTLCEIKAGALGLSKTTDNTTQRIVRRHWVINPPFYLEHKKVVQNTSSPFTRRGVLLHICLGLLDTVVAFHCWHDCWEFCFLLGSFSLRGRRNFCNCVRYHCCGVIVQSRLIVRLRTSLELHLLWFKSFGSLSDVGLLRGFISSTISSNGAEGPSSCSAAGATSSVSSEGSETSTGAAWVHSSAATTPSSERASGSDAVCASDSWGTRGGVEANKPWLLNGRIRLALRIICSRPWGLARILLVDGRGEQTRVAVCRIAALVTLVVIEADAWGNNEKLGRDEVEARREREETRVQRGLGLCLRKGGAVMEVCVRKDASQTARQRGEVPV*
>EED84858
MAYSSDKMLTSPSTLDPLDVLLSPPADESTEERAARLSAEAEAKKISDMIDEELQRQERAEKRGQKPIKILLLGKSTLLKTHELAKGMSQNTIRMGEAKPVLQAFRAERASWRAVIHLNVVRSIRVILEAMAEAQTAQSTPTPHALSPSSSLSSVPPALGRRSPEEPSAPPRVLPSLTAEHLKLKMRLSPLVQVEQALVRKLTPPGSTEFEATHLTNVSNYQYTPRTKEVAVNSQFAWKHMFSRFLPDSRDSMDSEEGINWDDPQDPGRIIYACGEDMIRLWNDETIKALLKSLNIRLADQPGFFLDCLDRVTSPRYVPTDDDVLRARLKTLGVTEYRFTIKEGALGGVSRDWKIFDVARYCGCVHLPWSMLAQFQISAAAWAPYFDDMNAIIFLAPISCFDQMLEEDPTVNRLEDSVLLWKSIVSNPLLAKTSIVLFLNKIDIFKAKLEAGVQLGKYIVSYGNRPNDFESTSSYLKRKFAQIHKDKSPELRSFYCHFTTVTNTKSTQHILFDVQDTVVVKNLKNSSLIS*
>EED84859
MLLGISVRDATTVTVIYGTSESAGIKSLVAAGALSGVAVICLLVVMLVRPPCYRNTHVIPIFLSLLFANILQAVASMMDARWIIDGAVKGGNFCSAQGGLKNGGNVAAALWSSVLSVHVFMLLFLRKGMTDTVCTAIVIVGWVLVGVVVAVGPLAIQTAARGDYFGVSGYWCWITHDYPEEQTFMEYFFEFLSASCSIILYTAIVLRVRGNLTVANGKWRLRSARFISFGGREVPFWATVSSDFIFNLQGLVNVLLVLCTRHLIPNTSSLPMFTPRKVIEESSPEAYGITPYVLTKPPERDVEKALPEPPSDDNGISLSDNLDGDLARLSLSTTETRLSMATVDTVDSTVPLVQKLKWISFSFCRK*
>EED84860
MMDRRPHPDELKQTHTAAFSTGPLEGHRTTTNTYGWSLDTVNWLIIAEQVVYERRHGIAALPPTDGGSAECGTKKTWQRPFIAPPYRYTAVATRLRSGMVSYTIYNYYDRSVLDPEDEIGDDGRGLGEPPPSQNHAIPYHRDLLRLPDSAAFATCAVLLLCDISARKRAFMAVVAAGLAVQARKLGTLGRCSDAAAIGKEMATIPVQRAHAAPSPESWSPGWIAGCFVEPGFKSTKERGRPVPLSILNLPRSHRIDHHQRSHLPAMNIPMEIAMVQLCWKDNSPDFVDAGVRSQVEEQPEDAIAHDDIDNEDAHVTCKGPKLSLEGQARDQVIVEGYPLVEETAERIERDGPTLMQDSGSLTADGDNGEAGDANEVRTHVSADVRRAGEIAYVSCNYQPSDSTDNSWAMTTGFAVAGHSTCIVCVAKPSCEREVREVDAERHTFADLGHAVATSTAVRASIIEPTSNEAIEMEATLSEIVIGIGRDEGAVIETDTSDEHNGRGTGWTGKVLQYRRRVLRAAEKAIEGDLNMMSIFIGLVASAVQQFSNPPSRSDIIAFIIFTLGIIFYVPPRRKGPMVAVIICFVAQAWKLGVAVGVALLVLCTAKFAVVFCMQQDTSSADNPRESSSDITN*
>EED84861
MTATTEVACSSSKVADLTTSGSGCDSAQLLPSNEATAASAEIDKSLDPLLGLGMADDHNTKSNDKTEAAQQDSGSIEPPASVVKFNRESIGGNEPLQAKQISSSGKARTHGDAMRDSVVHEELTVSAPVITNISENMNLRMPSSRDELEHEPVLNRFAGATDTGDAKDEAQTCREEAFQCRRHVPAAEVMTDQSGRSGAEAEEIERHRHNHESAAEVVEPDLPSEQDITEAFVNEDIESTGIPAQPSTEDVTSSAETLEKEDILRLINRTVLADYFFSFTALVVSVFLAQADPVLSIAFVAGVAGWLWTLPREDLIMELGLEAALTVTGLTQGVWVAACVAAMAMLRVIHRFAKEAVQYVHSWRSSDGYISGGNGDCVQAFTWPCGRTSPHEQLG*
>EED84862
MSTTEVVHGGSGISEHIELVVVEGRRPKSPVQVARVAPADPRRKAGDIAKHASTESAARNASEPVETTTPQKLYRETVVGSVATDHEGSTNRDRSDGRTETPLEEQDIADALTPETYPLTGPSLSVVVDDGSVTRTAAEAAHEDPFESIVAAMVMVAFMGGIMATGPRTVARHGIWFPFLTLGFFWSKPRQDLVAEFLWDVIFVLLASRFGFLIVVPVIMSVIWQHIRHGGLRRIGEHSLSRFRRESTDEVKMVMFGEWWQQNLECPNGGVKFSLSFDKQGR*
>EED84863
MALHYPTSKEEEPEQQLLSTSWNDIDSDLPTGHTRCIPHIPTNMKRNNRFFYSDRRHSGTVQPTEDVHYRLSIATSERRDNRFAWLSDPMDTLAGTSLHPDDTLESHYYSLPSSNPAYDPHGAEFHTGFSSHTTMPVYHEPRGQHASFSPFTKAPLKRSSPLSSTSPTDLPRPCLWKGCHIQLDDVSVGGIKRHLRACHHQDAVDQHKSQRGFCQWGGSKPCHREMDVASFGKHIASVHLRSTAHECPYCGAVIGRLDSLKRHMAHNCPKRDELCC*
>EED84864
MDDLDEIMKLTGTHRWAAGPSKPTFPRVKGYFAFPPTVTPDGHIAFTFTIKGAIEGDSREGRERQERASREAAEARKEAAWQERRRRDVQLHEERLARERAEALTGEMAWVRAGGSLRDAHGRRDKVRTEQLRAEIRLLDEEARLTAQWNAYEARWCALLAVNTPVTFKDVPWPLPSAVASVDDLVPGAIEEFLFGPLRVRRNTVTKRERFRASFLRWHPDKMSALLQRVVPEDESAVQEGVKAVFRNPYIHSINIRTTPFERLSTLSDALYSVPSK*
>EED84865
MPGATAQSDAFDNNLTFKFAAGATCFLVYDTVLHVADEVCVPFGLEFIIALTHTGLRWQVEYIWRSILAMIAASDAGHAWKPTQFVVEAILIVRIYALFNHNVPILTLIAVLYVGEITAMVTVLALSIPKMTFTSHCLHVLLLGSGLMPAALRIISLSFETLLFALTIIKFCTSISHSALGRGSILFVLVRDGTWAYAIIFVIMLTNTLLYHLVDNPLAGLCFLALTFSSICGVSLRSIWTAGSADTMQFTPRSTFMSDRMSDNDIELHCSYGVATIEFAASLHESPPKPTRTNCHLHVKRDHSGSVNVLAKHPALMTATPMYVFQKAI*
>EED84866
MTQSGDNTEVYSYLSRPRAPLKSDMKAFAVVMHRMWWTGPLSIARNRKEELNMPGLYIPICSTLVAGTGHEAEDKPQRPLPWCQRRRYLKCCQEISFVIARRKTGYSLDVRHSLQESRVDAMATREQISVNNASPTATRTRRRVTLGDLSAPRFGTDDTPAKAEEAPRKTVLRERLRARCLERALRDRERKIAGRRHARSEASSDGDDDIMEDDDDDDEEDDEAVINDELFRRLIANGRRKQQHQYRLSYAYDVGSSFDPDMEDINEWEQGPLDPPPISTTPEDLDEEELAAYAEEAALLEDLWPEDLYSLSDLDAWDLPEAPLPSLPRDKGKGRELPPTTDGDVDMTTS*
>EED84867
MPGATAQSDAFDNNLTFKFAAGATCFLVYDTVLHVADEILRVIVVVEAILIVRIYALFNHNVPILTLIAVLYVGEITAMVTVLALSIPKMTFTSHCLHVLLLGSGLMPAALRIISLSFETLLFALTIIKFCTSISHSALGRGSILFVLVRDGTWAYAIIFVIMLTNTLLYHLVDNPLAGLCFLALTFSSICGVSLRSIWTAGSAHTMQFTPRSTFMSDRMSDNDIELHCSYGVATIEFAALPHESPPKPTRTNCHLHAKRDHSGSVDVLAKHPALITATPMYVFQKAI*
>EED84868
MSYFHLPEPPTQATLADARRQLVHQRKSVQALSTQIQAAEDELARIVKERRSAIRELETERAALEEDVSATLAYVAPIKRLPHELLRHIFLLNFEEYPCCAWIRLVTTQAASPDTIRLWLERSGTTVSLDIEIFLRSQPITAAGVEPTFRRRLTSLTSHSLTDWGLGGTWAQPPPLVHASLGAAGTYVQVPQTPGIHIFPLQATAGAGLLVTNAPNVLSATATHAQDDLWGMPPLVSTERASVHQRSKKNMHWGHIAFFYLVEQMHRWERFVFRFDKQFASIGALKSIEGDAPLLREFEVSSADPAFYGDWKWLPSMPANALCNISKLRTLTLQHVPFKWSSPMLRNLRTLSIRTLPTLHLPLDRIMFMIAASPQMETLTLHFGSPTPPVLPLTLTTLSELKSLTLSGHYLLSTLVEALCLPALDSLVLDIEAREPIEDVVSTLLTRSGNPPLMRLSLAYASTSAFYYGGGTGVTSWHFLQELDHLRTLQIGSAPFEPLLSVLAGQDEDGQGQPDHWVCPNLTALALRGCHSHGDGVQKLVQMVEARNPDAGSLSTFAHVGPARLKHLELYDCAALGPDVLKWLKERIDDVVCTEPTFEG*
>EED84869
MTQSGDNTEVYSYLSRPRAPLKSDMKAFAVVMTDSPAIKSHTIAKDLCVHICVPSCKLKELLLKSILVHSQFIDIKHCVARNCRKARPLDDTRPANFTWNIHRVWWTGPLSIARNQKEELNMPSLGMKQKISRKGHCPGANAVAISNAARKCACTRTFVHDSAVSKLSTPSRPSRHAGYAHQPQNSSPLASSIPSSPTSSPGAEASARRRSQYKSHALSSPTATRTRRRVTLGDLSAPRFGPDDTPAKAEEAPRKTVLRERLRARCLERALRDRERKIAGRRHARSEASSDGDDDIMEDDDDDEEEDDEAVINDERGTGTDWRRRRTQLFRRLIANGRRKQQHQYRLSYAYDVGSSFDPDMEDINEWEQGPLDPPPISTTPEDLDEEELAAYAEEAALLEDLRPEDLYSLSDLDAWDVPEAPLPPLPHDKGKGRELPPTTDGDVDMTTS*
>EED84870
MAASVD*
>EED84871
MSPYSRQSGKQARPIRVTECRYT*
>EED84872
MSSNLRTYAQVSAAQGYLRHMASAPNMPKYLPSTRVGSVSRMSRIPHDGAHPMPTRWLESVSKAVAGTGHPRAHIGGPQSARHSSRPSSRASRPKRETKFALGQTRSVSGAPPGLMTYLSPAGKAPGAVSTANVVCRSAPASRSSSRVGERKSGPLKGWALAEVTNRAGKKPRRQSKCDGVPVLASTCVENDEWSTHWVDGRRVPLSLSGAQDGLRMDNDLENDDDDDDDDDAELDFARLLVPPKRQYSIQSLRRHIHRSQTNLREQRDLWGDDDGYGTQSRSRRESIDDEDGHGWEAMGAPGFGNAKRRRGLPGAWATLCPIQTQDEDSEEDEPKD*
>EED84873
MSMVLNQERWLRIYTLQILKLLFQQRETRDLLVSELLMPLLRLLETDLAPQALDVLDEPVEISGGPAAKHILRMSLFHHLDADAKEVESVAEVFGIPQESGWCVPRSGAVRDICRSNIFALTDSYDTSSSTSHLSFHPEPEEADADDADPYDDLGDMVQNLHELSSFFQEENSVAALPHPQLEARVAAILAKSRDVAQELPQTSFVGVFDVGKANAYEDSDESDYDSGSDLFEFDSPAILRFTSTRSIFYNSDAGSDQGFHTPSSPPPVPRMPAMFSPFGHPDDPRTLADLEDKITMEPRTPSDYALHAIFIRFATTAEGHIEHFLRLTLDREPLLTDYMGPGVDPKLDELLLSLGKIAQKHAKPVVESVMRWRKSHADVGVSPELLRGHLDYAATSGRNIRGQDVSSMLNERRSLASIYVMCRALIAATQNLTKDGLSDAVGHSLEELTFDQFRRPDIKMLTQSANHRINAELYATLLGQLANVR*
>EED84874
MGSEGIQITIPDFDEEDYHSTPIFGRSQGGLWGASSSGQDSPTILTPLALPERAEKSYFHARGDSITSDDSAHSLQYSARKVKSPFAHSAQSSFATTTTGGSSFTKKPSFASLRNAFRKNTEPAPPLPPIEHQVYPALKNPFSRSTSSLAQHPPMPHRQQPSMHASPPHFRPSTPASSESRTRGTPSRAREHAYARSQHSHSGSIFHNSDAGSDQGFHTPSSPPPVPRMPAMFNPFGHPDDSRTLADLEDKITMEPRTPSDYALHAIFIRFATTAEGHIEHFLRLTLDREPLLTDYMGPGVDPKLDELLLSLGKIAQKHAKAVVESVMRWRKSHADVGVSPELLRGHLDYAATSGRNIRGQDVSSMLNERRSLASIYVMCRALIAATQNLTKDGLSDAVGHSLEELTFDQFRRPDIKMLTQSANHRINAELYATLLGQLANVRFESVTDRFLVELGPVAAGQVPKDADFKYENLSGLRKPSRRARSLWRRSRNPSRMPTEIG*
>EED84875
MPATKPPDSSCMVKIEKRYRFAGEDVIEVKEVPENSEEAKKWPRWQPQLPEAVQSSSYTPVESAGPSELPAPSSAQATTSAQGLKPAAKRPGPRKPKTRLAPLPGTQKAKKLTTLDKSSMDWRSHVQTGASDLKDELEANRRGGGYLEKVEFLQRVEDRKVDALDAMVERATRNGEVSRRSTTYTIPQSFVDDIENAEGWNLIVTMLCEHFKLPDITRRSGLKKVHAHFNEIYKKLNDVYTKNLGNDKIMGGIVGIWAKMSADSILRDRLFKEGLVSKMVPLLDMPSTRHIGLQALSTVTHHGGIASRQEIAKLTPKLVQLINEFSDDAVVMELATVTMAHAIGAVAGQEQEPDHRLLASIDIRSVLKLTVDNLRKPSASHLMINHAVGLLTSVTLHCYKECKAMPPLIQFLTACLRSSDLTTRCNVLGGLTRLNGLEAEPNKQFFDPRKIMDAVSRRFPDNLTDIIMDYGMDRCDTTLTLQSSADFQRAMMKCVQDHDLYALGKMIAKLVVRTEFSITGGAYQTMNEQTGQWEIVNTGLPFTMWGDALPHCARALRQKGTKEDLDDADICELKHHVLQQNIPAAIRTGQEVLHRNPQLAYAHYVIALGADNTQGLKSAKKGLKCKQITPFVRHYLLWRAVEHAGNLGLVVLQQATEGEKDYGEGIAFLVSACEDAKTFISQAPPDNRHMETVLNWYIICSIAIRGPELSNDMRGLGVSILELQDTDQDALEKVALADQFANFLGHPPKKTQMRLTRQLIVQYYQTAAKNWGSVVERFDKMDLSSESFSIPSPSKAEDDLAAWLDDLHFDDDEHEHAQRCAHPKISINSVSLYRCSHCGNPSAVLRKCGGCGTATKAVKNHIGANINMSASRRHREDSVCIFDAIIALFTAS*
>EED84876
MPEGTMKALYYSSPRHFEIKEVPIPKCGDDDVLLKVSVCGVCGTDGHIHDGEFIAKFPLIPGHEAIGKVVEKGKSVKGFELGDRVVADVGISCDNCFYCRRGEAVLCENFNARGVTMDGGFAEYIVYHQKKCYKIHNLTDEESTLLEPAACAIHGLDKLNPPVGIDVLLLGAGPTGLILAQLLKLNGAHKVTIAANKGIKMDIAKQLNAGDVYIELDRQNPGPQWEQLRKDNPYGFDVVVEATGVEKIAQDSINYVRRGGTIMIYGVYENKALVHWPPSKIFGDEIRVIGSFSQAYCFPRAVAYLDGGKVNVKGMVTDVFPLEDYQGALDKMNSRGALKIVIKP*
>EED84877
MSVMVPPCRDRGGAPSDHVVRCPRADHDQYTCRTQPAHLQPWHHPYAAEGGPGTALDIPILRALFAEAACRYQRGPPPTLGRLREPRDVQDAHSHSLPFRRRRLARDRGCVQRSTLVAPPAVRGHSDIRCPGPRSLRMRAAHDDGGPAAGRADAVLGIEEDAVAGHEKPWRASRRGYVSPGSGAPAGMHVLFGGRRCRGDTRSPRERPGRDARSSGVTVTGCYASGSIMYAVGPGAMTQGRECTLADVGEYWSTATTGNWNEIDAGVASIDAPADIVVWREGVDMSGGRLGNDQVCKEASSGAFKMVEPLPARPQLMRAGHTLSCAASSGPRAECLPDLPYETPQSASFMHTVRALVRVERLERRRRQRCAVLQATHLHMDDWATLPDAPPCPRAVDVNVALLFERQRAGACRMSRQGSRSSVAEGMRAGDGVGSVRDERALGTAARGLCHRWRNAWGLTFRSGVDRRAVVLQAQTAAKNDAARGPERLAGREGGRRQWQRAAWCSRSASVVSVAIVVRRSDWDVAVPRVCPGAQHSTLDSVMLRRPGREGLCAERAKRGAQTVLCMRDVRARAAERKARGRAMGTQEQETQRTPGAGCAATRRARLWVDSDRGVIDERLHDIQESYYGTIIEECASGGLSATAMDDRNDWHSIRPWRPSLALSVREITSVSVTFILSSPYSAPDSLRSSPASSDDGYDPGAHTQPDGDSDDSDSAPDAGNAPAQPQIVADVLNKGLSVKVNGIPWQRVLIRMDDEVDEAVIILFGLMPGRQYDVELGDRIVYADGRIVRHPPMAISGKGGATAEWYFFTLRGEKNSQRNYRPRDDSKSGPGLTLPSCASDRHSLPLRQLLRQALRLPTTLSLRWTCESAGGRNYLHFSSLRMAAWRVQAVCAACPPPTVFPGLPRWKKFGTRGDRFRNAGKLKVHPGGRLRGAALLVAACAGAGSAWIGFLRRGFFCKAWCRARLPAATTIIYDYCQRGLSFSADLQMRDLATSLGTQFPLLAGGNGDATGLERMQCGLMVHPTMWIEQRERPAHGARLSLPNHRELGAGPGVIHLQRPGAPGQGRLPAARTAGSSSGSGSGSGSGSGSGGSSSGSSPAPVPAASASTLSSTAPPFEPVGVVAGVGSMTGSVSGKLELNPGSTPFALAKSAAALGPVGSARERSPSHAGRPR*
>EED84878
MDDRNDWHSIRPWRPSLALSVREITSVSVTFILSSPYSAADSLRSSPASSDDGYDPGAHPQPDGDSDDSDSASDAGNAPAQPQVVADVLNKGLSVKVNGIPWQRVLIRMDDEVDEAVIILFGLMPGRQYDVELGVMPRERSMRGLITTACPACAQDRIVYANERTARHPPMAISGKGGATAEWYFFTLRGEKNSQRNYRPRDDSKSGPGLTLPSCASDRHSLPLRQLLRQALRLPTTLSLRWTCESAGGRNYLHFSSLRMAAWRVQAVCAACPRGLSFSADLRMRDLATSLGTQFPLLAGGNGDATGLVFMYHGV*
>EED84879
MSFVNSYRVVATVCASVGIFSTLLRLLFRWQRKHVGFDDAWAAFSLAVDFVLVGGAWLNTNPTASNHQKVIGYYMFNTAFTCVLWSARMSILASILRIVPSIMTLRRYTHACAFLMLCMWIAMLVQKTYVCERDTAWKTAPRVQCFLGKGVAAVEFCTDITSDAMLIILALRLLWGVTISCPTRRLLKAIFSASFITTIASIVHASYLLGTDRNAECITAHVEANVSLLICNLSVLAPWAARAILHESDADADSLTSTPSRRRRGVREIQGSTLRFSRRVPVVLTAVAGQDIVSRSEESDRKAIELSVVNYVHRMAVDPEAVASILKSIV*
>EED84880
MLSTPTNTPMSSRAAGKARAPDVGIRARISAAQKAHAGARFARPQRGSSTDDAAATHSTHSRRLSATLLVPHCVDLRPNEIREALNAKQMASLTKPVRKPHVRGRPNEQELHHGNVYVNWVRKGVLARMPHMSQKEVPAEQTAEYEATFDVLWAHVYEVDTLLPLYACWMTEDNIRQLIAITTVVKQQKTSMSTNSPRYFVDLAAMMAMIRAIQGVQQSIAEAHRAQQRSAGADPSVPQMSHAA*
>EED84881
MFKGAYEQTLCGPFKRGSRMSPRARLNIEHSCSYPVSTSSVNTVAAHRCTMTQFIVQQVQYLARQGPPMHRFLSSYTIPGSTNAGIHLASIEQEPSLASLLQDATQPAFPSLHATRPSFRIHIVGYRPWFYQMHIYPERGGLTIEQAAIALTRVIRRAYNDYVGMIGMPGGVQFGPTGITFEQLYLVEVHQVSSASIQLTLAYEV*
>EED84882
MSRLALISLASLALLAPIHAAPADFQKQNALDAQKLNAQFASLASSSSCSEGQQACVSSAFAQCVSGAWQTTACAGNTTCVALPLVNKAGTSIACDVLEDALERLEAAGVSGGLTGDGSNSTSSSGSSSGNATASGVVSSVLGTDTALPTATSVDNSTADPTGIAASLTDSVASLPTGVSSGSGDNSTSGDAPSTADGVSATATIALPTGSASDVLPSATDAGSSNANATAVASAAASDASSAVSATDASAALPSATSVSGSSDSPNPSNSSGSMSSGSMSSDPLSGGADNSSSTSTGPSLTKRHHGKDHKDGNGNGNSTDSGAGAQASSAAGLNSTAAASSVASSAANGTDASGAASGADCTATVTVTVGAGGASSAIATGTAAAGSAVATDSAAASSALASATDAASSAAASATDVASAAAHRRLTRPRLTQRRLLMLPLQPVRRTVPLP*
>EED84883
MDQVLLQQVEYTAPGSRPFDTSTLALFGLPGAPFEGVSVHSLLLDGSLAVWLRDAQQRALPGMDSVKVSVRILIPGYTEWTHQMRVRTGHRTTTPFTIEQAAKALATEIHRAYNHLSRQECAYSGWKLGADGITFEQIFLAGVRRVSHASIQPILVIQV*
>EED84884
MAIVSRVAIVTGAAQGIGLSIALRLADDGLDVAVNDTASKSEQLEDAVSRIRGKGRRAIAVLADVTQETQVKDMVSQVVEQLGSLDVASLLLRDTLLGMVVLNLVGQGYLRIVRLNLPYEVLLKAQEVSAEELAKHKITVNTYAPGIIRSSMAKTLALGLESHQECGMPADLPLAEPEVVAAVVSFLIKPESYFITDDFIVSPAKETSLLVPQQSLLETERGHIRYRSVRRDISAEAIERKSSEMLRAHASHPRRSINLVRRGRHCDIFFASRILRSMSEPSYTPCVALVTGAAQGLGLSIALRLADDGFDVAVNDLPSNEEALASVVAQIKAKGRRAMLLLADVTKEEEVRDMVSGAVDLLGGLDVVSTEIGSASTARHPHLMRRAQMVANAGILFVRPLTENSVEEWDRIMSVNVRGVMLSYKYAALQMIMQGRGGRIIGAASTAGKAGFHGLSAYSSSKFAVRGLTQSVALELLPHKITVNAYAPTILRTPMASTLVAGWEAYNIKGGAPAAEPNVVSSLVSYLAKPEAYFITGQTISPNGGALVD*
>EED84885
MASEMTYGMVPWGLREGVCHLRMFLDGQEDGVINDNRDCSTGCDLHKPLRIEGWASNQQLIRLNHEDTSNLTAFRTDVQKLPTEAISAHRQDFQFQPSASKSRRSSVVPCDDYKAPRAQTFDSWGPDSLRLFALPDVGYWGLQVAALLANPYLASQICISQDRAFPQMDSAKASVRIHIHGYQPWDHQMNMYTTHHQRRVPLTMEKAANALAREIQRAYNDLSKWECALASLKIGAGGISFEQIYLVGVKRVSHSSIQPILFYD*
>EED84886
MFTIEGDFGSTASCDNIECTRVAAKDTSLDRSPSTAQPNLTRDQMLEDRAQAAVAIYLRPKLSSTRYEGNAARRFVEQRLVRETAHTAKMYSLSQHPTSRMSRATNLDGGNGGRGGRGGKKRGVGESWSRRTEAT*
>EED84887
MNVLDRLNPAIKPQRPHNQSRISFNGQSRRSDIGRAFGVLHRKQSNERWVEMGSDYPFLVWTVHTHILWDEVVMDVVLKKPCPTQYTPAISTHISKEEIIGQRFVGLVCDRIGRKAGLVFTISLIINGATLCTAAHGADGSPDAGVAAFKLVQINFGKRWTFIVAAIFGALGMIATSFFVPDMTGVDLAEEDAKIMQYLYENGPGHAQQVWGSCVGDERTQHQEDFQIRKFPQFI*
>EED84888
MPGRDPPDNDPLDAVLRPPIDETLEEKSFRLAAEAEAHRISQEIDESIRQEKLQKKKMRVVRLLLLGQSESGKSTTLRQFQRLYTPSAFREERILWHAIIQLNLLRSVHIILDALADGRGCGPGVGGGRELAEEAQALLVACRADLMALWRDNTARTVLKRRKIRLEESPGFFLDDLDRVTQPHYQPSDDDVLKARLKTVGVSEYRFEMEAGSEQGTQWRIIDVGGSRSQCWHYADGERVGDRKKHGSRSSMMEDSVLLWKAVCANKLLARVNLVLFLNKCDILAAKLASGVRLAKYVRSFGERANDSETAEKYFRSKFNAIHREYSPIPRKFYGFCTSVTDTKTTGGIIASGTLSTIQRQTPDRVWWQNMMAPVYMSTPLPRTLDSCLAWAVRFGHQQHQRANVSPLVMLPLKGLHVGANAEDALRFVSLSLQIYATVCHHRAR*
>EED84889
MWLQGALCECMIYGVELALFLICFKLVLQQFNRHDYKCPAFLLILITVIFILGTLAIYSDMAMTQLSFINNRNYPGGPSAYEVDMYSIPTNEVATVSWVIGNWLMDALLVWRCKIIFTGFSIVPLWTVMLLPCALLLASIGIGLGIVYLQMTWRSSPFADIHATVPYFATTLSLNIAVTLLIAIRLLYCYRSFTHILGRQHGFRYAKIVAILAESAALNATFSLIFLITLALHHPFSAFVDQCMTPIQSVASLLMIFQAQSKTAQDDEHLTWLLPPASAEMLRHDDTPTLHSEK*
>EED84890
MRESHASCTAVDLYKIQVASVWLMMGDSYAHDESQSQSVAETTWLQGALLNNLLYGIDLILFFICCKHVVRKMTPSNRKRQVCILTFIATLWMLGTLGTFGNVNMTQRAFINHRNYPGGPARYETDMFWIPSNELRTVATVIGNWLMDSLLVWRYMAIFAGVSVVPTWAIITVPCLMLTASVGLGLLFLVETSRSLPFDAVTVTMPYFATTISLNVLLTMLIVARLPRFCSRLVRALGEEHGKYYRYLSMVLMESASLFAVFSSMLLITEAVNSSLAYLFLQVVGQIQASACVSSSWMYFLIENVDNLIASDHNPHNQGEELFGRHFHKEANIKPAIRRDVNI*
>EED84891
MIYNSSWAPDEPPDVLYAEKTWLQGALLSNIVYGVELALFIMCFKLLVRQMDRTNRRRHLILLVFISVLFILGTIFVYSLSEFTQLAFIEQRNFPGGPSAYENDMFWIPVDELGVAVWVIGNWLMDLLLVWRCIVIFSALPGVPIWAVVVLPSILFCASFSLGVLFLVKTSHSSPYGSPKFTIIYFATTLALNVMVTVFIAARLLYYQRRARTVFGGMHMSHYSNLASILVESAALYSIFSILFVVPLALNNPLGSVFLQALGQVQTVSSLLIIYRVARGRSFPGDESVQLSTTQRASPEIRLSHMPSMRSSIFDMGNGIKCDAHKETLPNGGVMVTHEVISDESRSVV*
>EED84892
MSELAATSETEQSRIRETGRLCNAHRAQQHGCLTGWPQSINLPYGVVSAPVLQHASGSLRAGIRTSTLVAAWAKACGRKKSGTYSIVPGYLMTPWEYFPGNSDAPAPKLLFPYLIGYHTKPRPGSLPSQPGCRALLSNIVYGVELALFGICFKLLVQQTNRENYRRQLFFLSFITLVFILGTVFVYSNSEFTQLAFVDDRDFPGGPAMFEDKMFWIPVDELGVVSWVVGNWMMDMLMVWRCIVIFSNIHGVSFWLAMILPCLLFCASFSPGVLFLVKTSHSSPYGAVGFSVAYIATSLGLNIVMTVLIAARLLYYRRHFGCGACFKKHSAICQRRYICGIGGIVLGLFNPVHCAARATIPAGVCVSPGDGASASIPHGQAHSDLVRLSHIPSLRFPVCEDQAGKDDVQATQKGFSDDGGSV*
>EED84893
MYSTSTPSDSTPS*
>EED84894
MSGCRGISAAGRTPAGTPGDENARSRSSHRRKRNAGGCSKKERKKEKQAAVLGPALRQHATEGALPLGSAPAETRRTGDAPWTDNKLPTYASPRDASMVESIVKASQLSKPVRFASASLCPHCISLPPSRPRPHMGSPSAVGSLWGAAIADPAATRGMRMRMAAGEARPGSLSPSRVRRLTRQPGLTLMDLTRAQNAGGGDATGTEMRPRDRGRARVRGKMKGIKETENRSRHGNRDRHERGGARGAVMANGDGNGRVCASGRWAAVIVMGMGRRGRTGAAVAREPDCAGRGGGPGDRGRSDGEIECRKREEHERAVHWQEGVAAEERHSRDRGAGEGGARRRRACKTQDAARPLGLADAPRPSRLCLWRLSNSKRGVELERRGPGYVMLQYGIRQRLNFGCGTANERMKEGGRRERLWGAAAREPGRDTHTHGQGCWCGILSLLGMTRRREAARGGADAVKGRRGITMQGGGTCAGGVACNVAAIEDAGAVGRTPGGEAQWMRKASGRGQGLCRQMDGEQQAAHACERRGGGRRAASSAFAFGFASRLVVIVLPAGGSDGLMGVPAPRPRRVGQALVLSRQEGFHLPSPAPSAGNPPSPPSSHGTPATNPNSSANGQPSAATHFDPSNLFLPPFLSIPDPFRKFPAAPDTVSSMDFSDELASLIGSPTEHPQQQSHERSTSHSNGQPNGAYDDYRPPTHNIFDISAPTSHHHHHAQSHHQQPHSPFGPGQGASAFSLPPPSTLSQSHSQQAGPNGLHDFAHTNFNSTLPALGSSMRYEPPPHSPFTLTAPSLSHSHSHAHAHAHAHAHSLGGEPSSFSSHLSSLTALSSFSTITANGPPAGAADILSGPAQAQSPSPALIANEKRRRRRESHNAVERRRRDNINEKISELATLIPECLLDPNATFTMPASLSAPGEDLLFGTGAKGTGAAPSMGADGGSTPPAEKKDGSAEPDEKDGGEQGGIVKANKGMILRKSVEYIRYLQQLVSAQASRNRDLEQQLQVFRTGGDAGAGVGVDGTSGAADEDGALMLHEEVGGDFALGLQQQYGSVGVGRGGRKRFSGFELESVEEMEMDVGEDGDGDGDADQDQDHEMGKSPSADGESVEDEGEEEERGRKGRDGRPVGSLGVKAKPPGPEGLAGVKVKEEGEMETS*
>EED84895
MSNNAVNPVPLGLPALAGSPLLQYDRADRCFITSTKRAPIYPRGGTWCHATGPNPQVIAHQELVSYYQRHPPTHPEDVFTTLRIDVEPTQTAESVQSPINEQPLELPEVQYVPIKIPDIELPPAPPAPTNTLVTVPMATFTQEDIDQRIAVTLTAYQSQQSTANRPLRLDIPTPEPFSRKAEDLRHFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADTYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTHFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWGLSSSQTPGTMANQWISTPLPSHQPSPPCREEGNGN*
>EED84896
MSSFQPAFLLQYLQVNIKYTTPCRPSAALWQDLLRHEQCFKVFVMALEKQAHLLRTQSS*
>EED84897
MSTNNSSELTQDIQIIQQMFVQSYCYFSVMGYAVLAAGNYFVDGCKQYQFQLAKTVSQPAPSPVTCIVYVNIGNNELTRLAEFLVLIETWRATYGIKKMGQMAKVEVSITTLFLRDGTVFWWLYLNLKYVFANGTSTFQDSEVSSVQFASNVIGPLGGSINFASSFSARTAIIRLRHVARPSGSKAQHCRRNVPFISLWAARTDEANRAPESGHASLVKPASGTGIRKALHASRDDHPAQMVDAEAENVTRTMIKTQRVPVTSVWRLVSEKGTEATVSVSVEETGPSVHPYEGDEHKSDVGGSAVGECGAD*
>EED84898
MWTSPALALLVAAAPALAGVQELWWNITYVYNANPDGLYPRRVIGINGTWPPPPIVVNNTDSLLVHATNSIDLPATLHHHGMFFNSTSWMDGAMGVSQCGIPPGDTFHYNVPINTSDQHGTYWVHAHSTGQYVDGLRSPVILRPAGPERYTYDEEFTIAMSDWYHDQHATLLKQFISIANPGGGEPVPDAALMYFAQGTQYLGPKEGTNPSPVTAAVGFNENATLPFDPAKRTVCGSIGAFAGFFFWIDGHDMTIIEADGIDTEPYPIDMINLGVAQRYSILVKARNDTSAKNWAIHANMDTTMFDTVPDTLNPNATSYIEYSSAAETEDLGTVDAYEALNDTLLTPADVVAMPAATRTIELEFEFDTMDDGTNHAVINGVTYNSPNVPAIMSALTLGANASVAEAYGPQSFVVDHLDVVDIVVKNADTGKHPFHLHGHTMQLVNFAADYTSDDPSLNPPINESQANPMRRDTVLIPAGSAYTFRIVADNPGVWFFHCHIEWHLEVGLAIQLVEAPLVAQQRNSMPSYMNDQCAALGLPYSGNAAGFASTTDLSGLTVGPFLQNNGWHPRGIGAMFGCVLTAVLGMVTVTWYSLGGSISEAEIEHEERQRIEAKAERGRFFGLAKKVRGLRKEETHGDGAGTAL*
>EED84899
MLEERSSNILLTDPMRMYRAKRDATRRRVTDKYSIEGFISSGTYGRVYKAKSRDGDGRIHAIKKFKPDKEGDVITYTGISQSAIREIALNRDISHENVVELKEVILEDKSIYMVFEYAEHDFLQLIHHHAQTLRQNILTNVLKSLTYQLFNGLLYLHNAHIIHRDLKPANILITSSGVVKIGDLGLARLTYQPLQPLFVGDKVVVTIWYRAPELLLGAKHYNKAVDVWAVGCVIAELVALRPLFKGEEAKLDSKKNVPFQKDQLLKIMDWLGTPDEREWPGLKDLPEYPNLKRLDVSVFGLMRNNSYPNRLSQWCAAHRMAGDPDAYDLMRQLFAYDPDNRLTCQEALQHKWFRVAPVPTENAFQNIPLQHIPPQRRITHDDAPSMIPLPTATSQAQTHVQAQLAQVQAQAQAQLAGSHPPSRGSVASFASLSGGAAGAGSGHARKKARMG*
>EED84900
MHVLVGDFILLTTRETEEATLVVAGFAAACVADAPEDAPEIFFYQLDGFRILQQLNGHARMFLNMVSSDYGPRDTLETTADLYHIRKYTCHLLPSLNPFCHLPYILGELFPERRSNIAELDGNLPQHSEDSHGGPLAEVPIERIVAMFDTNVFSILCMAKAVFSHMASRKSGTIVNIGSVVGGMRRVCCYGGQRALHLQALYMECAPFGITVVHAAPGAIQSNLAANSAPSFGLPENSLYTSYLGAIIARGDMTQGGAMTLEEFARRTVSSVLRPKPPR*
>EED84901
MAARSPSPAPPGPLPIRRLQESVINRIAAGEIIHRPASALKELIENSLDAQSTSIRVTVKDGGMRLLQIQDNGCGIRKTDLPILAERFTTSKLSSFSDLAHIATYGFRGEALASISYVAHLMVVTKTKADACAWKAIYTDGALAPTKGATSAEPKATAGTDGTTITVWHNALARMSGKPVLQYSDASVSASQLFRRAGSSGPEVSTPSGSTTEQAIRLLYGQTIAKDLLHTTVSSQSADTTSDDEEDADGVPQSSSWSAEAHFTNAHYQAKKMVLLLFINHRLVDSPRIKKALENVYTGILPKVKSTKDMLSLQIDPRAVDVNVHPTKREVHFLDEDVIIERIADALQQKLVGQSQSRVFEYQTLLTGGIAEVQRDKGKGKERQIDLPMEGEDDSPMSAPSTQLKKKVLSQHKVRTSLTDRTLDSMFPVISPSQQPGSDAATGTTNRTAQEATPGTPRVREIKESECFLTSVRDLRQAVLKGRHRRLSEIVQKHTFVGIVDVNRCLSLLQHSTELYLVNHGALTEELFYQLGLRQFGDMSRFKLDPAPSVRTLVELAIDAEPGTERSSMSKSEIVERIVDTMMTRREMLREYFSLCITSDGLVQSLPMLLRDYTPNLDKLPLFLMRLGPQVDWTSEKECFETFLRELAYFYSEPKSDDDKAEQQAVKWQIQHVLFPAVAKYLVPPKSLLDRDVVQVASLPDLYRVFERC*
>EED84902
MYSYNVQSPDQPPYLYNFRSWEVPSGPSSTNVGLQDKMPLEPVAESWTSRYETAQRYMADGFSSLDYLQHPYSSWPIDATYPSHHNSAVVHHTADPTPSTSDRQYHGPSTVAQVRNGGQVACRWGGECSMIIDDLSPAGITRHLRAHHFAESSDTLLTWEKRSRGSCEWSNDTHACGRRMFYASFGKHIAAVHLGTISRRCPKCNPTSSEAHNLREWSSSLSFGSLPDVVREEKLPVACHDIIITRGPRPAKIHTNVSPVEGASDHGCDVMNRGSKAGLAMSKRDYDVASHKEYTCYWVPTHGD*
>EED84903
MLKGTFRERLSRRHYHCYIGPRTQPSPIAVPMFKLTETNKLVNKLLKAWIFPLYLHCTSDFNASTVTTLERSEIIFGSNTQRATPCRWGTGCGAILHNLTPTAISNHLKEHHFEFWDDRARGQCQWEGTSCRNGMDMYFGSFGKHVACVHLRSTTEKCRYCGETFSRPDVLVRHEKRYCPGQRQIFATRYILEYISTGDNERFMAMARFLPKENSWYWLLRRQCIMSDLGLRAAICHESIKIFVMNHYNAPIKGNSVDLTTDFMARYATYPGWVYV*
>EED84904
MAESHDGEGGAWGYSRVDGGDVPSSSIHNSNRVNTTPAMYKYNEASLQNQYAQAPPTFAAQQSTMQHSHYAIPAASNVNFAPQITQNYPTIAQGYPAMASGHVQETLPVQTYSYHPPPATLVSPPHVPQPKPTSNHILCRWRQCGLVLDDLEPSGIARHLKEYHFDDLSGANPWHNKNRGLCEWWDWDGECRRDMNYASFAKHIASVHLRSTAARCPYCQHELGRRDSLERHIKNYCSQRPQCPPFDDCCEFSVRQQEHSPCCVDTGLPPMQATEANGGDDRTFNYQIFALTGPVGSARGSDLILQLHAWLRGI*
>EED84905
MSYNPYGYQPSQGQSGTQRGSSYPASNRENHIPTIHGHMYQDINGNWVFYSMDGSDSQNISHQQPYASGYTAADSMGLLQQNQYRQYTGYAADQSIPLDASHQYYSQSYDQGHPVDPPQAVVFPISTTRTRCTWGGRCGQELDDTSAAGINRHLKEYHFNTRDNPWVNSQRGRCLWDPNCRSHDPIKYENMGKHIAEVHLKALRQICPDCGGSFARGDTLARHKREIACKRA*
>EED84906
MTILGLDPTQYPKHPAHFDIENVIRPNILTLHPYRCARDDYSEGILLDANENALGHSIPRINGNGSAKQAAAPDLEPELQATLDLDLHRYPSPRNDPIRERLAAMRGLPGPDYVFLGVGSDEVIDLLMRVCVAPGREKILTTPPTYGMYAVCAQVNDVGVVKVPLELGNEGGEGGEKGRFSLKVEERSALLSALEKLQPLGIGPAVGGNHANFVMIPVLEKNGNGRPDNVRAQRIYKTLAEEEGVVVRYRGSEPGCAGCLRITVGSEEENTVVLKRFEELLRKL*
>EED84907
MIKRKHQPTPQDLYKARKQREEEEKEANLPPGLINHGNTCFMNSTLQGLMATELLHNLVFFEPIPPSVESKFGGSIIAHRSPQLTNGHGLAGQFEHQRVDEMPLGDVFVDVMRKAWDIQQSHRRETMSPKELLFTMGRKYDQYLDFRQQDAHEFLRHMLDAMRMEEQDIIKQRQPPPPKESRKRGRKHRKSDSPVASTSTADGSSSTNGPPSAPEEQLVSFVDMIFGGHLASILVCEKCKKVSLTYEEFNDLSLSIKPEDYAKGRKRDRFKRFARKLRGPFRPASGSTQRSSSVPADRARRSLETEPHEEEPPVNEGPRRKSFDHVAEGGEAEAEMNEVRDVVEGMTRQTSPRLSTAVEDQEVSPEGSEGLEGMGKERGSADDEAGHEKGKARRKDKDKVDPWGRFGRRLSVSVKKGMKALDPGSPTRSVERGRKGQPKDRDEARTSKDSERESHPRTQITAAQAQDSGSDMSDPDVSRLRSTSSPLPIITSPLANPPISAPTRPEVKPGTTFGGRKKPPHAPKPSRQEQAYLRQLLADVHASNANPFSVLHHVISDATHGTPPAAAAQAAWAKLGHLPGIEECLRMFTSVEILDGENMVGCHRCWKIAHGKYKPERQGVVADDDDDDDAGSNGEGTASSPSDQVLGDKLPEAVREPPMSPSSETLSSSPDANGYISPASTPAIRSVTSFSDTMSDATSMTAPTTMGSVTATIEKASYPPLVSPTRPTTYGGLPIPSISTTGPESPVATSRRSPIDKTGEESSDRRSDSPLGVSPSDDSLLTPKPKRRSKAGTGAEDMKSDSSDDEYDSDSDVSGSTSVYSGGSSVASAAASPVASPRASVENVQTVGPTEKRPKLAPSDPSSAKVSRSRQVILRRMYKRYLIADPPPILVVHLKRFQQTGRTHTVTFTGGVKKLDEFVSFPEYLDVAPYLAPRREDFGLDDKATRAEAKEAGKDARFVVHIGNMLGGHYVAYTALPPSHPLAGSSKPGGSSGSDTPSAHKPSIEIAEGVVKPPKPHRQWAYISDTVVRLTTLEEVLKAKAYICGGLLESDIAVSYT*
>EED84908
MSKLNFKVDDAELLKAYGISSLSPKKWEVIDYDNDDTLAGTLTGANNAEGEPDPLGLGATIDVREMDLDTKTAVLISSKSFNPKAFLSAAHPNATYQDLAAGISHLRASIDSRSEAVRVLVEENFNRFVAVKASTDALYAEMQEGLLAGQTEFASRPLKDHLKLSAQKADQVFLPVLENALKAQKLRTTLGVFERSKFFFSLPGSLIESIETGRYDVAMRDYKKGKFLLESRPGQILPVGSAKDGHNLEMQQRRILKKVWGTVEKIMGEMRSQLLAKLQEPTRSVDEQEKTIEILLELNPSDDPVWTYFDAQHQYILRHMREVYDAAVNSINGERSVDLEWLCQLAHGAQATRERAQPEIRGPDILPRILSAQLQTCIAALEAKQADAILAQAAGNEVWQAVLAMVKSVSEVMLSSLPNFWKIAKGFLEGKLKKLYILLLSEFFMFSDSRVSSPPTGAGDVTPPLLPMDSNALATGHQLMRIIGEIQDSVNDINSMEISGEATSSLKGLLESARWRFEDILIHAWIRDANIFYYLEDWIGSTVDPFTTVYLSKLRVFQKEMSTCAFKIAGGADLSTSSTMFSSRPSKRKAVALEYTGKITKAFLDSLFAVLDGLVHLASDESPAVMLVQPAIGDMSGATSNNPLELVNVQDADNRVLLVVANIDRLKRSLIPSMAGELGTALGISIEEDQRTLMTIVQELDNTLFESYIKPKAAVLMGMMRDGVLDPEMDWYETPQPRGCLLAEIRPYVYEILMFLVGVHAQVSAVAAPLLERTLNALVEDVAEEALRCFRQVKRFGMGGMLRATLEIEFLHQTLSRYVTSSADQTLSDLYTKISQAYARRPGDENLQTHLDGVKKTLADTRRATGIEFLCFRQTKEKSRDKSASNTRTKEKTREK*
>EED84909
MDDWHSIRPWRPSLALSVREITSVSVTFILSSPYSAADSPASSDDGYDPAHAQPDGDSDDSDSASGAGTAPAQPQIVADVLNKGLSVKVNGIPWQRVLIRMDDEVDEAVVILFGLMPGRQYDVELGVMPRERSMRGLITTGSVILAKRSMSWGRLYAGRANLRASGITFIFVVAHAGVACAGCLRGLSDRETIAVTSDPAFSESGPGLTLPSCASNRHSLPLRQLLCQALRLPTTLSLRWTCESAGGRNYLHFSSLRVRAWLVQAARAACPACAPDRIVYANERTARYPPPEQPPPPSSEYRSMSWGRLYAGRANLRALEVSLILIVACAGMRVQAVHADGLDSADGRKIGTRGFLFRYAEKPKVHPGGRFWGVASLAAAGAGSVWTGFLRRGFILARPGAAPGCPLPLPSYMITISAVSASQPTCRCGISLPLCNPTDTEGNSDSSMGELPSDDAPGFTTSLSASTSHHASNLATAHSSPSPPTNMSASASASPTPAPVPAPPPLLTPEERHAQLAHTLALLTAEHAQLAAALKAARRDAQKADAAVRAEIEALRRAAERGAAHETRARQKARREREEGEEGALRRALERLAARRERLEGAADGEEGEGARGVIGELEERLRRLEEERERVERDPWGYEGEAGNTSGSTDSAREPDDEPARQEYPHEHPQHHSPAHSAHHGVHGHHPHTRKRHSHPHANPPTQTQTQAQTQTLRASPSLPPPKPISRPAADPAPIPPIQRPAHGARLSLPNHRELGAGPGVIHLQRPGAPGQGRLPAAQTAGSSSGSGSGSGSGSGGSSSGSSPAPVPAASASNLSSTAPPFEPVVGVGGSTAGHVSGKLELNPGSTPFAPAKSAAALGPVGSARERSPSHAGRPR*
>EED84910
MSASTSSTFVIPAIFGSLSQSRPEYDLEAQVIVGTHEQQSLPTPPSALTNAPRSQYSPVDEGTNPIDDFFGVVRPSRTPRGTQDSRHDAMSLPVHHDDAPPPYSSTGEPPAYSRFAEHPTLAMYLFRFGFLFPLFWLAGALILISPLRAPEDWEVSKTEAEREELIESMRRTEMKWAKRCLVAFSLFSLVILVAVLAAVFIMKP*
>EED84911
MFSPFTSLSGAAHDSTNAGVRLAAIEQNPAVASLLYAAAQPAFPSIRARRPSVRIHIIGYRAWSYQMYVRSVHGGLTIEEAAIALTNDDLAGMICMPWGLHLGPTGVAFEQLFLVAVHQVSRGSIQLTLAVEL*
>EED84912
MAQLLPQRAYEGGQGPQLSKFLSCFDLADCPALGISLELLEQCPWIVQSQLVDADLPAFPGMDSEKASVRIEILGYEPWGHQMRIRKGGKPLTIGEAAVKLTGLMKRACKELRVRDGEPAWCEKIAFKDLFLVSLHRVSHASVQYVSILPHRCVDEFAYRNKTTFAEWQRAIIEYRSIEWNRSESWLVPRPYKTTLPNNFEVREVLFAEGMNLTEGMPSEVVIAVHWKIAHSTVSALMLKSKSKCASQGVIPSIHSHINLAKGRAWQQDSQINPVGHCSFGGRHQNHMSLHDAEGLHRMSLPLHGKWPGDPLVQNHRPIVSYKLKAQAMPYGQAKDSAHRLLRSHFEQIKQVRTAEQYRATYHGQEEAETKTLCSMCGATDAGRRLVVALDGTSNQFGSKNSNVVELYARIEKVDNQLTYYNSGIGTYANMASTSWWRLTKRYLRSWREVMIGWDFEKPILAAYRWLSEHYRPGDQIFLFGFSRGAYQARILSGMIEVVGLIQAGNEEQIPFAFELYAASAEDSKLTHIGLGNRGLSARQKNIIEEERNRLQKNRQRASVFKKAFSRDGVKVHFVGVWDTVSSIGVFRSSKDLPKTHTTDHVCYFRHALALDERRVKYSPEDVCRSAYIRAGRSEGPHTDTPVSHLSYPQDEEQIDRVKEVWFPGCHSDVGGGNEPNMKLSLKRPPAIWMANEAQAKGLILSAPDGGWDQERIHTDLLPKESLRGKWWVLELIPYLSRSEDFPAPQPRIIPHLGAHRFIYPGQKIHLSVTLQHDYSPKAQFLPEYAKRSWQEIIGSYILDSEGAGENLVLLRESAILRDSSLSRDIFELDFYDITVAKTFVRQLYSTDTNSIKRGLDAISLFVHNSSWTSAITQADDHIGLRLFAILSDRESPEAMSNAALDTVMQLADHEYGKAILWEDDIPARLATLIDKSEICTPSFKSKLIQAFLLLYTDRKVVPECEISVETEGIEHPNILSVYDHFAPRYYGPGAIEKLMRALLYLFDKQYKQAIDFTLHKIPSYPKLHCLIVSSRIQQRHVEIVKGPRTQRWHVSQGSILLYFYAIYELRPLVTIRIIVIENQADFLEVLLAEAGFTLRRVVDTVDTAHSTMLIGKKIIGPAVNTLISKIFTKNAVPDYASFMNLHNPNHEFDTLINNPTNASFSKFIRTAFHELGASNIEHTFGEVDVLLWASLFFSSLERPVKLDYLQLIVSTFSTKGFEITLAKLVEGGGSTARYFVSTFSHFMEYDHLRQNKHWMDVLDVICNQFPKGATETQVVATSTLIRLAGTHDRVRQELRSAGFIRALSRSLQLKMNRHTEVGACVDLLHSLMQFGAHEDSTPDILQDSVLNAPMTILEGTNVELWGTASMVLETFARSSGLRDQMLKRNSVDWLLDLTSQPKRVVTGSLLRIVGVLTEYGHFNKVQLVFNVWRTDVEL*
>EED84913
MTLATILAAMHLRPLLGKWRRTPDEYDVEKVTALDNLDDRLANGTRTEDVNLDNDGEDEEREEEDVQEVDDVEQDDAETGQRAAQSRSRRMRRFTTFASGSTFTTFTRSSRSSTRSWLARVRSFLLPRDPKPEEIEQYVPTYRSSPIIAGVLIPFSILLEVPGLTEHWYIMTESNNIVDSRPNPALLNAGMGISIACAVFANICLIMRFAERWVKPTTLLCILFLTVHVSVMAADGVNIAAVTCFGIVHAKNDGYTYGQAFWMTLCSTIVSTITNINLVMDYARVRDFARSGTCGWPQSTVYGTVSSSPPGSGLTRKQRSLVILVIILLMYLALGALINAELLGISYINGLYFSTVCIEVIGFGDIVPRSTGARVFVCGYIACGMVILGTVISITRDTVLEGLEVGYRKRLQNMRARRAGARRFRHWQRRWRDAVVWRLRAQSKPVWVPDTDWQHGDDAVRFVGLGGPGGGGGREPLLGRALEALGLRDPPPSDPSMRPAQHVPGHPRGMHLNINALTHNELEAAALEAGVPLNQFTEVSEARQIAASGGRPTGRGPLPDGGWPAQVGTPTDAQVGRMAAMLTEVSLAMSGRDIRLPGPSAQDRSNPRAAVVEEHANRGDEQRRNEANDAIVQREGEATDSAGYAAESKWIPVDMKDEEQNANVMKLSVAWTVFFVFWFAGAAIFSATEKWTYGVALYFCWICFTTAGFGDYAPATPAGRSVFVFWALFGVGTLTMLVAVIEDAGSTRYKAAMHSRAFDKAVAKYRKKAALKATKAASRAEHSTQRVTNASDMTAEEGAAEAAEPDSSGLVLTASKLEEVQAQTQRHLEALPHEIIHRARTFHDYMQYFAGGRGRDLPGEGPQTNVPDELRKLLDEIVRSEGIGERVKRDILQDKDAKNTLFLLSVERALRKMIGSAEQALEVLANRNTLLAQFEAQKPTNDDDGAVYEGPTPSGSAASQQSTNRPILTPEVATPSSQSQTASSRHLLAQCLRSGILSQDTLVGPEKLKKPVPVVAVCDLLGHGIYIDQIEESGNAVAAGARLHLFQIARIMVSDGCPSCWGINFSLEFSNHQRKQDEGDLEEQRHNGNCILDSPVDQTTVSEAPDADTLPGGLRHPIGMVL*
>EED84914
MFSSARHAALRTLPAARRRLATTAGAAGSSATEGEIYSVIDSIAGFNAASSLSSPPSAARHPASTQPAVINIPPAEDPLLHYLTSCLQSYGHRQKAARITARTLLHIHTLTRAPPLPILRKAIENIAPAVRCISNKHAGKTVVYPIALSEKQRTRIAIEWILKACDSRAGKDVEERLAKELVAIVEAMGKPGVKLDEVSAAYKKKEEVHKYAMMNRGNALRSA*
>EED84915
MKLQTFACTLAGLASLPSAMAWGAAGHEIVATIAQIHLDPSVLPVLCDILYPPSSSSHKASTSSAYPPCHLAPIAAWADRVRGSPAYRWTAPLHYVGAVDDAPADSCAFPGPNGWAGRHNINVLAAVSNKTGQVAAFLSGEAGLHEGEEALKYLVHFMGDMHMPLHLTGKERGGNGAKVTYDGRVSNLHSVWDNLLIAQALRTVPPNYTWPLPDMRGVEAHLRGAIYDPYIRRIIYEGFGTDAVAGRFTDVEEWLDCPADDSDAGGKWLPDAASAFTAQHPTLSLKRNAGIRKRHRASRPKFAPAKRDLWGWAWGALARVWRGGHDEERWDTDALCPYAWAREIHQLNCALPVWPAGLSLSAAAGDGDGTIMGYWVAWSEGGCLKV*
>EED84916
MGASIHFRLRG*
>EED84917
MQQTLLQQRDYVPSREFTRRMLARFRLRGSTFSGVRLAALVLDPSVAARLQGAGNSAFPGLTGPKILGYRPWTHQMTIRTTRGKFSVARAAVMLAEELRRAYDELRQTTCIPDVWRLGDGGITFDQIFLVEMFQARTMAIVEEITVSKDDGALATSSKDTSTPFMDFHPRAYNKRKRIDEDDETELHLELKHLIKRRRTEGPESIGDMFKICSDIIARLFRRPAAAAENHEDHVESTQPVAAAEDNKDHAESSQPVAAVEDTKDHAESSQSVAVVEAHEDHVGSTMTLTDLPLDILLQIFSFLDPGDLLILARTNKPFRSTLMHRESRSIWTAAIAETPYLPPCPEWLTEPQYADLAFGEQCYLCGTKVFKPRRWTYWEYNVRYCGYCSAQMKHLGRLSRNVYYGHLLPVNLELKGDGHYIYHKPDLERIKIELKEAWAVHVDKCNELIVKYMAECEKIMPPVYQAVFIANLAPRDRHTMAYWSISPLFHL*
>EED84918
MVIISTSITAYLSASVLILAATIYHARKHQDSGIDIFLVLLLLHTLYILYFLFLCRPPNIFWSLHVPLNAAPEYVRTAIRRHVRLDSDTPLPRVLETLLSRISSLEMRMLYVRTFDEFALFALPGALLEYIREAAVLGLLTVHQSGRTRWRTYVLGALVCAAILEGYQIITATVKIPENGMGVFMWHDYFWAIRQSLFLVLPIITYLLPPSALPEDPSSTIAATQAVLQDTMMRVISLRVSRSAVMRDPALRAAAGEWWDRGRTEGEWARGDENVRRLAGKLNKSFVRDEQGRMGKLQVKAREFAEQVWMALTAPVG*
>EED84919
MSDRSTVSSASSSCSSSVSSPSLPHTPPNLHQNTIDPAAKWLVQKFGGTSVGKFAVKIADDIISEYIDQHKVAIVCSARSGSTKALGTTNLLLRAAKEALQRTSSPSDTPGSMTPALFSQASQVQSPVGSPRQRSTSSPRELFTPGGSFSMSQANHAPAVFNSTVDIIRSEHITAARAAIRDSEILRELELEIDRDCDGLRSFLFATQVIDEISPRSRDNIIGFGERLACKVMTAILRDRGIDAEYVSLENIVPHTEDEGSYSHETLGQDFYDRVAEAVSERIRQCGPRVPVVTGSLLRQVGRGYTDLLAALLAAGLGASELQIWKEVDGIFTADPRKVPTARLIPIISPEEAAELTYYGSEVVHPFTMEQVIRRKIPIRIKNVENPQGGGTVIHPDADMDAAPYPEDDMLGVFAVPGAAPTSRGEHGDQTERKLPTAVTIKERIVVLNVNSNRKSVSHGFLAGVFGTLDRYGVVVDLISTSEVYVSMAIEDGLDKKVLDRVVRELRKSGTVTVSRDMAILSLVGTRMRNMVGIAGRMFTTLGQGNVNIEMISQGANEINISCVIDARDAVKALNMIHQSCLQIRPEGARGRAVGEFTRK*
>EED84920
MLTAGPTAAPRMSQSLERAPDLRRTPAALAQTCLVNLNARARHNRRVIELCGGRPIGQGERAGCRSSCALEIRVDASQASQRSSVSSSASVLPSGGCMSTPDAQTGSRDVHLIEKHWPRASRYVALPHARLHACTFITTVGNTRPSIWARAEVLDVRMAAARRPEDAHPEEKKSPLGTSAIGIALDKAGLCWLLVDTDMTTCEEAHSCKHFDYGCRDQARTEQRRENETQILRRRRKPPGTCVRSLAGSLPPRGKRTEHAAPCVYARARGVAKRGSPWAKIGIVGEDGVSRGIHQTLARLVWAFRD*
>EED84921
MPGRDPPDNDPLDAVLRPPIDETLEEKSFRLAAEAEAHRISQEIDESIRQEKLQKKKARVVRLLLLGQSESEMEVYNSTTVPATIHALGIPRRAYSLARHHPAQPPALRPHHPRRARRRPRTRAPRPPATRTRPRARIRPPPTLPPAAPSMRSRRTTLHSDSASDPDSDSASLPLHHPPSALLPAHLHALRARLVPLQHVEARLIAALVPPSEDAPYLPAQLCGGYGSPGRGVRARHARADSDAAGEVFVRPGAAWKRAVRPEGRGCGLGVGGGRELAEEAQALLVACRADLMALWRDNTARTVLKRRKIRLEESPGFFLDDLDRVTQPHYQPSDDDVLKARLKTVGVSEYRFEMEAGSEQGTQWRIIDVGGSRSQRQTWVPFFDDAIIFLAPISGFDQTLAEDKSVNRLEDSVLLWKAVCANKLLARVNLVLFLNKCDILAAKLASGVRLAKYVRSFGERANDSETAEKYFRSKFNAIHREYSPIPRKFYGFCTSVTDTKTTGGIIASVRDMVVREHLRASKLL*
>EED84922
MADSIKSVLGATAGWGFIGVLFGSLFLGVSCAQTLYYCSTRRLEIEVISRTAIPAAAYIFTTGSLLETSGIGTLRSFSWYDISTKARSLLNMLEGHFVASIAFQDDSLALSFMHRGSSRKASDPIAQAIGASNPRKCLAIPPVANSTEELMELDMSSPSRPLREPFLCV*
>EED84923
MTESFKTLISTTIGWSLIGGFFSAIDYMDFRDPRSWEFDRGAVVSESQYFLGGIMMILVQLYVLLSDVHSAQHQFLSHPGGRNVTFGPMKQGDFIVEAVDSVAVLGCVELGAFAIADIEIAVALCLAMWNERTGFMRALELVELGTQRLNAREHWREGGPSKHMNLLENIRLPIMTTQDVGHSQTCLVLLLLSSKAISATVVFTTSAPGLSPEVSLFEHLIHCAASMYKEMMIIEVISACLVEPYRHFPIGHSSHTALCAERGLSMSKTPSR*
>EED84924
MVLTPSSSPASSSRAQIGHPGRSSKITCATRRLEGPNIRSVPAAPSHLDPPRGILALSLPAPAFPKLSPVQVKRKEISLQTLRQSLSLKRGPTSEGPPQLPLPPRRPLSPPPMIMSSPSTAPNKETLKLLLPLHYDGKTVIECDRFLSQLRIYWLVNTSLTTIELKIQVALSLLDGDARAWATPYFSQLTSVQMGVQGVTTPFRNKAAFTAAFKARFGNLDDEAAAQVELVKLCVDKSVREKCTAVEFSALFKGPADHSRYGDLKLRDKYLSGIPSCVYQKIELETFTMWQEAKKHATEVEQILDISRARWPELNNFFSARGRKRGGARGGAPQSQGASASINVAVGK*
>EED84925
MVHSLELRRSDRLKDLDPVDYDEGSVSGSQPESEPKPAYGKRKREISDDGSDYRPSREGTPVNDTPKTPKRRVSRKSDITNGARRRAVKASRNGGACIISGLKDKSVQQCHVLPRATDARILTSLEWWWGIKKEGLNVDSSRNMAFRASSFSEACRYARAYLHTVRGDLHILWDRGDILIAPMPDVVDAYVEKYKDGERHDILEVIGKKKIHRYCVIPHPSLSGGARSRAKRAIRQGFTNGFHKLQFVPSHAHPHFMIVNAAMKMMENKELWVKCLQEFYERIHLKVDASRVVENFLRLNALPMNMPTGVQRTPERSKDRKPEARKSNGEAHVNTLKSQVAQLAPTGPRCLLTHQDDKSIQGCHVIPRRTDDDLRKQLAAWWGLEDFDIDTPFNLFLLRADLHSLWDKGLIIFVPEPQIVDEYPAESIVPINVGVPLHEPFRVCQGPLYKYCVIGHRDLPRSEAESDFPRALDTVSWVFSRVPPHFVTYNVGLALSKAGGPGAFEAALDAFYKEHNIEYEAINILTGIKDICDQWLQGMPDDGSTDSDTEAVFSMDSTTEEVFSMDSTTEEAFSMDSATEAAFFMDSDTEEVFSMGPAAEEAYRTDSATKVAFSWDPAAEEAFWLD*
>EED84926
MSVVAHRVVWRAACCFLLLAHVAFAKLTNRTIDDENGDSVTGLQPVYAPASGAWNYGPTCSGCYVQPDVDDCFDHSWHDVTASPTDPEPRNITLTFNGTAIWVFGVVPNNVLYATTFVNISFELDGHTVGTFSHLPSESDAYQYNVTLYSNTSLEDAQHTLIITPRRDVNASYMAFDWAMYTYDSDISVGTPSTTSAVSTRSATSSASSSATSSSTSSPTPTSHVAVGAIVGGVVGGVAALLIALLAILCWRRRHGRGSADRIRSMRPFEAHDDAIEPKPEAHSSDLSISRSMLPTQYTLRSAAAPSGHPLSTAAALSHATSSFAATNTPSIPTTASSAELSSTVLTAGTTSARSPRGPRGQSKAAMRREELSRQMRDIEAHVADLRRRQSQQSAPSSASLYSQSRTGIGRPPPVPVVERYDDSDLRRQIETLQTEVERLRLEAITHEEPPPAYEEPEEDGPLVEHEEGTSRAD*
>EED84927
MARNLFSVPIFFIVFRETLEAAIIVSVLLGLVEQIVHEDPNAIAAARRPRPQNENEDDPEDENEANEEDDALAAARLVRKMRIQIFLGSALGLFVALAIGAAFIAVWFTQAADLWAKSEELWEGIFEVIASLIIFVMGVTMLKMDRAKAKWRVKLQRAFSGKHVDRETRAGKWVLFILPLITVLREGMEAVIFVGGVSLGQPAVAIPIAAIVGLVCGLICGYLIYAFASRTTLTVFMVVMTNFILLIGAGLFSKAVWAFDEQHFNNIVGADVDDTGGDGPGSFNVQGSVWHLNCCNPENNYDSDGWTIFGAIFGWTNSATVGSVVSYVAYWVAVIVVLVYLKFKEGRTTLLGKESAAGVRRRERRERIEREDRDDREREEAAAAGEVAVAAVEEKARPPTPEVA*
>EED84928
MPRYAADKHSHAIPLQTKRNHSSRARPNIVKAADGDGIGFWVISDVELCRDYHCLTMETYKRHFKAAHGDSHLCALCDNQSFVDTETFQTHCNVEHPPMTHRCDMCPEGFDNAYTFALHHLFKSAVHPKCSICRVGFETKDGLLNHPQDIQEAVPVEKLDLERADEVFSILTVWLLCSLHVMLPAATHDYAICGICGAAAICFIIPVCDLTKITVINVDPRGPSWIAESSSPSEAWTHGSEVSPRLALTPMSEVSMLFSETPACVYPDYPAPLRPSPPEPLAVKTSKNEDGALTPIDAALVRERDISAGEVISILSDELETSEPVFTPHTATPIIGSPPRPASPQTTRNRESGSTSQNFAKKPLTSDCFSSPVAVTNPDMISPLTESFSSSTSLLRSPSPIVEPIEQDSFRMPVLEASPAPEGFPGAIPGMFRILPPIDHQLATERVPSPTLRPRFREMGSEAKSKNSCVSQSWAPMFLASPCSEALELDNNTDVRLPNREYLSEQPLQSSVSHLHRRSTHCRRELRMRRMQEADTIAFGRNDPLNRQHAHREPSILPLVR*
>EED84929
MAAALPTRKLGQHTVSAIGYGAMGIAAFYGKPLPDEERLKGRRECDSILDGVYEHGCKFWDTADGYKDSEELIGKWFKRTGKRNDIFLATKFGLRSGVPNRTVNGDPEYVHKAFNKSLERLGVDTINLYYLHRPDPTVPIEKTVGAMAELVKTGQVQYLGLSECSADTLRRAHAVHPITALQVEYSPFTLDIEDEKVGLLKVARELGVTIIAYSPLGRGLITGQYKSPDDFDEDDFRRQVPRYSRENFPNILKLAEGLKQIGARHNATAGQVALAWLLAQGPDVIPIPGTTKINRLKENLGAVEVQLAPEELQEVRKIADTADHARGDRYPPALAAVLFADTPLL*
>EED84930
MADSLELRRSDRLKDLDPVDYDEGSVSGSQPESEPKPAYGKRKREISDDGSDYRPSREGTPVNDTPKTPKRRVSRKSGITNGARQRAVKASRNGGACIISGLKDKSVQQCHVLPRATDARILTSLEWWWGINKEGLNVDSSRNMAFRASSFSEACRYAWAYLHTVRGDLHVLWDRGDILIAPMPDVVDAYVEKYKDGERHDILEVIGKKKIHRYCVIPHPSLSGGARSRAKRAIRQGFTNGFHKLNFVPSHARPHFMIVNAAMKMMENKELWVKSLQEFYERVHLKVDASRVVEKFLRLNALWTAPPPGEAQLRRKQEHMLPMNIPTGVQRTPERSKDRKPEARKSNGEARVNTLKSQVAQLAPTGPGCLLTHQDDKSIQGCHVIPRRTDDDLRKQLAAWWGLEDFDIDTPFNLFLLRADIHSLWDKGHIIFVPEPQIIGDYMKQYISPINVGVPLDEPFRVCNGPLYKYCAIGHRDLPRSEAESNFPRALDTVGWVFSRVPPHFVTYNVGLALSKSNGPGGFEAALDAFYKEHNIEYKALNVFTGIKDICTRWLRGMPYDGSTDSDTESVFSMGSTTEEVSSMDSTTEEAFSMDSATEAAFFMDSDTEEACRTDSATEKAFSWDPATEAAFWMDSDTEEACWTDSATEEVFSMDPAAEEACWTDSTTEEVFSWDPAAEEAFWLD*
>EED84931
MNGLYILIPDTSHTLAAEHPPRDMSTPALSDDTCSSSSRESSPPATPTSGVSRAPSVSFDDYSKHTHAGDGTDIRIVEADADSSPPEDITRPLKRRRSADTRADEVSTERALLPNIWSDATRVDDGAFFGLGHTPISDCTSVGDVEPDALHPSSEYVSRPLKRKRSADTSTYDVRAKRARTSGTSNDATKAEDRACFGLEHMAIHDYTKIRVVEPDADPPVAPGIDIHVPLDAIARPPKRKRCADTTVDEVCVKRRRLSDSPSDASQLKDGIPFGPHPRCMITGFVSAEVEACYILPPATPQPLVYKYYVVAEDEHPSSSRISKDHTIRPPVATTCSPCRSLGWHELSANLHLMTIRVGREFIKRPLHYEHVLSKDVLVHIPTIALVHPDAVEPISPHIERESPVESIPRPRKRKRCPETETGEVPAKRMRTSVTGCNASNVKDAVRFGPHPRHASAIVRRDLRELWETNRLLMIPHPGHLKKLQHCTVYKYCVIAEDEHPPDSCATMGNPITAAVMAAPCSYRSLGWHKLKADLRLMVFRAGQKLSKRPFHYQRILRQLLPHKEINHTYSIVSRYASWTIPMYLERVRGRRLWTTGELTPFPDGYFRSPRKQYCPPLSDDDTARLPCPLRPIVSGIKRKRSGDTRVDGEVYTAEEDAQWRASIRLWSQDCDQARDEWTMGPLAEPEDADLLAYRQEETGKVLTAAQEPWFAEWHSSNFDTWPYPFCCGNGLIQTPPTRTDEPDVLPHHARRLSPRGGMYSCPEEHCVALFPSISSRAIGCISEFPSGRRGNYTHGPCQTPNSVYQPPVLVLRVTIPSQHSRTGFNRQTSRFV*
>EED84932
MVDSPKVRQPGRLRDSKKVSYDEPSVASSVPESEHVNRKIAVSDDEDDYTPWREDTPVNETPHRKVKRKVLVTKGARQRAFGKSQNRGTCIVSGLNDGSIQYRHVLPCATKPDVLTHLEWWRGFKTKLSVDSRHNQAFRACSFQEACRYAWAYLHTIRGDLHILWDRGDILIAPMPNVVNGYMDKYKDGERHNILEDSDEAKIHEYCVIPHPDLDNSLIREGFTYGFDKVGIIRSHAKPHFMFLNTAMKLKEDTEMWVTVLKVFYERIHLPVDTSCFVGDILTLSDVWTAPPPREAELIMKEEKEQAAEEALSLPVIISTSEPMTSKRPKGICGATALVSPGGLEMDKLPKWKAHKPKGKPCGSNLKLYTAHLTPSGSRCLLSLQQFKPTQACHVIPRRTDIDTCEKVAAWWGIDEFKVNSTFQYLLMHGEYKDKAQIQYLAHGFLQSLDEPFERPSRYREEYCLCEFKTLGWVEPRVPAQFVIYNAGLSLWKGAGPDVFETALDIFYKQHKVETYPSINEWTRHMLPASGKCRTRTTLYHPTIIHIFSPFDVYERWLTASRASNKRYTSYVALEHLPSWSSQDSTRSTASHNSQPLLANSARLPECVLRDVVLVGLGLTTATSILQTYRHTAHWGFFPRWCMILYTEAAAIAARYNTLSRGECARRGIKDLRSVYHKVSGISEKTEIRIVEAEADSSLPEDITHRLKRRRLADTRADEVRAERALLPNIWSDATRVDDGAFFGLGHTPISDCTSVGDVEPDAVDPLLEYVSRPLKRKRSADTSTYDVRAKRARTSGTSSDAIKAEDRACFGLGHIPIHGCTKIGVVEPDADPSVAPNIDIHVLLETIARPPKRKRCADTTTDEVRVKRRRLSDSPSDASKLKDGIPFGPHPRCMITNCVSAEVEACYILPPDTPQPLGDCTTLRMPTDSNAAYCSTPANIIFLLRDLRILWDTNRLLMIPHPDHIDHPDTRPVYKYYVVAEDEHPSSSRISKDHTIRPPVATTCSPCRSLRWHELSANLHLMTIRVGREFMKRPLHYEYHLSKDAFAHSPIIRLAQHHALESVSPHIDQQSLVEDIPRPRKRKRCPDTETDEVPAQRMRTSGTARNAFKVEDGIPFGPHPRCMITGCVSADVEACCILPPDMPQLLVYKYCIIAEDEHLPDSCTTMGHPITHSVMTAPCSYRSLGWHELNANLRLTMFRAGQKLSKRPLHYQHVLRGLLPHKEANHAYSIASQYMSWTIPVPSEMVPDRRLWATGEPSACPDGYFHWPVRQYCSPLSDDDAVRFSTPFRPIVSRLKRKRSGDTSMGTLAYTTKKSAQRAVSVRQWCLDCDQARVEWTMGPPAEPEDAELLAYQQGKVGDVLPAVQDLWSLETHFSRLISSLIPHFVTITHALQVLNIRNGLTGNLFDPPFRLELL*
>EED84933
MPKAKPFIVTAKHEPTGLLERIVIHNTHKFDDVGKPRRIVRPTILPLICHPFNPECAEKAKHNIEELALRAHLFKKQQLLDHISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQCTKILLHTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVVKVRRMGDGFDELYHGLEKKAHQLTNRHWRVIKCDLKRIGHVSFEDLSSHLPEICNELASLNITFKYEV*
>EED84934
MAPYIPFIPTAAFAIATEEEWHDAIFQNVNISDKQANLLQMVTASAAESTTGRVRDWVGRLTLEISRHYNGYLQSLLREVESLHIMVQNQQALVDSYKQQVDALPASTGSGHYRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMQEGKDLGTWKAFVVEPAQIYRQRNDKEGAKKEITALFTNKDLASKDFVKYAERFRTLGRLTEYDNSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDLAENSKSKGKGKGKAKDAEAASIEAKKYCVICKSRTHNTDDCYKLAKNADKRSNTQGDGARKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSAKAMSTNTARIEEIVNVEESTLAGKDEPQLSAKIEPIAATSDFWKKYM*
>EED84935
MAEEAFNCTGLEHVFNTVDEAPSSTIATDSHVLIAPLTLSPLKKGASYRSNKSNIPSGRVPNTLPDLSPATSPPSHPDPPLQAPASPNLSPAQVKREEIPISLEELRQSHSLQQPLKPLAHSLPSKSTRQTATTTASAPGPFSVPLPAVILSPTPPDKETLRLLLPLRYDGKTVIECDWFLSQLCIYCLVNTSLTTIELKVQVALSLLDGDAHTWATPFFAQLVSVQLGTQGVMTPFANEAAFSTMLRAHFSNLDDEAAA*
>EED84936
MSSTLSFLDQFNAPSTKGRRRISIYTPKHTHVGNSTLLTLLLSNPTDVFNKLKTHNPEATNATDRTALEAYLSARHEYNEAVKAADEAINHHKRLLWRQDDRVLTELIRLDNLKVAHRFQPLLLRNIRARHNKFIPHAIPNAYLPLPAPLPMSTLRRPPIPSPFLQAMPRSTTILADWQPNPGWTPKGSCRRCGSPMKRHVSAPPEEPARHVGVVVDNVFLEGIINEAKERKEKERETKAVPIPPLRSANPEPPTSPVAGPSRPCPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQDKKMYKLVKGQEVETEVPMAAEPVDAQLQQEPVTLDDGEGEASGSPCRGRSRETTLSASGGTEDGAGAEAAVVALRWSDFEGSECVSGLAAETAVKAREEARGRGHALALAQGLEGDLLALDLDRRPVGEDGSWGRQGENAARRIEMRGCFEDRVDVAPFGLLCSADSPGQSIEVSNLGTAAARRTVWDVDVNEVLRIEGVNEALAGSHVGWGEDEEGQEVETEVPRAAEAGLYTWGDKGRLCALVRAQLVRAQHADAAPGAVDNDASISGM*
>EED84937
MFDSLKVRQPGRLKDSKKVSYDKSSVASSVPEPEHESEHVKWQISVSDDEDEYTPWREDTPVNETPHRKVRRKVRIMAGARQRAFWNPQNRGTCIVSGLNDGSVQYRHVLSCATKPDVLTHLEWWWGFKEELSVDSRHNQAFRASSFQEACRYAWAYLHTVRGDLHILWDRGDILIAPMPDVVNGYMDKYKDGERHNILEVIDKNKIHNYCVIPHPDLVAGARPPGNCPIREEFTYGFDKVGTIRSHAKPHFMVLNVAMKLKENKGLWAELIMKEEKKQAAEEASSLPLTAPTGKAMTPKHPKALMGPGGFEMDKHFKSKADKPEGESCGSNLKLYAAHLAPTGSRCLLSLQDDKTDNDTCAEVAVWWGLNEFDVDSPFHIFLYKGHLMFVPEPQVIDNHLEQSIVPIDVGMSLDEPFEVCDGPVYEYCVVAHSDLPDTEKNTAFANSRP*
>EED84938
MNAIVVLEFVYHKVQKDLYDCIQMHTSGGGTDIRIAEAEADSSPPEDITRPLKRRPLADTRTDEVRAERLLPPDIWSDATTADDGAFFGLGHIPISDCASVEDAKSDAVDPSLECVSRPLKRKRSADTSTYGVRAKRGRTSGTLNDATKVEAIASFDLLHIPINDYTKIRGVEPDTAPAVALYIHLHAPCEAIARPPKRKRCADTTTDEMHDNWVRVRRGGGVLYPASRHASTVVRHDLRILWETNRLLMIPHPDHIDHPDTRPVYTYHVIAENERPLDSGTPQDYTIIPLATTAACSYRSLGWHELSANLHLMTIRVGREFIKRPLHYEHSLPIDALVHIPIITLVHPDAVEPVSPYIEQESSVEGISRLQKRKRSPDTDTDEVFAKRMRTSVIECNASNVEDGVPFGPHPRCMITGCVSADVEACYILPPNMPQLLMNRKIDYITFNMRTNYNALRCHIPANIIFLRRDFRELWETNRLLIIPHSDHLKKSVYGTVYKCYVVAEDEHPPDSCATMGHPVTPSLMTAPCSYRSLGCHELNINLRLMIFRAGQKLSKRPLHYQHVLRALLPHQEVDHAYSIVDRYELWTIPVPHEMVPNRRLWETGEISACPDDYYDWPEKQYCSPLLDDDTVRFPRPFRPIVSGIKRKHFGDTNVGTEAYTAEKYAQQEVSIRQWCLDCDQARDEWTMGPPAEPEDAAILAYRQEEAGDVLPIVQKLCSVESYFSRSSASRMAVCWRTTLVGEPRRLAVMTGDSMTTSANRVILVSPILADKSDVLLDRAQQSSAIFGNSGAMGYGDVRTTRQELLAMRITRLILLIASDAFSCRRW*
>EED84939
MQRQDKEWMVRMRRLMVWISMGVSHGKYMSVKLVDEGVRYVLNGLGTYPYLSIHTWYCHDLRSSNREQTKAGGIRLNLTKAMGSRGQLICMGDLGQAVHVDLCTVGLCAVLLTWPWGPAQDSINPRIASNIMLLAHEEDDNHAAAGKHTYWFVDRKMFMCSLRGSVGHNGQVLQSGDATRLDPWINNNNNNGNEDENGDDNNNNNEEIDDNDDNFGYHCINPGFDGSVREAEDDEDAPEVKDGALGTKDGEGKE*
>EED84940
MTIRVGREFIKRPLHYEHVLHVDALAHIPVIRLAHLDAVKPVFPHNERESPVEGIPRLPKRKRSADTKTDELPAKKIRMSVLISEASKAESGVPFGPHPRCMITGYNYHFGFVADKVNRKIDCITRNMRTDYNALRCHMPANIIFLRRDLRELWETNRLLIIPHPDHLKSPLQYGTAYKYCIIAEDEHLPDSCTTMGHSITPSVMTAPCSYRSLGWLELKANLRLTIFRAGQKLSKRPLHYQHVLRGLLPHKEVNYAYSIVWRYLSWTDPLSYEMVPGRRLWSTGELSACPDDSFRSFKKQYCSPLLDDDTVRFPRRFRPIVSGMKRKRSGDTRVGTQAYTVEKYAQLEASIRQWCLNCDQARDEWTMGPPAEPKDAEMLAYRQEEAGNVQPVVQDLLLLEDHFSRHGSWYRFAEWWPLWPAFPPQIPPRVVISNRICNSVVDGSRDQMGGSARHSSGSADTASLTHRPPGPRQHAAHRPAVHGPSLDPKSRQGGASGSPCRGRSRERTLSAAGGTEDGAGVEAAVVSLRWSDFEGSECASGLTAATAVKALEEAQRRGHAQALVQDLEGDLLALDLDRRQFGEALVILLDRSRRAIGTWLLLAAEDESRRTVSEVDVEEVLGVEGVNKALTHSHDGREEGECIVGVRTTRPLGEETRGSFDLMSRLEVE*
>EED84941
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVSDSALLMLLLSNPTDVFNKLKTHNPEATNATDRTALEVYLSAHHEYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRVIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLKEIINEAKERKEKERQTKAVPIPPPRSANPEPPTSPIAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSFWPHQKHLSGEEWMNVGRDTRKEWFDEEEDDGVDWELYGDGEQ*
>EED84942
MVDSLELRRSDRLKDLDPVDYDECSVSGSQPESEPKPAYGKRKREISDDGSDYRPSREGTPVNDTPKTPKHRRAVKASRNGGACIISGLKDKSVQQCHVLPRATDARILTSLEWWWGIKKEDLNVDSSRNMAFRASSFSEACRYARAYLHTVRGDLHILWDRGDILIAPMPDVVDAYVEKYKDGERHDILKVIGKKKIHRYCVIPHPSLSGGARSRAKRAIRQGFTNGFHKLKFVPSHAQSHFMVVNAAMKIMENKELWVRCLQEFYERIHLKVDASRVVENFLRLNALWTAPPPGEAQLRRKQEHMLPMNIPTGVQRTPERSKDRKPEARKSNGEARVNTLKSQVAQLAPTGPRCLLTHQDDKSIQGCHVIPRRTDDDLRKQLAAWWGLTDFDIDTPFNLFLLRADLHSLWDKGHIIFVPDPQIVAEYPTRSIVPINVGMPLDEPFRVCGGPPYRYCAIGHRDLPRSEEDSAFPRTLDTISWVFSRVPPGFVIYNVGLALSKGDGPGSFETALDAFYKKHNIEYEAINILTRIKNICAQLSEGMPHDDSMYSATEEAVSMDSTTEEAFFMDSDTEAAFFMDSDTEEAFSMDSATEAAFFMDSDTEEAFSMDPAAEEACRTDSATKVAFSWDPAAEEAFWLD*
>EED84943
MSSPTAAPDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLVNMSLTTIELKVQVALSLLDRDARAWATPYFSQLASVQMGVQGVTTPFRNEAAFTAAFKARFGNLDDEAAAQVELVKLCADKSVREKCTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQEAEKRATEVEQILDISRACRPELNNFFSARALMWPLEKETSMENASAVEERVPMLRVPQL*
>EED84944
MSYMLAAEHPPRENTPALSDDTGSSSSRESSPPATPVSGLSCAPSISFDDDSKHTTTGDGTDIKIVEADADSSPPEDITRPLKRRRLADIRPDELRAERVLPPDILSDATKADYDTSFCLEHIRIGDCTSAGDVKPDSDAVHPSLEHVFRPLKRKRLVDMSTDDACAKRAQTSDISSGAANIEDSASFDLGHIPIHDCTKIRVSEPDGVHPISPHSYLHAPLKAIARPPKRKRCADTTADESRYYFSLVAEKVAL*
>EED84945
MFAVRLVTGATFALLCTWSVLAAPAPTTTVSLQFPQPWTSTTTETEYIIAPTTTGPYETTTFTETGWNYPLTTTTEVMTYTYSGPIWSGSPTYSTATVDYTSTYTEVEIYSKWYGTWPTACNYP*
>EED84946
MTYDFPNKPRTASAEDVKPPQFPSMSAMAETILTTSRPLGQAELWFLSRRQSRGLLDVHNILTLQTSGGYSITERDVGLAFAVTCLRRPILTTRVSFTSSPPAFVCATPLTAKHALKASRSLIDFDTFQDVREAASAIHDAWSSSDGEHAIDVRDATCTVWWSKGIGRAEGQYLFGLQMAHFAADGRGRMNVMRHFLELLASPDMARAELSCFYADIARPLRIPESLEELLPDVSSLSSDDITKGKETYEEYARWHSKPMVGLRLDRTDDTENIDPRMCVHVWSQSKSSGILHACKARGVTVTHLAIAAMALAALYDNQYGEQAAGDEGSYYFTAWSVLDLSPRLQPPTDGYEPGTTTRAVAFPVFLHLSQPEAAEPAPLWSLAVQCRDQFASFTKSPHFWQLIHRVRPLLVQNHIDRMSGRPTLPFMSSVGDCTKVLPVSLPGTKESTDQSPKITVTDLSYGIRSDATSFGLHLITYDNRLSLRFVYNGLRADPAAMDAYFGRIIEIVSRAGPGLPSL*
>EED84947
MATASVPANSLPSEKYRGAVVEDLQLPPAFALPSSEAISRAIELAYERDFSYIPILDQKRRPIGYVDVAVLKAKWEASEANPSDRVSLYMTKFDRSHSKAYTLITPSSPLADLEEFLRHTIFALVTDRDRKFVLAVATSQDLENFVSRRGF*
>EED84948
MGSEGIQITIPDFDEEDYHSTPIFGRSQGGLWGASSSGQDSPTILTPLALPERAEKSYFHARGDSITSDDSAHSLQYSARKVKSPFAHSAQSSFATTTTGGSSFTKKPSFASLRNAFRKNTEPAPPLPPIEHQVYPALKNPFSRSTSSLAQHPPMPHRQQPSMHASPPHFRPSTPASSESRTRGTPSRAREHAYARSQHSHSGSIFHNSDAGSDQGFHTPSSPPPVPRMPAMFNPFGHPDDSRTLADLEDKITMEPRTPSDYALHAIFIRFATTAEGHIEHFLRLTLDREPLLTDYMGPGVDPKLDELLLSLGKIAQKHAKAVVESVMRWRKSHADVGVSPELLRGHLDYAATSGRNIRGQDVSSMLNERRSLASIYVMCRALIAATQNLTNLEELTFDQFRRPDIKMLTQSANHRINAELYATLLGQLANVRFESVTDRFLVELGPVAAGQVPKDADFKYENLVRGLKHVPIKVWPPEAFEEGAEFMASLAKSFENAHGNRLKTTFAETLVHVLHPIAKTAQAEVNHPDWAKAIDVIFPRVRDMMAKPRYWHVAYPLAVTSLCVAPQEIFLRNWTLIFDAGLGKLKEKVHRVPVLNGMLRLMWTYLYRCPEPASTVAAKLDPLIRHFFPPNRSTIHPQEDSIEPLTYMLHYVLSRHFDFGSELCLELLQERSITTPSPNMAVLLAPERTVIAAQAILLSLHAIEREETTPSWPSSSDFTVLPSRTDYPTSSEFFPPSMQLKAGWTDLVDRSSTCLKAFAMYCYQAVGTWSILDDQWSAARLTPTYEDSHGYTTRAHPEAVVAYSNQYLPQVSMLRTVYQSWPRCLHPSMAVEDAFDMLLRGVVHVEPAVGEAAGPALQRFMADSAHATTLLSRFAVFLFDPNAMSTEGSGLRLNVECSRLLNLWLSSVEQWVHDLTSSPSGSLEAEHLERVLACIDDIESGALFLLAHDKQGVYAAGVKAIRLLATLEASLQAEATSAMRQSEEPFRLVTAFLGKVDYGRYMLRHEDMLEPDEVLRLAKWRKEAFPDMALRIANSDYPLDRGLWRHLYPALLQACMEQSSSVLSSFRAKVIAAASRYHSLMVQLSGINNRTLPNLPQRSGSSGDRDASRVINEHRPHIQQWHFWMKLICATAEVSDVRPTVNYPMRDHSRARSEANFERDQMVTTRDLFKYLSQFLDSDHTLFRDVAVSSISSFPSHGYSQLLEDLNVLASRQLSDDPRSKSTSAPVIGRARRQERFHTAVARIYYLTSHALQDQRSASKQTALAHVLKYIRSMHAYLVTPDHRDLFTLQRLRRYFCGTVERLFDGLATLKDSDRFIPPHMHLALFRLCEEWCQLGKQSENMTKRLITMQTAAAKSLSEPADQAEIIQRFQTETRNLSHAAVGAMAALCHKAFYPPEHASASPTDRYTLDSNHKPLQAAPTLDRLTAILASFQEPEHTAGKKALRSLLLHTPSDGVLLDEALRRALVTTRQLETSNARFFEVVADVICNASGSHGFSFSQIAFSILEVIHEQSGGIISLNQYEAAVCSSAPSAYLHAHRSITMVLAGEHPKQAIHVLAQFSGWITRLFDGQTDRSPLLLLQSLEHWVPHITLLDDSKSALSREGRSAIYHLMNLTARYVETYAEQVLVLWSRAVDNEYQLNAYATVMFLLEQAPKIGSTLFISCAAKVVACLFQSAAGMKVFDEMCGLLDPVRMLPSYEHKVTIPAADEIEEWSDLDVLFSESPKLTLTQTQFVLLFLCEATMDRSWPLDKHLPALLMAIFMHVSDKQPFIRERSRHMLFQLLRSCMPGYDDFLDRPLARSRLELKAAIQVLENEAEMRLWVDDDSAAEATPKLKWLSSEVLDLLEPLYPDLRAHWGFWTLRWGTQCLKREMAYRSLQLHRVLAAPVLRESVDMLLGRLAHTIADEDAAIQNFNVEIILTLTSMAASDTLPRTLLPKLFWSTVGCLSTTVENEFLHALSLLEALLAHIDLDDHFTVEMLLEARPPTWSGSSSLQFSLLTGLRSSSTSELTLKVLRRLSGISDARLVDASEGRVRDLYTLSLPLCLHSMASGPPDDISQDFALSIGRLAEEEERPSIDRIMTSFAKGRFRTKEDFLRESVASLREHYGTDHWTEVITLLMSMVLNQERWLRIYTLQILKLLFQQRETRDLLVSELLMPLLRLLETDLAPQALDVLDEPVEISGGPAAKHILRMSLFHHLDADAKEVESVAEVFGIPQESGWCVPRSGAVRDICRSNIFALTDSYDTSSSTSHLSFHPEPEEDEADDADPYDDLGDMVQNLHELSSFFQEENSVAALPHPQLEARVAAILAKSRDVAQELPQTSFVGVFDVGKANAYEDSDESDYDSGSDLFEFDSPAILRFTSTRSRFP*
>EED84949
MEKFPSDFVWGYATASYQIEGAANEGGRGPSIWDTFCKVPGNIRDGSNGDIATDSYHRYKEDVALLKSYGVRAYRFSLSWSRIIPLGGRQDPVNQEGVAFYRSLIEELLKNDITPYVTLYHWDLPQGLHDRYGGWLNKEEIVQDYVNYAKICFTAFGDLVQNWITHNEPWCVSCLGYQKGVFAPGHKSNTEPWIVAHNLILAHAFTVKLYRDDFKAVQKGQIGITLDFHWPIPYDETPENVEAVKRATDFKLGRFADPIYKGYYPARVKAVIGDRLPEFTAEELAVVKGSSDFFGFNTYTSQIIQDGGDDETNGYVKVGHTRADGTQLGTEAHCSWLQSYPPGFRSLLNYLWKTYEKPIYVTENGFAVKNENVLPLEGVVLDTDRIDYFDGYANAMLQAVVEDGVPVKGYFGWSLLDNFEWADGYETRFGVTYVDYKTQKRTPKQSSQFLKKVCVAHPVFVPLDPHTFISLQWFPEHIAA*
>EED84950
MARKRPQDSDAEYSDRDGDEDYAPKPSAKKRASGAVKRRRRADSSFRDASKSSDQDTIVAESAPPSSHPAASHVIAEPSPLREALLEWYEGVHAARGMPWRKPYDPSMNNDQRAQRAYEVLVWVSEIMLQQTQVATVIPYYNRWMKKYPTIRDLAASDIETVNSIWRGLGYYSRAARLLAGAQKAVRDFGGRLPDNAKDMEANIPGIGRYSAGAICSIAYNDRILAVHAPPKSKQTLDILWQGATAMVEGGTRPGDLNQALIELGSTPWCQAVKLADGKEPEGAASKSGAEVLDIEEICTLCEPLPVGRPVTSFPMRAEKKKAREELDIVNVIEWRSQADGGGRWFLLVRRPEGGLLGGLHEFPTSPAVPVTISAAAQKKVPSALLRDLLTSPPAGEHGARTSGDQARGEISGAELEPSTALRIVHVEPAGDVIHIFSHIRKTYRVQWVVLEGGGGTPPALAAPCRGRVVVSGAATQRARAGGRTPKTRVGVSESLTAAPGDGDDQLHAAQAAWVPMADVANANAALRAHSDRRRRRRRLAAISIGTGVLKVWRRACTLWAA*
>EED84951
MPSALILIADGTEEMEFTITYDTLVRAGIVCTSAYVPAQDAPEADKTALSFATCSRGLKIVPDTLFSPQAAVPEHYDLLVVPGGAKGAQTIAQSVPDWRTGTAFPFALTLVELLCGAAARAEVAGPMVFPAGTFA*
>EED84952
MSRLALISLASLALLAPIHAAPTDFQKQNALDAQKLNAQFASLASSSSCSEGQQACVSSAFAQCVSGAWQTTACAGNTTCVALPLVNKAGTSIACDVLEDALERLEAAGVSGGLTGDGSNSTSSSGSSSGNATASGVVSSVLGTDTALPTATSVDNSTADPTGIAASLTDSVASLPTGVSSGSGDNSTSGDAPSTADGVSATATVALPTGSASDVFPSATDAGSSNANATAVASAAAFDASSAVSATDASAALPSATSVSGSSDSPNPSNSSGSMSSGSMSSDPLSGGADNSTSTSTGPFLAERHHGKDHKDGNGNSTDSGAGAQASNSTDLNSTAASATAAASSVASGTANGTDVSGAASGADCTVTVTVTVGAGGASSAIATGTAAAGSAVATDSAAASSALASATDAASSAAASATDVASAAAASATDIALSADASATDAASSVDASAAAAAASATDSAAAVASSAVSSADSASASAFA*
>EED84953
MSRPKVVVARNLGPDVMPLFNRSDLDVVAWHSETEACGRKWLLENIVGASGVLVMLADKVAGPKLRIVSTMSVGYEHVDLQQLTDRGVRLGYTPDVLTDAVADLSIMLALMAGRNSRETMSVWPSYPWSPFAFCGPQLSANWLHPTRTAGFIGFGRIAQATLARLVPFGFTHCLYTGNPASAPTPERDATLARTLGVESVRRVALAELARESDVVFVLAPGAPSLRHVVDAAFLRGMKKTAVLVNTARGTLVDSDALALALREGWLWGAGIDVVEGEPHVGADHPLVKEPRCVVLPHIGSATTETRLGMATMAAKNLISGVLGDTMPAELSITR*
>EED84954
MAIVSRVAIVTGAAQGIGLSIALRLADDGLDVAVNDTASKSEQLEDAVSRIRAKGRRAIAVLADVTQETQVEDMVSQVVEQLGSLDMVANAGVLVVQPLMEASAEDWDRVMAVNVRGIMLCYKYAATQMVKQGRGGRIIGAAGFSAYCSSKFAVKGLTQTLELAEHNITVNAYAPGLINTSMGDAGPEVIASIVSYLAKPESYFITGQTILVNGGVIFD*
>EED84955
MTVDLPLTVNDPGTIMTPSAVINDNPTPGGNGYNFTSTVCRSNWQGHETPALSIAIFHATFRIFIKFRYAFQSTSSSMACEVVGFGCGGYGYQCWASHLPVVPVVPTTGAINRRLYDSRKVAATFPTACADTARYSRAQFCRRRGTVIVMVANAGVLVVQXIMEVSAADWDRVMAVNVRGTMLCYKYAAKQMVKQGRGGRIIGASSVAGKKGWAGISAYCASKFAIRGLTQSTADELAKHNITVNTYAPGIIKSSMAQALGDGLEACGMPADLPLADPEVVAAVVSFLIRPESYFITGQSISPNGGAVFD*
>EED84956
MLYMLPLTLLAALPLVPVWAAPYKCSLKPQSGVSAAASSTGSSSPSGGGTGTTSSGGIPASDLVSMSWFAGWHATADPAFLPANISWSKYTAVSYAFAVTTEDPSTVSLGASDEVLLPQFVQAAKQNNVKAMLTVGGWTGSQYYSTVVSTPENRDTFANAVLGLVSKYDLDGLDFDWEYPNNVGIGCNNKSPDDAANFLSFLQTLRSQPAAKNLTLSAPVGLKPFVGSDGSPMTNVSAFADVLDYIEVMNYDVWGSWSTAVGPNAPLNDTCAPAADQQGSAVSAVAAWTAAGFPASQIVLGVPSYGHSFTVEPSAALVSGSESTLAAYPAFVASQQPLGDAWDTNATAGVDQCGNPTGGPSGIFDFWGLVEEGFLTDNGTAAHGIAYRFDNCSQTPYVYNPDTKVMVSFDNPQSFAAKGSFISDSGLGGFAMWETGGDYEDMLVDAIRKTAGFSS*
>EED84957
MSCSVSVYCEDDVSSVRLRGSAAARLKKGSATTIDGLRKLTSMVGIKPCTRESAAAACISRLVSCMSKSGASGIGVELQPWYTSTHSDPEISREVKKKGGDPLASSGSISVAHTDPLPGASGGCYRSPGLVRLEGPQVTACYGHLCAAVLYEGAGEHHMPSRVTEEIPGS*
>EED84958
MSSLIHEQIYRRHTWRHFDFFMQAVIHPIHKEAPEDNHTKMRAYTYAPSENHEQIVEETMWLQGALFGDILYGVELTLFLICVKLVLRQFNRHDYKRPASLLILITVLFILGTLTTLSNMAMTQKSFINNRNYPGGPSTYEVDMYSIPMSEFGIISWTIGNWLMDALLEFLQFLCGL*
>EED84959
MPYKIYSSHFYAYINLLTVVKVAIVIYLEEEIIEQRFVGLVCDRIGRKAGLVFTISLIINGATLCTAAHGADGSPDGLSWFMTIARGVVGVPNRSYPTSAGVAAFKLVQTNFGKRWTFIVAAIFGALGMIATYFFVPDMTGVDLGEEDAKIMQYPYENGWTWSCAAGLGSCVGDEHTQHREDFQIRKFPQFI*
>EED84960
MRAHPPQGHLRACCVWVVVPLHWDWGSSYSSGSLGIRISEGACACTLAAGGIAPDNGNAAVCRASGYSNSGCRASADSSDRLQTSRRRARGIRALPLPDPPTCTAHSFDEEGPDTTISRSGIYVFASIRIARVSMCVHSSAPGPPESRIPTDAVSGIQVRETARFLQTSRGMMHQREAVGHGCGATAALCTFEKQTMPPLTDALAVLANMTAEFTLDEEQRSWPVVYGFRRKGWTIPFAAFSCEGVRCLAKLSFIAESIFCDPTLDRGPSVWSRIMHNRVPREAAARTGQQSHEFPTAEEVHQWRLRLPFSW*
>EED84961
MSTGVGQCTGINEQPNTLRHGVPYTDCLDATRRQWSATQPYISNLPVRGPLCTVERKWIALIKSVLMVNPYAPDESHHDLVAETEWLQGAMLSGILYGVEVTLFIICFKLLVQQMRRANYKRQCILLAFITTVFTLGTLLMYSIADMTQLSFINDRNFPGGPAAYEVQMYWIPVDEVGVVAMVVGNWFMDALLTSKSSPFNSVDFTVPYFATTLALNIVVTILIVSRLLYHRWRLGRALGPAYVSHYTYLAAILAESAAFYSVFSILFLVPLVLNNPLSSVFLQALSQSQTVASLVIIYYIASGKTWTETISTQATSVTRQRSNTIQFRDLQTSSSRSEHECDLPKVDITVVREVVTDGERASVLNDRLCSK*
>EED84962
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARRKYDEAVKAADEAIDHHKRLLCQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQVTLRSTTIPANWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARHVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED84963
MRLPERQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRAARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLATAPRIAAAAARQGGGKPNQGGSGKDDFRARIKALSADEKRELYEELTMEDF*
>EED84964
MGDSYAHDESQSQIVAETMWLQGALLNNLLYGIDLILFFICCKHVVRKMTPSNRKRQVCNLIFIATLFMLGTLGTFGNVNMTQRAFINHRNYPGGPARYETDMFWIPSNELGTVATVFGNWLMDSLLVWRYMAIFAGVSVVPTWAIITVPCLMLTASVGLGLLFLVETSRSSPFDAVTATVPYFAMTISLNVLLTMLIVARLLRFRSRLVRALGEEHGKYYGYLSMVLVESASLFAVFSSMLLITEAVNSSLAYLILQIVGQIQVNNLIASDHHPHNQGEELFGRHFHNEANIKPAIRRDVNICIKFSWAQYNNIPRGVILL*
>EED84965
MIYNSSWAPDEPPDVLYAEKTWLQGALLSNIVYGVELALFIMCFKLLVRQMDRTNRRRHLILLVFISVLFILGTIFVYSLSEFTQLAFIEQRNFPGGPSAYENDMFWIPVDELGVAVWVIGNWLMDLLLVWRCIVIFSALPGVPIWAVVVLPSILFCASFSLGVLFLVKTSHSSPYGSPKFTIIYFATTLALNVMVTVFIATRLLYYQRRARTVFGGMHLPHYSNLASILVESAALYSIFSILFVVPLALNNPLGSVFLQALGQVQTVSSLLIIYRVARGRSFPGDESVQLSTTQRSAPEIRLGHMPSVRSSIFDMGNGVKGDTHKEALPNGGVMVTHEVISDESRSVV*
>EED84966
MYLNAGRRVVWYTEARCNDNAGVADWAEASSGLFIISSMPHMIHHPPCPSRCIVNMKPAARSLAEKDRCLVMNLQNSACDVGVGSLAATSDSETERTLPVPVSRTWHTDVGTMILTLDLIRTNAFCNMRVACTKACGRNISETYSIVPGYLMTPWEYSLENSDAPALKLLFKYLETAARLFAESTWLQGALLSNIVYGVELALFGICFKLLVQHTNRQNYRRQLFFLSFVTLVFILGTVFVYKTPLVAQRCLGIRCWIPVDELGEVSWVVGNWLMDMLMVWRCIVIFSNIHGVSFWPAMVLPCLLFRASFSFGVLFLVKTSHTSPYGAVGFSVAYIATSLRLNIIITVFIAARLLYYRHHFGFRGIVLGIFNPVHCAATATIPAGVCVFQAMGQVQARRFLTQLE*
>EED84967
MNGLYILIPDTSHMLAAEHPPRDISTPALSDDTGSSSSRESSRPATPTSGVSRAPSISFDDYSKHTHAGEVTDIKIVEADANSPLPEDITHPLKRRRLTDTRADEVRAERALLPNVWSDPTRADDGALFGLGHTPISDCTSVGDVEPDAVDPSLEYVSRPLKRKRSADTSTYDIRAKRVRTSGTSNDATKAEDRACFGLGHIPIHDYTKIRVVEPDADPPVAAGIDIHVPLDAIARPPKRKRCANTTADEVRVKRRRLSDSPSDASKLKDGIPFGPHPRCMITGCVSAEVEACYILPPDTPQPLGDRTMPRVPTESNAVHCSTSENIIFLRRDLRIVWETNHLLMIPHPDHIDHPDTRPVCMFYTYHVIAEDEQPSNSGTPQDHTIIPLATTADCSYRSLGWHELSANLHLMTIRVGRVFIKRPLHYEHALSNNLLVHIPTIALVHPDALEPVSPHIEGESPVEGIPRLPKRKRSPETDIDEVPVKRMRTSVTGCNASNIKDAVRFGPHPRCMITGCVSADVEGCYIWPLDMPQRLAYKYCVIAEDEHPPDSCATMGNPITPAVMAAPCSYRSLGWHKFKADLRLMVFRAGQKLSKRPFHYQRILRQLLPHKEINHTHTIVSRYSSWTVPLNLERVRGRRLWATGELTPFPDGYFRSPRKQYCPPLSDDDTARLPCPLRPIVSGIKRKRSGDARADSEVYTTEENVQQKVSICLWRRYCDQARDEWTMGPPAEPEDADLLAYRQEEAGDMLPAAQRPWFAEWHSSNRAIGCISEFPSGRCGNYMRGPCETPNSVYQPPHDDILRTCETIYRPYVDQPLGIGSSYGCRKDQATTTECGDFSRTCSSSSPLAWKLLYTKAMLLQTADAVCKTISFILASSQSQVDPLWTQSRDEWFQTPSVIGLSTNGAPVYTSILALSRRDIGPPQGRGNPHVSA*
>EED84968
MALEKQAHLLRMQSS*
>EED84969
MWTSSAFALLVAAAPALAGVQELWWNITYVYNANPDGLYPRRVIGINGTWPPPPIVVNNTDSLLVHATNSIDSPATLHHHGMFFNSTSWMDGAMGVSQCGIPPGDTFHYNVPINTSDQHGTYWVHAHSTGQYVDGLRSPVILRPAGPERYTYDEEFTIAMSDWYHDQHATLLKQFISIANPGGGEPVPDAALMYFAQGTQYLGPKEGTNPSPVTAAVGFNENATLPFEPGKTYRLRLANIGAFAGFFFWIDGHDMTIIEADGIDTEPYPIDMINLGVAQRYSILVKARNDTSAKNWAIHANMDTTMFDTVPDTLNPNATSYIEYSSAAETEDLGTVDAYEALNDTLLTPADVVAMPAATRTIELEFEFDTMDDGTNHAVINGVTYNSPNVPAIMSALTLGANATVAEAYGPQSFVVDHLDVVDIVVKNADTGKHPFHLHGHTMQLVNFAADYTSDDPSLNPPINESQANPMRRDTVLIPAGSAYTFRIVADNPGVWFFHCHIEWHLEVGLAIQLIEAPLVAQQRNNMPSYMNDQCATLGLPFSGNAAGFASTTDLDGLTVGPFLQNNGWHPRGIGAMFGCVLTAVLGMITVTWYSLGGSISEAEIEHEERLRIEAKAERGRFFGLAKKVRGLRKEGAHGDGAGTVL*
>EED84970
MSDYWARRDRLILEDRAQRVDVEKLRTLSDIEAQAEQIIRQIRVEEAAAVWGVGSRSVFEYNPVEDSPNVFPGMAFLTDPCTIIIFVQARETVANTKLFKILNRMPKGALLHVHLDATVNVRILLELALAQPAMHVRTLTRLTVSNIKARLPMFKALPPDQIASFSSLTDESYPGEEWVPLHRARETFDASLGGEAGFDDWVVGALMINPAEAYGRFNTPMKIWAKFSSTFRICHPLVYHTSIWGEYIRRFILSSIEDGISYIEARINFLSRYMTGPDGKENVPHREWLIMYDRVVKDVKEELRPQGREDEFVGSRIIYTTIRVVTPEELEWYLEDCLALKQEFPHLIAGFDLVGHEDSLRPLIDYIEPLTRFVERQKEVGVEIPFIFHAGETLGDGDAPDMNLYDAILLGTKRIGHGVSLVKHPRLMEICKERDIAVEICPISNEILRLTSSMPAHPLPVLVNHGVHIALCSDDPAVFGNMGLSFDFFQVLVASEVTGLITLGEFAKDSIKHSTLDKDEQRRALALWEIQWQKFLRWVVEQQMIFVLYIFLPISTSADLWDIMLKSTLWAVIGFRRRRWMVACKPLPFSCALRRTHNPSTDNAESRRDSPILMLQLIATTDAGTSGGLSACSNDIAPERRIIMLAMDAKLGFKTTFYSPYTILANASPGSVDSSVWDTSSACFALAHSTVADAALVNVSPTLCTASYLYLSHTARRLHWGRLKDLLLLP*
>EED84971
MARNLFSVPIFFIVFRETLEAAIIVSVLLGLVEQIVHEDPVALGAAPTRPALSPRYTDDNKENSPDASSASGFPDSTGEEDAHAPARLVRKLRVQIFLGSALGLFVALAVGAAFIAVWFTQAADLWAKSGELWEGIFEVIASLLIFVMGVTMLKMDRAKAKWRVKLQRAFSGKQVDRETRAGKWVLFILPFITVLREGMEAVIFVGGVSLGQPAVSIPIAAVVGLVCGLVCGYLIYAFASRTTLTVFMAVMTNFILLIGAGLFSKAVWAFEQQRFNNIVGVDVDDTRGDGPGSFNVLGMVWHLNCCNPENNFDSDGWTIFSAIFGWTNTATVGSVVSYIAYWVVVMAVLVYLKFKEGRTTLFGMESAAGIRRREHREREERKKHAEIEEREREQAVSVEEKSRPATPEVA*
>EED84972
MNGLYILIPDTSHTLAAEHPPRDMSTPALSDDTGSSSSRESSPPATPTSGVSRAPSVSFDDYSKHTHAGDGTDIRIVEADADSSPLEDITRPLKRRRLADTRADEVRAERALPPDIWSDAIMVDDGAFFGLCHTPISDCTSVGDVEPDALHPSSEYVSRPLKRKRSADTSTYDVRAKRARTSGTSNDATKAEDRACFGLEHMAIHDYTKIRVVEPDADPPVAPGIDIHVPLDAIARPPKRKRCADTTVDEVCVKRRRLSDSPSDASQLKDGIPFGPHPRCMITGFVSAEVEACYILPPATPQPLVYTYHVIAEDEQPPDSGTPQDHTIIPLATTADCSYRSLGWHELSANLHLMTIRVGREFIKRPLRYEHVFRMDALVHIPIVQLAQPDAAEPVSPHIERESPVEGIPRLPKRKRNPETDIDEVPAKKMRTHASAIVRHFDRRNAWQLQHPALSCTGECYIPCAISTLDPSFVASHTAYKYCVIAEDEHPPDSCATMGNPITPAVMAAPCSYRSLGWHKLKADLRLMVFRAGQKLSKRPFHYQHILRELLPHKEVNHTYAIFSLYATWTIPLHLEIVPGRRLWATGELAPFPDGYFRSPRQQYCPPLSDDDAFRFPRLFRPIVSGIKRKRSGETRADIKVYTAQEDAQCEVSVRKWRWDCDQARDEWTMGLPAEPEDAELLAYRQEEAGDVLPAAQRPWVYQPPVLIFQVTIPSQHS*
>EED84973
MLSIPTTHLSPWLSSLRSVIDDSDSDLDSPPASDLGSDIFDDHSGLLGSTETSFSSLFPDNSQEDVSTQPKTTGSTCLSPHRLRTLKFWRYLEEQGDTSAWIKEVLAYMLSKSIDLPILLRLLSWGAEELINDPFMRFEWTALMGSSELSECLDEWYLPNRSHERGVKTQGTHQTLTHWATSCVGDMVTKEMVKIGKVLHSELEELSEETLLAVKWESLTNTTKQEAPVLWQLLRQCAWTADQDK*
>EED84974
MNCYAHLPVLPPSNVAACQSLVHAFDSQDLVDVYIPDSPDTLILTCEQQPCPNRTPRSINQDYPRYKAIRRAQHPLGPRSTLASRSASRHSRPVSPSSCLPQPIAGPSQARGDIPPDPAPEREPEESASEEGVSEPEPTDPARPALPTALASTSAVPDVCNPTAELPPAPSPPSPPRGRSRTHSPQSPTSRGPPQPPPPPRRPPSPPVPIMSSPSTALDKETLKLLLPLRYDGKTVIECDRFLSQLRIYWLVNTSLTIIELKVQVALSLLDGDACTWTTPYFAQLVSVQLGIQGITTPFANEAAFATAFKARFGNLDDEAAAQVELTKLCADKSVHEKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRIYRKIELETFTTWEDADKRATEVEQILDISRARRPELNNFFSA*
>EED84975
MVDSLELRRSDRLKDLDPVSYDECSVSGSQPESEPKPAYGKRKREISDDGSDYRPSREGTPVNDTPKTPKRRVSRKSGITNGARQRAVKASRNGGACIISGLKDKSVQQCHVLPRATDARILTSLEWWWGIKKEGLNVDSSRNMAFRASSFSEACRYARAYLHTVRGDLHILWDRGDILIAPMPDVVDAYVEKYKDGERHDILEVIGKKKIHWYCVIPHPSLSGGARSRAKRAIRQGFTNGFHKLNFVPSHARPHFMIVNAAMKIMENKELWVRCLEEFYERIHLKIDASRFAENLLTLNALWTAPPPGEAQLRRKQAYMLPMNIPTGVQRTPERSKDRKPEARNSNGEPYVNTLKSQVAQLAPTGPRCLLTHQDDKSIQGCHVIPRGTNGNLRRRLAAWWGLEDFDIDTPFNLFLLRADLHSLWDKGHIIFVPEPQIVAEYPIRSIVPIDVGMPLDEPFRVCEGPLYRYCAIGHRDLPRTEEDSAFPRALDTISWVFSRVPPGFVIYNVGLALSKGNGPGSFETALDAFYKEHNIEYEAINVLTGIKEIYNQLLEGMPHHGSMDSTTEEAVSMDSDTEEACWTDSTTEEVFSMDPAAEELFGTDLATEEACRTDSATEKAFSWDPATEAAVWMDSDTEEACWTDSTTEEVFSWDPAAEEAFWLD*
>EED84976
MSRQESADAWHFEQRKHSRRQRLIGSWAYTLPVAELDDVHAFSPHIDLHVPLAAILAAQSEDGVQTRRPTSTGSVTYQALTIAMMGALRTWIHCGGVLRAQREDSRIVVDSRGDAFRGREGLRLCTGREEEATVSRFKLMLALSMEDPVGINNVAAFAGVVVGLSPEASEVIDEVAAFAGVALSVEASVVVNEVAALVKEGPIIWSEPPVVLETDGKEWPAMSIGVPPPERGQATGETQTKGAGGVRLVLTEAMGQEVKTEVPRVAEAGLYTGEDKGRLCALVHAQLVRAQHADTAPGADNDMSI*
>EED84977
MVDGFNPRRSNRLKHLPKVDYCENKTADGKRKRGALDEEDEYTPSREGTPENQKTPTHAPENQRSPSRRVRRKTAITKDARQRAVDASQNAGACIISGMKDKSVQQCHVLPRATKPDVLTALEWWWDIKELNVDSSHNQVFLRADLHALWDRGDILIMPMPDVTKEYLDTWKDGGRHKVLEFLEDQIHNYCVIPHPDLVAGASPSGNSPIRPGFSYGFDKVNVIQSHAKPRFMILNAAMKFKEDKELWVEALTAFFKRINLEVDASGVVEDTLTLSDLWTVEPPTKAQLSMKNEKEEVTKDESSLPITVHTGEMMTPQRPKALVGPGGLEIDKHSKSRAHKLEGEPCSSNLKSYAARLAPTGSRCLLSLQEDKSIQGCHVVPRRTDIDTCAKVAAWWGIDEFDVDSPFNILLLRADIHCLWDKGHLIFVPEPHIVEDYVARSIVPIEANLSLDEPSQVCNGPIYRYCVVSHRDLPDTEESAAFPRDIKVVGYVESRVPPQFVIYNAGPALSKGAGPADFAMALDAFYKLHKVNYKAINVLRQMKTLCLRWPKSLSDYGSVQPASQDVSFD*
>EED84978
MSTSLTILLLSSSPANSNRALIARPDRLSKIMSDTRPSEGPNTRLAPAVPTHPDPRLNTHVLSLPAPASLKLSPVQVKQEEIPISLQTLRQSQSLKRVGQSQPPPPPPGHPPSPPPPIMSSPASPPDKETLKHLLPLCYDGKTVIECNRFISQLLIYWAVNTTLSTIKLKIQVALSLLDDDARTWATPIFAQLAAVQIKIQGAKTPFADEAAFLTVFKAHFGNLDDTAAAQVELTKLCTDKSLHEKRTTAEFSALFKGSVDHSGYGDLELCDK*
>EED84979
MVDGVKPRRSNRLKHLPKVDYCENRTADGKRKRGALDEEDEYTPSREGTPENQKIPTRAPEIQRSPSRRVRRKTAITRSSRQRAVDASQNEGACIISGIKDKSVQQCHVLPRATKPDVLTPLEWWWDIKELSVDSRHNQVFRASSFQQACRYAWAYLHTVRADLHALWDRGYIAIMPMPDVTKEYLAKWKDGGRHKVLEIREGFTYGFDKVGIIRSHAKPHFMALNAAMKLKENKEMWVKVLKVFYERIHLQVDASRFVEELLTLSDVWTAPPPEEAELIMEEKEQAAEEASSLLATVPTGEPMSPKRPKGGLVIEKRSKSKAHKPDGELSGSNLKLYAAHLAPTGSRCLLSLQDDKSVQGCHVVPRRTDDRTCAKVAAWWGLDDFNVDSPFNIFLLRADIHCLWDQGHLLFVPEPHIVEDYVARSIVPIDGGLSPGEVSEASNGPVYRYCVVAHCDLPDTEENAAFPRDVKTLGYIESRVPPQFVIYNAGMALSKGGPDGFAAALDAFYKQHKIHFKAIDVLKDMAALFQQYSTNLPADRSMDSTHQDVCLS*
>EED84980
MNGLYILIPDTSHTLAAEHPPRHMSTPALSDDTGSSSSRESSRPATPTSGVSRAPSISFCDYSEPTLSGEGTCIRIVEADADCSPPEDTTRPLKRRRLTDTRADEVRAERALPPDIWSDATMVDDGAFFGLCHTPISDCTSVGDVEPDAVDPLPEYVSRPLKRKRSADTSTYDARTKRARTSGTSNDATKAEDRACSGLGHILIYDYTKIRVIEPEAAPAVSPDMNSHASLEAITRPPKRKRCANTTADEVRVKRRRLSDSPSDASKLNDGIPFGPHPRCMITGCVSAEVEACYILPPDTPQPLVYTYHVIAEDEQPPDSGTPQDHTIIPLAMTAECSYRSLGWHELSANLHLMTIRVGREFIKRPLHYEHALSKDVLVHIPTIALVHPDAVEPISPHIERESPVEGIPRPRKRKRCPETETGEVPAKRMHTSVTGCNASNIKDAVRFGPHPKCMITGCVSADVEGCYIWPLDMPQRLVYKYCVIAEDEHPPDSCATMGNPITPAVMAAPCSYRSLGWHKLKADLRLMVFRAGQKLSKRPFHYRHILRELLPHKEINHTYEIVSRYKSWMAPLHREIVRGRRLWATGELAPFPDGYFRCFSTRYCSPLPDDDAVRFPCPFRPVVSGIKRKRSGHTRADIEIYTAKEDAQREVSVRQWCRDCDQARDEWTMGPPAERTDAELLAYRQEDASNVLPAAQKSWLVENYFAKWQWTDTDTPDPAELCVAILAKGRDVQYF*
>EED84981
MLTRPRGPVPRAAEASLYTGEDKGRLCTLVCAQLVCAQHADAVLGAESQHLTRDGTCFRGNFRSGLTVVRGRRCTLMGSIPPVNLVLQRRFPYIVGNGLRRSYCNEHVKGLLVGAGSNWQECPTPYPNNPRVKTLMGTWSDWSGTDLRRVRRLNGNRRLNTQLTTRLGGGDRPVHVDHHDHETIEVHPDAVDPVFVYIEQNSPPEGSTCYLQRIRCANTTADEVRVKRRRLSDSPSDASKLKDGIPFGPHPRCMITGCVSAEVEACYILHPDTPQPLVYTYHVIVEDEQPPDLGAPQDHTIIPLATTADCSYRSLGWHELSANLHLMTIRVGREFIKRPLHPEHALSKDVLVHIPIIRIAQPDAVEPVSPHIERELPVEGISRLPKRKRSPETDIDEVPAKGVLYPPSRHAPAIFRRDLRELWETDRLLVILHPDHLMGEIYRTVFKYGVIAEDEHPPGSCATMSHPITPSIMTAPCSYRLLGWHELNADRRLMMLRAGRKLSKRPLHYQHILRDLLPYKENNFAYTTIRWHGSWTRTFPFERVPGRRLWATGELAPFPDGYFRKFRRQYCSPLSDDDAVRFPRPFRPILSGMKRKCSGDTIVSSQAYTADENAQREVTNIQNGLLGNLVDPSSRIEVLSSRCLADPNGLILTPLIRAALGISAASQSVLPAAPMTCAVLARRHTASSSRRYIPLCMLEEDGLRYITSANCQQQQDIRPERGRFGPYVDQPLGISRRVVLREWYQHNSARQLSRTVALHSYTRV*
>EED84982
MYLYWYSRQEERTSTMMLY*
>EED84983
MHARFLTCLLLASAAWAYEKLCAVKSLGGGLDDGPNINAAFKECSENAVILLDNYYSVNTLLLTEGLNHVDVVLSGTVQYTPNIAYWSPNSLYLTFQNSTTFWFLSGNDVHIFGGGTIDGNGQVWYDTFNVTQNSGTAGSSTLSFARPIVLTIGNSSNVVVEDITEIAAPNWVCDGWDIYRSSYVTIADSTINNGDDCVSFKPNCTNMVYAGETDIVENVFVKNVTMRYAENGARIKVFGGSPDPDSTSGGGSGYVKNITFEDFYVYEVDSPVVIDQCYFTSASECAEYPSQLSISDIHYINMTGTSSGAEGSVVVDLNIATVDELDFDCVAPSS*
>EED84984
MNTIYVRARQAWVDLGNPCTTFIPPRPLCGLVKDRRVLQPAKQPSLDHSASSGGDDDSNSDDGETPAGSESAHAHQSEENSSSDTLVMPPLQGDVVGSVEETTEPPQSGNTLGVYRVGNLWACPICHSTSKTPNDAKRHLVTIHGKKKFRCPACDRSFNRRDAFKRHFEGVTMSACKDFMVTTLLPTESLRTFNASRYLVAADTISLENREDGGMQHQPHKAQAELKRTLSGEANAEQRRLLARPFKHGQLNRQRCRLMDLGTLATLGLEELKQNSVDRLRSNIVVDTGTPYTASNTGSQKRKLDVGNKELKKSHGRDTKRASPSSVVGNNFPIRGRMLATSNHAPTVTRPERSIGRICGSVQAVTWDTSVQTNCDQNSGTALCELPNSKGALGRMLGNRRGPKTDAGSFLRHREGMHGIIHKGKKKAAESGAVKSEKGRSTRKHKPYSKRTNTGVDITNTQGENGLSELTTYFPQMSLAARQHAIAPQMVDPFGPDMLVTLERLQNDLQDSVEVHQRSSEKTNNNDILSFVNRVRNVQEDSRDLKW*
>EED84985
MSVSLSWLLLVPLGILFKMWYDKNAGARRSLPPGPKGWPLLGNVNDIPEVAPWLDYADLGKIYGGIIGLKVLSQSLVVVNDLSIAHELLDKCSNITSDRPANKLLDFLGWEFSLVILRYGQRWRDQRRLFRQAFRPEAVYGYQPIITQKARQLLLNLLDNPEGFIDHARNYPASIVMRLVYGHEIAPRNDRLVYLADKAGEMVELLLLPGLDLIKVFPFLRFLPEWNFLTGFPRKARISRDMVKEMRDVPWDMVRDQMTAGTAPSSMSSDLLERSSKLGGDMSKEEATIKDTVSIAFAAGTDTTAATLKTFLLAMVLFPEVQKKAQEQIDNVVGQRLPTFDDRDSLPYVDAIYQETQRWHPAVLLGFPHVSTEDCSYKEWFLPKGTVILPNIWAMSRNAEQYPEPEEFRPERYLTPSGDRTEESSEFTFGFGRRICPGRHAADASLWLAISSILAMFSIEKAKDENGKEIPIPGDYGGHGLVSHVVPYKCVFRPRFEGAEQLIRSSK*
>EED84986
MSPAVWFITGASSGMGRAMTEVVLENGDIAVATLRKPEVLSELAARYPKDRLLTVKLDVTQPSDIAAAFETARAAFGRIDIVFNNAGYAILGEIESVPDDVARKLFETNFWGAMGVAREAVRFFREVNTTQGGHLISITSMLSVATSGALGYYTASKHAVEAGLECLAKARSEMDPKWNIKITNVLPGGFATRGSQPESLVQTELHPAYKEQATVKHLRAYIPNAPLPGDTHKAMRAIYHQIAQNPNPPVRVPLGKDAIIGFRAVAKGMVDDADKNEALSADLLIEGKELMTHLDKQSRSAGDESMKIRHPEVPSVAMFHAVYLQLCEEDCRQVPDPTQRTILATAIGRREVTYAEADHHVSWDPVRAGGSLALSSSVRASTQSLADQCCVSIQEALPSAVFYSNNSAYVEEESTYYSAQQAALTPACRVAPTSSDDVSQIMKVAISTGCLFAVRSGGHMNWNGSSNIDASGFTIDMEQMSTVSLASDQKTATAQSGAAWSKVYDLLTPLNLTVVGGRASTVGVGGFLVAGGISFLSYQHGLANNYIAQYEIVLANGTIVNASATENTDLYWAMGLGSTNYGIVTSYILETFPLDGMWGGTVGLNISQAPEVMDYLANFTLKLNDDPEGLSAVTLAWNPDVQDYDLFISNAYLHPESFPPLFSGLEEFVPSATTNTLAVTNITSLTAAFAAGDPSGFRTNWWTLTFDADAQIVLDVFDYGKQLFADLVTTNGTQWSLDIQPIDQKFIQATQAGNNPSGLSGDNLFLILEHVIWTDPSLDDEVHSKAQQFLDYAQNLASQRGVLNQWLYLNYADGSQPIYEQIGDSNLERLQQAKSAYDPSNLLGQLWRGGFKLPQ*
>EED84987
MHSEKLKNRALRTSLWIQLVGSFFNWGLQGLLFLQLFYLTFLAELLQTIFQSAGVYAQLIIVYLPPGDATLDLLTYGMPIMSVIISVALPILNQNMTLIASVGQLSLGQATAGLISTVMSGSQSGSVWLSGSALVDIIIAISMALLLHRHKTGHGHTDALLNRLIVYVVESGAVTASVAVLALVFFIRDKVVLQRYEDKYKGVQGPALPILLCDLPYANAAFISLNNRKRLRTSPTSTRMTLTMESHEMRDQLASGELRVAPHDLQSKGTGSVNYPPQSPLVINVHREVATARFGDDASVVDVKFRERGSDTV*
>EED84988
MSCLSFPVEELSRLRVCVRVLASGAFSFSVQPSFDIANGSQNMGDPNASCSVVFETSSDGEGHTLQVYTKSNHPPPIVPHQEPASTSFAPYPGGSVTDHSSNVAQEFEFNNFDMALSDFDFSSLAQSSIPYDSAAFATSSHCEWPSYDQLTGERHLNDHPNSSSTNIQFAPSDAPAIDPDAPVASGSGSPPEATQTQGGIFSDSSDTASPESSSPETPADSPEPPLSSGRRKRTLACPKCPRHFSNEYTLKVHSRTHKPKTPKALPCTMGCNEHFSRQHDRLRHEVVKHNRVCEWVCTHCKKFFSSERTLSNHLCQAPGAPTRWEIN*
>EED84989
MFTPLLKLPRKLKVYGCRGAGLRFELDLLNKERLLRHINLGFTITLRGKKILQHRIYDPAAHSRSSYNVLDLVATAVHPKSWRLVIVDFCINATLTELIGSFFNWGLQGLLSLQLFYVTFLMELLQTTFQSAGVYAQLIVVYLPPNYMPLNLLTYGVPILSIFISVVVQWFYAWRISVISGRRLRWLAFLVVLLSLGQSAAGIVSTVMSGRQSGTHWEVSATPIMVWLGGSALVDIMIATSMSLLLHRHKTGDGQMDALINRLIVYVLETGASTAGVAVLTLALFIRDKIALQRYEDMYKDVQGPALPTLLCDLPYANTAMISLNNRARLGRSPQTTHTTTDTFELTEPQFSSGQFCLPNPDWQDKTLEPAHSIQQNPVLINMRRDIVTSHTATDTIC*
>EED84990
MGPDARRADGPGEEDGKEATSSQGGDEGLLYGPECHATPWWQDVDRTKSHDSRG*
>EED84991
MFLVLPSRTSHPPLHRTTQSTWFLWDYQPSQDHRSSSTTEQIAASSPPQKGPPSTLEAAPGVVIAHQELISYYQRHPPAHPEDVFTILRINVEPTQTAESTQSPTSEQPLELPEVQYIPIKIPDIKLPPAPPAPTNTLVTVPMATFTQEDINQRIAVALAAYQSQHGKAEDLRCFIQCVLSYFVATNNTRLSDEANIAFTVALMRKDLGKTWVDAYYEKSAGGVQVYSTWTNFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDTEVNGTNRVKNDYHTLHANFVKGLPKELYFALATRVTRDQPNTMKAWGLSSSQTLGTMANQWISTLLPSHQPLPPHQEEGNGN*
>EED84992
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKAPPDPIPFSPSNAAEHYHPGRLVTQPWLDPKGKLQAMRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRMGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPTSPITGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLRKRREVFDGVEILQRKKPTTTAVPKSAEASGSGTSKGVAAPSSTSTSTAPPPTIPAAAPTASSSSSTQSTSHPITKSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQHSMKSQFVTLTPEELLSIAPDVRTKYRDAVTPKRVSTEPVASAHIVEIGADEEQRWNPVLQSSPTPMKPI*
>EED84993
MTDTDTTRPRFPLEVCEQIVDYLHNDRAALAACGLAGRVFRYRSRFNMFKEVDLRKERAYALFISILNRSPGIADYVTSLCLGKPPARFVLEDLLRVLTELQNVTDLMLVGWSAFDIGLDTRVFQRRFSNIKSLFLANIEIDEIDFLLLFHAMPSLEHLTLCTVKVLPAPEEYADQLPVARSNSMHELHDMIFVHVSSPPMRIVPILVKAPLQLRPRKLQLVLNPKAEESVEDAQDLLREAGPSLEHLAMTAMQCEPRVSAISFADNTELRVLQLKDIALGMLDETALGTKVTTSLLDEARENIYDSQQDSPGP*
>EED84994
MLKSFFATQDTDAARLVLWSNGDLSGNAILAKWRRRYPGAFELRVVDYDALAQGTELEGSELLRVKDARAWIDGDLVRLLVLWAYGGVWVDMDSLLTRDLAPLLEHEFVTQWDCYDKLYVPFNGALMRFRQHSPYLCEAFHVMLTSQAPRKGTTDWGATLYLKLWRRLVAASVPPFKVLPFCFSDARSCRLDNRLPDPFAADPKNGQWTLGQGREEGGGLDKALGRVFSVHLHNQWEKAFPPGGWVDRLLLRRYEQKLEYKRTDGDL*
>EED84995
MDLKAAYSARSLALR*
>EED84996
MTLQVLEDVISDDSSYYANGNLRLPYVARIFMEMKDTIRSLEGNGGTSGEYCELLRAYKEVLERDRIKDDPNCCVLHDMLQNRLDQMEARLRASASQDGKGKAPLRAALPFVFDKFVIVRCGVPRSKDNAGPSKRARCDSGASGGSKSDGRPQRKKGRGPSRVTSDGFIPEVRRLSVDETEGQGGNSFEGALAEFVDVKMNILGTIEGDGGGETDNVQDTTADATVNAAATATDRDLNDEDENEEESDGEPGHDADADVEGQGSDDSPLVASAARRAIAHVKILTHELDCLKAKENVVYDAFEELQTCVSRCLQNRQRTYKKNYVRVQKLMNLQKFEAVAEAVTAAELGTDFSSVVFYLWNVFQNTIERQERILQLMNGFGDVVLPDKSTFPAQAATAFAERVAFRSLCDVTERAGVTWGTRPEEACDNRALKETRFEWVDPLPERLRTGIVADRQVPLKRVGVFLWPKYGSEVPYFSTIQTFREERLGLTRCGHREVKATEPYTSERDRISSLVIARGPSANTPFLFRARVVLV*
>EED84997
MTSVQLGIYLNVLTLSQQVLKGLQLGSEANALQLVHVVCGITAFPANLQAGLFALPLPVKLWTDTSFVGPSQLAAISACSALRAFLAGNPRRWVLLLWVPAHKNIEPNEFVDELAKAALDGIQPDFVSYSMALARVRARMKSKWDRVALDANNTAYRGRHLWVANDPPLHGATANSTWLLRHAGMSNHDTARAARFLTNHFPSRQLRDQCGWSADDVLEFLRLNPMVSTFGWSEILAEALADCERGQPHSRANARLLAHTVERVFRWQQFHRTRRKAPAFTSSLSADFADFTEWCDVETRAENLLILWEERALALAAHY*
>EED84998
MAPANNQSKAQCADKLKQKSLMSFFGKPSTSTPEKCESNSSKKAGKLTSPSASKDVLHDTSSDSPLLEVATPLSKSSTRSSGVDATYTRSSDGGWSGKDTPPTSDPIDIDMLSDEDDGASVKSTAKPPALRQKRKIVLDESDEEGGQIDAVAYREGLSVRKRSPGRTAKGPQKKPRRLTKYEKPSTKSKARKVALNDDDFIVPDDVDEDEDESSHRSRSRASSLSEAESDVPERPKATKKTAKHPYLTKDQASGGSNTFLTAAERRVQDKKAEKKSSEDPFYFLQDVRDKDGVRPGQPGYDPRTLYIPPQAWKEFTPFEKQKGKFLELYEEDARIGHSEFDLKLTQRVKMSMVGVPEMAFNFWAAKFLAKDADELVPEDGKDKVYDDIMDEIRGLEEELDDELKAMERKLGRAIAEPVASVNLSYWHSAQGTKEIYLVQTKPSQKNVPKDWTKNGSTKAAVRWVVPSLQPTIRQLKEARENRNTAIREFKNRLYAEFDTDRAVWLHAIRVLSEMDCLFSLAKASSALGEPACRPELIEGDAAWVDFEELRHPALCATTGLKGDFIPNNVKLGGDVGRIALLTEENLQLCPVDAILTRMGAYDNMFSNAIVCDLRRHVELGRGTSTYDGMAIAGAVLHQLATHTLALSFFATHYGSLTDDFAYHPNIRNMHMETMVDDEKRELVFLYKLIGGAASSSFGTHVASLAGVPSDVVERADIISNDFARNFKEKTAKKKDKVSGRLPLVAQADFAYLYGLAMGKDELPENKARRKAILSTIKGANRATANQLRSRWDEVFLAVDTSATADNGLGSTLGLRTPSKIIPVRR*
>EED84999
MPRDQPEKFTTSNYGDAVRLSVKERTRHKQYLSEDWAQHQALRAQQSPPPPPVETEETPTFSEIVANPDSPVPPIDAVNVRDWLQAVDCSEDQDSALCPHEDAVSEHFDAAITTEDDRIPTLNSDTDTEYPDSVDSDSDAEFLVLNADNNTDTEAIVEAVLGPFRAKVDDIDVVPLVSYSFDLTEGGECADPRGFIEEAEAMAELIRKARDGTLGDPRAATPDGVVPLAQSVAEGTTFSALVRIRWKMPADTGTVASDGTAELILNHSRIARPSRDTSDQKESACSESRQIVSGIV*
>EED85000
MARRLPHSEECEKGRGRPRVSGSIPPFSKALMVVPRHWHGLVCRLSGRLNKTHRADDAEARIMDVLRQFRTACETARTTEEVRLYKLCLDAAQREISRAGRGQPARGR*
>EED85001
MPFAPENYRKRKLPEVEGQLHMLLKRTGELEHRRVKRFFARTNKNRFTWQITKQERRQRILRNASNRFQSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAAENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDFSTPELFGRSSSSPEPEYPIPSTSNLVLPTPSSFRVHAQPPIASSSRLSVIPSSDLAPPPPLAPSNAASNSNLAPPAPTIPSTTTTSSSSPAPTTTTNMSQNTNAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTVANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHIHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPVVTRSAEASGSGTSKGVAAPSSTPTSTAPPPTIPAATPAPSSSPPTQPPSRPTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIIPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHSEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAPLRSSVRTPAKPSRFRRTREEDREAGSILSRVVXRIF*
>EED85002
MTEQEENMQLSPAERSEFIRVYNAILDYTPSLRELISEFQSEPASLGDLCALLDEHSRKARTQDCGDLRYTGVRYITPDPARQPVFPVIDGRQQSKSERGFQHQQCARLLVPQRHLADFDADPEGYLRKIIEGRVKIRASMLPSFVYDEKSYDPHNILKGLFRGFFLMRVFRHIFTGPRTALKVTDGPIAGKPWNAQILGMLSVKKYSIAYAAVQARQLLNSQEEWSVEDAHFNAEHFFYTILAIFDDAAHSTWYEETIDWWNRNPRDPQLWEEEGIKHHMKVFHLVGDGTGFGLIAIISGAWAVNNTNPPSWIFDDFLSIPALATNVKTRSFLSLIQVEPVQKTANLRGYKNTVPLTEITEGILNGVLTKAQYWAEQLQLNSDQIISYDVEPFLPTILTHGAPSAYPWTRTERYLPLNIYFSWSDETYDSEYYAAITQTATTQTATNLTSAAVEGQTNISGVPHYPNYSLYGTPISDI*
>EED85003
MLKVSHLQGGQITYPSSSVNNVSAATATFSSNVTDPKAAIITTYDYTGIFDDFLSITALATNVKTRSFLSLIQVEPVQKTANLRGYYNTVPLTEITEGILNYVLTEAQYWGEQLQLDSDQIISYDVEPFLPTILTHGGPSAYPWTRTERYLPLNIYFSWSDETYDSEYYAAITQTATNLTSAAVEGQTNISGVPHYPNYSLYGTPISDI*
>EED85004
MQSLARKNPYVLWSQARPETLASFSRFWGQLRLLSRCVKGGGHVMNPGYSSTSGVTIAMTRFNVVDYDSDTETVAFGSGLIWDDVYKALDLYDVNVVGGRVSGIGVSGYTLGGGSVTLLHSQNGILTLHRLLLADKPTRPDTRHSASLRIVLPNGTVINVTDSNNPDLFYGLKTYSKGIVTRFTLRTFPQGEVWAGQVTYSGAQVQAITDATYNLANYVTDPKAAIITTYDYIGGTLVPSVIMFYDAPTPPAGIFDEFMSISSLQSTVTTQSFVSFILSQASDATTNFRGFYHTVPVQQITLGILEEAIALTEYWYNELLGESAGIISIDIEPFLPTILDHGGPSAYPHTRAQRFLPLNLYFGWELSQYDTDFYNAIVASAAVLTAVALVEGQTSVQDAPHYPNYAVYGTPLNLVYGAALPELIALKELHDPTNIMGLAGGWKIPM*
>EED85005
MSRASAPTYEVQPGDICRIVASVLIPIEQALGIAGSPMYFTNAGQDSSYTTNSSTQSPRPCVFMGRLEENAPAVCLMATYHGNTVASMPEVYQYFSIPVDPDVEPPDGRVIHTTPRWPESSRTNQYLIAYLIDPHVAVQELWHDRGSRTPSRLDALGFGNLTTTCDTLRRSWQQRMLDTTLRKQATDEYLKYRRRKQGIPRVWHELFELVESLLMIIQSRKPSSHSGPSGRTVPQSPIGNLEGS*
>EED85006
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGSSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQITENLQSPVNEQLFELPVVQYVPIEAPDTEPPPVSFAPTNALVEPPMTTFTQADINQRIAAALDTYRLQQSTANRPLCLDIPAPEPFSGKAEDLRCFLQCILSYFVATNNTRLSDEAKIAFTADAYYEKSAGGVQVYPNWAAFATALEEVFPEHRTRIKAHQILMKLPEQQKNKKTALSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGKPMDIDAAAVVATFASTLGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSTKDCRKKAAARQGGGRPNQGGSGKDDFCARIKALSADEKRELKLVRAQHADAALGAVDNDASISGM*
>EED85007
MSPQRSCIVGIRASVIKADAFNSGVSPVRPVSVQPDTQLDDPEAVAEDVDVAVDLKNADRVAEWLKTTDGSEKPVGPDDADSDVASADMGMDLSGDDVSSPSAADVGNESETCTVADEMEDLHEMFDDFLFPKLSDPAFDLIPLVGISYDLTARGEPADPRGFLEEANFMAELIRKARDGTLEDVSDSEAVVAAMPTGGVQKEESLIQVPAAEHASAQGLPASDSDTTATEHLANMLSTALLETANRPLVPEADPSPHAEKDVVNTSSRSSKAWFPAKLAGLVRRVSARITAVSTSILRAVRLRNVRDDEH*
>EED85008
MPTVWQHFCIPVDPPSADNPEHSLHISPSWIHLEGRDSHVIALPFPPDQRIQHPFNPWTDPSGQTHPRCLSRKEMYRFRFICEGIMEEWQQREKDEPGFLERAFKEYQDNRRAARQQGQAQSGDALDDR*
>EED85009
MTWTPLPGMYLALRLNPVAMVEHLEDPIALDSARQMQPGTYIAYVDHLLDFPWRDKPAHRCSVKFAGRGLPTRLKDEFMEKHDYSLVDTTVRVPTQDFEPRTAIWMSPRQTHEHNRFLADDFLRRAILMREKGVPGPDPDTVITWERHDYGGLPSPDLRRSDAERDSLDDFVVPESDHDGDASLDQEANQEDGPDGDSASSMIVSDSDGDAESVDSSERDFTQLAFAERAVDDLDIIPLVEASQELMSIERIMDPLGFLDEQEAIIAIIKESRARNATAFAETNATAPKSESSPVDLDLNAEKSGKHGMEPLRWHKRLRRRTIQVIKTEHGRVKGAVIRVVGLIRTHLCLRTVSSSS*
>EED85010
MLLSSCSRAVGAMLSALPLLALLSALPGAAAQGETCNTTTLCSSANPCCSPYGYCGSGANFCFGGCDPLASHSLTSCEPEPVCQNANISFNSFDRILMNQSLYDGNASRWDFILNEGNIQNTSAGELVMLLTESNGGTRLSSTRYVHYGQMTARLKTGRWGGVVTAFITMSDIKDEIDWEFPGNETSQGQTNYFWQGVIPAQTAGQTTGNLTDTFSNYHDYTIDWQPDQLQWLVDGNVVRTLLRSSVTDNSTGVSRYPNTPSRIELSIWPAGISSEPQGTVEWAGGYINWNDSDYKSAGHFYAYVDSVSITCNDATPASSNVTSYVYGSNSTGNTPSIS
>EED85011
MDGSLTRTIVSIDYDEYKWTSRAQRLPIKAPQPALPPGNFRTIIEGVWELEQCSGATHTRQMTDYPRLEALPPYKSAELDDWNHVVSLQLSGEIWRLTAQLHNLKPYSYDRGGHFDQGHRGCQRANVHSDNWENPNQSYHQNDGYMQIVQPDLGEVTVWDQQAPVPLVGWHPLPRGEGWYLCVQSQHTCEQRWPASIRKVPEQTERCPEQPECQAGRHSATPRCTASWDFGWHPLPGGGQYWGPRDRDHGLLDKHEPGFPVPSRKNYNISKDKPQSTFGSAEERMNTVRAPRNDPPLMPQPRAAQRTTTHRCAEVLKERG*
>EED85012
MPRDQPEEFKTSNPPPVEMEKTTTFSEIVANFDSAVLSNDAVNVQGWLQAVDCSEDQDGAPCTHEDAVSEHFDVAITTEDDRTPTLNSDTDTEYSDSVDSTDTDALVNAAFEFFAPFCVNLDGIDVDIIPLVKYSFDLTEGGECADPRGFIEEADAMADLIRKARDGTLADHRSETPNAVMPLVEDLVEGLTTEPTLVHSEATTDPNCGKATSSHPSDFQETAVERSADTPLKPSSEVKDETALPEARSFWRLARMKKGDKRPSFSSSKNALSHMSPCTLPGAPSSSARASHRAFLCPFSMSLDLSTKEFVPAYGTYLALWLDPVKMAECVDDPRVRAAARKLSPRKYIGYVDRISRCLIVHGIVASYDLSGSDVNRSILRDRSRSRTAISIAMSTLLKGVSPIRPLPMQPDTQLDGSEAVAEDVLAVDLKNADRVVGWPKTADGSEKPLDPDDADPDVASADMDMAASGNNVDSTPAANDGDESESCTVADDMEDLYVMFDAFLFSKLSDPAFDLIPLVKVSYDLTARGEPADPRGFLEEAKFMAELIRKARDGTPEDVSDSGAVVSVISFDDVQKEECLVLVPAAENASAQDSPASDSNTGTSIVEDIPECAGPATTVTEHLADMLPEAQPETEDRPLFPEADPSPHTAEKDVVNTSSRSLKGS*
>EED85013
MSPCYNCSARPRLTSIDFGTVPLQAGDVCHIQESLMRPIESALKVNLKKLMSIVTLGNHTIDTSRTQDSLWPGFKDRPCVITEVDTSGPQQKITLCVMGTMNGKRIETLPYAISEKAQGQRGLSRMNLSQHKLSRRFDNDAPFREYVRREYTQHMLDQPQYRSRRTWNTLAKSLAFSKMSPNKSRSQHRKRTKRRDPLYMDIDHDQEERNSVNTNYPAGSAIQEEFHDEPMDGIMPTYTETANGPQGVSGMSHANYQVVHAQAVPNHVMQNAPSGSGSEQYKCEDTVSAVAPDEDCEVIREACSSVERSNIPQDVAFESAFFYPERCPLQLFHTSRVMSTFTPLPGMHLTIRLNPVAMVEHLDDAVALQAARRMSSRTYIGYVDCLRDFPLPVKPYHKCSIQFVGQGLPTRLKDEFVERDMCVPIYPNTLHPLAREPLRARPPFPFPDCYQYSFVNATVRVPNQDFDPKLAFWLSGKHVSEHHRLLSHDLYRRAVLKREKGVPGPDPASIILWERQSTRGPSPDLNRGPSTSDDEDGDAEDDSIASKASFSRSEAASPVAHNVVPSASHPAAPSDAGHMVPFSGKLSGTSDFDHTQPLDVLYGPDNASDNESELSMIESNSDSSSMDSIMDLAQLVVAQEPDEDPDIIPLVKVALEIGKMEHILDPVSFSDEREAIIAVIKDSRARNAAAFAQDVYADTKSEVSPADLDAKDVLGETMRLSKPPRRWHRGWDVNE*
>EED85014
MFSCLRCSMAKNDCNTTISGDAKVGDIFCMRESLRTPFEKIFEDETFGEGYCPRSCSTSTLPDCNTPGLGKDRPCLISDVIQKEGLEPAYEIYVMGTFGGASLAGLPYIYRHFSLPVAPNGLHEEHIHTIPGWRVKHGNHGQFLVVYGIGAKHPPLVRWHARGSNFGLRRTEAGVKLKQISIRMVREWREMITRKDWMMKAVRSPRLKGYATDPKLFTNRYSVLSIDEGEPDDIPANEDVNPHSNSCSPSIVTSAASATTEDLIFSAATSAAEMDEGASAAASSWRTTPSRSRRPRAKVVARKQRESFTPWLIHAHSAEQPDKSGCWEWMEKERTGQLTHSDKDLNVELFLQ*
>EED85015
MSATLLDLRAFEATKYMSADGGSRSGVALTVSLFDHLLNFGDELELVWSQPRSWSILQSIVMVNRYGGELSMIFIAYILAGFRPPISTSTCHGFVVVSCFYFGLSEYGITGSAMSYSAPLNICVINPAAYHGLIVEYTQGVWGGMLLYDVYVFAILIANAMNRPRRHNAEIITNLNRDGAFIFLPYAWLSYFLVSLEIVAWALDNTLSSRLFLKMKAIEIRKRPGWSPMEDLPSVYVMQTIELDDS*
>EED85016
MNQIIDNLWVGDLRAALDTETLKANNISRFMARETSRFQINLDDTEDADILAHLFSAAAFIEAELSKGRGVLVHCQAGISRSATIVAAYLMYVRHIDVGSALELIRKARPSVQPNPGFLRQLEIFHQASYKVSKRDKATRMFYLERVVQNVMNGDGEVETDFFAKFPQTPSDSVPPTPTGPRRKIRCKMCRQELATREHMLDHGQLGPPTPASAAAFSPAASRRPSMHDGRPYNLAMSPSTSRRPSMHDSRPLGLTPLAQATPTMSRRPSGSAHEPATRSRLGSNTDTRPLRSSLLALESAAQLGQNMSDSLSESALEEEESDEDPGNGVQEQSAEEKDEQTQQDEAEDTDRVPQQEARPSPPPLSSSASGPLPAISPILARRLSQSSGLMHGSDLAAQLYANPKLAALRSPPAALNMTALTSPVSSSSPSGVKGLPSSPPILVNPRCSGYFVEPMKWMDIFLEDGQMAGKIVCPNKKCGAKLGNFDWAGVCCGCKEWVVPGFCIHRSKVDEVV*
>EED85017
MSLKDSDSWPFEAIKYFSGMFRQLNAAYVVENNSGVAVTLSLFDHVLTFRKELDLVWLQRGPWSIMQLVVLMNRYGGQASMLYLAYIVLLLRVYALWDNRRSVKYALYIGFMLLYDVFVFVTLIANALSRPRRNNSEIVTNLSRDRIFTFLVNYTFLTIGVCWTLDYILCFRLFLKMKDAEIRSGWEAMGPPGTVHIRETIEMMSI*
>EED85018
MTCATFTSLSHLPFGTLACTNGQIVRFAAPLTSPPFVAAPWAARRLGKRVFSSKTQYRQSVRAAYDGHGRHRTLGRTLDAHNLRRIRLGEVDWVDEQDGRSSAGKRKRLTEGVRTLDVGASDEDERRPTFKVCTAEARVELGQDTRIVNIAELVVSVRRQDHSARRRRLAKTPYACSTSKKSKGKSGVSASASDVFGPVLGVLGDITNKGVSFGAVPTPLVPLRRRRRRCAEPVSPSPIPRIAKPCSLDGLHAFLLATPSVPEQLNGHLEDANMMFEGVTLCGDEIPTVLAPVPFDLNVMDGFDILFPQPVPTVMEVDVCPVAEAIPQPSPYRTMDSTDTAFEGPLRAAIDCWNDIFDGRDGGMSPCRECVDVKTITSALEALVISEAVMDVDEVLKDDPVDVDMDVPLGAMLTVDIPVAPIVDVAMDAPAVLHDVMVVPRTPVLPGCGPQAHAGQIQVVPPLPPWYLLPFLPPCDSPAESSLASSQPPTPPPPPWQAVTEEPEEPDWKELFGDDGDEDRSSETNIPEKPAAAADDDDLESLFGPDPDG*
>EED85019
MSDIAVQSYLLSTTSSSKDRSQAIWKATVTSHAKISAAIVLSSAALVGDLNCPLVDYSLSCYYAYHIWYLLANTWYRPVIVVATISEFFDVCGNEVLLAIMSCVRVYIACVYGISANEYARLKIAAPLQTVSASIADIVTTTTLVIFLRDNHTGLKRSFTPLPLKAQLQAFQWTKHDDHADFREYSSRRPGSQVISRNGNRGKTPSWIPITRRHRVPICSSIPVREHEGGKHLGEYAAHVLHPRSLTYRTLMVRCGYLSRRMVLCCEITNQAQLGNAGQMIQGRDTHICAELLE*
>EED85020
MPTYIVRPCLGIISIQENRAYSAADEWSLLIGQDLSLGSCHGAAVSISMAFAVVSVEPGVVAGANPTKRRQAHRHAIESYCRDNVEEDGVSACVDEGCDAKKEHWTQHISTILAVAVAERFSDQCARHSIRGLGPCDTVLVATTSAGRFDIDQDSGLGDGRALVCIAVRIAIGRTCFCTRHRGTTCHAIGSLDMMLGGTYLDLRANKQAFGAVLLRERPGFLDVDFRTTAPGTSVRRPLVIAFRVKGQVTGARDVDEVQANTRAAMVALRGRTIELRSPVFRATRDPSPAPGAHPWLRELQSDDLRHAIRTPTWPKSREGQQAPRWVIGLRTHRRLLAFTHAITFLEAADREAGVNWTCASQLLPLCKRTDGHSTYDEHHTVYSDHTPRGRRDGLQEPPLGRGCMARGSPRDPAACARLPVGKHGLALEASDSLISLGGGESLVEQLTVTIEERTPLAAARTITTTDTSASASMPSGAYTERASISAASELGSSTHRGIAYARKERGSIGGYARRRTRQLRSVAPIHFDDASRLVSPPPWAAGRAPGFRSQINRTPGIWCGEAGNAAQRGGRCGPCGALRREQASPRADLQRTTHGVERAIALRHSRGSSFYVNYQGGGFASARRRERHPSSHFTLVFARRVLLKHAAPRGQERAQKLGHASSQGPEAPIVVYNDPARCIDRACAALSENKRRGFTSFLSWVPELACWILTKALEVRATITMMVYARTDGSWFPAGCRDIELSVAYRGPISEGNRPRTDYFAYQIVGCQVGSLEERRDQNGVTRKLQTRTNSCGSNFEGLPIWARARREEAKDLGESERAWHRADWVHKPGIRAALLPSTARPLLIRLASPTLKFPLVIPFRSVCADLAPPMSLAPFASRTERAVPSMAPLASYSGAPPMYSMSGYFGRSQAAPADHAYPAADSQYTSSSRTHSHWQPSFPASYSPFPSVGSLSSYSSSSTPAMPYSSDYDSAHHHPALAHSPTAPAYTRVPDPVPVPVPAHVHVPEPSFGRGYWSSALYIVNPGSPVHPPPTPAILAPLLRVKSEEDSDGGFIFELPPAGGPSAGPETMPEVPLRATHACKAMRALMSSFRLDPFAMHNGIRSAAVTAAPTGIEVGPLRHEPLLFEWQAHLDAPLLPPSPSWSTRSLSPMRYSLNDEIEEKWVPRGVATAVYEPFEQDAAEDAEDAALEPLMAAAQSLAWGTSYAQPEQHMTAYPAQPLSIFRAPQAQPAQSHPHSNVLEADAHSHYAQQQHQNQPHHTGAGRNALHAERDYRSAMPIQAPAPQHPRYTQEYGEYAGGAHGIAPGTPWTRRPHDSHRHAATPALSPARTTFGDGTELAQLARCATGSRLMRAGPGRGGCGETQTGCVGFRGMHENGEYRFFGRHIVNATMWTNFQYAPAVRRGCRIEAITNNLLHYVLVIQRIVHRGQPGGAVSAPCVAPSDDGVQAMLFANRVLPRSGHAVMKFQICLMGSLPFDGHIETPHKPTSTAGAILANAIRAQPQRQYLTLLVPGIDLIMDAGMLKAPPPRTWSSEPTRPCCRGGRLAITVAQQACAPAGRGMGMCTSNKLRAHRGVSIVTAPQGGAGWTNYAASPTVHTFPTHLRARSQQSCASADAYRKRMSPSRRVYSERPHTLQPGCSAWMLPADLASSTSTARPEEPKARARAPLRAQGPLRLVPARQSARGRAGAECTPLNLANRSSTLLLPHAGVRVVRLTMRWARALEPADIEYEWGMICFAILRMHLAPHTLRDRIRAGTSSPCVILAEITAKHETLYMLCPPAKHAKKWGARKPQEREGTTQRAAAKTTILSAQRHPFSILFTVQRVGGSAGMWTDVSGLWEQPLMDSNYTFCKLMTRQIYTDYWIAACGWLAKTNVTFPVTTGLVAATAEFAVTRSGQFADANRTLKQTHYVGPSRLAKERRPEASRHHQVVNK*
>EED85021
MTALRKKLWCIFPDTWQAGINHYPVNQTVEKTDKINTRTTSRTASRECATEDGSGLQVIPEAGQNEQNIPAVTVLALHITPGHLAYAPDLAMNNVPAPSDQGAMFGITAATMAHPEPSQHNPLACPGILVHSRIVATATNDLEGKALCGARRMMDAAVLSAADVPGIDEIHELWIPPYVNAPPTSMEAGVSDVSSTPRKH*
>EED85022
MSEISPNSPSCSKELRSHAHLPVLPPSIVAACQGQIHAFDSQDLIDVYIPDGPETVLYRCEQQPCPNRTPRSIEEDYPRYKAIQQAQHPLGPRSTLASRSASRHSRPVSPTSRLPQTVADQARGDLPPDPAPEPEPEEGDSEEGISESESVDLARPASPTALASASAIPDVRNPSTELPPAPSPPTPPRGRSSTRSSRSSTSGRPPQPPPPPQRPPSPPTPIMSSPAAALDKETLKLLLPLRYNGKTVIDCDRFLSQLRIYWMINTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFANEAAFAAAFKARFGNLDDEAAAQVELAKLCADKTVREKCTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWQDADKRATEVEQILDISRARRPELNNFFSARG*
>EED85023
MTRKEKERQTKVISIPPPCSANPKPPASPVVGPSCPHPDTPVVFCKVNPNWTPDSIQWTWDSSWPHQKHLFGEGWMNMGRNVHKKWFDEKEDDSVNWELYGNGEHQYLYK*
>EED85024
MDKVVGILGGGQLGRMLAQAASLLNIDIVVLDVGENGPAKQVVAPRSPRLAHIDGSFTDAAKIRELAAKVDVLTVEIEHVDVNVLDEVQDSLPLGVHPSPSTIKVIQDKFAQKHHLAAHGCPLGEFVSVDATSESIISAIKKLGLPLMLKSRTLAYDGRGNFVIRDANQIEDAIGALGNRPLYAEKWVPFVKEIAVMVVRSTTGEVRSYPAVETVHKENICHLVFAPFRSRDPTIADRARAVAEAAVKALHGAGVFGVEMFLLADGSVYVNEIAPRPHNSGHYTIEACETSQYENHLRAILSLPLGSTALKVPCAVMLNLIGASSDMEEITSVAQRALAVPGASVHLYGKSECRKGRKMGHITIVADSDAALRTRLRPLLECLPAPSDDAELGQYAPLPPSPGSGRSYRDPLVGIIMGSDSDLPVMLPAARILDRFGVPYELTIVSAHRTPERLVEYARSAASRGLRTIIAGAGGAAHLPGMAAAMTALPVIGVPVKGSSLDGVDSLYSIVQMPRGIPVATVAINNGMNAGLLAVRILGAGMPHLVGAMDAYLKSLESEVLVKVDNLEVIGWEKYEVKR*
>EED85025
MTKPDFHHSKLQHIAKRAILPLLPSDPLSSQKPSHELPDPQNTQGDIYLLFPKDHENFVFFAIRDADHFKRDLANYTPTTSEDVLDNLRQITDAKANAAQPSQVRRVQLWQTQIAFSRSGLDLLGQTQHTKDTHFDQGSMRKELKALGDGGPWDPLFADGIIHGVIIVAASHADQCQIGTQAVRKTFKQSIHNVVEMDGNARPGARRGHEHFGYKDSVSQPAPRGLVKPHKGQLQCDAGVIICGYKGDPIFDNPYLSPSEKRPGWTKDGTFMVFRKLEQDVIGFNEYLKTAGPQWRRFLPPDEVKKISPPLTNNEGLSFLVRGLWGGGSRKGQFGSSDSVCPFTAHTRKTAPRNLDPYLQKQFLERALIVRAGLPYGPEVAPKEVQKDTSPRGLLFSCYQSSTENGFWQQTRDSVNEYFPIASLVPERHGQDPIIGGATIKSLTAKVKGNETVPSSGEVTIDVTDSSGETRSVTGFVNTPNPSAEAITPQYFVTSRGGEYFFVPSVSTLRALGGSSDTPKPFTSTPPTKEGVYRIKLYGQSPEQWRITPLGNGEYHIKSAKTDYGLIHSTYGYWGYGFPVGSPGVSVVWQISQQTAGSNRFLRIREKGSYPLDSQSPQVVHFYKDDINEPNQRWLFGLV*
>EED85026
MGRRPARCYRYCKNKPYPKSRYNRGVPDPKIRIFDLGRKRASVDEFPYCCHLVSDEYEQLSSEALEAARICANKYVTKTSGKDSFHLRVRVHPFHVIRINKMLSCAGADRLQTGMRGAWGKPYGTVARVNIGQIILSIRCKDANAPVVQEALRRARYKFPGRQKIIVSRKWGFTNVNREDYTKLKEEKRVLQDGAYVQYIRPRGNLENNLRAQLRA*
>EED85027
MAAPTTVPPSLQEAARNSTLVWQDDFRSLFDHAKDRFPDVVWELINDQDANAKDVEEVWGHKAVVYARAPPSFQARYFSFKPPPATSPLPYSSSPTGTLPAQSALSLTLGVDYHSISRSPSPFRAASPSPSINTGAVLRLPIPTNPTLFSNELEYLYTGKGFGEAFEFLFDSSESREEGDAEEARIDKLRKDLVFMWRSRLYSDVRIALTGNFSSTNHESATAIFSSHRFILVSRAPYFETQLVTWGITQKPGEPLTVTLPSPPFTPASLHFTLGFIYTGTLAFSNRTFDLETAFHIMRSAAYLSLDTLYNEIQARIVQEMMHGLFHAFLEFSEYEAATGGKWGTGGCKCRQCARRAPRVLEFALMEDVKNPHLERGARRALVGLFGEGWCTAEFAHLPQKTRDGLLKGLAKRTTPLNVFPLLFAAQVALGKIGTVIDTWADVVKDMVLAARKTIDEVLSTQADQCFEQSEWLEIMEGDGARFEDGERVELIMDAVRRGMSEKNAAILYQTLVSSILLRPHATNPEEAMLSSTSQVRVLVEQTRMDVLRWLRRRWMNVKQEGGFDNLEGWAVKEISGGMIVLSVYSALASTVKGSLKRKSSSDTITAPTSLSKAGAPRGATLEIGIPCIISSKKARFRAYARYIGEVEGESGPWVGVEVPVSDAWPGDKLDGRQWNDGSWGGIRYFDIGNPSEWEYGDDRSARRRRIDWVNNLSRDPKNSLKREGDQLSIERAKRLRSVSPAVSDMSNSESRGLFVRPQQVLYVVDAVGSDL*
>EED85028
MRDARKHGDVREKGRYRASTLYGHKFGVFALDESHVVRTLNTIYTAARQLRIQSETMVALTATPVMTKATDLWFIGCMLGIPAFDEPQHDKELYQWRSQLATATRNDRASAKHSGSAMKVVTRVVHGLSVEDDALENGFSKVVDAMMVDVRAKYDGYVVRRTLGSLDWEGKAISGLPPYAEHILMLKLTGEEYKNLDTIANEAAELNPGGSIAYNSGKPTTLEAGCGLKTPAHPHHPRGRMRAEDAGTPRSFYLSVRRALLHPSCNAEYKWTLPTSREEWEAIATAKISALITILKYHLEQDARPPLVSVPVEDPPRPQSPSSDTSSDEPAAYEERPANNLAPDPDAQPDPRDAHSKPDKIVVYVAFPSCFDPLLKILQMYGIEYETLTGTMSGRQRAEALRKFMQSDAKGVRVLVLSNVGAVGFNIACANILIIIDTLWSAQDDSQLIGRLWRQRQLKLVHVYRLIARNTSDVFLNNISFDKSIMHNAFMGSSRALRRVFDPKYDLDVGDKDLTHEEEPQELPD
>EED85029
MELQPIIEARKRAGEWDYDAFGTTRTDKVDTRIIEEAIVVTSDPSRSMQQDFNPPDDDITRDPDVIAAETCLEHFGKRGITMIDALQSASFDISLEKVMAKSVSNDFSVRILKHDFGIERLERKKWFGNSRQYTVASIGGSFNEDVQIGGTIEFGRRRWEDIVAIASDQASNSQLVDYLLEYIDANDQGADFKIPNGRFVDPFSGEIFVDPVRASDGYVYERRWIEAWQNAHGHSPIDPTIELDLERNLILVQNLSSLIVRFLNGRPRAWFNPTKKPLLIEYPGVFSVQHEYVPLPGAVTVLSILIDQWMFDSQRQPSTQRFWHGLTHSGDGTMHGTPLDPNLLFHELPTNSTQIGVFQFNKLELASRRWNYRQRLKSRSMSRLDVAKQAFEALVNKSEAFDHPVGIGLVTFGREVREVQTDGDTPLYDSLVVGRSMLERFKAQASSYQILLLTDLTDPMEKNPSAALRIICLTDGEDVGSRVTAAAVAAQLQRSGIILDSIVVQTSALVNAIHPIAVATGGYSFKVDTVEQALNIVELETVLRSKDCVGRFSRGDLSCRRYPWHLSRYNDLSTYPWSFVLAFIDVYTGDDLAFWKSPYEGGTFLAYYDFGKDYPQAAPEVRFITPILHPNINRHGKVCHAALDKSWLVDISVSTVLQILYGILLTPDTDNPLDLHATMEYNDDSGQHALKVHNMVQKFASKTRAEWKKELDNSDYHNNLNLISQSPEGRIGYDHHRAPNAQTYRVKRMEDARESAADRGIGQLDGTPTSPTALRGDKCASHMLTADHPNVARYLDSLARAYHAHMEKLGPSTSRFSKQSPAVCKLGKVESVNAMLGAGSDLDQQQCECILALAALKTYNNPSHPAHFALWGRSIDERTEALVTKIAYHKPALIELPTGWFGGSEAIWHLRRHQGLAFPKDRIQRSEEPTKMTLRQRKTASSQCAGHIGPDKPPRARARFVHVTQEGSEPSEVLQASLLTEVASGIIRAPASAPPTHHIHTKRPQRX
>EED85030
MNGPKIRVIVEVYMRNEEPQSRLVVPILPTQTIAELAASVARRRHFSQEDSDKLTLFLADGSELFHEDELSLLLHLPPRLHRIAEEVGWRSRGQHRPHRPAGDVVQNTQFAKGQAHEIFLNSQLHSLRVVVL*
>EED85031
MARSSSMSMPQEDGAPVAGPSRFPGALGDSTDRNGERADLSPIQTSRGDPVSLAIAPGLLYAEQGATTRRYKVSIDEDDSEEEELDAYDDGANDNKDDAYNEDDAFILDTDDEDNEVESADLDDDVNFEATGDLPLVAPPLDRPITHSHTRASYGNSGLTMPDVSRGQKRRADEDGEEDDADERAVKKARKGKAKDISQTKQKTKVNAKDTAKTKGNAKAKGKAKRKAKAKAGNAKIKVRGIGGQFPCLKPGCTKSFRRITDRNRHLRSSCSKEKTGDLEKPRCSHCNKQFSRDDAVKRHIDDGACPAYKQPPGESKESGASSDGNDGKRKGGDKGGRGGGNGKGGRGGGRSGRGRGRGGRGRGRGGHA*
>EED85032
MSLLAKVLKGPLWENTFANFVSMFSQRRDDIEFALSIHIAGKVDGLHEKVDDLAQIVERKTDMILEFLKNYIPPEHTDVCKQIDRRGGREAVLKNDDALQELVSARLEPNSSRPSNQATALARNAKHELESQLIDPAFQIQNNTQSFDRKFEMQQRQILEGLASDMERNEHTKGWRGSVKARHFVLALRDYEQERREEKMRKEATFQVAPTFDPDAWAFDWITVKRLQAITEALDDDASGFITVTEANNFTSSRPADWSLPQWLAYWAIGWQISATIYRDKIHEIFEKMFTMRPHLHEANVLMVDSYLSAIWTDICGLTTSLEPFYATPQLLERFQSYIDAEEERVKKNLEAIKYHIDDSTSLALVTGPGRIEKYLFPLIYLLLKHVYDVFRIGRKEIVNDRHNVDAYDNQWTINRAVHDRIDEMKGDRGKLIRCDQSLMDSSASLTHQRLDLAHHFEHAYSKLYHLTYLRLEDPISTVTRKAIQAFPYKAVTYDDTEEDKDVPTAETVLSYAYDQSQLYAGVQAMEDTATDEDRKATGKLKNIYSNVSRLGRWTFYNYTDTKYPFSVMWTVFIHTSNAESTQYEALVYIPDSKVEFKLAGDIVSEADGKVTYQFRLIVPFHGISVKYDGTLNEDGNMLSGEYSLGVLRAFSLSRMPAEVLICRPSPMELQSDKIRALWRFALTAVQEQVARRRYTWKFLAKRRDLRHRYMDLAIRTQLGRPLNEAETKEWRKCMGALTPLEALICHHVMELRKRTICIHKGVYCDSCDAHDQEITGGRIVCLTCDKDGGESIDLCEDCIGTEVDCAKRPDLAVPHLSTHDLYKLRSLVHQREFRSIVIMARNALERARTAFKDAQDVAHERTEEGGTGEAEDRLTKIVQEDLTCIKCKERVTQPCWYCIVCEDAVFVCMKCDAGGGVSEGKHAKAHGLVRCQEEIIEKTEVSAEEKLALMVTNKLAQVDGRFEEVDTRLSRIEQLLQAIALKMGAGQDGSS*
>EED85033
MKRRNNDAKVIALLVEMRDMIGVLLQLKSVKDEAITGPKGVTIEARMQGLMKQTANDIRSCGNMSLLAKVLKGPLWENTFANFVSMFSQRRDDIEFALSIHIAGKVDGLHEKVDDLAQIVERKTDMILEFLKNYIPPEHTDVCKQIDRRGGREAVLKNDDALQELVSARLEPNSSRPSNQATALARNAKHELESQLIDPAFQIQNNTQSFDRKFEMQQRQILEGRLPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPAQRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPGQSRRGTFAPPPRYTRCLSQRRNARKEWFDEEEDDGVDWELYGDGPILAPVLALTRRLNIM*
>EED85034
MSSTLSFLDQFNAPSTKGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNQLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRARHNKFIPRAIPNAEAAGDADRLDTGYGTVRTYDAQDAEKKPLDTWSESAEPGR*
>EED85035
MQSTRFLWDYQPSQDHRSSSTTEQITASSPPQKGLPSTLEEAPGVVQPVQTRRLSPIKNSLATTKDTHPLTQKTYSPSYGSTSNLYKQQKARSHPSMNNHSNSLKFTPPAPTNAPVEVPMASFTQEDIDQRIAVALAAYQSQQSTANRPLCLDIPAPEPFSGKAEDLRCFIQCILSYFVTTNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFIAALEEKDRKTALSLGNYVTHFEQLASKAQLKDTEVNGTNRVENNYHTLHANFVKGLPKELYFALATRVARDRPNTMKVWYDEVRNTNAAKQGALVVTDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAXARQGGQMERDIRSRTKWTGR*
>EED85036
MPDIACGAETHSQAHHVQLDKHIPRGLSFEKIDWDWDFNWGTSFSDATSRRSSAEEEGTSESAFGDSLGRNASNQITRSCSIEENYSWDGEYDALDEDPSASPEDGPVPVDENGDIETGTESQPIVLTVDKRMTRS*
>EED85037
MQVEGHEIIIGGATIEESAGILGLGMDPAIHLDTNLFMPIWAPWPDDQSETLQVTPGLQMEVHAPERIGTNSWNEGGMLVATRGEVAEVAEWGIDSGQSSVNTPTHGYSHHIGHDASMANEAHTDSEVDPEVDHMEHSGPEATDYATNTTSLGEPSSAAPSYILPRIVDDANTTESLDNSEPATPPTVGEVISSRRIERSEWITWQPRMTRSMTNAAASSSAQASMSAAGPSTAPQGQKRKSLDTDDDEDLPGQHGFRDEEGAYEEEDEENEGEGGDAIEEAEEDGRFDPEERHVRDTATAGPDGRWECPAPNCGKLLSSLEIMVRHWKGCKKRPNYQSIPCPGCGKLLARGDAMRRHHRNPNACEGYVADDEVRSKRGRGSKRGRGGGGGRKRARRG*
>EED85038
MQAADDFDGEIPNNIVETLGAPQTTAINANEHTGLPLLSYPVEDHDMQVAAGISIEDYYRWELGFGVDPSVHELPSPSSHPEEERWVESSIDEYTASINMDVELTIGLSMEEGTAEVYGGNMYIPPDMDVWWPSGAMMPEEHGAPIAGPSRFPGALGDSTGWHGERADLSHTQTSRGDPVSLAIAPGLLYAEQGATTEEYEVSIDKDEGEDDLDAYNDGANDNEDDAFILDTDDEDNEVAVLDGDINLEVTADSPLVAPPLDRPITHSHTRASYGNSGLKMQDALRGQKRRADDDSEEDAANKKAVKKARRGKAKNTAQTKENTKAKAKGTAKPKGNAKAKGKAKCKAKAKAGNTKIKIRDSGGQFPCLKPGCTKSFERMTDRNRHLRSSCPKGKPGDLVKPGCTHCNKQFSRGDAVKRHIDDGACPAYKQPPGELKKSGASSDGNDDKRKGGDKGGRGGGNGKGGRGGRRGGRGGGKGGHA*
>EED85039
MSLTSHDIHPIAKRHALSIVTMRHNATIMRVCTYMLEDIPGRLHWIRELEVGFDDMTDTSAYPSQDHLHLGNTTARLLVSLFENAIHLRSLWFLYSFDTLLLKQPRIGPALSALRHLRILSLPSPLLSLNLNPGDKSKTESLAIQRQMLREMRSRLIELSLSIPSSDLSSIQHMQTIRRLTLSQLHSDNEEHPSHAERSQLSLSWPAVSSLTLGRCRLSMSDVARAFPNVRELRADYLSGSSSTSSVCWPHLDYVEGPKALFGTWSFDCRMHHLSVTSILHLATADDVTVLHAIRQMSPRVLEFTVPPMQDDTFWASLAEIAPQVRSLEIMTWVFWSETDLVFKMAQQLILYAGDPNLAVAHGKLCTRIKNEL*
>EED85040
MLLQLRFKHSLPCILLGLLGAEQAAAVGTFKVPFKSSIVPSKLGSDASTNYPVILNTSFSIGYGTGGASGTAYLDRVTVGGATVSSQIIGAANFTEGFTLIEPINGILGLSPPGSNKGEVIGYNTTPTFVENLYSEGVIDNPIWGMYVAPLGEDGVAEGSGEITFGGVDESRITGEVVWLDNVPDDTKYYNCNVGFRFQHNPRRRKLNIIYDSIPGATIDSYSALEGSLVLPNNLTLDSLPDLIIGVASLNFTFPASKYAVPRNLYSALNITDDAIHTWLGPNGIGSFAFGQKFLENAYTVYDCTYAILEKLTFSLTRLLSTNSSVESRKLGFANLA*
>EED85041
MASLQGYVDHRVLLILQDGRAIVGVMAGYDQKSNVVLSDTKERVYSMEEGVEEIPLGLYLVKGDQIVLIAELDDALDQSVDLSTIRAEPLPPIRY*
>EED85042
MSCTLDTGGIVAIVGIAAELPSGPACPSNLDYHVFCRLLLKKGKAYQTIPVERFNIHGIKGKGLGQVVTDTGAFLKAVESFDYMEFGITNKDATSMALSTRKLIETSFLSLVDSGIDYRGKNIGCYMSGVAHDSVSVAGHEDADKQGSFGFAPAMVANRVSYHLDLRGPSVALDTACSSSLYAMHLAVQALRNAECEAALVGGCQINHRMAEWLAYTQGGVLSSDGECKPFDANADGFGRGEGAIVVVLKTLQNALKDNDKIYSTVRSSQQIRAILNGRIDSRNRRSLGPVNAAVASAQKNAMLRAFAQAGRRPCDVDYVELHATGTAQGDPTESNWVGETFQRERELIIGSVKGNIGHLEIAAFLASLCKVCLMVRTRLIPPTANLLVPNPSIQWGKYNLRVATEVEPLPCFAPNGRHLISLASSGIGGANGHCVVEGPPSERRRSPRIWKSERPPPWLLVASGLSPKSAIAVQDLLCQTDPRDHGALSRIYGRRSRSMFWRSYALSNDGQLSSFSPATLAPKEKPPLVFVFSGQGSQHYETGLFTENQQERDLGNPWSIETTLPALTIFQLALYETLLRLGIRPHIVLGHSAGDTAVLAASSMGSRAMAIEIAIARGKAMARLDKEGGTMAALACSVETVLQLITSDTEQGSMEIACYNSPSAVTISGHASRVHSVVRAASEIGIFARVLRTRTPVHSSLMEACHEEYRRLVAEVFSRHSRSAPVITTYSSYTGVQTNTSFDADYFWDSARGPVQFSTAIHAILETAPNAIFVEVGPHPVLCTYISSMSGDTHRALSPTKRTEKGEECYNLIDFLGQLVVAGYTGIDFDALGCAGDPDVDVPPFPLSRREVPYLAPTPEAIRQRQERNGPLNYPQLRINSRTHPALAEHIIKNEPTMPAAGYIEMTGRSMLLFNWRAVDGASAVRRLWILRRNGRLRAHEFVGNNDEVDLEKIRARLKRVNMKDFYRGFSFFANYGPTYQRVTSCLRGVDIYGRSEALVEVRGIDDDLPGAETYRIHPAILDAALHIMVHPNFNGCNDKHRYYLSSTCGSFTLHNMVLSEPFPRTVFAHVVMTQWFPDSITYECLLIKEDGTPLCTLQDMTCALHGHGEPLMQRFQLVYAPTDVPLSAALGVLESEDQDIRQCTKRYTLQPVSHEGLAVPLDTGSLDDGIVTFSSDSTDLLIHYRRGEEISLQKILRSLDPLAPSALWLIASDDLDGYACLGLARSLRKEYRAWALYTVLFDRVWTRRHRAKAIETLMTRGRLSEVEMIISADGVVTSPKILPLPQTTRSTEFNPALPWKLENSQISHITLPPPANDYVTVRVIAVTTAYGSLQGFVGCVAGVDDEASVDSQEYATKNKALSVVACVVAALAVGVASFSHPQRLKQTKILVDEGDGDLCHQIIEIIVTGVTDDSLLSVYKEMAGSHSSVFAWRHPQTGVARVIETDPCAIGDALNASLPYTGMTELYTQPLLLVDHIPPEVPLRTDLFERSKSYLLIGGVGSLGVHIAAWMHQNGAREIVLTSRSDRQGLTRKGDYLSQAILRYLEKLPDLTLRTRRADALSEEDMRGLVQSLKHPLGGCFILTAILIDHTFAMLTQAEFDSPFKAKDEVFGTLKKIVPIESLDFLIAFSSVSGLFGNAGQTNYAAANTALSGMLQEYKNATSFICPIITDAGVFLTQSGDSYMSRIRHLSDWGMSCRDLCAYIEDVILRLRDGPVWQYIPAFDWNAVSASMGPSSMYNHIVDASALDVQGQPAPDKAEGLRDIVSRLLDIASEDLSLDVPLTAYGLDSLTAASLSFALRDLLPISQIQLLSGMTLANLLTLLSARLAQNPSSTTASTTDSHGIRECHHKVREMTQLVHKYGTFPRREMPLTSTKSRSQKVVLVTGVTGRVGAHVLKELLEDTDVEPQIERTITHVIHLERPIEDASVAVGSGYSESKWVAERLVLEATAQHCIMGTVIRIGQMSGAANGCWKTSEWIPALVSASSALGCVPDGTGEVSWLPVHVGAAALVEMLDSEEPVLHLRHPHPVHWSDIMQCIAVSLKVPMVPYQEWIGLLEGSLMQPMDRGVEVYLEPAIRILDWLRLGVQSTKNADHRRDNNGLSFTMAIDLGCAASTTLRDSVLTPLGPTDVDRWMRFWRINGAIVS*
>EED85043
MTYQNATTFWFLSGNYIHLYGGGTIDGNGQVWWDTYNVSQNSGTAGGSSTTFARPVPLTVGNASHVVVEDITEIGSPFWFVYQSTNVTYRHINISSVSYSSAPTANSGTSLYYGYGWDIYRSSYVTITDSTVNNDDDCVSFKPNSTNIVVSNMWCNGSHGISVGSLGQYAGETDIVENVFVKNVTMQHAQNGARIKVFGGSPYAIREEHHIRGLLCQRCRQPHLSQPG*
>EED85044
MSTDTNLPGSTLIRARSPHPLESETPIVKHPTDNPNSMELAELGKRNDLSTSASLAERAKTPDSRLQKVHKHRARIQFAVMCFSLFMAGWNDGTTGPLLPRMQKVYHVGFAVVSLIFVFACLGFVTGATANVALTDRFGFGTVMVIGSVAQMIGYAIESSAPPFPAFVLGYAVNGFGMTLARMGFGALCSPLVATQFSQLPRWSFHYLISLGIAFINTVLLAVVLRFRTQNECLAAIGEDLPQTGAPGDGAHMTGGAANDSSKSKYKQMFRLKELHLLALFIFIYVGIEVTVGGNWLCILPHIIAQALLQGWIVTYVIEVRSGGLTLGRVALLWVNKTTPIVPQVGERRVLFIYSLLAIGYETLNRKHPSSDTYIALTRSLELVVWLVPSLIGGAVAVSLVGVLLGPIYPIAMNHSGRVFPQWLLTGCIGWIAGIGQAGSAFLPFLTGLLASKEGILTLQPLLVSMMGAMVVLWAIVPGHAPRVD*
>EED85045
MNVEGSPNPQQEVLDLLPHFDTDPNSWPWRFPVPDQIEDRRLNMNDVLIFDLLLASGGIPYIAPKIYPPRDLEGLKTLLLAILVSTYDALKKDCLIYFLLKWHQDGREVDFSEAVCIPPQFVALADAYWHLDSGVDIGHGVGLLSDVRLNREHTSKILQALALADDPGPLIRRYIRTAKPLLTSPVDLDVFIVALAGSSLREAWQFQRSYSEMSEARERLFCKMLEWCFVPKPRATPLKDLLALPLSQYEENILHAYAADPPLEIPSVSIPVLHDLVCLRLVQAGEFSAAVMLERKLSARSTSSTSKAAHKAAQERRQMMDDIMAAMPAVERQLLELELEQLAQGKGTGMPTLSASWSSRIGNSGDLSMSWESVRTPLPANGSSASAVANASRISAAFPEPPPPSLSQRSGAPRFGGPLPVSDVFSPLSLSAVGSSHASSAAASTSAASPILATSISASRPISSAPRAGPSTPVFTVGSNTPQSQYATPSSSRPISIFETLGSANRTPNAFYTPPVSAGVKRSFGEDTPRAATSSVHSPVEAVDEGMMDVAEVEDIVNDEDVEMHADEEPVGNGHADPSHNGEPSHQNGVTEEVSFSMFSPPPDAFQPLPGLRSSRTQPETQTLPGAFTQDSDEESEPTPPPPQTPRKRARQAPPQRSPSPALTRRTTRTRKVPQERISGRSIPGSLMDDDDEEEEDVVPPLPPPTPATKRGARKTKTTRANQDDMSMSELRPRRSTRLSSAASAESSSEEPMSPQKPSTRTRSTRKPGVNAPAKNTRKKRS*
>EED85046
MSWTGMLNNNLLIVIGTFAVCVYIVLQRSKARLPLPPGPRKWPLIGNLLDMPGGRAWLKYAEWSREYGSDIIHLSAAGTSILVLNSAELVNELMEKRSAIYSSRAQLTMLHELMGWKDAFSFAPTNPTWRAQRKIFMQALNPNNAALFHGKQLRATHELLWRLHKGPANLFHELHHWAAILIMDITYGIRGDAADPYIETAVEALDSMAIAGAPGAFLVDAVPLLRHMPEWTPGAGFKRQAREWNVLRQKMANRPFIAAKQQITSGSYTPSLVSNALEAVDKNQDLAEQEELIKGAAVTSYGGGSDTVVAAVSAFVLAILQHPEIQAKAQRQLDEVLGHGELPSFQDVQSLPYITALVKEVLRHNPVTPLAIPHLLSEDDTWDGYWLPKGSIVMANAWAILHDENTYPDPMPFNPDRFLRPDGKLDETVKDPATASFGFGRRLCPGRHIALSSIWISVASILACYVIRKEVDAAGREVVPDGEWYGGPTLFNRPLPFKCRFTPRSKAAEAIIVSLENTV*
>EED85047
MSRVFVFSAAALVLSSLCQAHDSAQVVFEDTSSLLSSYDYVIAGAGVSGLVVANRLTEDPDITVLLIEHGYFDEREPYTLVPGLARMGLGIDNAKYLFNYTSVPQPALNNRTSGIWAASAVGGGSTVNGMVFPRGGAVDYDAWEALGNPGWGWNGILPFFQKYESIVVEALAQDPAAYLLPDVDETVLEGFKAQRDILMDHYRSFEATAMEITYEGRAEFDAALQRPLSRGTILIKTADPLAVPEIDYRVYTNPVDMRVAVAVVRTARGFMYTPAMRALGVVEHVPGAAVQSDAEIEDAIRSTLGQPTFGHISGSCAMQQRAHGGVVSPRLQVYGVQGLRVIDASIMPLVSTTHLQATVYAIAEKRDNDTRASRFYISTNPLRTLIDLPACRGTRRLAQMICERARRREELSAVRTRPLAHTPVLHDLVPEAVVLAREVLRAAKRARERARRLRPRRAHCGQGSVRLRFPGAGVGEDEGGGDGEGLELDGEGLEVDGEGLEVDGEGLEVDGDGQELEGSGEGGLGAEDTGVGGAAVAFVQTLEASCGDPG*
>EED85048
MFNLRHCIFGNPLWTPWRKHTLRIQEYLAIVNIHLAKVRQPASAELMLHIRSAVSPAIQPADYFMEQKILPIPVDNTSMQLSAPLDVKQTALTLFFSRCSREQHQQHLREEADHKHKHREELAHRRMAQAIKKVERQRELARARKQKSRVKQKLKEIAEGVRDADGKLKKLACPDVVKSIVSELSALQKKGIPVSLLTAHAIMVAHIKNAAPELLTAPVRKGVTFKCSDHFMRTFLKKEMDWGPRKPTRSAQKIPADAMQQCLVSFARQARKIRDYGIPSACHVNIDQTNVQLLMSMRKTFKKIRSNQVASVGNDENYVDNILVLYFMRQKESLHLPDDQPCDTGIQQPLKHVIRQAQHADLVAEALDQIKNKPGGSDPQMKVDMTLGTLRDRSVGWLVDAYRAVNKPEIVKKAFELCQIEDKPYNLSHQSLTSHAALQLLRNIEQNEPDVWQLVCGYGSEAQHAAGANADEPLFMDEMDELIDDASIPFSKVVDHIHAGSKMALFGYILNMDGSLSACAAIEQLNHDGLQFTAPRDLEIVGDQLQKRCKMA*
>EED85049
MRDTTKLVALGRATPSFAKAQIQIYSSAGEGILVLSWDQGKIIRFGWTGDERLVVLNEEGAYRLYDLQGDYEQYSLGSEAAEMGVLDARIHENGIVALTGSLTLVEVRDWAGGKPLTLANSGLTQPPHSWAVIPPDLTISRHVEVLMSVESTIYSVDNLESIDQQLSRGPFTHLAPSPNGKSLALLTYSGLLWVVSTDFQRSLAEFNTANAPGAEGEIRQVEWCGNDAVLVTWDTLALLVGPFGDTLQYFYSGPTFAVTESDGIRLVGPDSCDFVQKVPVSSVSVFRPGSTSPSAILYDAWENFTRRSPKADESIRNIRPELGAAVNECIDAAGREWEPVWQRRLLSAAKFGQAFLDLYDPTDLVQMGQALKVLNAVHYYEIGIPITYAQYIQLSPSHLINRLTSRSLHLLALRISSYLSLKPHAVLKHWACAKIVRARPTATGSGRDAELDGDDAVCKSIVEKFEKLGGDDVSYADIAKRAWEVGRAELATKLLDHEPRASDQVPLLLSMKEDRLALTKAVEGGDTDLVYHVLLHLQRRLPLGSFFRLIEEGGDRLAPASKLLQVYAREQNREMLRDFYYSDDRRVESAVLCLEEAATMQ
>EED85050
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDMVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRVEEQKCLAEEEARRIEEAAKRAKAAEDRRLEDERRRKDEEERRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSVKTPRTVERPFSMTEADMAAAAIEKRQAGQKVLRSLRRLPLGPGRLRVGRERYDL*
>EED85051
MLSSFTPISATGKHFSSSLSAFRQLRKARHFIVFQNSPSPTSPRVDLVGCVVGLVSMLSPFKVDDKTRPGAGAPIGFPPEICDQIVDCLQGDGPTLAACGLAGRILCHRSRFNLFRLVALREAAMYALFLSILDRSPRIANYVTYLHLTKPAPNDVSAPVNLEGGLLRIVAKCYKVTALVLSEWNSSDFVPDAHIQMWSFPDIKFLFLIGIEIDEASFFQLLHIVPTLTRLHILLPAGSPPYPRTSHHSAHGVSSSRIVPILARCSLRLRPRKLQLVFDTGTEGSLANVVDLLREAGPSLERLDMFVMQRRTLEFFEPGTLLASNTGLRVLHMRDSAIRVPHAEGEEASFLSRIIPLHWMARTIALTVRILGTSSDWLDTAADSLQLDWSVLDAELARAADYHPGVEIGISARYPEDLDEWADVMEKVVLMRLPLMRQKRCRLRVTCFRDWAVFDEGLGGYAAGPCHTTWHECPLAAQMNPPVVQVSLKKIACDELGYLVLY*
>EED85052
MEFDKADFARCFFFLVGGAVELAPLVSDRDNLLRTFKKGTDRDDIVFGDIINVAESRPQVRMEDTFQSNRVFLAGGVSTTINVVWMSDFGFIINAPMCTRRRADSSSIQDAFNLAWKLALVEKGLSDPSLLSTYSEERVPVIIDMLKITSELFRNVATATKDEAGLSRAILALRTRNDHVKQFGVNYRWSSIVLDERRPADEGEDLRANAYGSQKDDVLRAGDRAPDAPDLVDGEGKTYSLLRVFGTTHHTVVISSMNEEVLEPYLLLLRAGTKGIVRILVVSPQGSSGLSRVDDVYMIIDDDGGHAYVTYRALDKETIAVAVRPDGVVGAIARGVEGLGRYFEKIFL*
>EED85053
MSPSKRKRGDFYDDDDGDETRVKSLKRVDQMQDRYSVLLLDMASIHDHDATVLGTNNPGLLEPVPEEDWLDVPEGPLQDDPFVEGYWSDEDEDDHQQQHHHHHHYDSDNDSDNDSDDYDDDYDYDYSDSESNSDDGESSAGSESAHAHQSEETSSSNTLVTPPLQDDVVGSVEETTEPPQSGNTLGVYRVGNRWACPICHSTSKSRNDAKRHLATVHSGKEYLCPACDKSFNRRDAFKRHFEGKTMGACRDFMVSTLLPTETLKTVDVSRYLFVVDL*
>EED85054
MRSPYVSPASMRVLRAYYGDAFAHSVQDPDNQTDGTAARKRLREMASVPGTPAIGPETPLEPSMNGEVNGLKPSPTSLARPQISSPRGLSLFSEFPRACIVLGDAERLEREVMKLVSGMERDGVDVRTIWVEDGVHDVLMMGWWDERVRAKMTRQPCTFSHARGGGTVLFLIPEVKLRYAADPMMRALWTCLAGSPLGRSRAYCSLDAIDHNVLHGGGQKTYATIGQVVSQRYSRRHAAQRSAIFQAAVDFLTVFASDGRRRPRSAVSRAWRVASTSRTPWTALWSPNGNTGLARLVEAIV*
>EED85055
MSSALPLCRRALLRRLMSTSPRRATDSWLLPNIPEHLAKTTTPADAPPPPAPLPRPGESVETMRAMYQSRRRGTLESDLLLSTFAKEQLYTMNEAELTELDILAGFCGAPYNYVLSHSGLSRNCNERVNIGETYNL*
>EED85056
MPLCVIAAFGRAVADGTSPPVALGSHIVSNIGINTTPRVVPDCGGSAVSSTEPTTSSWSGGVTSVSEELVSSDWSKRTVKFSALVNLDRQSITAVAAIGPSKRNQHGINGTKWSSGNKATGVSAFSSVDGKRAVVVDIVKVLCVSGAIERKCVIVFCGDGGFKVPRDNDFVHTPGIIFIFTTGYLHCTSSPMYHLQHINVTTEILCFGPSSLNHIRLNRHVAYTLTYETYETRWLADDAVGKGTSPSSSSSLSGKSASSSPSGRKREADKKCGSNVGRFRLIVHHDVRGREEDDGTLVSVRLSKAGQKSQYRSDFGVVGLLLVNQSDLQGEFTAHVANLEVDPGMLHAEMSTRTVGIAKWRAADESCAKSRGLDMQNDPPPPPVETEETPTLSDVAASPDSPVPPIDAVNVQDWLQAVDCSEDQDGALCPHEDAVSEHFDAAITTEDDRIPTLNSDTDTEYPDSVDSDSDAEFLVLNPDSDADTDAIVEAVLGPFRAKVDDIDVVPLVSYSFDLAEGGECADPRGFIEEAEAMAELIRKARDGTLGDPRAATPDGILPLAQDLPDGPSTEPTNPNSDRVTINHLSDIQETEVGRSVDTPLEASSEAQSKTALLEAQSF*
>EED85057
MPGADQLAPENQVRRHPALPPLTFGVMMTHLRIDQELIGVSAFSSVDGKRAVVVDIVKVLCVSGAIERKCVIVFCGDGGFKVPRDNDFVHTPGIIFIFTTGYVRALKDELWLGLCFGPSSLNHIRLNRHVAYTLTYETYETRWLADDAVGKGTSPSSSSSLSGKSASSSPSGRKREADKKCGSNVGRFRLIVHHDVRGREEDDGSWPEIPVPFRLWGSGAAVRPNNPTSCAVAGVTQLMG*
>EED85058
MYLATLCVLALLRAGTYQQIANAISSASSVYYPRMCFSPAQASHDGDSRLIASLSYKSDIYHYATSSTENATCSVEPGTAENVGIILQILGANQAPFAVKGGGHAMNPGYSSTTGVQISMTRFHSVVNDASTSTAAIGAGLTWDKVYSALEQYDVNVVGGRVSPSEELALPNGTVTNVTESSNPDLFFGLKGGYNNFGIVTTFTFQTYPQGQVWGGQITYPSSSVNNVSAATATFSSNVTDPKAAIITTYDYTGGLTLASVIIFYDAPSGIFDDFLSIPALATNVKTRSFLSLVQVEPTQETANFRGYKNTVPLTEITQGILNDVLTEAQVAIKQIVGGAPISPTFITTQGRILPGRPDVVVGPRVVREAWKLSTISKGVLRLMRVPWISPFWKLLEALEAACMAVGRRGGGAWRAVNGSRRAPSFTRMRKAYVILASNNVTTDSSVGILQRWAAADSDAEIGDQVMTAPPMFGSVPISKLSFGAVEYHTYASHFPPVLNSGQETMQSDLQAKTGYIPRHGSYIALWLDPVRMAESLDDPRLTAVASKLTPRKYIAFVDSVYDFPLRRHPWHRCFIRFVGVGMPKDEPEEFKTSMSFEERVRHEQYLSEDWAQHQALRAQQNPPPPPVEPEETPTFSEIVANPDSSVPPNDAVNVRDWLQAVDCSEDQDGAPCPHEDAVSEHFDAAITAEDDRIPTLNSDTDTEYPDSVDSDSDAEFLVLTADNNTDTEAIVEAVLGPFRAKVDDIDVVPLVSYSFDLAEGGECADPRGFIEEAEAMAELIRKARDGTLGDPRAATPDSLVPLAQDVAEGTTPEPDLVRDEAPTNADSGNAITEHPSDTMETAIERSVDTPPESSPETKGDTTLPEARSFWRLVRMKGSPLSSTKAFVAGFFSKAPTAAYGLDAPRTRDLLAIWIYKCGNMLPAWNTGTMRPLSWLETSRRYYAPSSSALVRIRWKMPADTGTVASDGTAELILNHSRIARPSRDTSDQKESACSESRQIVSGIV*
>EED85059
MVNTSLTTIELKVQVALSLLDRDARAWATPYFAQLVSVQACFGNLDDEAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLGSIPSRVYRKIELETFTTWQAAEKRATEVEQILDISRAHRPELNNFFSARGQGRGGARGGAPQSHAASASINAAVGKGDFPGSCFGCGKQGY*
>EED85060
MERVAAEKVARREVDQIIEAEREILREQRIHDGLVVSIDYLPLVPEEVPAVNSPLIAATAHSPTASGTIYRDSEPNKFGLFRSYLDIPVHDPEELASLDDASDSPNHVTSATTQHWYTSFGPQALHRQFFAPFLNASVFRLMSWFYSGSNMKSVSELDRLVNNVLLTEDFKIDELQGFSASREINRIDHASDEEGSIFQEDNGWHEASVKIPLPAEKVKNASESNAHHFEVKGLYHRSLIEVIKAGVRDIAANAFHFKPYRLFWRNPQHCDAPPERVYSELYNSDAMVEEYERIKAKPREPGDNLETGIIAIMLWSDSTHLTSFGTASLWPIYLFFGNLTKYARGKPTSFAAHHLAYIPSLAVV*
>EED85061
MPKDEPEEFKTSMSFEERTRHKQYLSEDWAQHQALRAQQNPPPPPVETEETPTFSDVAASPDSPVPPNDAVNVRDWLQAVDCSEDQDGALCPHEDAVSEHFDAAITTEDDRIPTLNSDTDTEYPDSVDSDSDAEFLVLTADNNTDTEAIVEAVLGPFRAKVDDIDVVPLVSYSFDLAEGGECADPRGFAEEAEAMAELIRKARDGTLGDPRAATSDGILPLAQDLPDGPSTEPTNPNSGRATINHLSDIQETEVGRSVDTPLEASSEAQSETALLEAQSF*
>EED85062
MPGADQLAPENQVRRHPALPPLTFGVMMTHLRIDQELIGSLLAKRTVKFSALVNLDRQSITAVAAIGPSKRNQHGINGTKWSSGNKATATKREKIIFSGRLSTQWPGGRN*
>EED85063
MLSDEDDGASVKSTAKPPALRQKRKIVLDESDEEGGQIDAVAYREGLSARKRSPGRTAKGPQKKPRVSTMLSGEITDPNNDNSDEDEDESSHRSRSRASSLSEAESDVPERPKATKKTAKHPYLTKDQASGGSNTFLTAAERRVQDKKAEKKSSEDPFYFLQDVRDKDGAWKEFTPFEKQLATHTLALSFFATHYGSLTDDFAYHPNIRNMHMETMVDDEKRELVFLYKLIGGAASSSFGTHVASLAGVPSDVVERADIVSKDFAQNFKEKTDKKKDKVSGRLPLVAQADFAYLYGLATGKDELPENKARRKAILSTIKGAVRNYLIAEPSAL*
>EED85064
MKTTAPAVTSAPSLSTPQAQEPRSVDRSGDSETSSSDIEANAPKRKKTKRKDRSARALEFQRKEVIGRAYIHYKLRIMTQEPWTRLDIQAVEAWGDACDDLGVGFDLAPRAQEQELIRQRAPQVRGAAKTIARELVPRQYGFKDGQSEEVKAYNRNLVAKLLKRWAFTHIDPDERTTFCRNPIFAAILTRQWFSEPDDWGIRYIEYFEGEWKVFMAQLMATVQCAIEEWKSGTHKEIDFRRTAYEVVYVTHLQELRKWDKYSASRSRAPQRMHQDLLQTLKTNAGLYVEDPSPGTSTAAVLGDDDFAAFEN*
>EED85065
MCAAACATTVSETRGKQPMRSVNYAGVTTYCIGRETVCEESKSTTLYIHNGSRRDSTGLPFAWIERNNRWIPTPPSLVSIFSSTGSEPLSTFLSRTDKSLPSDSFICFCNDATSLPHPRLPATLVSPSTNEQGAPDYALQQTVLHIQSPTLRTTFIRCPPFRDANGPSGHLGMKHPWIHFQVRNMGREFSLEIGLVDQSGREGVFEIQPISCVTPATAQDVGLLGLTAAPLPQSRDGTGISGQPIPRLPGILVRTETSAEQREPCLRLGNPPLLHLPLLLPSSSSRPLTSWCTINLNLPTLLPHFLSASLLRPDGDEDADSPDSDDDDGDVPLPTASSASQRVAQAGFNQVPAGTYSYVSKLRCHMVDSAMDNKALTPSQMCLRSSAPSTAIEWDCNCTLRNIYVRRILGTYIELSAITTEDDHTFMLNGATDTKYLDDVDNNGTLSVYG*
>EED85066
MSRASAPTYEVQPGDICRIVASVLIPIEQALGIAGSPMYFTNAGQDSSYTTNSSTQSPRPCVFMGRLEENAPAVCLMATYHGNTVASMPEVYQYFSIPVDPDVEPPDGRVIHTTPRWPESSRTNQYLIAYLIDPHVAVQELWHDRGSRTPSRLDALGFGNLTTTCDTLRRSWQQRMLDTTLRKQATDEYLKYRRRKQGIPRVWHELFELVESLLMIIQSRKPSSHSGPSGRTVPQSPIGNLEGS*
>EED85067
MYLATLCVLALLRAGVGYAQDTNVTSTCQQIAIAVSSASFVYYPRTAEDVGIILQILGANQAPFAVKGGGHAMNPGYSSTTGVQISMTRFNSVVYDASTSTAAIGAGLTWDKVYSALEQYDVNVVGGRVTGIGVAGFTLGGGYSWLTNQYGLTLDNVRTFELALPNGTVTNVTESSNPDLFFGLKGGYNNFGIVTTFTFQTYPQGQVWGGQITYPSSSVNNVSAATATFSSNVTDPKAAIITTYDYTGGLILASVIIFYDAPNPPSGIFDDFLSIPALATNVKTRSFLSLVQVEPTQETANFRGYYNTVPLTEITEGILNDVLTEAQYWGEQLQLDSDQIISYDVEPFLPTILTHGGPSAYPWTRTERYLPLNIYFSWSDETYDSDYYAAITQTATNLTSAAVAEGQTNISGVPHYPNYSLYGTPISDIWGTALPTLVELKQTYDPNNVMGLAGGWKVPT*
>EED85068
MSPCYNCSARPRLASIDFGTVPLQAGDVCHIQESLMRPIESALKVNLKKLMSIVTLGNHTIDTSRTQDSLWPGFKDRPCVITEVDTSGPQQKVTHCVLSTMNGKRIETLPYAIRKPRGQRVLSRIKLSQHKLPMRAGQGTRHGSHHRSDLHVVHNLRQTNVNKSEQWKRWLDNDATFSEHMRVEYTNEDQATDPLHMEIDDNEYRYAVKNLAGPAIQEEVHDESMVAITLIEII*
>EED85069
MRVSDAYGPVAQRDASMRVYTSTTVAPLAFSQQAVLRVYAPPPILLVVDLSGDLLGTNDARDTRARLCTPLSPSPLNSLNSAIPPEQGRFSRMMQAMHITAIESQVRYLRLYPVDAILTRMGAYDNMVPNASTFTLEVELDEWCGLSISLHAILRDTTPHSFVILDGRDRRCRGEWAAGWPSDSESPIGRIVFVGRVTPAGDAYLGSLILPVHYGSLTNDFAHHSTVRNVHMETIMDDEKRESPTTDGSVEILQRRHDTPDASQQRCRDWRSGDSASIAPVLKLSFGAGFTGVGMPRDQPEEFTTSNYGDAVMLSLKERIRHEQYLSEDWVKHKALRAQQSPPPVETEKTPAFSEIVANPDSPVPPIDAVNVQDWLQAVDCSEDQDGAPCPHEDAVSEHFDAAITTEDDRISTLYSDTDTEYSDSVDSDSDADDLLFNADSDADTDAIVEAVLGPFRAKVDDIDVVPLVNYSFDLTEGGECADPRGFIEEAEAMAELIRKARNGTLGDPRAAALDGVVLLAQDVAEGTTTEPGLVHDAAHTNVNSGNPITEHPLNTMETAVERSIDMPPDSSPESKGETALTEAQPF*
>EED85070
MHIHEAGDRSNPLILFLHGFPELAYSWRKVILPVAHMGYHVVAPDQRGFGRTTMTQCSGDQIRYEDDWRSFHVQSLVRDILALAFALGHRTVAAVVGHDLGSIVAAQCALIRPDVFHSVVMMSAPFPGPPSLPFDIDATSPPPPPITIFSPLIQNQLAGLNKPLKYYMTYFAGPDANHDLLNAPEGLHQFLRAYFHTKSADWSGNNPHPLLSVEVSEMAKLPCYYMMPLHSTMPQAVAPYTPSSQEVAYNRWLPDSELAVYTAEYQRTGFQGGLNWYRSWHPEVQRELALFAERRIDVPAMFLSGEKDWGVFQHPGAMEKMKQRACSRMDDEDVVVIEGAGHWVQQEQP
>EED85071
MTTFAPLPGTYLTIRLNPVTMVEHLEDSVALDAARQMSVGTYIAYVEHVYDFPWPDRPTHKCRINFVGQGLPKRLKDEFMEPSMRATLKRDAGVSGVDPDTIRLWDRPDGQPRRKNSLDEIIEQMPDSDNDGTSDGGSEGRNLDTHSEPSMIGSDDESGSQESAVDITGIVLGDKCDEDPDLVPLVEVSLEISKVERVLDPIGFLEEQEAIIVTEDGLDRIIKESRARNPALFAQSLANSEQQFGGGAWTSEAGAGHSKEFSASTGVLALRSEESRVISPGIKSTNQDSSPRSFELPPKWHTCATGVPRPWWLCMHLPTEGSAGNPTLPSLASVCTKREGFYLAGSRGAEALTQTPLLSMILIAALIALQPRWPFKLAASATYAYSIALLSVCTAGIISIDYCLGCLFVGQFLIAFQLLWLHDPLTEFKHERDTVPPAELPFWRRTYWVLCIFIGPRGIGWAHQASIPYVPPRPYVSRDVFTRRQLLKALRWYLFIDIARAYQLAHELFTRPVTDLFDVASRGYLQRCANVAALLGPWLGTLAMDYYLFSAAHVNLGWSAPGDWPDVYGNWADAYTVRRFWGRTYHQYFRRHTAFVGKGCCRLLRLQPGSWASSYTQLYVGFATSGFMHCVGDFIVDRALFGMSFPFFLAQAVAISLEDAAIGVARRTGLQSLFPAYLWRMLGYAWVVVWFSVSAPWFIVTSIRVGVLFPSSARGRVAIEVFGRTRDTIRRRRHTKVGRNNWRAKLRVTSCDQCVLSRYDQCSGLLPAELISNADHASRSVPSLAADAIHTMYSDVLCPAIRRRTSFVLRGARARDEHRAKLLVARKAKSCLMITDLRPLDTCHRAKTTIVGNVSTKADKGPGLAGRLCNCQAFLIHEKWNRGNEERDLLSPPGRHPALSSHVAFVLLLAADSDTQHSLPVSTSQQPTIARPPVGCTFGAIPLPLVILVIVTVEQPYADTHKWISNAAFKFSLPVTTMPPISTGTVHAQSYEEAENGATHAMDYSSRIWDELLDWRPHDDIHAPHLRRAPEPVPQGFSVEPTSTVISFPGQSTQSGSSTFVSSPASASASASSTPSTISASSTIPTIPATPPVLPTPFPQPFSSLGSSNFATESCYIFFQNMSDTDPFRTCRPFSLLVQSSADFINSMSVASWFLSAGSTTDHPFFARAGLTPTKQAQSNITLLNTLVWGTCNTDPSVDQCVANMGWFAQQLQSACTTEISQTNELVTSTLIGLEAYSLMRSAGCLPDTATNAYCYVESVESSHPDDMFYYQLPLGTALPNNTLPSCSSCTQSLMAQYVEEGLNTTGLRETYADAALITNKVCGNGFVSETVTEKTGGALRARTGTWAAVAAVVLAIAHVSC*
>EED85072
MPRLRVLAGPSLDDLTPIATNSGKPLDIKSDAFEGNLAVYIKGFADASGRVGDSPYFEKRKGVTWSIQMQGRFLHEYSADDILFGNVFERPLKLPWGFSAALKFMHYMDPTLEQDLASKSKPWALSPLITTMPYLEHKQVTSAEQEPAFPPEGLVENNISQLTVPTAHKACDISHNRSSVFRDSQCRKDVVFGPEDLFTADFCYDYLHFSPEGIDLRLPGGISIDMMRYWDGQPVRFVCCERTRTGKGGQPWGRVLWCVIIEAVDEVGGEKTEQDID*
>EED85073
MTWTPLPGMYIALRLNPVAMVEHLEDPIALDSARQMQPGTYIAYVDHLLDFPWRDKPAHRCSVKFAGRGLPTRLKDEFMESTMCVPIFPNTSHPLSREPLRPKTPFPFSDCYQYSLVDTTVRVPTQDFEPRTAIWMSPRQTHEHNRFLADDFLRRAILMREKGVPGPDPDTVITWERHDYGGLPSPDLRRSDAERDSLDDFVVPESDHDGDASLDQEANQEDGPDGDSASSMIVSDSDGDAESVDSSERDFTQLAFAERAVDDLDIIPLVEASQELTSIERIMDPLGFLDEQEAIIAIIKESRARNATAFAETNATATKSESSRVDLDLNAEKSGKHGMEPLRWHKRLRRRTIQVIKTEHGRVKGAVIRVVGLIRTHLCLRTVSSSS*
>EED85074
MTVFAPLETEYIVLRIDPVAVAEILEDPILLDAAKSLTPKSYLAYVAKSTPYKVLTTSKVLDFPMPNKPAREIRVQFVGQGLPTRFPRDNVDETMCIPILPETKHPLRRRPLKPQPTFPFANCYHYFCLDHSVCVPVQPFEPDQATSLPDKEMIRCQKYMEEDIYRLYRGREARVEHGSVDSDSCESDFPSPSQQKTLSGRSSVASSQEYSSSVSSSLPPEPNAPSPHCNEAHSTSKAYGVDVLADTYVVATSREPYVRSTHPYPRDIDAEKQFLRDKVFGQSDGDKIKLAPLVYVSLDLSELKEIPDPNGFRDEVEELLKLIKESREREARASEMQAMEHAAGSSHSSWIDLGDMIDIDRADAFGTQWQPQPRWYRRCCAAVMRMLKMKRITISQYVS*
>EED85075
MSVTLEATRYLSGVALTISLFDQLLNLSKEIELVWTHPRSWTSVQFIVVINRYGGGASMLFIAYGRLYQTSCHGLFVVALIYGIIGSAMSYSPEINACVINENAYHGRITGYMQAYPSIYPELLYDAYVFVIIIANAMNVPRRRDVDIIMNLRRDGVFTFLAFFTGIIRVAWALDNALSFRLFLKMKAIEMRKGSEWGTLGDIPSVHVMQTVELDVDQISEVVDISRSWTGRVQSRNIPSRVGEVCPRIFSGS*
>EED85076
MDILSYDDLGAWEDLDAISVVGEEVVCDPRTIDPRPTPPDIADIDKSIQGLEAELTRLKLRRSHLLAERALVGRLPSELLSRIFELGVHEHVKMLHAISLVSRHWNNVALATPSLWTYIILDPEWGIDRLPAFMRKLRVCLERSQACKLLVDIDIGYMDAEDVQDIMVVLKPHLVRCFDFRLSAQSWDTMSPIRVHITTLGPALERLSLRIHASEIHDETPYCSLLVEPCPLLRSITLEHLPLVCVGVDVPKLRTLCLMRDRQVRRTTYRRFGIAFRELLSLMSTTVALQNLRLQSVALQLDGNEDIFQSTPPVTVVSSLRSLSFHHVDAANVSLFFESTALPALERLTVCMEPNAEENMHWLSRLSVFAPARLPALCRLELRACNIEGAALVPFVRALHQLPQLRALSLSSPRMGFVGTQIFELLGTPPTPPSVWLLPRLEALSVHQCMDVSGHELLRLVRARIDSPSLEAEHIRYLKISQCESFDPDAHELLKSLVPVVRIS*
>EED85077
MASFVPSQTDSRPTLPPLHALGLPDPRNTYELPGAHDSYDPHVQLQAAVEQMQLNDSQTQRSTYHGRVRQASVSSTTSSCTTSSRSSSPVAGSLPYPISDRISFKRTTFEDANAMMIVIPEVPPVPPLPGTPRTASNPAPGVWVVTGPAVELFRRHPERPVSKAARIHPYRISRISRHTPKPQPPPSA*
>EED85078
MDSDVGSHWVQRLLAPGKAYVDDGSDRRQPSSPTTAAPVVRCVNVETAMHAPAFRVERTRWRMVLITADGSLGQDGQKWRTGTVQECIVSRNATHGVRRPKESDVQLQPRPAAAHRPKPSGAFPPILGQAGIDHYLVGQTVEKTDKINTRTTTDQSRVEVECDSRTRCTGAAKTDQTGLRACRLVASGSWLPARSYQGGPMPRKPRIATAEIAEWQDDVLNGMPAWNNSVEQYSPDCLLVVFDAPHVVNSLSLCCVDRTASRECATKESSGLVLEAGQSEQNILAVKVFALHITSGHCQQRQDDDDHVLTKSGPKLDVMRDHTLAGHY*
>EED85079
MFCKSLRLVADARDGVVPAIPWIRAERLAVAVMQRTPLAVARTIITTNTNTSEHQLWPASDPHRCIDELIDIVLAATQTPRENDSHRPRAISVALASPLARSTNTYAAMVPIDLRPFRGGGLRDREVTRRSLSDEADPPPGSPARTNTANRARQASQEAARRGITCAKKGGPGQGSIVGCARRSTAPIRCGDASRLAAALSWRLDVRRGSGRRSPAGRAVGVAKRGRRAQGGGGCGSLAARLGREWASSRADLQRTTHGAERAIALCHGRGALEGMATWSQLEELNDDFRFCWRWPRSRSAKRVIAEAYLADELGEKLVNKAKACGSHWDGCHIWTGAGRVEEGDPWERDGTEKSRGEDDLGIAQTGFINPAFELLHCRPPSVPCYPLALANVNAPTRHLVPIGPRRSCPRPMSLSSFAPSTERALPSMASFTSYSDAPPMYSMSGYFSGAQAAPADHAYPAADSQYTSSSRTHSHWQPSFPASYSPLPSVDSLSSYSSSSPPVMPYSADYDRPALVDSLRAPASTRAYLPLSTHDPNPDPAPVPTFGRASWSSALYIVDPESPFQTTPAPAPPASPARVKSEEDSDGGFIFELPPAGCSPASAGPAFETMPEVPLRATHACKAMRALMSSFRLDPFAMHNGIRSAAVTAAPTGIEVGPLREEPLLFEWQAHLDVPLVPPSPSWSARSLSPMRYPLNDEPEEKWVPRVDTTATYESFEQDAAEDAAFEPLMTPAQSLAWSTSYAQPEQHTSAYSAQPLSVFRAPQAQSAQSQSQSHSHSHSHAHAHAHSLHAQHQHQHQPHHALHAERDYRSAMPIRAPAPQHPRCTQEYGEYASGGAHGVATGALSWNRRPESGLTSSTHCERRMQRSTKAGPGRGGCGETQTGCVGFRGVHENGRSDRLHCNFATAKMFFVHHPLAHTPVCGLSDNDKLLAWIAELCTLSGTLDLRTYSVALLPTGGGDDTTLPGSTPITSSCSVALYGHQATNKLPGSDHQQPSASLRLAVQSLRHALRPEMKGAGHALREQRASPCRACRHEAPDSSHWESNVDGRIETPLGDAWHKPASTGRRQESIQDPAAKTCLCPNSSQPPSALVHFCDVERVWMSHAPHPSMMTYEVPGKGCGNVYADQATRAPGPLNINGALGWGGMDVPWLTCASPTAHTSLTHACLYWASSVRGAGQCESWPGNWRRVCTMRCARASELAGIECERKTVCYAILQLYLAPHTLGLHEGRLRGGHGDVQPAGMRWTNDSASPPRRRRSCRHNDDHRGHSITRLSILFTLYGVGGSAGMWTDASGLPDSIDAGVENDEQALT*
>EED85080
MDDVLESRQRYLAMSWTTTLKDECLNNPASLGQHTARRSSISPSLLLAEQIEQGLIHFSGQSIVELGAGAALPSLLSSTLSPPPSLVVITDYPDATILGNLEKNVERNERAISDGCRVHYRRYEWGQDVAPLLALLPAGHDGFDTVICPTCCTLTGRMTPSSPLSPASSAAPPEPAPVGLVWEERLPDGAVKGALPVAGGGLNTKQLDVRKSMCRSQYRLAGYNDTESIAFLHTVGGGRLSGEIVRVFAAGLHFAFASLIELPEGTLRGDRNSFAPGGGGGKIYHSGPSQGPPPQNSRQSKSPAPLAQTVSANTVVHGF*
>EED85081
MSSSSTAPDKETLKLLLPLRYDSKTVIECDRFLLQLRIYWLVNTLLTIIELKVQVVLSLLDGDVHTWATPYFSQLASVQMGVQGVTTPFRNEAAFTAAFKAHFGNLDDEVAAQVELMKLCVDKLVRKKCTAVEFSALFKGPVDRSGYGDLELCNKYLSGIPSRMYCKIELETFTTWKDAEKRTTEVEQILDISRAHWPKLNNFFSARGRGHGGARGGAPPSHRALASINAAIGKGNFPGSCFGCGKQGAAEAGLYTWGDKGRLCTLVRAQLVCAQHADAALGAVDNDVSILGM*
>EED85082
MRYYEQRYPEVDELVMVQVRQIAEMGAYVKLLEYDNIEGMILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDITKCEERYLKSKAVSSIMRHVASKVPSVNAEGAEVQNEAAEAEVKEAKKASRRARKEGNEDEILEETGVPGTSANEEERLEQLYEQIAWPLGKKYGHPYDAFKLALTEPDTVWSSLLNPVPQSTLNILTATIARRLTPQPIKLRADIELTCYTPAGIDAIKKALRAGERQSSEAVPIKAKLVAPPLYVLSTNATDKYAAVDRLERAIESIQHTIENNGGSLVVKMKPKAISETEEHEFAQLMAKAGRENAEVSGDEDDEEAI*
>EED85083
MPDVEDRAPAATNFIVGNNYQVLDVIGEGAYGVVCSALHIPSERKVAIKRITPFDHSMFCLRTLREIKLLRHFHHENIISILDILRPPSLADFKEVYLVQELMETDLHRVIRTQELSDDHCQYFIYQTLRALKALHSADVLHRDLKPSNLLLNANCDLKLCDFGLARSARPPPNVANDSSTFMTEYVATRWYRAPEVMLTFKEYTRAIDIWSVGCVLAEMLSSKPLFPGRDYHHQLSIILDVLGTPSLDDFYAITSPRSREYIRALPFRKKKPFNTIFPTANPLALDLMEKCLTFSPKRRIEVEDALKHPYLEAYHDPQDEPTAEPLDPSFFDFDYGEALGKEELKVLIYEEVTTPRTQS*
>EED85084
MPFQRYLDGDPSPDAALDLGVDANTFSANVHFHLPNHILGAPILAPGGGAEVLPSGCISTQNPFAQSWYTPTSYARYSDHSPLPKPMHRTQPPHHQLLPETPFAQQHAYHPPPSSLPTNSLPPSTTPYALPLSNPFPNHSQTPSRAASPSPLMPAPMSMSFPSPAGLPVYSTSGFDLLSILSRIANRPRPNIALGPVDMTCAFVVVDVRRFDAPIVYASPTFCSLTGYPEHEVLGRNCRFLQAPDGRVQRGDVRRFTAPDAVAHLRRCTSQGKECQTSMINYRKGGAAFINLVTVIPVPPDSDHDSVAYHVGFQVDLAQQPGAILQKLRDGSYIVDYSRRSMLSAAGPRNWRATAAAMHGVSNELHTLLADPAFLASAPLALPSPVAPAAPGDKADPYDGNKPLHLLLLACAPDFVTVVSLKGAFLYVAPAVRRVLGYAPDELVGRSLTDLCHAADVVPLMRELKESSVLPAPVPQPTDDASAPAHEPGPRRVDLLFRMPNKAGATVWVECRGRLHVEPGKGRKAIILSARACLRRIQARSR*
>EED85085
METRPLPVRALYTINNNPQYILARSDCPVPVTLIPAHLAVSLNPRLPVSSTPHLSYGRTPLRPVLEAVLRSSPELVPNTTRDFTVYVLDPLEAPPPGAMETHATGGVAIGLGYMSLSLQGATPDATVTGTFIGDGLREDALEVILALRETPAIPKPPTRRWVPPDKSKTPFTTAPLPARGSRETILPAVTPPAIIPSYMMASMPPAITGSTSRSDKKPLKRSMSQQEQEQYLLQASGASSLSQPAAGSSTLPAPHQTDATLAPPAAVSDANQAALHALLVALASSQGKNTELLSMLSAIDTSSGQAGQQNNALIEALAKLLSVDAQPAMTPQGISPMALMQTAPASASGYPYVASQVPFPTSAPAAVASHPPVTLNFLSAGLYKHHHPPATASQKAVDDDEIVVLDKENVDPSAFRRRRDTFSSKEKEADVKQPQQLASWPSNSAVRPAPSLQQTSSTPLAAYPGSSGSQDASAIASSSSARSPSRHRSVASAITSTNTSGKLTRKRTLSEFMEEKEREQERKRTASTSSRARAGTGAVLHANTSAANSSTTVISPHFMSDSGLELGEGPSERAPATSPARRAAKGKARESESSMPAQETLRKPYVVPQWARTTTATLPRLANGEFLDMDEVADASLRRKRSTKTKRREQVRGSRSGAPSSGPSGTQSSPLKSSGAVLTPMPLPNCASASKNERQRTPPRPVVATASLSIFASPVKARSRTPEPSSSLLKSQGENPSFDTTYELPSTPQRPRRSTRDTASDGEDDGSPLFTPQRPGTSLCTPRLPSLYQENGLLFSPIRTHGSRHSRGSSGRTSRTLALPSEGPSTRSRAALADNADPFADDADTPSSSLPVASSDIEYPPSSELEATDDQSSKKYWSGDLPPSSPLPPSSPILSPEDDWGVEEDEVNADPDADADVEGDVTAEVINKPADLVREPDRGAADPSDGTPSLPFSHATTSPPNDACLAEPSGAQSQRSDMPVADLPLSDGADLSSCPDFSDIFDFGPPSDPDTALLSTDPADMFQPDHANDFDAFFSAEFASHDGLADMNFGEFWASMGPLIGENMGLDGSLAEAGSGGIDGESTGTASLNINTAKLADDMQSLLSGCVM*
>EED85086
MCASGKHTPTSKAHEAHPHEQGSGSTTSRARLMQVESSGQCRMCASGTHTLTSKAHEAQPHGQGSWPRFGGSIKGSMLPDEQKATAGVLVLPDGIRVLWQMGHRW*
>EED85087
MNASPRRDQSGRRGPFLWSDIAAVCCPGFAVDVFHMQASIISLSSVHTLHKSSCYTGYTKNFACNHLHRMQESYLSQNTRIHG*
>EED85088
MSSTLPFLDQFNAPSTEGRKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHNPEATNVTDHAALEAYLSARHEYDEAVKAADEAIDHHKRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPLRSANPEPPASPIVGPLRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWLRQKHLSGEEWKNLGRNTCNEWFDEEEDDGVDWELYGDGEQSYTRKSPIRRARTYGQYEVRTDPIMTAWHLGRLPCGHSGHTHQQGHLERQAEQHEADGQMPTAACRHPMTI*
>EED85089
MPPPNPEHKGDAMAAAPETTGLHSMELRNTTPQVQEKCAPAATIRSEQEDPSSAPTSFEPLAHPGGSIVHAGGSQPMHYVPAPRTLREDMLLYPYHTAPFAPTHYSAVGLNPHNLNMYYHLSPYQNLAVPLRSQAPSSISRADLAEPSAEPLAPPSKVASTSSQVAPTAPHSRASSNVADPQPLLPQWQALPRKPLTPPPSDSQPSKRALEPTPKAKSMQEDPSMDVPSAQQAGTTDNAAASAVGAPMDESGDNVVHDTSHDGSPEWDEVPAMLYEEAAEAEEQALQEQADNDVFGTPGRLSDNVHQILWTGFANMNAIVEQVSKDSGLPVARVQAMFRQQHARCYFKMNQVQECECLGLDAPLADTVKIRSKMYALFKEVHADIWRNILETFEKVEVWAANHTVGQCKHDFAKAVAKMRQLCDNLALQYDFSTAFLMAGNLVNMDTGLAQLYETAMAKNSYLYHQTSMGILNEASENGDKDMGGPSKPSGPAIAASCQQGGAPSKQHAGPSEQAAGPSKASTQVPGPSKQAGVSSNEGTIKSQKDLDRCKFGLEFLHPDWRKKLSSTDKVGVIRFNVREMEVKWCHTDEPVAAGGPWECKYNNLFPWNHHAELLVTQGLVWKNYPDDVRWPGEEKTGGHNKVKGIVELSMPELDQMIEGLVDMDYPFEFERVNKEALKKNKLPVIICAPPAHNTTFKRARRYFANGTSDRKGPPRHEVPALSGGHSESSSLDTNELSKNTASAALSPVQAPPPKASLTRSRVVEVVIHKSTTSQLATASSNPIDVDNSEDEDALVKPRVDKQKAINVKDSKDSEEEDTYQPSEGTPTPVLCNGSDTENEPKDGTKKEPSKGKGPSKGKAPAQSTKRSKASTGGSDVEQQSAPHMPEKPMARAQTAKRPAPCPVHAGARTRGRAMDTTTNEQCNASTEAPAQRQCPARHLHEDESSPEHAPPKCRHRPATTEGAPTEGTHDQEHCQEAPAQRDKDAPAELHCPQEGHSKCVHAPAPSSGTAALQPSLLHQRLAVYDDKDGNSTSTAGASGMLGYWMFPPPAPFAHAHNAHATGIRATPVANPPACPPFRVPEFRAPSLMMPDGTYIPSGRVHPHFYEDFSQAATFADMPQGMPYAGFEVAALPARRMPGTAGAQTAGAQTAGAQTAGAQTAGAQTAGAQTAGAQTAGAQTAGAQTAGAQTAGAQSHDEGKQRGDARPSMQA*
>EED85090
MFHKHACPLKFESFKSREGTQEASKVTDGSNEIDQFNEGRFGLKNTARTGLRNVRFAQNQCLELCARQKTELRDGGCIERLLSELHIEELELLEAWECAHQLAKRQNRRKHNVNEAEGGDRGEASQVAKHSSGIEITRPPHHEEDTHNYGHPGCSGSIHAFLVCTAHAHVCKTPRSSGEFSTARDPLPAARKRQEGHISRETLLDKASNIIRVIDRTYSGDNPEENCVLHPGTRSARPSGPTRKGCVRETGAPLNEVRATSDLTTFGQSFKVEKDLRASRLCRMGKPYCPDWKIGQQSKRQRGPTDATITGKVVNLTGFSTMRGKHCLVDVEIDECAAIMF*
>EED85091
MSFKSTEDVERIKLQVPGGEMRRWRGPQTVGINGGNWNDGHRPIPHLETFASRFGGRWPAVEMLWISNAMWQAADLDADAVFHDLARFPITELFLYDIIFPTILTLGRLVCALPRLKYLTLTNVQFTRQPFDPSTISQFRLLPRTQLETLSLGGTFNTPEPSPPFVELAYLWSAVRTLNLLGAIFPSVTTFARLLCALPALENLVFRGPWTFSKHGFNIQSIHVHPNLPLGLVAIEFQSNSHSDPLSMDDLVDFFITTGTSSRLRDIKLCPSRSLQATTESDVSLTRLVRHTGQSLHELSLSLWERSPLLNGEPVSPYSDPTSVFDNLAHVNVDIHTTDGVDVQDEKRANDLRVCLAKLDARGILGILVNFTRMGLRWDFKTGSWKRYGVERGAAQDGICKWHSQLPIIENTGMWSTARAIKGFLRLGCLLVPFPKSTTIKRHATDSEHQCQEWGACLVRGTRRTVRRGGGNHTPQPAHFVNRIEGYLDLCSEVIGVDDESCQNDAGSRMIPCSDSGAVLAAFQIGSPSSADAETPSSSLSLNARIATELARDNETLEQDATAGSEEYLRTSVPKEHVTDAESFMGARLSKQD*
>EED85092
MVQPFPNEIWLDIFHGLAKEGEYDALERCRVVCREFEPMAEECLTWYMTFKNVEEVERIKVGISGGRLRRWSGPLRVYIDGGNEEDGHRPIPHLATFASKFAGRWPGIKKLWIEYAMWRAQDLDLDAVVRDLAAFAITHLCLRDVTFPSILTLGRLLCALPRLKNLTLYGVQFTQHPLDTGAVSRFHLLPHTQLETLYLDHGHDDTELRPSFVELVDLMAAVSNRRCLVPPPSFAPASPWNTVRRLVLGRITFPSVTTFARLLCALPSLEALRSYDSFAFVKHGFDLRSVPVHPGLPLHLADVALGYNYPLHSDPYSVDDLFDLFIATGLSENLQRIGLCLFLSPRVTTACDAALSKLVKHSQSLQHLSFQSYPRSSVSNTVERHADQNAAPYFDVSSNACLEHLDLTVAVDHEDISHLCAPAVEILLQVTSAHISHIEVDFWPRHDSGARLNVDLGKLMVGLPQLDAVLSRPIFSNLTEVIVYIITPDKPNVRDEESAHDLRMCLPTLDARGILGIKLNDVDLSRSGLHWDRETKAWRCHKIERISAQDAVVTHTGADADDNRRTNNTTTITIPHDDSDLVPATSQPVWVPPAVYADAKTPSLGISTDARVPADSACDNEFVLPNATASLGPSVDKFAFDDQGPPILSPNPSRTGTRHVSSAARTASTATIVLSLSNLLRVRDIDSSAWWYLQDMTLVRSREASFK*
>EED85093
MQSTQFLWDYQPSQDHRSSSTTEQIAASSPPQKGLPSTLEAAPESVQSPINEQPLELPEVQYIPIEIPDIELPPAPPAPTNAPVEVPMTTFTQEDIDQRIAVALAAYQSQQSTANRPLRLNIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKACRYIPTRTNMSSTLPFLDQFNTPSTEGGKRISIYTPKHTHVGDSTLLTLLLSNPTDIFNKLKTHNPEATNATDRTALEAYLSARHEYDKAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHHFQPLLPRSIWAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQAMPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWRHVSAPPEEPARRMGVVVDNVFLEGIINEAKERRERERQTKAVPIPPPRSTNPEPLASPIAGSSCPRPDTPVVFRKVNPDWTPDTTQWTWDSSWPRQEHLSGKEWKNVGRNTCNEWFDEQEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFLLY*
>EED85094
MVPGSRQDRDSGPS*
>EED85095
MSTAYVNFFGGSPLNRLSWLRTSPNFLNAIALRPTTRWLLFQGGQPLLLSEPITRKRSLARLSTADVRPLLGSEPFFSQGQAEGEHAPSDVLVLESARLRGPPLVFLGLHEPQAVGGEADALPSSEFSAKSDAETVIANLTGTPYFSLDVTHVEQTVLDKSLLASEPAKAGAELTFFEPRGAMAYMDAFEKGLHNFAHPRTDAVVIMAIIDEAGEKVLLGRNRKWPEKFYSALAGFMEPGESFEDAVKREIWEEVGVRVWNVQYHSTQPWPYPASLMVGFYATADSSQPLRKDLDNELEGEIMCPILASRIVYLVADAQWWTREQVLQVLSNAAGTNLTDKDHSRISEAQEQQEQHDHEALKSSTANAQAGEAPPKDGKAQVENSSADGLDEVPFRIPPLTAVAGVLVNEWAYRRAGPGSGVAGQSKGITAFHIYFRFSSDHRQVRCDHDKYHLAYDVPDTY*
>EED85096
MSLLFCQNIPADRTRSQGARSDPQESDGKLMHYRNPLDSPSKPCVSEAPNSRLSPELNEASVQSSSEQPQPELRPLTSLKWPYVPEESAYPDPLKRDDPKPLQIPQYEAIATSPAIRRALVSSPQLPTLLRSIDQLRGPEREEALERALGIAPADRQAPAQPEEDTRALRVLAEAVEAAVRGGKHDVLGLDWGD*
>EED85097
MVRQVPPEIWWLVIDCLGEAREYETLINCMLVNRRWHERSSKYLSHVIFDSQNSVASLAISRGQHIARRWAGPSRVSIVGEGARVNQWTPIPHLGTFAQMLTGRWTQVNSLVIERAKLSVSMLPSICLDFAPWDSISTLVLRNVEFPTAAMLSSLLNSLPGLCDLTCGDVLFAEQPRRSPRELHARIGTLTMSSVQLDPDDHPLTRAYVLLVDFLCAVGIGSNTMALDLKLGSAFHATTARDMAAQKLLDDGAYYLRKLQLHVPLHEPLFDVSQPSCNYPLLDISELAQVRHISMDIYLSDSLTPYSFDSLCKFLSGVTSDDISLITLDFHLPDTGTPEQIRAIAEGLVVNGFPKIDEVLSRPIFATLQRVFMSAIQPTRTRMPTEYRPNWELYLPNLVERDLQRPLQSVAPWSQAHNCPPFSALSINFEVRNAKKPSSAHLGLHQQIARLRLSPRKTRAPYECLRKLLKLLRMSSSHGRSSVVRAQTDEVTESVDMRTIAGDEASGAPLARSPGFWIWIERRSGAINAMPDNSSSALRFFGAHRDTEAVGTLYLRAHLDREIDRCLQR*
>EED85098
MPAWWTVMGPSQNLIGAQDRQKTYACSFGLCIALLPHEDGLGLNRLALAGVEPLDDDGELAEEDGVEEDVGTDYVTDADAGAGLIWAGRGGGTRWWRGEGTGPDGKRMPYARHHPNLATVEYARPMGASSLYGRIFSDPPGSQLDWDGLRRHLSLVVGHAWQDGKTKIGISPAIGASTWPGRRSRERPTPGAPPRPTSVLCLPTCSCRLSLPELDLTGLCVGTCPYHRPMPFNPLGMRRRTAHSEARKVRVVMSAVRTMTETANTDAHFLAMSCHSLFVATNVICQLCWSGRREDLVLTVLREVESAADVMRRRFHMGREKRCRRIVGEEGASSGSEDSGILFGTLILATDDPVHGLSIDSLHKKGEALACGGFGNSLGKPIANLATSKEPSTAQGLSARTAPLIRQAQIHHSCPVHRYTPTESFFECTASQGARKQGGPATQTDSVASLLLVKSTYQLRCSLARTRASCTSSCFWPSAITHGLATWRARDLGLGGAGGGARQAGRPFRSIRAGPFPPWLGRRLLAGLHGPFSAIERVHALVAERCLAECRILGYGFASVSPVK*
>EED85099
MVARRLDDEERLYLTTGCIYVWEERSSNPLEATGQEIQRFTEGRSWGPSRARDDFLLYYEKESASRSSLMIRNNLAGSSQMIKQTYSVYVHDGETPPRKWHLNAYYTQDSVDRLRVIEQVPELKGIQVPEGRYVCARTGGTRRARHSAHSPSLSANGPAKSQTPPSPHAMSGRSTSSASRDNNHAAKTSLPTFTSSAPRINVPAKSPMNPVAQSPGAFLTLSGDPHRRLAPLDYLRSIPPVARDPIDDEALSRLPNMLNYRSSSMCDRSSTEYRMVKPQAYDALQSPAKRPQIYIVAYFTTPDPSDTRDLKLCKELLEI*
>EED85100
MRTRTRSLSVRAPT*
>EED85101
MSTFATTVCMLLILLLHRALSFHRKLKVAFASLVRYIHPMARKPYPSCRYSVLLRYLRLPLMLLGSLCRRTIREICPSLYSFLAKVLRAQETAQLYHEMVKCEGWTSQTSIHLDNVSQWMSKNDDDHAEGMSIGTTLALVVQGTIIRQVVPQWAYRLPIRRLKEVDEAYTRMTSLMQRFVADKKAEFVSVEGNDTSSNDLFSHLIQASESEDQNGLSDIELISNVFIFLFVGHGTSLFNSEKRQRLLMQSADTTAHVLTATLALLALHEDEQETAVEAIHEALPDFRNPYGCNDECRQTFDDFDALKKVLACFLEAARLFPPISGILRVSGSAITLRQQGDYQPLFIPPGMKTNADTLGILYNPRYFPDPERYDPSRWYGEQSELDFTFFGIGPRACIGRKFALTEAVCLLHGETKEMWRQRVMQIKFLGLTLGVPDVPLTMTRRTTA*
>EED85102
MLFLPYLLPASIFAFFGLFPAFSPNIRNAYRLELSDTADRVKSYLDETGCALPSMRSECSDKAKLMVFGTLVCSFASRRIDDWLDNVILPKRVVLTLDPPPLPVEDFSPNISSISLVSIVNQPEVVLNTDVAPLSEPEECPLAQWEFEVLGYAIKIPDIDTLMCRKCIAAEVALAATWAVLVVLILFSVILSCIRSFRRCKACDARAQPKCNIASNRSHEGSPECDKTLVESSSDLARRLSRRGGKVAASMALAGETVHPRSACDEIIDSKPTPTILQEAFWIKSSGASRAMVSDAVVHSAVCNLTSYEIEGRPIGEGSDASNDSPTPCTWENGKQECLNSEGHRAFQMRRPRSRVWDEAELSQRRQVVDGVVEDAGPYVLKSPFQTGEDGYFTDDDDEVFGGSACCARIWNQLSTKVLLSRKKKLVIKLSESSMLLLSKDCVKLSNSADSALRHGRPRAMRTELSISTRDCFATGMADSGPMYLLPLCPGCPLTASASKLAPQFSCHVTSPIMFGVPGSGEIGGVLYTAFAWNVLINRTFSAHLDLHSVAYNSSSEPIMSRPDIGPRLPLEIFWLILDSLSAQEDYHTLTACMLVCRLWGSHIRRMLPMMFMLSGKPQVARLGKHKGQRWKGPGQVFLSGGGGQASSGGKTISIAHIGTFSAMFARRWTRIKLMRIDNGEWRAGDMRPEVFLHLSAFTSVTSLGLGVVNFSSVVFLGRLVRALPNLKSLRCEAVSITSTEFDPRVFLKDAPHSGVTQLELQFNSLSFADATKSLATIIPHAVSYDLTVDCTNSFYVSNQAAVLAGVQGLIRASGSSLRKLTVQLTLKSDEGLIGACAKLITTSLMLDDEIAP*
>EED85103
MSQPVLEAAYINGHHESVLRSHNWRTVENSAAYLLKYIRPNMHVLDVGCGPGSITIDFARLVPQGHAVGIENTSDVLAEARASASAQCITNVEFRLGDALALDFPDGTFDVVHAHQVLQRVPDPVRVLSEMRRVTKPGGFVAVRQGNFGNMSFFPEDSALDEWKETHMAVTRALGGEPNAGCRLVSWAMQAGFPREAITTTASAWCYSTPEERAWWGNLWADRVQLSAFATKAVKGGFATQEKLDTFSQAFRQWTQQEDGWYALLHGEILCRV*
>EED85104
MALRWCICVRLRQHAPPARQTSLTDEGASSKLNTRYGVCTRHLRLPAIPIEIWWLVIDFLDGEYDTLLSCSMVCRARSDKCQELLPNSIVFTNRQDVARARRQRAMRWRGPRRVQAAGGPSPSERGPIPHLATFVTMLVGRWTTVNRLVIENAIWRTGDMHSSVFLHLSGWSSITALRLQDIILPSPTVFCRLVSALPSLRVLACVDATFANHRSDPHLLPKLAFTMSLDWVHLSRFSDTLSLLDVVESLLTTKIINRLNGLSLDLGLGFAIHDDQSRAVQDLIHAAGTSLRSLRLDMALKELRDHPSHPLHEGTLIDIRANSGLEELYLTLYHHQEHIAFTPILDFLLSSASPCLIKLTVEFRLPWASTAADVSSGMTKLGSQFCKQLDELLSLPAFGSLQELRLSVYLDPSVDIDRMQYADMLELYLHNAEQRGIAVSRAGGIQEVHNRDLDDALVVRTQYGLVVTRDSKSAQVGHVFLAPIGAYVFKGSAMRTYPQLLRVDLRTSPKSWYWLTACCGRSDDLYARDASHHRLGHYSHHDYRPMHYEGPHYHHSSHHHERPHHLSHFHEDHHPTHGYHGHFQRPHHHYGDIHRPSHHYKGYGRRPHRHAQNTHHYSGHNRYERSDPCSNPEALRALVAQSGLDPSTQ*
>EED85105
MAERVPNTEDQDAKADRSKSNDKRRQRDAAQAPARKRPRLILSDTESEAEDADVKPKTKAKANVEEGWKASHTKKEAKSVKRPSRPAKAKADGSSDDEAENNAPASHLPTKTAPSKPLSSPPKKQKVATNGKACVRSPVRIPTNLPLPYAEMQGMLIETLATSRASSLPASSLYNGLIASRPALKESASLLGEGPMTKKEWTTVIEDVLEAGYHSSGVFGKVESNVKVPVDHEVEAQWFYVPEKDEDQERATLIKSMMPRPGKRSETKKSKQYYWRPLGKMSRWDPEDDL*
>EED85106
MGCVQSSGIDGEAKARNDEIENQLKRDRMMAKNEIKMLLLGAGESGKSTVLKQMKLIHHGGYSEQERDSYKEIIFSNTIQSMRAILEALPVLDIQLSPQNDARRSIILSLPVQIEGDVLAADIADAVRGLWVDPGVKEAVSRSREFQLNDSAVYYFNSMDRMSSPSYLPTDQDILRSRVKTTGITETTFKVGELTYKLFDVGGQRSERKKWIHCFENVTALVFLVSLSEYDQMLYEDESVNRMQEALTLFDSICNSRWFVKTSIILFLNKIDLFADKLPRSPLGDYFPDFTGGDNYDAACDYLLHRFVSLNQSAATKQIYAHYTCATDTQQIKFVLSAIQDILLQIHLRECGLL*
>EED85107
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKAIPIPPPRSANPEPPTSPVAGPSCPRPDTPVIFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDVGTCISSCTISRSNPKFDLVIHSSLYSSSLGVVVILDFLARSSGRRTVHKSSLVVYESGIDIGV*
>EED85108
MSQISLAHNSPLAQAVCNLRRYDLSGLKMDELPSTIRDYITYLIGNDPLVSVSLSFIGNAHVNLRHAAVCELCKLLEEVCRERDVAMIPATAQDASPEIWWQGVQWDPVIAGIVTSFAFRWQDALRVLVEGTNALVWLQKEISPPVTWSIKQQRRYRDQLLVPIIEGANEALSHLDDYMYNPALENRDRAVNALWSRIPPCSGDTLEEILARLEMLAVYDE*
>EED85109
MTTKSLEDQEREIKDVLRKAERYPIRTSAARKEVLKRLIDLAHSPHPKLKMIAANNLKSFIKDFPDLEDEAINAVYDLCEDPVTKVRITGYAAIVDVSREQLKWVKRNADVLVQLLQSDEPEEVLVVKRSLSQHLDMDPAVTLGVLCDQVVPSDEPMDEEELAIRNRLRSLVLAFITGEAKRAIVERHTSIPGSAAEETLVGGMLKAVGRLPPSEIDVIVKEILMSLPSFKPSSPRGRELLDLLLERARASLKAELPSGSERSSMQQTRHYLSLASHIVIEKRVTQPAPLLRFYFTSLSSKITLLRLDEDAQIFVLSQIADLIKSYEERSSQGTGQSPTEDALLRKQIPDVCISRGSNVGAKTVASLPRILASLSTSKHASSDSHKDDYKWAVPSHMATILQHIQSLATAQGQTSKVDNLEDIQNLIRSLLSSAKPPAPAASTSAVPSTTDLSKATSGSTSTSKSERRIMNVKRKYEDQPAAPTPTTATPPNGTRSTSAVTRPQPPRVNAVQPRQQLTIMGTADNTQNGRTAPSDDQYRTAKRAKKGGGPGETGDIPSLLSRLAATSSNGGHAMQASGSIPARWRVEPAPITFNTAQSRPQNALNAPMGGYSIKGAARRSSPVADSQASGTSLLDRLQDSSLDEAIVLEWQDNIWGADTVQCDSQSKGANRAMPILDYPVISFGAGIPKQSLKFLCRLPDDTKGIL*
>EED85110
MTYHTGTDRRTTKTYRVFTGAPSAKEVSQPTSDYHWRTVSSKTPAYGFPPATLEAASRRISLLYQNIIFGESDEHPDDAVDDDIPLTGADRTDIRDQTAAITWPATTQGGAASQSLLNSKVSFLRPSLGASRLRSQLETQETQGSASYNYSNESSIARFPSFHFNLHAVTSLYALFTEAQQHLARPGPVQKGSRKVMALAAVLEAEGPDTIRVKRGVDAGKEVSLLKLIIGDDDGAVCKLTAWREVAESWGGADPDVPTSIKRGDVVLFENVLASWVPGSGVEPEREGAVPVSLSASPNLRSTLQICYRTMPCMPEDSRLRPDLRLGSSDAAVRRVTALVDWVEHTAGLSVK*
>EED85111
MAGPRRNSGTPPPSYTDTSSSSNAVKLRESFATLVNDQLEIQNLFKSVACQLETTPQLGEHHPLAEEWNTLRQSSMAIKDKKVMINKFLEVCSHSSEEQQDDLMHVVKAITVHQDTARRVATKFHELGKDVERFQLKVASALRCQAEPSGFLQSIWSGLEEICMTIWQTLYKLLRAMVDTFRSMLSRIRTIRIHCVVRVDIEFSQYSHLPSDIQDNSPRETAARVRANCKELTDQLSGFEDAWHTVRLSCADLLINLAMAKSMTSIPEAFDANVRSAGIIYTPLVECLRAYSMGRAPVP*
>EED85112
MSTTSTDSVASTPASGEHRSLFPDEFAAVRLLDRLLQLDRQVSKLLEEQRALRARKEMRGLPRPLRGRSWPSTDNPYTPEFEHDTKQAPLPEQVWGFHQALVQCGHDVYQMPSPDVSRTFERTALALHGIAGGPPSS*
>EED85113
MFEPVRGGTRGGQAEFKWSDVSQDKDRENYLGHSINAPTGRWQKNKDIHWYNRDLPQTQAERDEEIRKVKEAEAEALAAALGFATATKPGAPSAASGSGTGSNAIGVAPKPEAAPPAPDTEKEERRRRKEERRREKEEKRARKEKRRAERHRSHGDEDDGDDARHTHRHRSRSRSPRGVDRAHGRPERNRSPHAHNRSRTPPARRHTDDRAYGYSEREREQERDRRRWEDNARRERPGTRVGESLRWSFHPCIAVKPAVGVGPHPERMGPQRDLQPVGDVSFSLLARLVPRILDSAQYINCMRARGCKCAPHNLISILEETPFWLRADPPLAPAPLCRAFGLRVGVAALTMQSGRNMRKNSIGVPRPLVDAINPRTLCRNPGLDPVSKVFGCAADDARARRPDQRGRAHAVEGRSQTPWCRDSLHDAFQAGWSRHAVGRGSAQRAGLGRVGGGDDGGAERDVADSKRTGGGRAQWADGRIGAWIWAARRAGCAMGGRRRSSGRFGATVACGLPFFLEDKTGELTGSEYLDVHDRARFAYRCTLRDTHHTAYMVYEVSPAARSTPVASLNFGGGNALGTVKIGQGQDISMSKYLSKVGTFGSTTRKFTASDGQEYQWTRDTDDGSDAEWTCLNAKGYHVASYSLKLNGEQYETSSGCMLTVEESYESLIGGLRICAYQSPSAPPTMPPTFALAPRGALVAERTPIEDPARPWATCF*
>EED85114
MFLNQQGGPDERTHLIPPTNEVPPVRTYVLDQHKMKERLGTIVRAQEGYGQHLLLITATSADSPPRRRKMVNVNAQLPFNLHSQSLHALDPGAERSRSMNPPGTSTTQRIPSYSPARDESPSLQTSRSTSSLHPGDASYLPPEEDPEYGARRPAFNVRLVRAPGAALWTRRGRSLTRGRYSRWGDERLIGAGNGNGNGNAAEGNGVRVTGQENGVTLNGHADAADGPAAGSASTAHPPIRVAAPLPSSNGPDAEAEGEARGDGGATPHAPGFRIQIEDVGKISQSWGD*
>EED85115
MLELYGCSAVSATRRDSLLQSIQQRCPRVVSVDAVFVHLIDSPDDNLLGSATKEKQILDRLLQYGDDITLPGTVDALRGGRNVVYVLPRPGSVSPWSSKATDIAHICGLKSLIRRIERGVVFVFQVAGSSITTEDVASFAELLHDRMTQVIQLVRPDPEALFSRKSAAALVTIDLLDVHTDGQTAREKLVAANKTLGLALSTDEIDYLVDAFVSGTSPINRNPTDAELFMFAQVNSEHCRHKIFNASWTIDGQQQETSLFQMIRNTEKLSGKGTISAYSDNAAVFEGPTAPRFGISRGEQNQPLYASHVEDMPILVKVETHNHPTAVSPYPGAATGSGGEIRDEGAVGRGSKPKAGLAGFTVSNLLIPGHEQPWESDFGRPAHIASALDIMTEGPLGASAFNNEFGRPALAGYFRTFAERVPAGAEGQTEVRGYHKPIMLAGGLGNVRPAFACKSRITPGAKIIVLGGPGLLIGLGGGAASSQVSGAGSAELDFASVQRDNAEMQRRCQQVIDACVNLGEGSPIQSIHDVGAGGLSNALPELVHDSDLGAVFEIRDVLVADSSMSPMEIWCNESQERYVLAIPPEKEAEFSALAVRERCPFSIVGVATAEEELIVTDRLFKTDVIHLKMSTLFGKPPRMQRTDATRKVLYRPFDTSLTPYVPSASTVSDRLHAAAKRVLRLPSVGSKSFLITIGDRTITGLVARDQMVGPWQVPVADVAVTQSSYGFDVSCGEAMAVGERTPVALLDPAASARMAVAESLMNLVAANFGALDRVKLSANWMCAASKAGEGAALYAAVKAVGMELCPALGVGIPVGKDSMSMSMKWREGDDQREVSSPLSLIVTAFAAVENIGATWTPQLRTGVGEPTVLVFFDLAGGKQRLGGSALTQVFKEIGSEAPDVDDPSKLKAFLVGCQKVRELEPGLVLAYHDRSDGGLFTTIAEMAFAGRVGVGITLDSMKSVDDPIAALFNEELGAIVQIRHSHSARLISVFESAGFSSTDMHCVGKVYDDATDQPFTITHKSSVLFASTRAQLQQAWAETSFHMQSLRDNPICAQEEFALIGDHGHGGLSYDLSFTYQPSAGVSARPKVAILREQGVNGQIEMAWAFTAAGFDAIDVHMSDILNGSTSLPGGITGIQTPDGRVLALMPHPERVTRLEGNSWYPPEFEDVWKGTGPWFRLFQNARRWCGN*
>EED85116
MSVPPHYTSEIDYFLSLLEDDDSAAYPEASGHDLNQDGNDVHDGGANFASPGDVFGEGHRDPFSPPSRATLYRPPFDTLGFNDGPPSIGASNLKPDLQVPFTALDTLFFPDTTGFQNRSPSSSIPTASFEFLTTTLAAPQYTDVRQCPLDYADMYAGPGQWPDMMATDPALASGVWTSPPLAARGSSSPEAQVYNTSAPARRKAKTSPRKRKTQPAHKDIYLKTPPEYRFKCLFYRCSSDFGEAKVRANHMGKHFGLFWTCPRLACSISFCRKDNAWAHFKRFPECMKHVPRDDAGRVMYEAFQSRENEPWLDLDDLFKTDYPENADQSVTACRIRERWDQLPMLLEPSFFHDV*
>EED85117
MLRLTSDHFPVFVPFGVIGFYRYLWYLIRVAAALAYRPVPLPENPTYLAEEDVTIIVPTIDAGEEFKEAAHSWLAGRPKEILIITEEKMRGPLQELADAVDPSRIRVLTVPYANKRLQMAHGIRHTTTDIIVFADDDAIWPPTLLPYVLACFEDQRVGGVGTSQRVQPVGERMSVWEILAAFRLSIRNIEIAASTHIDGGIPCLSGRTAAYRTVILKDPEFLHGFTHDYWLGKYQLNSGDDKFLTRWMVSHGWATYVQCCKEAELLSTMKPNWRFLKQVLRWTRNTWRSDMRSLFMERHVWTAHPYVAYTMVDKLFNPFTLLAGPGFVAYVVYKSTIPVSDGGYHLPSWNVVVSYFAWLLATRTLKLLPHLWHRPQDILYVPAFILFGYYFAIMKIYALLTLHETGWGTRTGIGDPTAATAAADTDGDNKTPGQMEKACTPSGYPFPEVRPYGQFDSTEPRREYV*
>EED85118
MRSQILETKDYNVEGSLRDLSTSLPRTCAALTHVPSEPQHFKMGQYWMLANLDKRETFGMWGKLGEFFYSDFTKLVEFILTPYPHPSPDSVLAKHKPYVRTMETGKALGRLDLPGEILYFIFDNITSFQDALFLTLANPLLEPFGHRRMYELICLCQQRWKGDRIICLGDYARDDDLPKGLLSETELQELQDKLEELQDRQLEELQDKQLNLYDHMSEDWPEIKRDGGSLAIDTRWTVHTRVHRPRERSAILDMLVV*
>EED85119
MSTLSSAALLLTLACIYVAIRRLRRTSVSGIPGPQPESFLMGNLGELHQGQAGEADFKWQSVYGGIARIKAPFGEDMLWISDPKALQYIFQTSGYNFPKQPERRALSRLLGDHGLTWADGTTHKRQRKVMLPAFGGPESRALLPIFEHYAEQVTLRWKEMLETAPGRSAVLNVIKYIAPATLDAIGEAAFDYKLGCLENSEDELAKAYQNLLADVFARPSKAKIFFTSIAHYIPMPLAEFMYDHLPGQGLEKARFNRDVAHSVAEGLLKSKSHDLMLGKGNRDVMSILVKANASENDRARLTHDEMISQMRTIMLAGQETTSNTLSFALMELARYPQYQTRLRAEIRAAEQTVRERGDVSLSVQDMEAMPFLQAVVREVLRFHPVVPHNYRQAGQDDVLPLSKPLTLRSGEVVTEVAIPAGMRLVLSIAGYNRDKDIWGSDAHVFNPERFLEHSGKRGPTVGVFGNVLTFSGGIRACIGWRFALYELQAFIVQLISNFEFALTDDIKRLRRENALVMVPTLEGEAEKGVQVPLRVSLAGDLDY*
>EED85120
MAPTLNVKGSESGTARVLGSGTSGVAELLVFHPVDTIAKRLMSNKSKVSFSSLSPIIFREHAAAPLGKKLLSLFPGLGYAAGYKVTQRIYKYGGQPWFSDILSRNYKSSFTNAFGERKGKMMLQATAGSLTGIGEVVLLPLDALKIKRQVNPEAFRGRGVVRIFMEEGTTLYRGWGWTMARNAPGSFALFGASAVTKDYLFDIQDYSKATWGQNFVASIAGAVASITVAAPLDTIKTRIQNANFESKVSGVTVVKELIRNEGAMALFKGLTPKILVVGPKLVFSYTLAQSLIPMFGKYV*
>EED85121
MGQWWMLANLDKRENFGTWGKLGEFFYDDFETLIEFILTPFPHPAPDSALAKHKPYVRTMETGKALGRLDLPGEILHFIFDNITSFQDALFLTLATPLLEPFGHQRMYELICLCQQRWKGDRIICLGDYARTDDLPEGLLSETELQELQDQDKQLFYGFISETYQRVEHEPKAYWSPPYDVWSCLPKRELKFYMSISNEEPNCDRFEVTTLDRLSPPLASGGWRDISEPVVARLVANWEWE*
>EED85122
MSPIRTALELMTGSLIRRGLAVVLVDPKSRASERTYGHPRSVRASLSSTYGNVRCIALWLETKETHSPILVLKEHRFQRELTFLAVILALLAFSCGIGQTVNMFSDDDATTTIANNLVSGVLGLAAAFAADVYITAALCFILYGRRTGFQRLFQLAACISFGASVNTAVVPWALFIIPGNSGVPHLHDEEFGAANYSNHSLRQLADGYVRHPISHAMMPYSAQDISLNVRHHVADIVSNSQNNPRENMELHNVSAHGMHIAARIASLEAQKLSGNTTKIVFSKGVAVVVMAQKT*
>EED85123
MPLNLLLVLKPEHHLGVLVLLVNCSISIFSVVNMKTFGILDAEINKDTLVCMKAFEGIIEKLEIVRVEEIQNGLDCMNKMQRRESIRQGEPNDETKNEVTHVMTLCVKFLRAICRGEPHEKVLDYTLVELMESFVKLIECIKDFGKLLQLIGIPEVVSIDLAFWAVPVLAAHYQKLLWVVSDICSKNGMTAPVHTITASQNDAILPSLLQWGNNMTHTCMEEICEGATSSSLVCDVKKTSGG*
>EED85124
MAMGFPDNNMWAPFTSKLNWEITQWAKMRGHGSTLVSELLSIEGVADLLGLSYKTSKELNKIIGTRLPAAHPKFQHHKIIVSDQAFDALYGNPKFAPYLLLVPEQHYTDGSKTTQVFFDMNTGKWWWATQHEVEKKHPDATIIPIIISSDKTQLTQFSNNLVRGELAARCPAVRVNTRNAFGKGYEQWGRTLRLSKVAHWYKGLCIVPHEAASPRGTHQTGYVGVAWGDARAWPSGVKWPYCSPNAEDVPGSTRKASGRPCRVSSKRRAPSKVSKSVEGEVEVLGLERHVAGAKHWSERGYKQGRLSLIFPIPSFLLRYLVVLPRTPFASTMSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDMVEEWAQDLSPLVLAYRKALGAIRDEETELRIAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEERRLEDERRAQEAADEEMARIAAAEGLLDKGKGRARVDEEVAELSDDPSVKTPRTVEHPFAMTEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSSGSKKRRVDEPPRPLLRLPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED85125
MSVDSIEVEAKRKKHDTELDEEQSANSDLDNSQDDEEQDAAEAEASESDDEQIQKLAEHDPQALAEQFCPTWSNSSNIAHSAVNTLSGLNDKALKQLAKAVVTYQSPVPVTPEPGVSTSVKAIVSLKVVSGKDVSHAHTCTRTSWHKHLAIEEPTGKDTGDEGAKSVTLLEPDDSDSDDMNDKNDKPVDNVEDDAENINIVPPVNGNTLQLNNQHTRIQDVVHAAIKKVLIDICFDDAFPDVLMQSKHAQLTLVKCAQTLEYEDIQQRILDDMKYGRTLASLCTQRVSTFRSQVKSKCDAYSAAEFKFKLGCGEAVKWLLHNFQYIYPHDTKHPAIISSFRACFFTGPSGMGNKYPEPFTLSLPEKPDEKEMTIPLLALVATAEHALLTNWASGHFRPTDFKAAAYADAYGQHVILLNEIKKNSAARYHTLMRDLYNEVSLAAPTTQDTGFPSGALVLIDFVAMATD*
>EED85126
MATFTQADINQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADVYYEKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRIENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNTDAAKQGALIVTDTRDYGEPMDIDAAAVASTFASTSGGRKWELGAILNEDDRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED85127
MSSTLPFLDQFNAPSTEGGKRTSIYTPKHTHVGDSTLLTLLLSNPTDIFNKLKAHNPEATNATDRAALEAYLSAHREYDEAVEAADEAIDHHKRLLHQQDDRILTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNAYLPLPAPLPTSAFRHPPIPSPFFQAMPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWPRCGTLASPPRTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNLGRNTRNEWFDEEEDNGVDWELYGDGEHRSNPKFDLVIHSSLCSSLLGVVVILDFLARSSGRRTVHKPSLVVYESGINIGV*
>EED85128
MTMKRYWSSLVSPTSLPAPPQLPVKAHITELRRFWRSTLEELLGKGDEYRSAALAELSSHLSLLSRAEMWDLQTIHDGLIVEREALDDFFASPHRLSFEAVSRASRYKSRASSYFGEVKAVIRKSQDGLGRPERYSSNAGRIMQESRLSSHGSDSCLDRWRLPAGTQTDCGKGDFPVLCDSPTEISPASSRPSSYDGLADDVPCGTRIPSPARLYTVCQPSDLAEQNHSQSDSFRSSEILPGPSTSQPTRRPASPSKGLCLSPILRNRRHDALRRLDTTVDDSLDRNMSSPQSPRREAQASPRRLQTFPSYSPGDSSAMLPFRPAPKLRHFATESDLRRRQRSPMLLTREDLQRLGQETRRPNSPGDDDEGTDEEGVNEETDLFSDDCKIELASRVVQPYSHPRRNRSGASLRRR*
>EED85129
MLSHRVVLAPLTRYRADKEHVHTDLGVEYYGQRASIPGTLLITEATFVSPQASGYDNPPGIWNEEQVEAWRRITSTVHANGSRIFCQLWALGRVAQGDILARMGLPVVSASDIPIADHATPHALTIPEIKEYVQMFTAAAVNAMRAGFDGVELHGANGYLIDQFFQDMSNKRTDAYGGSIANRCRFALELIDSISKAIGEDKTAIRISPWNRFQDMRMTDPRPTFAHFVSCLVERHPNLAYIHVIEPRVDQLPDQPSPVEESNDFLRNVWAPRAYIAAGGFTRDLALQTSEQTGDLVAFGRAFLANPDLPLRLAKDLPLMEGDRDTYYTPESARGYTDYPFVDDSEARK*
>EED85130
MSSTAFETALNITPDGVTIVALAANPVLNALSSLSPLEHLKRGDKDQASTLTILEATSGVMDVRVHDTLQNDYNKLAKTRAGLANMGSLEAFRKRKHLVKYATDAAQLNAAIIVIQFHHVIDVDLRVGTGAGPTRINSYIILRAGASQVKRTLALILMHVDAMVLQ*
>EED85131
MSSDAAVFNYLDATADAVTIAAPVTKPVLKYFAGLSPRKQLKRGNKYQASTLSTLEQWSEIMDSKTHNELQQEHDRILATSNGLQKMGRLKALWELDQFYAYASEAEKLNADTVTSSQAARSKQLWSKKGMTGGQSLDAAVGYHDDAASVDITTIEENPFRETASVVVADYASDLGSEASVTETESIYSEPGDIGHYDSLSLHALRPSA*
>EED85132
MKTFKVYVGQTEDNMIEAISGALKNDPEKETFSIRHRNSAGILFPTRYVKILPISAHDPHYHTSIWYVALSGVSDEAYMKIVCETHEEARSQYHEASVLRHVLKHLRKRRFLTPFADILSRCGIQLEHPRVTDLYDNIVLQGNWPHSEELLQRLASEGLFDEHQRASPPRAEWTRLHGLDADGDAPCRRGGHAMCMDEENGLIYLFGGWDGQRNLDDFWVYDVRADLWRLLSLATGRDLNGPGPRSCHKMAFDRKTGSIYVLGRLLDSDDVDQPATNSGGVNSPSDSSGVPDIRAASASHTVGIPRAGSVTRLVWPSTPSEFYRYHTRGLDAGKWDLLTMDTQVCGGPPLIYDHQMVVDSEAQGSWKELRVPGAIPTVFQRFGHSMLLEPSSHTLIILAGQYREQYAADMHAYHIPTGTLTELFPSVTASGGPEACFTQRAAIDPDLKEIYVFYGLIRDSPGVTVLDPASSVWVYRYDRPDRPGKWSNTSPANTSGSNTPHGDPISAETPQPRYAHQVVYDRRSKIAYMHGGTSGVVTNVARTPSEWTGDWPVKALEGLCAVTEVRLDDLWKLRLERPALSDILRRGLFELRRQHFRELCEDAPPVKALTFLQREGSGRGTRFPLFVVASPGYFSFRSARAMPPNVSSLADSSTQSSSQDADMPNIEAPREELMKGTPALVYTMEVDPQETSRGDSPSPARFKQRTELFEKLLLFVNTSAKEPEKDLSELVNTDREE*
>EED85133
MCFFITTYRQYSCGHEVPELRHPRVDQHLVVGWPPFQCNACRGIHTANGNGNGATSEPSSSEEEEEERGGALPARRWQRLPECMIPVWPPRTLSVHNAGRFCGCHGGLFPLGREVGRLNAGERDMAVPSVAASDILDANTARGWTGPKWVLAQLGESSYYRQAVGRERGGSDERKEASSHSCPTTDLVIYSRFGETVNPLAVDRKGQRRLEGAPVASTSKFKNFPAYPS*
>EED85134
MPEIALPVRPLGVENCAVWMGWVHKCLSPSERVHLNGRFAMLAFDSHTVIRVREGYCSGDTYMSQKEVRASSKGMGTLCRRCGVLTRRAYRDSLRAPGALEIVGRLGAKEYPRTRMLPPVASQRLQSDPGGKRSIQKPCRISMNRKHEVKRTMRIGQVLDSRDFSMKRRECITDTSCKRRYLQAAIDVAAFPMGEAHTHRLRTSDRVMAPDDYKPPYGRQGCGHAEAHLLPRNTDTNNATSLNAGCHHEPEKHSQARDHLCASRGLSITKLVPGELLQQHSKRRQGPIRKLTIAHDLTLSTYSLVGPLRNMTRTMPAWGGFKPTPYHPASAQANKDSKTGLKFMVQVARPHLQRSTMENALPGQKELSALMMRGESIHAVFVKDPLYYTLS*
>EED85135
MLLVREMASGQLLDVTREVKFDHDNVRDLFARFQSTNDRTMKTAIANTFVREMEIHNDAEDISVYKYYETAGMGDMASQNKAKHMKVREYALRVEETPLSEEYDRALEKAFRVFNDHAQDEEQRQHPLLKEKLSAEDNDKIARSFLKARKTVAPRPRPLTPQMTNVAEKAQELRGRAHSEVGEEPTNRMFVDVKHAHPAV*
>EED85136
MADTQFSDPASGQTQRPGHCSHTEDVFENDFFVVVGLVWLRMEESATAVNAEASAIRFTSSNVANTISHKSCDSVTIGKREMAADVGPTGNHAFLKVEAYLVKFCVHLAPRGRKAEHKQDDFLSWSYVKQSQRNTRCAGLRSTLNSFEHTPLYSAHDSRCEKEKEINWGIITAAMTKHRARNDTTNGG*
>EED85137
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVSDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLQVAHRFQPLLPRSIRAQHNKFIPRAIPNALATQPWLDPEGKLQAMRIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLH*
>EED85138
MPLLIFRLVVLALFGSAVVAEISLNVTIDDTLGDSVTGNQIVYSPADNWQSSSNCSECASTFAMAMVYDRTWTLSTLPGNATISFYADYAEMAFLIDDLLVGTFTFSPSTELYNYPVYTNGELPMGYHTLIIMNGVNETSSGAVLDYIVYTTQPEHLLGIPSTVAQATVTATVYSSSPDAQTRVIVGTVSGIGVFLLLAIGAFVVVLSGDPASERTATTHSTMTTPTRFSIPSMFFIADTSAPLLPARADSVKTLSTKLVPNRHPDMEVPGPLPVLSVPLEGGSTSGTTTLSATPEPGGDGEQSDRRWFTRKSDGSTSGGSRSDSASESSSRRR*
>EED85139
MFGPPPSAAGPSQPTSASPENILQNHPDGLDASSGGNVPYAPYLNSQAVPQNSNDLPAGPNLGRSQISPSLPAHVPTAAQHPPYAYYHYPPNAWTSTWPPSTYPYGVVGPYQYAFQHPQPNQDAIPQLPPSSPLTCATFQERTDRSPSPPPPLHNDWDAVITSFLSSAGLTQALRGLKADMIVMNPDWANSKIPVALDELGDSLMRLRARGENNDVKYETRPLEDRKLDYVQVSHAAQPRAHTSITKSISQLLARNRARNDTSNRAEFLQSLVEKRRKLSREDHTNVSTSTPSCARTDAKTQDRDVQMKYDIAKNEDGPLRRTLKNDHVTLEQAASGTSQGTSSMATSADTEVAPERYPALDERMRSVETHLAVRYGEVNPTTLPHRIFISAVPSPPRSLLDRLKFLEDHIVRLEKEYPPWAALHFNQPSRGWPPPPRPTPVIVPSHLTSSAAQAHGSQPTSHRDVLPPWPIHDPTAPPATASGNDAETTDPNTLRTKGKMARNSKSSLHRAVMERLEVQKAMHDLARGGDPTN*
>EED85140
MTRGSLAWLALLLAPALAQCLRVSWPLIRPELFPVLEARGWTADAVPMPFAAQRARGACVAFSAHGRIQYYGGGALSLTPLLDAADGEDVGRFEFSSPDSRVCELVSPLCGCITLVDHLTKLASGHPYWEITELREGVSRELES*
>EED85141
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSACREYDEAVKAANEAIDHHKRLLRCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEVKERKEKERQTKAIPIPPPRSTNPEPPASPVAGPSRPRPDTSVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNIGRNARKEWFDEEEDDGVDWELYGDSEHLHNGVRAHFVPGIVPLRFFLH*
>EED85142
MSLTPLPVEVWLLIIDELGATREYGALKACVDASHGEVQEKAKKHIPPKMIFKKIEDVAGINVGRKLRWEGPNQVCIEGGGHGGGERFPIPHLATFASRLAGQWPNLKTVKIERAEWRVQDLDLRSVFVDLSCFDSITELHLHGVTFPTIVTFLRLVCGLPQLRELDVCDVEIVKTAIDASTLSMLGLFKLPTTKLRMVSLPWRGRSSEQPATVATRSAGLLPLAATIMDR
>EED85143
MAVPFIHLYIDGGECPASPQATFDVFNPFSGTLVTRVASASRTDCKRAVDAAADAFRTWEHSPLGSRRDYLLKAADLLATPRYQEKAASALKEETSAGDFLVGFNLHVATEWLRWIATLVSELKGETFPSSVPGGQVVALRRAQGVILAIAPWNAPLFLTVRAICYPIICGNTVVLKTSEISPRCQYLIAELFAEAGLPKGVLNVIHTSKEDAPARTAEIIADPAIKKINFTGSDRVGKILAAEAAKYLKPCVFELGGKAPVIVLDDADVERAAKAITFSALLFSGQICMSTERVIVQQGVGTSLTKALVDEFSKFRSGGPEEKLGAQFSDSSAENIVSMLREARAEGARFLLGDGKRDGAVVQPHIVAGVKPGMKLWERESFGPVITLTEVDTIDEAVELANATEYSLGAGLWTTNVHHAMDVSMRMRAGCVTVNGPTAHLEDAKDHTGLGGASGYGRFSVHEFTDVRMVVLNGANSPPYP*
>EED85144
MLRPLPLEVWLLIVDELGAAREYDALEACAEASSKELELLNERAKKYIPNELRFKTPEEVASIQVARKLGWLGPKCVRIEGGEHCGERLPIPHLATFASRLARKWYNVSVLVIERAEWRAQDLDFPSVSLNLCYFASITHLHLRDVAFPTVRAFWRLVCAFPDLVTLRAYDIEIANTAIDARTLAALRLLSAPVELGVIEIVTEQPGDLAARTDCAKLFQAITPQAVPFLKTSPWSLVSELDFQHVTCSTAAAFARLLCALPALKKLSIRGPRPDMTFRLDRLDLGKDFSLQSESQSVDALIGLFTQPRAGGGLRDISVWLSPYLRVMTSTDVALNRLVKHAGRSLSGLGLRALPEDGFRLYSKASILAAPNTVRSFNIAANTDLSFLGCSIEFKPEDKFQDSPLLEMLNNITSEWITHVSVTFQFKDAADLPRFWIALPQLDLALSRNAFTKLRRVRLYLCEIEVTNMILDCITLCLRRLYNRDILECVDQTEQLRLEIPRSCWA*
>EED85145
MVLPFPPEIWLDIFRDLVKDGEYDALERCRVACREFKPMAEECLLPDLTFESTEDVERIKVDTSGGEMRRWRGPREVHIDGGNGDNGRRPIPHLATFASRFAGKWLHVREVEIWNAVWRARDFDADAVFRDLARFPLITSLSVNDFTRQSFDASTISQFRLLPRTQLETLALGGWYSTADPSPHLVELANFIASVSNSICVVPLGEPTQACLWSTVRMLNLLTVAFPSVGTFARLLSALPSLETLILHPQPTFVKHGFDLLRIPVHPSLPPRLVDLDLKFGTDVDPRSIADLADFFITTGMSRQLQAIQTHLSPSLQVITESDVSLNRLVRYSGQSLHRLDLDSPWVTPASEDVWLPVDQIAVVFWSHTNSELSSDPDWAALMDGLQHIDAVLSWPVFGNLVQVSIKISTRHGSDVGDEERAGDLRLCLPNLDERGILSIWLNSTWYAFVQDRIVPR*
>EED85146
MVLPFPNEIWLDIFHGLAREGEYDTLERCRVTCREFAPMARECLLEYMTFKSTEEVERIKADVSGGQMRRWCGPNNVHIRGGDSEDGRRPIPHLATFASRFAGKWPSVCLLDIHYAVWRACDLNADAVFRDLARFPSITVLSLRDVNFPTILTFGRLVCALPGLKEFSVHHVHFNQPPFDASTISQFRLLPRTPLETLSLHDDIQMNNILQPSPPFVELVDFIASVSNRIRLAPVCGPTQTCLWSAVRTLHLSSIILPSVATFGRLLCALPSLEALTLHYSCTFLKHGFDLRSIPVHPGLPSRLVTVDLMFGTDVGPHSIADFVDFFVTTGISRQLQDITMRPFTSLQVMTESDVCLNRLTRHSGQSLHRLNLNAYAPWLTTNSKDVWLPADQSADWGALMDGLPQIDAILSCLIFSNLVYVSIGIITRSGPGVGDGERADELRACLPKLDGRGILGIQLNTIQYAES*
>EED85147
MRSWLDIVSLGWLRFDRTTIRHVLYGIIAGLTLSITSTSFALEYQKRKKEHIARQYKPRPIELRSDEIIKGVTGLIGNTPLVRINSLSDALGVEILGKAEFLNPGGSVKDRVALKIIDDAEKQGLLHPHTGSRIFEGTSQRPAIIMPDDVAEEKVKTLLALGAQVERVRPASIVDKKQNLAKERAMSFGVEDSLSDHAANPRSHLLPTPSSTKPRGFFADQFENRSNFEAHFEGTGPEIWRQTNGRVSAFVSGAGTGGTVAGIGQFLKSMDENVRIVLADPEGSGLYNKVKHGVMFDRREAEGTKRRHQVDTVVEGIGINRLTKNMELALPILSDAFRITDAEAVSMSRYLVEHDGLFLGSSSACNLVACVKLVKKMGWRGGESVVTILCDSGNRHYSKFWNNDYLQRAGIPIDMHIIEDLLSP*
>EED85148
MNPFYSSQGGNPNQQSLSSTTDPSRYQHSIASANDPTLQYQASGQVAGYQSQTYASQMQQHPYHPSSGYANVGVPHPSYQSPQYSQMPAEQQNVYSPQAYGQHSPAFPAAGSRSGQYTGGPPASPTYGSSSYAPAHPAQYGLVSAAPHPSAPQATRYIPTPAQSIQSYAYGAGRGGASGSNIVLPPPTSPSPGIERYQCDRCDRTFSRPHDRKRHYESQHMLTSHTCQYCRKDFSRADSLKRHLDNGIFAPRAIDVDVEDEKAIRSEGAIDFGFQPNAITIRRMDPRRPWFWLAHLRFPPTVRDMPRLRGKVAGMETAGVVDPRVRLDPRRDSLRQFEVGRRRLSMTDRVVCEQIIFLGSAEANWLLSKLSGSTRTGNGGGASYLKAFDSLKPRMEQTRCATSTTRPCHFARKPILPRRAGAEGTRGPNAIRAAKAAHGPDLMCLSVYGAKAALARQPGGWFRLSPQAAFGCRESE*
>EED85149
MTDLEHFQRTGNADVSLFLTLGTGEEDAANAYCRELTMESVQRFAEIMGTKTTDKISGGRRIIIHAYPHDVYRRTCSCPSQSATVRNYFIYEPKSCRTIPLKYMLTTTRHMARERGGLLEPDFAKAAAALLGTAKLARVDCSVRRDVCSAQDIRGYPADPRLNMRTLRAYRHGHSSDYNGRRDASSIVDYMRRQKARRNEFADYNRRAEYDDEELLRRYIHDTF*
>EED85150
MVYIGDKKYACETCIKGHRSSSCRHTDRPLYEIKKKGRPITQCEHCRQLRKTKQLHVKCTCSHRQDASAGPSSQGGVKVSAEPTFPDGLPKALEASVVLHAMSDGSDSEYDGAFCH*
>EED85151
MGFGRSLLAFSLGLSISGSRLLAKAYDNSRSDNLAVYWGQDSYGASGGAESGWQQNISYYCQDDIIDAIPIAFLNVFFGEGGEPSIDLANTCSTSSDPVFEGTQLPDCSFLGPDIETCQNAGKIVTISLGGASGSIGFSNESQAEGFANTIWDLFLGGSSSIRPFGDAVLDGIDLDIEGGSSDYYSNFVASLRSLMNGGSKSVIDAEPFDAVYVQFYNNYCELTNFDDSNDWDFATWDNWAKETSPNPSVKVYIGAPAASAAAGSGYVDAATLGQIAIETRNNYSSFGGVMLWDASQAYANDRFDEQVKNSITEDGSASTTTPTTTSTTSTTPTTTSTTSTQTPTTTSSTSTSTTAPATTTTSSGSGDCAGVATWVSNVAYVGGDQVVYNGDLWTAKWWSYASAPGGASGAWTDDGACASTDGAVAVKMVFQSKEAPLNVPPKVSAVPNLSLSAALARKTAAPPRKNSRFFGAYQG*
>EED85152
MTASPPMSRMQLGSMHCISRAAARLAPSLASQISLS*
>EED85153
MSAADALSEYIQSYRYSIIDSYIQIAATCVFIYDCFITLDQERQVVWERKITGAGVIYLALRYTSLLNAIANIADDIIISCEPEVQLQLTMPSFIIFSAYIVGILSYVALCCMYLVQAAFAAIRVYAIQGNQWPMAVIIMMLGLVPVATNTISDAGGYCYIGTTTIGFPYNNIALHTSVCDRLESARSGCDMARHARYEGPLGEEQHQGVARCLTVQRWQESFDLTQPVELINTLVLCRFFLDLRRLSSTDDSTGTSAPSFSSFASRVIGDLGGMLDIAFRAPSEDSNTDIELDDSSQLEYDASHTTTSPTLEGGSGGKIEMDHQPSFLEEGDFSITRDVEAVLGEPGASVDVAYTPEASPKALSLNAFCFLLDAFGSATGGMIVLANDDISILYLSARLLTNYAAVCLPVVRLTALAEAEIHSCTFGASTERWQCKEWRIICQPSPAPLGSISMQTSSNTVLWTQRSGHCEIAVAVGRVKAITTGSCRLLISVVRILHLE*
>EED85154
MATFTQADIDQRITVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRHFIQCILSYFVATNNTRLSNEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNRTANDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDTAAVAATFASTSGGRKWELGAGRKPVLLLPHQGPQRQGLPQESSRTTGGWKAEPGRIWEG*
>EED85155
MKGLVFVGLNNIEIQERPKPVIVEPTDAIVKLVKTTVCGSNLHIVKGHVPYIPFGRIMGHEGLGIVETVGASVTRFRPGDHVVISAITRCGRCEFCSRAMYSHCTDGGFTLGNTVDGTQAEYVRIRHADDEDALVMASDVLPTGMECGVLQGRVQPGSFVAIVGMGPIGLSALMSAKLYSPSVIISLELDPARLNVARNLGATHAAGAGAVEEVMRLTGGEGVDAVIEAVGVPASFELCQELVAVGGTIANLGVHGASATIHLEKLWNRNITMTTRQVDNGSTADLMELIARGQIDAGALATHYFRFDEIQEAYACFSNAAANKALKVIVDFEGAGGAAARL*
>EED85156
MVATVAVYYNPAKTLGGMVEADLFCTMGLLWAAFVSLCSMTMFWFFEVQRGWEWLADILVLLWIGVGMSIVAWMKVWMAKPSFNTACSMTSIILFVVVVKEGGLQTLLQVSFIVLCGATVSNLVCVLLWPQSATKNLRDTMTKTLQSFSTLLGMVTETFLLEEPQHHVSRDKLQRAAESHQASFTSLKKHFIEARSEWMFGGPKNSSGYDLGGPRVGSADAYQDAIDSLNRLGQHLNGLRSGTDLQAELIKAERDGKLVLKTRSVGRRTGDEETAILQAAASMFGDLMDDLGPPLKALSTACIATLKRLREGFAQPLSVTDDDSTCPAEYRKLTESIQRALFTFESTSNHAVLRFYRSHNISTSQSRASLSSMVDENPILAGSDGESIFLVYFFIFTLQEFAKEMVLLVDAFERLYAAERAQAAGRNWLKRLLRVFISQRSPRNTRTEGNLRKRISTYFTPEQARRKPTYFPKITPHAPNTVQTPARAQLTYIGRLKQSLWTLGARLKEQDIKYAFKVGMATAMLAAPAFFDSTRPMFVHYRGEWALISFFVVISPTIGATNFMGVHRVLGTLCGAGVAAAIWTAFPENPYALTIFGFFFSLPCFYYIVGKPVYATSARFVLLTYNLTCLYCYNLRRKDIEVIDVATSRALSVTVGVVWAAIVSRYWWPTEARRALGRALGDFCLNMGWLYTRLVAFNSFADHDQFLSHDVDHTNDETTALLRDSSHTQIANSIHEFMAMELHLQIKLIELQGLLAQTQHEPRLKGPFPVAMYRSILTSLQTILDKLHSMRCDFILPVNKERREMVGNIILYFSTLGSAFRLKSPLPPYLPPAEEARLRLVEAIRQLDVVRNRDVRGSRQLLFFAYALTMKGVIHELNYLGHTLQDAFGVIGQSTEEFEALFRNTGTEGDHRVV*
>EED85157
MVSSSWYAGWHADNFTLQNVSWDKYTHLIYSFAATTPLVSNITLNGSDADLLPQFVSMAKQNNVSAMVSVGGWAGSQYFSTNVASEENRTAFVKTITNFVQTYELDGIDFDWEYPGNQGIGCNVVSANDTNNFLSFLQALRVDPVGSNLTLTASAPLKPYEGITNGSAFADVFDWINVMAYDVWGSWSPSVGPNAPLNDTCALLADQQGSAVSAVAAWTEAGVPAHQIVLGVASYGHSFLVESALAYLEDNTVLAAFPLFNSTPLGDAWDNATNTDVCGNTSGPSGVFDFWGMIDEGFLFANGTPAAAIDYRYDECSQTPYVYNKSTGVMISYDNAESFIAKGSYIANNNLRGFAMWEAGGDYDDILLDAIRQGAGFEDDC*
>EED85158
MKSQLQSLPRRVDSLVASKGPYFAEVEDELRDIKRVNAQGQEEDLRLALSRMVTRVEELTSMLKEAFKAQTDIQTELTLAKSNLQLALANNEMLEDALKRTGHVKDVGWRRWSAREQQQRDAEEERRRSADSGGSCDTAHNSPAQPHPSPMPPSPLPSASAVVSPVPSSDGRFFKFRFGSSSSSNSANASGFPSSPRLASGSKSPLPNGSHLTSASLPSLVPARDWEKEVEDLNGQLKREREARQNVVAQKAALEAELESLSQALFEEANKMVSTERKKLAETEDELKELQAEREALRNALRLVERQGRDGARYAAGHAHAPSTSSARAVKSLPSTRPSSPAFDEDPTSAGTVVAVQPRRPPPLVLNPESPPLSASPVPDETLQHPPELDSNHSRGRTEEAASRSSSVRPSPLPSPSPSIGAVRASPSPSPSVPSPEVGEYVYPLMRPVDFLQGEVSPWADAPSYGSPKPVA*
>EED85159
MHIDIAPDLSSNVSAGAHASVSLKSVFDKVRASLDQKPSQADSQRLIILDDIATLEWIGIPVLELVRFTRALCALCRKANAALVLRHHIVTPGEPDDLLRRLLQLCTYHMDVLPLSSGKSGSVALHAGPATINTPFRLVPRHGAVHYKLSDAGAVFFDRGTGGGVL*
>EED85160
MCTPFLESKGLFSGVCTLFSFLRERFDSLDGYLVLNALLYYIPVKHYSNDKALPVDGNLFHILAITDLGSLRLELVQNAALQARTEFPAATLIAWLSTPDLEAAGSGRCAEIGAFSAEGTRYTFQSLLFPHILPITMTLEFETRTKFKIGDEVRFRPRYDNDEVVGKVVAIHMTTDSHVSYALAIDGSSITRMGLTKVPEEKIRGLSNNMPFFVRLRHHLHIR*
>EED85161
MSVDGLSFICTKLGRPSHIDFGHLDRVVNLDKRETHSNEALGKLRAFFFSDFSNLIQALVVPFPKPSPYCRMQKPGPFIRTEALGYMNLPAELLYIIYSHIYNLCDAISLTITNERLASVGHSRVYELLCALHSSWAGDRIVCLGDYMTDDDLPPGMISQDELKSLYAAANPKDDDLSIYDVAINNFAPVSLEGQRWSHHLHYNPSTNSCLRDYSRHRDYLFRMSPNYASSTPEEDKWSLCNLTKHEYVRADIIAMKLGVGMRGPFIEGRLFRLEQIFFSLICWSSDESTSMRYQADLHRGAWAGDRIAINTLNWLSPPLDSGVWKDITIPVFKRLVDIYDWDCTDEEYIFGSIGDQEQSEDEERLEGRHENTRGSDDSESEY*
>EED85162
MAETGRVVATDDHPGAVRIVQRPHHTHSNFTGLPSDPWGARPHPKLARPWPRPPIRAVVAFLIRMRLPARVVSGTDGKESQSGDLAASPPRGWTTMEIATPIMVGFFVAAIAATVFVYYRHRLRHPPSIHRPAPVTVSCWDRFRLRMPGWVFGVLPGTHAVRPGNTHKPSTWSIDDADNIPLHAPQASSSTSSSGSGPGSGSGSLSRSGFASHSSAFRPPSPALHNVI*
>EED85163
MRTFQVLLLFLSTLAATVMADSYAREERMVARDVWDDDALFARDEDALYARDDELYARDLEEYKDHPLVREIVNVVARARGNRVTSCYNRGVHLTVEFGKYYYCVNQSGHGFCERTRNLQVENGECFN*
>EED85164
MNIASVDNDVLACILAFASPRDALQLAPTCRALYPHAIARLLSEIDFSGRSLPPVREHQFPHTSTWDDEFDFVDESDPEIENEDDLYWRPEFREPQRQRNTALDRLDRLKPQPGRLRVDPSRVTAFCRFVLADVAQRAPCIKVLRLSGLAFLQVTVAEDDPDGAVGNRILRALHNNVFNYVFKNEIVEFQRDYSSAVLFADVLRHATGVKNLYLRDVDEVLEGQPSLADAFANLPHVEAVMLDQGPGLPPIQMMSRMTSSPRELEVGDWYGKPTYAVQCAAPAVLLVNEAKHVSLTPSQIDSLVRTISSLRFLQLNSSFSPTALAGFFDLLHHLPLIGLSIVLSSTRPAMRDPQRRDIVLVAARSIPTLRYMEFGIGRRHIHKSQLWNRHRSLRPVWHEILRPENEPELRQLSSEEGYDIYREMLKLDRE*
>EED85165
MAGQHQLSSPQTEMRSAITLLDLDDDVLIHAVSDLSTNDALNFSLVARRVHLIAKHQALSCVTMKSIKCVSRICTYMLADVPGRLKWIRRLSVSVLPPRPLPLRVQSKRPDYVRNVQEATASMHLLVLLVRHATALKYLHLKFVDQVLAFQPTLIDVLSSLTNVAELELLHACGAETFKLLDRLQCRPRGLFLQIGPEIIDKDALFSHIGKWQQLQTLRLVGSNIIQDQRDSDTDLTKCFMSDLPHSWPDVTNLSLARCEIALPAILRAFPNLHVLTIMEWSRGPCFSNPSRPVYLSQTREHGLEFVEGSAHFFQNWPSARPVYHILIQSVLAIPIIWDAVGPTRCGDPDIPILLQMVCRAKPVVLTLRAMAFKSLQSTFWAGMAAEATRLRCLEVEICLFRETEGLTTLFLQWMVCINDQISSSMRSDIVSDFDGSQATAEAMAYALVGHIPSLEYVSIVFGRMPLDWSSTLYIEPFHGLLWTWKVNNISGERTLLPISATLASIIKERLKSPAGMGHGHLEEMFKENSSTSRNDVSSTHSFAIPDSEVIRVPADPSFKGT*
>EED85166
MSATRPLSVLILCLWFSMASRTLEAYNLLGVLPDIVSRDMDLLPMRFYKLLGGAGPSKTFDMMPLEEPTPSTSYINSRMLEQAKAEKVLRKHLATYGCHVGKELCSFEQNTGHVVVHLVKRIGDQEIAENVVCRWLVGTDGAKGKFVFGEIIVKNLTRDAVGAIPDGMLDECWHCWGDFGSKIFTVLGDVGLEKIHEDYDTLVQTVKEISNRQDLIFGEIKFKSNYSPNVRVVNKFSEGRVFVAGDAAHVHSPTGSQDAVCSDLNEKYYHGLIGVNCQLNLGWKLALVELGFASESLLSTYTEERLPVISHMLKETTTLLHSTRSVKTNGGDVSHGWKRTRDLKMLSVNYRWSSILFDERHPQQTSGKEKQLLDAYGTDTSGGLHAGDRAQDAPGLALVEADKISGETTSLFRIFSSTRHAVLVLSSNGDQIAAVLELANKLPPRFVRTVVVLPRDSAPLPATSGADVVCIDQDGYGYMGYGAGTEGTAVAVVRPDGVVGALVAGATGLEAYFKNVFSNIKA*
>EED85167
MYSVPESFLEIEVRNPQTHGFGRKMYTDYEIVCKTNIPAFKLRHSVVRRRYSDFEAFRDILEHESTRVNIPPLPGKVFTNRFSDEVIEARREGLERFLTVVAGHPLLQTGSKVLCAFLQDPAWDKNQWQ*
>EED85168
MTLPAVLSRRSVDNAEAIRVHNQIEEDLKREHERLKRRRPREIKVILLGQAESGKSTLQKQFQLYYASQTLEYEKPTWRPIVYFNILKAIRMILAEVDYEYLSNKGTTSVPQSISRGSSASGSVSILSGHGDIDPAWVMELAQLRSKLLPLVASEEALASELSGGITVSGGRSGLYVRAGWQALSTATRTFQLSDIRNGMGPRAPVMTDIVAKTLVANQDEIEDMWHHPAVRHLLAMNKLRLEEYAPFPIIFPPQACSMFNITLFDILHVRIQTLGVKEHSFDVDMAGVTYSWLLYDVGGARGQRQAWVPYFEDATAIIFLAPISAFDQYLEEDPRTNRIDDSLQLFTSICSNKLLQKAALVLMLNKTDLLKEKLQAGIRVRKYISSYGDRPNTYEEVSEYFRAHFIQAHKRKDVYHRPLYVHFTSMLDIKATQRIIVNVNEAILRKHMSTIGLA*
>EED85169
MTRNPTLKAVLFMDITLKYYAPYFHNALARFVVSYCDPSLNAATLEAASAGIFFKFCAVPVYQKIKFWLCDAHDFGMAGETLDIVHVQPEHKDTQGHIAPAQFDTVLVNDGTGGNTGIKGGYIKILLYGDEIGTALQEVQGPDKHSVVGRSLPLCQVFLPTRQLPWQMAEQISEGSRRWQMYFPIWGGNCHSAGGWQKSASRISAHNTRQARHSSGVKLSRALL*
>EED85170
MSHSAEHLPTYAEGDNGDGHGAVPEFEAHTSEGTPDAALPPVSQPQDEHDEAPQVAALRSMFPDFDSTVLQSVLESVDYNQDRAIDVLLGMSDPEYVSTAVSPPPEHDLALDEQLARQLALEDEQQAPRARGQAWPRRDDVPYEARHRTPGQPQQSQQQQQQQYVTGSERGDFQELQETLGRMAESGKRTFSSIVSKAKAKINEYNQQNNQPRPGQTSAQPTEQRWDAAPPTQLDRHTAAEAYSQQYYAQNRESTAPAARETRTPPLIILQDGRSSSNDYRQVTGYDVGPKLDSPLPSASATVRPSSPQNAVNRSSISSARPSGETPQRPLSASGNPIDGAKLGLLPKRAVSLINTSQVPHKSEEEDELEYVENPFEEGHN*
>EED85171
MSLRYPAQFPGTPIPAMRAKPAGSSPMSAPTSFTTGPFAGWTIRGELIELQKADMGRKYGQKDRRPLDPPPVLQLRFYRVFNNGTAQQWEHEIDTYTYAGLKQQRRDAIGVGWPSSNTRTVAMFSDFVDSSVSHAKAQVAVSDDDQNVEGASTYTTLLVGTRAVSASCVYHEGKKILAFVFSDLAVRAEGSFFMRYRVFDIMSAVTGGTQHPALAEAFGGTFQVYSTKNFPGLQASTDLTKARTGKDPSGTTGARPEGCEHDRVGTVRDKYELSTGGLDGVHLRALGFLINAYDGVGADSYPRLRQPAVALKLARFSDLIHAFGSVWIASMISVRRRLNKSVTAFKYSEALRELLIRPGEEGRPLGIHHWALPGRRKMSADRAANQAHVPYAIARLTRGETQYLRPTVKSPGEDLLLWYRALALPAVIRIHQLTEVSSDSEKLHITLRDTGAWDLPFEKAGNLMYASHQACSYNIVRMQFRPTVSVSERRVP*
>EED85172
MLSFISRVRKTTNPPTVEKTENPLRVGLLGASRIAPDAIIKPARCHEDVLVTVVAARNKSRAEEYAHKWSIPKFYGGESGYQDLLDDAELDVVYIGLPNALHYEWTMKALNAGKHVMCDKPIADNEEETRRMFSLAEERGLVLLETWQPQFHPAIKKMKDIIDEGSLGEITKMYAHFGLWGNVMFKKDDIRYDYKLGGGALMDLGPYPINYMRFLSASEPTVQSAEAICRSENVDRRMETELLFRDSIPATVIVDLSLDGWGPFKLLPQWVKIALRVECEKGSVEIWNYPLPSLWHSITVKTQDGRRRVEKVYKPTQGKGEEWWSAYRYQVDSFVDKLRGRSPVAWRTAEDSINEMRVIDAVYSKVMTLFELLRVHLLTSYGVSEWPGFAPYLLLWSLGTSDTFGRAMMAPAATSFNRDSSSGFVESFVTNLGTAFYCWIASQQARYVVKSASSPGHNLPPGPGGGHQGHCVAGEIWCSGASNPDNQLPNYEQFRAGRTETKYLATALRLRAVRALSREETDI*
>EED85173
MWAKGRYCLCSRISLSNQRERLCCVTVHSTSYRVSQLGEVTLQLRSAAAVHSGSTLPKTFRDCLLLPRSRSTCWATAYGSIAVNLNAHARX
>EED85174
MMCNKILCGSQSISPICVDYLGKKALLCVFSDLAVKLEGTYLLRYRVFDILMSLPSGRGRPAIAECVGGPFKIYSTKDFPGLRASTELTKHLSYHGVRLNCRESERPRKK
>EED85175
MSSTLSFLDQFNAPSTEGGKRISIYTPKHTHVSDSTLLTFLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSTRREYDEAVKAADEAIDHHKRLLHQQDDRVLTELIRLDNLKVAHHFQPLLPCNVRARHNKFIPRAIPNAYLPLPAPLPTSASRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVIDNVFLEEIINEAKERKEKERQTKAVPVPPPRSANPEPPTSPIAGPLHPRPDTPVVFRKVDPNWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEHEIDDHCGARILYQHYMEVYVSVVTIQEGITDLLLQFLQATDSAMCLHCWWFLFVCSTAYPKRLSDELPLPACGIAQMANMYDETYTYTIIPKTLVSVVTGLGASFVGDIYITIALCMVLHKHKMGLAWYALEPRQKSMAVNQHIDHCVQN*
>EED85176
MTEQIAASSPPQKGLPSTLEAAPGVVQPVQTRRSLPIKNSLATTRDTHPLTQKTYSPSYRSTSNLYKQQKARSHPSTNNHSNSLKFTPPAPTNAPVEVPMASFTQEGIDQHITVALAAYQSQHGKAEDLRHFIQCILSYFVTTNNTRLSDEAKIAFTVALMRKDLGKTWADTYYEKSAGGVQVYSTWANFVAALEEAFPEHGTRIKAHQILMKLPERQRDRKTALSLGNYVTRFEQLALKAQLKDTEVNGTNCVENDYHTLHANFVKGLPKELYFALATRVTRDRPNTMKAWYDEPSRGLSSSQTPGTMVNQWILTPLPSHQPSPPHREEGNGN*
>EED85177
MSKTSHPTDGIPAAPHVGLLGRLLFAPIAVARFVYTLYMFTHSDMQTIMLPITTFAYISVPSTSIGRMAQAVLWTWLLFLQCCVSNQIYSIPEDGDNKPWRPLPAGRITVQRAKYLRCKFYCETSAQWYSILIILTTIHASDFRDEVGDRLQKRRTIPVVMPGLGLVGTRFYYLKDAPADRTSYLLYCIWLGTARVMPLLKAFYGTIEHVTFLPWSDQVQTFAAAKIGSIIGLELFWRLYSFATMGQVIAPYMIASALFTIVVSFIESLQGDSESGNIGPNPVMEELERRMEEERQQAGEADKERQRAKEQQKAAEEASARAAEDARRAEEERERADEARERAEEHARQAEENRRLAEEEQRRAEEHRRRADEERRAAEDARQRAEENARRVEEARNLADGARQTAEDNARRADEDKRRAQEQRLRAEEEKRIADEAKAAAEEQRRQAEEDRQRSEALRRRADEDARRAKAEQEKAEIARAAADKAAAEAKAAAEEAHKALKDGIKPVIMPTRGEYEAAKKHLQYKDGIFHFAIAGISGSGKSSLINAFRGLRNGSRNSLVAKTGVTETTSRIARYADPNKANPFVWYDVPGAGTLSIPDWVYFNEQGLYIFDCIIVLTDNRFMQTDEAILRNCARFQIPSYIVRSKSLQHIQNILNDTPYDENKDEDEDVRMEKAIKQYVAETRYSVAQNLEKAGLPQQRVYVVDKETLVQVTSGKGPKTLLDELELVKDLLAEARRRRVKMPVFKPEASDLVASSSSTVVAIA*
>EED85178
MFLGPALVEMHIEIDDDDCDSTIHGIPFLTGMCCVCTNLEVLRLNISCEDNSPDHIGAFVQMVQSIYRRLRIFCLSAKNDSSDRCDSKFMAKPIVDLLRTTCSRSQEFSLVEIPVPADGVIKLATNPHLRDVCIYLDKTTLKPSPFEGIHRPFSALRAMRFSVEHLDEHSLSFLGSVSSGVLARLIIDVENLKLDSVMLHAHIQKLQQSPFRDTLALFGLDFKYSGPRENMKYEFLKPLFDLPRISRLFLRWLSAELVRTIAEKQPHIELVDEVS*
>EED85179
MALTSALPKTYKGVSCAGPHEPWEVITKELRAPAPNEVLLRVHASGICNSDHFVAEGTWPGISYPRITGHEVVGRIAAVGSALEGDARFEVGALAGAGWNGGYCGCCEYCRQGEYWTCATAAVTGFTFDGGHAEYMYVPEMAVVSLPEEALQDASYAELAPLLCAGCTAFGAITTTKWKPGDLCLVQGIGGLGHLAVQFAARCGLKVYAVSSGSSKRDLALSLGAHEYIDSSTTDVVSAIQALGGAQVIICTAPYAKHISAILPAVAKNGTITLISAATDGPVEVSNVLMNMNRATLRGFACGCAPDTEQCIRFSTLQGVKPMVQEFSVEQFTDAYTAVMANKARFRNVVVFP*
>EED85180
MSSIHPSPWLSSLRSVVDDSDSDLDSPPASDLGSDIFDDHSGLLSLQMSTVCRRHRDVNCRPASEEALIHALNRPEVVHSSILLLRLVTCGIWLARRCPHYGERAEPALSIRIGNRGAQFLSLGARSFTCGAPACFEYICVGFLTSAVAIHTPYVNPIL*
>EED85181
MSTSQYKACGNNTNATLFLRAYSVNATDHFYTTDHIEMEAEVDTGGYTSQGNAGWVFTTQVGATIPLYRLYNLEHTDHFYTTNATEMATVVSQSGYVFQEIAAYVYATQICNSIPLYRLDNSLVTDHFYTINATEMNVAATTEGYVEEGIQCYVLPNALAESTQTTAQTITQTIMQTATPGVPIPKSTVDVAIIVAPIAAVVVVSVLLIIFIYVWFRRRERAIRATLEARGEDGSASSASLLPTSNLVDAEPQAEILVVGADVPGESALDVEPTPQVHSVEPEGDDDTHQSHSVSGSQPPPYSQSDEEHRSLDRQGISSLGTERGWRGTQTRSASHFFCLCGKVIVALIVLFIIALSFVVFFELFATSSWVQSRMLSEFHARN*
>EED85182
MSPSQECDNNNNATLFLRAYSVNATDHFYTTNHTEMEAAVTFFGYTSQGNAGWVFTTQVGATIPLYRLYNGANADHFYTTNETERDTAVSQDRYVFQSIAAYVYATQVCNSIPLYRLDNPVVADHFYTINATEMNVAATTEGYVEEGIQCFVLPNALAESTQSSPQTFTQTITQTSPQTPAQTPTPTPTPTPVSAPRSKGQVAAIVAPVVAVVFVSLVIIIYVWFQRRKRALCAKLEARGEDGEVPSASLLPTNNSVDAEPQVGIPVVDSEVPGEDALDLDVEPKPEGERHLSFANISAFSRD*
>EED85183
MSASQECGNSGNATPFLRAYSVSTTDHAYSTNYTELEIAISSYGYTSQGNAGWVFTTQLGAHRFLLHNQLHGGGNRRSQAGYILPDSLHLSTPRSTSLTDHFYTINATEMNAAATWEGYVKEGIQCFVLPNALTESTHSSAQSSTQTSPQTSMQSSAQTSTPQTSTQIFTQTTAQTPTETRTQIPTQNTTPVVPVPKSTGHVAAIVAPIVAVVTVFVLLVILIYVWFRRRERAIRAKLEARGEDGSASSASLLPTSNSVDAEPLVGILVVDAEVPVEGALNVRPKPQVHSASTSAAIACRIYNPHRIVSKSMKA*
>EED85184
MSFDKLRQRYEAAGQGHLLQFWPKLSETERASLLAQLDALDIDRVNRIYRKAVSSEKEAAENAGKDAIGPLPEDAFDSVIGVPEKEKEWRSIGLRAIASGQVGVLLMAGGQGTRLGSSAPKGCYDIGLPSHKSLFQYQAERIARLQIVAEKEFGKPAGSVAIPWYVMTSGPTRPETEAFFRKHNYFGLSSKNRDPPPWEVPVLGPQHLPALQ*
>EED85185
MKLELFVFDVFPFTRHFAVLEVARNEEFSPLKNAPGTGSDDPQTSRRDLLSQHRRFLERAGAKVADAVEIEVSPLVTYAGEGLDATKGKNYTRSGLVEAIEELDALL*
>EED85186
MPRSESGSPSPYPSPKRMRLSSPTYDEQTCLSQEEMQAFDILDRQLSQTTLPEPRPSQALSSIERRKRSRAVAFALDEGQDENRSSEVAGAREPLSPSSSPHRNSSQESGPKASLPTFPVFQSASTLHITRADGATDPISHNDSSSAPSDKDESATGAISISTPSRRSPSGFGSALRFEEEEVTDRAGADDLPSSSPDAAPEQDLATWFNSTSASPIAVGFQSAKSLRDDDAPSGSSDPAENTTLDLPGFTSGRSVLLGANPSSTPSDSPFELDSGTALVGFTSGINLIRPSQGADAGKSKAQDWTRPSKEALALAALKMKRWQEEIEEDLTDTTRIEEETTRPQSASIAPPPETPRAALRAVENSPAHPPDSPTPASTGFGRAGALGMKPAVGGKNKAFKSPLMNVATKRAAATPSYVGSPLNPNRSTPLASSSKIPAPAFTPLHVGTPAPAALTAFRSPAKALGLTPRRLGAGLGTPGKPNFTTPFKPGMKPGELGRQQLAQTKASQTPATTVGVIKISQATVHAQSKSLSKGKERQRFFDLSKFYYFWHSLKVLTSSVTQAKPPNRKTLATCGAQPQSYGAETLYSMGINVNQLDQVTPDIALYYSFRSPSSPTPPDSQTPTTMFGPDAALTELHEMGCSLAKKEWVENHWRMILWKLAGMACLQPERESNPETRRWCWAEVMKQLLYRYERELNSGSRPPLRLIAAQDAPPMCPMVLCVSKVAWLPTGPDEHGVARDTPELEVTDGWYRLRAVIDAPLERAMRKGLLRVGSKIAVANARVICERKEPAEILEAYDSMSLQISGNSSNPVPWHTKLGFIKQPPIATLDSLTPDGGLVTLMDLTVIKAHPIAFVEMIERDGKKITVGPLNEQEEVQAEEQWARQREVAVFKIRSEFENRMRRCKHIADRFNERAGLGWAPDDDALAPSDIEDRLMNLLDNPSSFAEEYVNTTREGAGWLSVFAEDYMAKARENLQDEIQRELEVRIYFTNLRDSC*
>EED85187
MDVGEGPSQTSSYRNSAETIQVGHTLLLKLPSGDIKTWKLEKDSTANLGKFGSFYANELIDQPFGLAYEIVDKKLKVILPRSLQEVEDTDATNELINDGQCVQPLTTEEIEALKKSGLHASEIIKKQIEQHANYSLKTEYSKEKYKKRKEAKYSKSFAVVAPTLFNVCDYWFNKDQNRLRDIRPDTLSQMLNLANIRPGGRYLAVDDASGVVVAGILERLGGNGRLLTICDVDSPPAYPVTVHMNFRKEYADKLSSLNWATADEEY
>EED85188
MDS*
>EED85189
MKLSAATLLALAFACVALSSLYDHSPHQSASSMAPEIRFNTWGIPYLVEFGNVGFRPRPRPASPALSLNPSYTTCGSTATLLNTVGSSATASSETLQCLEGDSDESKGLYIRFASHRYRPPHKLSNSRLLRLKHRIAKAVMAAGMDSSPAGEKEDPIRVHQLSRASYGSIQSTDSLPEEGSGSLFRGTYGQVMSALQSVGLVTTPCKESGVDEGQTMTNFNALNYQYCKIFIVAGAAPPLSGDAAFATLGVAADDGATPYRSRESPFLRVGQTGSPSGKIHGLYEASHQSQATFPPSLGDPAMVGIDLHLNNTMGCAFVGVLFAVTEYPKDKLFLKILHQFMWYWLVTNHGNSVNLANLPSTFTALTIAIVQMTIYAVLRGRWYRIPYSLALIPASIQTITAFITDMIITLSLCAILWGSKTGFKRTETLIATLIVYAIHRGIFTGLVQLAHFATFISTLHQPKLYWMIWHVPGSKIYVNSLLAVLNVRHHLSEAGNEIETHISVELEAVSHQSLSYNQTRSRRLSRATASHTRIMLTKEVVRDTEVISDPEQPGVSRKMSPPW*
>EED85190
MSSSLKPIEPKSARWEPTSDPNDIVVPGLDTNAPVNDQIEQIEQLITIKLQNVDANFSKMQHIMANRILPAVKRYAVATEPVREAARFWTTFFEQAAQIRVPTYEDYSSLQEQPEQDTNPETDTDTAQEDASNSDSMTSDAQKTPTRSHHTFNSEGTSSDVSFLPQGAASSTPATTSRHRTMQYNDSFASQGSDPTPSWTASLESPLVRLDREIQGLAQEDEISHRTARYDETQEASQRQLPPPIPEEPSTIRRAPDNGKGKGRETQYDPPPLRSAEPLLQNVLLRNASVVDRTITSPRKPAVSPLKVKPRTPHLKSMNPYLPPGGKPIDWKGVVDLADPSVATPRKGNVSSMLDARARPTSQAQSTTPRFNADDSFDMDFGMSPPVTMDFARLPALGKTPKKEAAERILKNILDVEKRGIFAGVQGAGRGAKGSGAESTASSMPTPPSLSRYHQNPISSETSGSVADASLESMMRRVGLAVPGFASTGSPTRTPPSPPTHEDLQTPVQPIFDMRHLQDDELQGDVLEMEQEDSLDSLDSFDEVNDTANPSAAFLFASQRAAAFNDDDDDDSFASDVIDDLPEGSSLEPVHPFARGLVADSFDDDDSFDDQQYTQNPEEETVFGVAPAQRLQIQQARESADLRMLGADLLQDTIGISTQAGRVEETPTPFLNGR*
>EED85191
MAVPTNRRAILLATFIAFGGNIFYLVSLASRKPGCLIMPDFAARFGQIGSDGQPYLSSSRQSIITSLLGVGTIVGAIAQAFTSDRFGRRGSVIIWSAIFTVGVAIQTATGRVLAQIVFGRFVAGLGVGALSAIVPLYNGETAPKALRGALIVLYQVNIFSGIFISDCIELGTQRLTGSPSWRIPIGLQMLWGLILLSGIFFLPESPWVTIHHNFGGVLALILCSSRHLLGIGKETEAQAVVAELNGVPQDDPLVQDIIEDLAFGIKAENEGGKVTWLECFSTRNQLWKRTINGMMLMFIQQLNGQNFYFYYGDTFFQTILGAVSVAGVIPALYLIDAWGRRRSLLIGALAQAVCAIIAALVGHLLLAPTGTPANLLTARNRQGGDVLVAFAIMHVFAYSLFWGATPWRVFFVITSEIELTDPHKGYT*
>EED85192
MSDLSSDDLRAILSFTTSLARSAGEIILQGSQAILAAGNVNEKKNSVDLVTEYDVKVEELVKKELSNKYPSFQFIGEESYAAGSRAPLTDEPTFCVDPIDGTTNFVHGFPHVCISLGLIYKKSPVLGVIYNPFLEQLYTAAKGDGAYLQQGSRSPVKLPIATPRPLPSLSQALIGIEWGSDRSQDLVRAKGDSYKRLAGNPKEGVEGGRMAHSLRSLGSAALNFALVAQGGMDIYWPWDVCAGSIIAQEAGCLYIVIRAIGDSASEKGSEAQRRLIKDFYETVEDVQLA*
>EED85193
MADILDSTIIANGHRLSDLPSLAEILASSPHAPAVAATWSSPDTTSYLDELTSLPLSELESQPTELSSSSAQLTNALTTLCHTSYPTFLSIHATTSTLASSLSSLSSSLDALVSSLPALESSAASFAVDARAIQKDRRKAALVLEHHDKLYDALSLPVLLDSCVRNHSYAEALLLAQHAAGLAARFPADPLVQSVKAECDARVQAMLAQLLRMLSEQAKLPALFRAVGFLRKMAVLDEPELALAFLTGRGVYLDGLFKTVESERKGVQGDAEHEREAYARYLKRYVDLWREGVYDVITQYTSIFLDRAPSTSAAAHPQLHALLATFTNLHLQTLLALLQEMLPLIPDPSLLTSLLTQLTYCANSFARVGLDFKGLLAPIFVDAVQKGVEREFNEAAEALCDKIRMGTDGRRSASSISGKKPSQYLVAPSSLPSPPLPTAAQLRALSSGPPNVPPPLLVSYPPLAVYLNAILTALNGLRLLAPVELLSALLRALDASLAEGLGALLALARERPWADASSRLSAEEAEAEEGVVGAAATVYAQVLVPFVRKALVEGVYGVSMGEANTPLGKDLKDVLDRWEAMPDSVAAEKARWLLRAPLVLPDAEGEGTAERAALEELEMSYVRGALGAAVTDGIEPAIVRLPLPAAVAFADGSEADDVPGKPEDELPKELELSIEPPVAFALGGKEPPIEVAFGPAALATPVLQAPVVPFPAAPPMGKPVLFPPEKEPEGTVEFPPPGNPEKDAAELSAVVVGIAVELSDEPSLEVAVGMLSVELSGEPSAVVGMAVELPDEPSVAVAVGNATELNEPSVAVGMAVELSLEEPPVGKAVELPLASVGMAVALALGSMPVGNADAVAFVPVGKGEDAPSVPVGNADAVALVPEAGSVAVAFADGSDGIAVELEPPTGAPVGEANPACVALALAADAAAWVISDNIEEAGVTTVTCDLLYEGIHAVNPTEVRDDIAQNGYSDCSVWSIKFDHCVDLQSCRLIGTVGERPTTYRL*
>EED85194
MVWRGVGDSADSISRRNVHGFTSIRPSNSGSSSGSSTSALEYVTVYYTPSSISAVAAGLSLQRRTDVVVRSALCKHTERDTRGDRIDQAYAGPDVIWRTMGRVDHQERIEGFDELSIRGAGLQQVCII*
>EED85195
MSNSRRMPYFYNVQSGDSVWDPPTELTQEQVRASHLLVKHRGSRRPSSWKEQNITRSKEEAIEILRGYEAEIDGSPERFGELARQHSDCSSHAKDGDLGSFGPGQMQKPFEDATYALNVGQISDIVDTDSGVHLILRTA
>EED85196
MTSSSVSFYSKLRTSLSVVIKHATKHTGVGLVCSVAYFDPGNWGVDLQAGSQFGYKLLFVVLVSGLFAVYMQVLSSRLGCVTGLDLATHCRLLLHDRPKHTLRWRWLVLYPLYVLAEVAIIATDLAELLGSAIALNLLFPKLPLWAGVLVTASDVLFLLAMRNPLGAQPVRMFEIIVAALVFTVLICMAIIISRSGVHWGEAFFGFVPSKDVVSASGLYTSIGILGATVMPHSLFLGSALSTQERETSHEDQADDCFSVTKIAGPAGDAKNHTEWENHSWAFVHTHLYHGIVDMCISLIGIAVVINAMILILASATFYYGFGQTGNESPATLFDAYDLLKQILGTPVATLFALALLASGQSSSIIATLAGQTVSEGFINWHMSPIMRRLLTRCLGLIPSMVVAAGLGKPGISALLVISQVVLSIVLPFVVYPLIYITSSKRFMSVKKPAVQNSDGEVSSVVFHPDQSSAACVSDPKEAVEDTIDCSNGWIMMILGWIMWLIIVVANVYTIVTLGMGEE*
>EED85197
MFPAIPLSDGYSAYVETPARVYEDTDLLFAASSEGNNDHRSYVSDYALDALGDFPLESFEQSSLGFTFGFVDYNQFDSHAEAGMLGNYPLLNESWASGSYPIGKEELSSCHSTVQPETLDAWPSPESLAHELPLLDSVAPTPPLADIPLQRGDAGAISFSPSSSDASDCAEERNDGEASDGNTYRFAPYPRTSPKRRRKRRDRSTSSPKSTSPYSPPRSLKRTSPRNAQIVLSDEQIARAFASTTLQCPGCFDSFKRHNDLERHIITHSADQNARCRGDWRMLEGIQPKGCIGTTQLQ*
>EED85198
MHMATPRPAVPEWLKSSRSSSHIISVIRTLLQREQARASARSTSRQRSIPSKTRRLSRGLSFTDKSDHVDHYSITAGCNPDNEACNRYMDIIPYDRTRVVISDRGVEKGENAPNGGRYLNANWVRERAGGKWWIATQAPLPNTAHAFLSALREPLAHPPASRVVGSSPSLSPASMTSRVRTVVQLTQNIESGMRKAHVYFPPLEGQSWIVQPEEGCLTPPLKVTLVRFQEIDYAQCIQCTVSIEPLSANQPEPVVFQHLLYGSWPDHGVPSAEDRASFLNFVRLVDEANRDLSSQPQGVDLDPDPPIMVNCSAGVGRTGAFIALSSLLRAYGFLSPAATPPEQDPLTPSPLGPLPKELSDDLIAQEIDALREQRPGMVQKEEQVTFIYDMLLAAFDVVA*
>EED85199
MSMFWEAGSSDRYRGTQVVASFTKTDRSEGDDNTISYTCMNYNYRPAFNPLAEDSFGNPVVSQAGSAGRYGGTATYLRKRVELSCPPSLDFLPVDRENTGAFSTREYESMSESEDDYDLYYTPLDFEDSLLSQGGTTRKSQTHVPPPELQPRSGSECESQPQSQQSLPPASETDEFDAYDLSEFTAEDFAAIDAVLSRPGAPTTVDATNQEGAQSKCGGPRVEIELEEHADCSALVKVSAPPPPYPAPDVVGWQLTNGRRPRSPYQQFRRQKITLSVTDIVSPAWCEVQFDYGLRQKRSLKPEERPISFVTADGKKIDVDKKVAQSNHITQTRGKREMPVFGIVHDQVVVGIIDELLRKPAEAAQPPPQPHASPGDSKRRSPNKRESPSTPTKKNKRSRKTPSPSQPKLTSYFHATVQVPEREDEGGLLDTSECTAEGPVFPSTPPTVASSLHEDFSCPPALIPSHKSYTLHISDTKTRRHPSLPPDEDALSSRLQLMLYHRLLSNLLATSSNLSPCTGGRACPPISPASQALDFQALWARVGVNPTRSFSPSFMRDAGLLDFKSATYAGFSSDLGFDAPAWCLNDLAAQWRQVVEALAVDSVDNTLTLVYRLQPSRSANTRETKYGSKHPATSYMTEQERTNLALAIEASLNGAPNQFDGRDDGLARAIEESLQTARAVGQISFNGVSGEQSDNADSKESTPKGVSLDSPAVLSSMPDLGLGSSDSAQTSAIAEREDTSAESSVAPLVIDSLSGEDTSSEDGDTPMPISELNKKAQILGSKQFTMDDHMLDGYLTSILRWWHGQRPPKGVDIELTRRCRTCEYMEGFSLLNEASEAARAPGQPTTVRQPNLSRTASAACWPSSSLVHTFTAMAQAYQQAYMQQQNKGTLVPGQTIAVNKYTVQVERYLSQGGFAHVYLVRTATPVYNTTHHVLKRVAVPSETMLTEVKKEVDIMRILKGHANIVYLIDAAWHRLPNGTYEVFILMEFCAGGGIIDMMNRRLRERLTEPEILTIFCDVCEGLAAMHALKPPLLHRDLKVENILQASPTSYKLCDFGSATPVQKIPTNNQEMRALEADLNRHTTLQYRAPEMVDVYLRRPVDEKSDVWALGVLLYKLCYYTTPFEEHGPLAILNVQYKIPPYPVYSNNMNALIASMLREHGTQRPSVFEILEHVHRLRGTQSRFTYAIPPREPPLSPRSTAPPLQALSPNIVSPTPLPNNPLDDLVSYKSQQPLSVSPNKGAGIAARDKVLEAIAPMRRGRPAAGLPMPSPPTSPKKERRFDLDSKFTAEEDRAWRAVRGHKSGLASIGGTDMGRNKPENGDAWVVGPRLDSKEKAKGADRKAFEQAFGDDFSTGFDKSFGDSFQSALSPAPAPSPRPQRSPQPQVQYQPSPSPKPPLTTQLGRRQSVRKAKDAFDGLGLAAAPPPQTLGEARKTRTGMASIGISPASSAATQYVNAPSSQASGLGTSNTMYRPPSAHSTFSNPSPQPASQFTLPPVQPLRTHSKAPSSVGQRAAQGESLSAEQRFPSLEELDREFASPPPVTSPGSGSSAQALGEQFSAIAGTEKFISGPGSRPSSRASYIRGTPVDGLQGASMSGVNATSTGAMRGKYDGLRSQNVTGAGPMARESRYGGLSRQPSTFARANPLEARREAERQRESERPRETENTRLKETEKDVVAVLRSRPSAARRHKHSSGGKTPSRGNSIDLLSSNPAESSSASLPTLPPRPQPSTRVESRDWLTGMDEDEPPLPIQSTRADPQPVLRESPSKRASYIERSPIVLQKPLEAESVLGAYQEPEKAQPNRVVERERAERQRRSPERTKGPVARTGTGTKVNLTGTSHKGLKLPPVDTKTASRSGVKSSPNGLTENWSPIAASSEHPTKSPSSSSSEEEPEDLQGYAAGRHDRRTRESSRTGDRTGSAVEDKRRLARSKGRQSSVHDLVDLWGANNGPAAVPQEPRREREKSTGASTTTRQADKRRSVIMPAPSARPPLGARLRAESPAPLIDTSISSVASRLPTSQVPPAASPHRRQPSNVPGARHTPAPSAVPTPMLGRSRPQSMFVTSLSKTASTDTNASTSSATTHTSSATSTGQLLSPPPDPQSRRASRRSSISDMGVSKLIDRWNRAVDDTGAVPGXGPKKGGFPVRRAGVVGDSGHGR*
>EED85200
MAAVAQHAIPFSRPATPGHAVYNIGFYGLGSMGYFMARNLAAHRATNTGSGPLFVYNRSSAKCVQLQKELGADKVRIADSAEQLVLECDVVFTSLASDAVVKAVYEKFADALKKNKILVEMSTIYPTLAGELDTILSNIPHTHLVTGPVFGPPAAAEAAKLICVLAGDYRSKKEVAHILVPAVGRKAMDLGGNLEKAPTFKLIGNSMILGSLEILAEAFTLSEKTGISASQTYELVKDMFPAPPFINYGNKMVTDSFDGKTGFAIDGGLKDATHVRRLVTEFNSPMPVVDAAHAHMLTARAIHAEQIRRNSAAYEVIDWSALIAGTRVAAGLDAFDSAQEVC*
>EED85201
MPRSSSYTTTTSPFRVSFNCDARSPRPQPSTPAHPRLPGPRPRPRRPHRH*
>EED85202
MLLRINPLGEIKEDAVTDSQREVEVPAHESSIASRLRARKPSADPQAKEARVRPGNANSQARSPASTAQHGGRKNGGRRQLTHPPVAPSRSRSASKSGDLHNSQKENTSDARALRQEQDGHMHRSGHMPGLDKSSVALPPAKPTRPIEFHFHSDARIEARKAELEKSGSMQTRSRSHAPLPIPDFKAMHAAQESALAARKEQIMPVVPMEMELNTDVRARERGKYDEARRERELEIEQQMEERRRQRELEEEKEIRELRRRAVPKANEVPEWYANAPKRTGKPKT*
>EED85203
MGLPAYGQGPEALEAPGALLQRGLLFSARCSGSLRSQLVLIARAARHVHLRQQLHRRPDPNRGRSLSQHTVLSSKRGRTHTHTRRRVSGCIARTVYACAVMSIPDASICGAGTTHAGLARASRAGTSFCQAVLSTQHVAPGSRAGAACSLLAPAVWGRDEQRGGARARWASTDGRTDGVHPIHNCRREIEISEIGTLGVCPRDLTHAASSGSDNLASVHPCLPSKPFSPRPSHPSTIDGEISEIGRDCQWCCPRSRARAVDPVTVPLDSGSRDPAARTPAQSIRGGSVLGCVTVSAGLRDRTPLWDRV*
>EED85204
MAPGPMEESEDEFWQEENSGERDFWKQALHQADVENGVLHQATLLCTPMSSPKSKSPSKKRSKPKRMAEKGVATDIASGVSKRKRKNITSYGTMTQTSSTSQSEEERYYTAEGSDADEKEVVDTDVDSTPPQTQESSFAFSTQFPSRATSMTSVSTVSSLSSASSKKRPSSPGPRVSIKARKKTRQMDSSSASSIPSDSTSTLHVPAPLRFPPPTCHSEVVSPPRITPSPSRPESVNSVASTMKGDDPQTPSKAAPLNASAQISRTDRLRQSITFALQSASKSPTSAIRASAADPVIIAHSRNVQAMLDAEQVSWGVQYEIARGICSGLWTWQDVTMEKLERLRGPNQMAAPRVHAVMLSRASDRTPVTDMPLWIELDREQAALADDPTRGLGLQGEWQGEANWYGGRIQQVARLVKQDKGAPTPYTVVLEKMLKRKSTRFARFLGSRRVLQVSIPQELMRGAEAACVRDFLAQRFVLCGRVFVSFATKEHKVFMMETNEDFERVADSRGDQHRISLEQFVDWHNPLALNAWQPTSKWITRFELGLSTSMPVLRFRGVGIDIEYIDDLVAPYHPSLKKAPTENIFTDGCGYMNGAALTAIGRLIGYSERPTVVQGRFAGSKGLWALHPDDQEPNALPRMWIRSSQQKIKHHDEKLGPAQLTFDLVAPPRITIPARLSMYTIMNLSHNGVPDETFIKLMQDGLKKEVEALTTWDGPHAMVLLWHAVNQVGHVTLSKLRQQAAGGARILGFGRAQDRDDWEDDDVDDGLEDETPEIGSLEYEGEPTSVSAAVLELLQAGFQPLKLPLLYEKLRKVVADRIEDYIRNYKIAVPRSAEAFIIPDPYGVLEESEIHFKSTQNLQDPLEESNPTIITGDVLIYRNPTRVPSDVRKVKAVSHPKLDKYVNVIVLPVKGSRSLANILAGGDVDGDVCTCIFDPDLVDSFENSPINDPPPGFKETFFEAEGSIEQVFGLKQRLAELGSPSQQIELSKVLLSGLSEVPIGLYSTMHENTAYAFGFCTVLDSRKTGLRVKGEVFKKDKQQYDKEKPDCMTRSNTASTVLNGPYKSRLRRQIRRRFVLETLLDAGRTLQSELLAKYDGMRADLAQQPDNDLLRPYEQASRLAATIATQGLRSLQTETTSILEHVHRGLQAWRSLSSKSPQSPAKASPSKSRVDAKAKAEKGKPYKDLAISFMRDLHNVPHFSEFPIRLDAILASCAYQENPKFAFSVAFRSLCKIKAEASGSAALSRTFAEVMTVPSAAVKVLSQT*
>EED85205
MERMGFAHISPVDIPASSESNAPKANKRFLSSIIRSTDDHNKTILRAQALAAQEVRAEREEQERRERRARAEEAAAAERLRRLMGTSGRTDWAHNWDRGERADRKRRERSWERRSDYDEDEDEDEHRRHRRRSDRREREHRHRHTSRLDPRRDGKSDRHDEHSHRNGKQSDRHDEHSRRKGKQKASATVELHDTQSAPSRAPSKPKDHELAADDLPSRRPTPQAEPSVHTTRSSAGSSRSPPPPVSSPPPLPPPPPLPSKMDKYFKDSYDPRLDVAPLAVPSVPATGLISDDEFAGWDAMLEIIRQRREDKAEKKVLERWGIGKDKSKDKKVKKGDDDAATRWSQDSLMEIQYKKRGSVREWDLGKDGL*
>EED85206
MASTPRWRTALTKALSLPDNKGQNVYQLATIDPNDSPRARTVVHRDIIQPSDCPHLPVIITTTDVRTPKVWQLLAYGRTEICWWMAGSQDQFRIFGPVRVVRSPNARAEIRAPHIPSLALDRLDEQGFDWEKKRLEMFDGMSAHMKASWCRPPPGSVMESYDDAKKWPQTVPKLGEAESDEEKRNQEQALRNFSLVLIEAVEVDWLQLGVHPNQRTRFVREEEDWAEQIIVP*
>EED85207
MQRLVVQIPTGPHPDWELEESDEESGPLKSVADSLALLESLRQCPHIFSNTAFYEVHYLPGASNPAPPYSQAPPPQAYGPYTTPYLSSTNYGSYSQTSSTHLAVSALTGQPHALQNTQSSPAGNVMVTPEMATQVHMASESNPALKELLHVAATGRATPEQMKSLGFLIQSLGSSQQHAGISDASAPHIPAASQPPQHRVRDFDIVIEFQEKPHDRWIVPRGPVVCERADAGDNIVRTPHIRLSMPVPFPSTASSIASRETSEPLEEPSPPQVTTFRISRVSHALWTVLVAWAGGEQHMAESRAALKEIISKAPERTYLQYRLPEGPLSEQIQSAVAPPYGTKSIVPGTDGARTKRKASTRKVSIAPALTPAPSKPPVKRKQSIQLTPTTPSRIACRACGQTDVPLLMGGMVEGSHWSAQSRILSNVHPEWQSG*
>EED85208
MPAPYAFVSLVTSDHYLPGALALAAALKDLHPTPPTPPEVDFQTLCLVTPEAVDVSTIKLLRRAYDVVVGVELIEQEDEKGLQLLGRPDLTHVLTKLHVFRLTQYTKIIFLDADVLPIRPLSHLFTIPHEFAAVPDVGWPDIFNSGVLVLTPGQDKFNDLTSLLLTKGTWDGGDQGLLNEWRGGDWHRLSFTYNTTPTAAYTYAPAYERFGSQISAIHFIGPHKPWSSIPFRAPGTKNAGAGASAALALGKDEAPRTQAYDFDSLVDRWYDVYDRHYRSDAPTARAEFEVRRYASVWDGGSDLGAELPPLEAAGTVAPPGGGLGLEDLRRIAVEGMSSFGGSVSETEQRPKEGEYHSMPLEGRVDLMRPKREPPHEPETQESETGGDHSHEGDRDLTPRQEEYKPLEKGEWRMETLPTPGPNELPPAPYHHAHAFPQGESRSPPHSPSHAPPHHGQQQQHHTHSHPDHSHSQHRSSQPQQHSPSHSPSTHSPQRQHHHHHHHHHHSPPRPPSPPKLSWNPAIEPPPNNPPSAFAFPTDTYFPNVWDQAPSQYHDATHQSFLPHPLHVPAPHSDVFFNPPPPTHIPEHLVRQGQYANVIGHVPQHGGRGTPPEQPTPDRSKVHAVFPWEEKPRHIPRRVFPASDSPPPVVKYIEDEVPKPATPPPGQEQEQQADNTDMSDAPFGSSSVLHMHTAAPPLGLPGNLAYANAWDTVPSIQRYASRLVRPHQHQFPFLHGHHPHHPHSSGSAASPGRRAPWNDDEGWKRWEREREAAVRAKQDASSMDGDDEDEGDDDDSLDGLDKREEGSGTRGSASTSASGSGSTPKRSRAGSAGSAGSAGKGKKYRARGVQTIIVETRSQGVQVNTFVQQDAGAAKAKDSKPAKGGGGLVVHRDVASSPSMPTTLLPSALPPAPVREYRMDAADQMGVATPVVSHALKQHFPFPPSGSPTGLRSPQVLGSPRTYSPPKSTPSPKLSRLSSPFSPPLGRSSSNDTALTSSPSSQGPLATPKITPESTPLMGIVRRGGRVWDPARGVDVFKRDSEEVLARFLRMGSFEEDERRQVQPEQ*
>EED85209
MDFIDAMSNEESGAPRRRGEAGEYYEGRRQEYGGSEYSAEGRPPYEGGGGEYGAPPPHHHHHHHAEGQEYEGRGGGAPPYPQAGGRPPYEGGRQEYDAPSYGGARPPHEGGRPEYGEPPHSGGRPPYEGGRAEYGAPQYEGEGRRPPYEGGRAQYDAPQYPGEGRRPHNEGGEYGAPPRIPEGEPRYGGGDQYGAPQRRPEAFPSYAGAGDQYGAPHSRPEGAPQYGGAEQYGAPHRRPEGDSAYASGDQYGAPHRRPEGEPPYASSGDQYGAPPHRGAAEDYYAGDRPQAGPPHSGGVVPHPGGGNQYGGASQHPGGAPAYPSVPSVAGNFKRTCFLLTSSSLCSPPESLTQFGVHMIF*
>EED85210
MGRQPTFDDTKLQSLLEAAYKATYDRVLASWRDVIIKTLVPRVVEIRRLHAQATPRHPHCPFNQNALPILVDFFERNAFPSPSQKSRLASRTGMNYRQIAVWFQNRRHRFRKDGKPLKRDAVFAVLPPEFESVVTEVLSDEELGLNCIGIWDKPIRMVRPCDHSLVDMAELVELFSKLSIGSRRRPSRNEESTKSSCSLASLVPRAPLPALISVISNPATPETVVSCVSDKPAHQVRSQTSSSHIYSAPIVPATHVDPQSDKDSVDCTDAFPSRRVTSTSSTSSQSREGVISQAKAIASVASQKSIAHSSSYKYLCAPPLHSQDILKTNCQLQSTVTSLQEPIQEDSLISVQFDESSAIASKSSSPLARETRLRTCHTSTGCQSGDYEVLLTPPLPPVVYSQSEDLIPFVNLDVDDGLNIPPTEADMYQKSATNVALWQEYPYSQPSDFTELESLFNGTLAVFDPYPRCYPHVYDEPVTPKPLLPGPPKLNQTTLYTKQNEVGGDHEGSLGIGSPLRLYLASTIARARLYGMYNPAREHFE*
>EED85211
MASGRGECGVATSARLQFPNFQHVQALRCRHSTPITVSPVHFPLASRREMNGQLDTEAMRDDRDVHQRSMSTESSEPLLTGWPLSPQTPTTPFTKYSSYFPSSRLRRLLIVAFAALSATSLVIFASVSILSVNPHSVQQVPEVDAQTALKEPETSLTDENAPSTHSPYVLGPPTMRFRDNLRNDTQYITSWISAGWTNDVMTYANLIYLGIITSRVPIIAMFTPSHIGGDAETIPFGDVFDVPRFIRDSGVDMLEWREVKDPGSNEIEDLGCWNIWEAVQYNEHYPRRSAVPGWLGLDVSYTRAPDWVHMIPNYEHDPHSTFWSLARLGFSEEREKNLVEPLPSPQHQAVLAPDEQVLCYDYVYYVCAQQSWEFDFDYSPAWRFVGKHLRWTRGLDAIAASYVRRAFGLPEDAETPSYIAIHVRHGDFRNYCTGMDLADCFAPISVIERRVNEVRDELLARKGIDIPHTRVVMTSDESDQAWWDEVTALGWAKVDHDAWETVRTYGRWYPVLLDAVIQSNGLGFVGTDRSTYSTLSRRRVQDWHDGAARIVKWGYKDADAH*
>EED85212
MANDPWPWLPVELHTHILSFLPATRDRADLSVKTLVSYSRANSYLRAAACQPGLWMRHYRARYTDCIAEREAQRREVAAGNWRELYYTRRGLDHKALALVDEIRRNPYGRHDNASLFAREYSFDAFDALELEAELPIPACFRSDAPGDTSTKDASEEEIPYVMSRRYWAKALIGIIARCHTIKTWARLFSTPDNENESVTFEEALAGLSAFFDESPRQISRMLDKFAEDCRDSLTDLHVQLDPKAADYDLAQLCVEIRKFLLRAGFENARSFGFYDLMNQFPHALMKEGHRQTIPMSKVFMFASIARRLGIQASPTNAPGKVLCHITSAEPDAHEMLLNVCTDSPPHVFMSRQTADMLQELGLAQDLSEDIVRPCRISTILQRAVTNIQIAVRWNQRMARSAHLERLSWCEYAWSTAMLLRMQEMRLTPSAMEAKPLDARAVFMDTLAPTLVLPFARSYLESRCKNTIDLDEEFMKVYRRSEAQQPIMFFVGQAVKHLTYEYLGCILGWHPVCWRSWPEEFKSGDEESQPFYWVLTADGRRLYVPQQDLTEAFDLGLCKQMLESRTTFSRYFEGIHEDKQQGRSRLLLSEELKTMYPEDEKVSEMWVARDLP*
>EED85213
MSPGSSKGVAALERKSPDDVVITLAIRSPLCKAKGGGFITDELMLEMFKQAIAQSGIDPALVGDITVGNVLSPGALYEARVAALAAGFPETVPVQTVNRFCSSGLMAVTDIANKVRVGQIEVGLAIGIESMSQNEDKGAPTISDSIKANPTACDCAMPMGWTSENVAGDFHINREDMDEWATLSFQRAEHADRSGYFKNEIVPITAYEKPDETGKRAVKVVSKDDGIRYGTTKDKLLKVRAAFPQWKPSQTTGGNASQITDGAAAVLIMTRRKAEELGLRVLAKHIATAVAGVPPRVMGIGPTYAIPMVLEHVGITQDDVDIFEVATGLNELARRNGKILVTSMCIGTGMGAAAVFVRD*
>EED85214
MGYALWVVPAKAQRHALDRLMSFQPRDYDPGVHSSRSYAFFRPHITLVTFSTLEPPSLDSLLPPRITPIDVSFNSLKVGTTYLGSISIGILKSRELMMLHERIMHHLKIANVSTNSRSFPHMSLFYLDEAFSGERQHLAEELCRTGRLKVTSDGIELSCSLDGGRPETRAMTGFEGREIWLVECTGAVSGWRVLERKELLLLPTLRREGLIQTSTSTRTTHEDGAARGVRHREERSDEGGSRGMGNRRGRRPSGREDRNGERRAGRGEERIDEGAGFFSMIARLGAMAVLRYRSVS*
>EED85215
MWIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKAIPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNVRKEWFDEEEDDGVDWELYGDGEHQRHSMHAGNSPHFYEPEFFIPKAQVNECGQRIIAARKKPPRPSCTPKVPAHIVDECEKSYEAADEKKVKTSVNRFDDTGMMALICRHDIPIFLANVDTPGEQQKYAIVLLEHLFTFLPPNATVAALYDIGCVVDRSLELYNILPVDIHQQLIFAISAMHAYGHQWACQIVYNPRLHEGLGLTDGEGVERLWSRLRKLIPITRSSARSRRIWLINRQAKVISLDLRDDLGHWIAQRLRHGVESREASSLEELARVDAPAHLKKELDVVLSLQADLDTVNKAIETTRTVLENGEASAESHEVVASLERSHTCLVNKVEALYSSLNITDSFPDFGNISLEFMRLLLMARDLKINIRKRAVGSFFEWDRLDQAVGGQHNPLGTKIHQQTCKAIAKRTPALKTVICKFNRYCETLKELKQPEWTITVPLPLPTDLDALRDDTSLLANVWIDPSQAQAPQWLEDVDVRKGICALLLGDHCLEERHRLGREADNICRWYGSELAAAKLALATSSNADIAFLLQQRVCELLLLPAKWKNPLVSPQQFDAQTTLVSETVNSALGDPQAYAWLFVIETPLPYIIHQDDTDPYGRLEEEELSYLKAEQHLVEDVFLDDASGDEGPPEDARSEASVMTITMTWETPIHVSINDAPHFLQAMAPVLLQSLQSNVHLDASDMSRLQNRSAWMNDSCINACMQLLQLVFLGPGVRADAGDATLWRNAASTRFWEKDVWTIPIHYEDHWMLATIDIPRSRVAYFDSFAREHPWEGHVQDVMQLTACLLNIAADKGHRIVHAQRAWAVYPTTLRELPQVAKDLLGRELRPGRRHNLCAIVIVHTLRGSLLPLGVSNLPMLPRIGEVLLYQPSQFVQPPFVPQVYTTIPFVAQRSPGLPTQDGLHLLCHGISRVEVEGPMQICTPVLSPSAGLDAARLNAAGVQMYPGDVQAHWRAKSAKLEALRAQKQPVYDLQLDQWTLIRTGIGCSTKYRSPRSIIASTEERSMYGCLMPVQIPVMNLGHTKSRPKNHQWSVVIGSSCGPGQGSLGSRAGRIHLLQEAAAAQKDWGPALISEGDRSPRSITRAPRSSADRSGHPRADQIEPEGPPETIVLNGTRLCCRTAWHLGRLPCGHSGHTHQQGHLERQARRHEADGRMPTAACQRPMTF*
>EED85216
MALPLLLVILIAFTPMLPPDPGQPSNSSHRVSPSTDTSFFLAPTPNLPRLSHPFRTPLDHLQEALQRRAQHPISPSLPRPEVSQIMIKQEEVPTCLENIGEPGYFIQMRQRVKTSTPPGARSLREDADEEATPHTDTAPTTPTRLRTVIKPTGKSTSISHRAQARRAQLMPPPNPEREGDAMAAAPKTTGLRSMELRNTTPQVQEERTPAATIRGEQEDPSSAPTSSEPPAHPGGSIVHAGGSQPMHYAPAPRTLREDMLLYPYHAAPFAPTHYGAAGLDPHNLNMYYHPFPYQNLAAPLRSRAPSSVSCADLAGPSTEPLAPPSKVASTSSRVAPTAPRSRASSNASHIHPTDPADPLRYKSALAPPGPSDMPGWTVLQVADPQPLLPQRQALPRRPLTPPPSDSQPSKRALEPTPKATSTQEDPSMDVPSAQQAGTADNAVASAASSPMDESGDNAVHDTSHDGSPEWDEVPGMSYEEAAEAEEQALQEQAADVVFSTPGRLSDDVRQILRTGFANMNTIVEQVSKDSGLPAARVQAMFGQQHARVNTARNHWNIYGRYFKMNQVQECERLGLDAPLADTVEIRSKTYVLFKEVHADIWRDILETFKEVEVWMANHTIGQRKRDFAKAVAKMRQLISAYIMSIAQPTNHPLTFLMAGNLVNTDTGLAQLYETATAKNFLSTYLRGNEDTSLAHFKSYLYHQTSMGILNEASENGDEDVGGPSKPSGPAIAASCQQGGAPSKQHAGPSEQAAGPSKASTQVPGPSKQAGASSNKGTIKSQKDLDRRKFGLEFLHPDWRKKLSSTDKAGIIRFNVREMEVAAGGPWERKYNNLFPWNHHAELLVTQGLVWKNYPDDVRWPGEEKTGGHNKAKGIAELSMPELDQMIEGLVDRDYPFEFERVDKEALKKNKLPVIICAPPAHNTMFKRAHRYFANGTSDRKGPPRCEAPASSGEHGESSSPDTDELSKDTASAALSPVQAPPPKASLTRSRVVEVVIRKSRTSQPTTASKSIDVDDSEDDDAPVKPRADKWKAINVEDSEDSEDEDAYQPSEGTPTPVKPRGAKRKALRNGSDTEDEPENGTKKEPSKGKGPSKGKAPARSTKRSKASMGGSDVEQQSTPRMPEKPMARAQTAKHPAPRPVHAGARTRGGAMDTTTNEQCDASTEAPAQRQRPARHLHEDESSPEHAPPKRRHRPATTEGAPTEGTHDQEHRQEAPAQRDKDAPAELHRPQEGCSKRAHTPAPSSGTAALPPSLLHQRLAVYNDEDGNSTSTAGASGMLGHWMFPPPAPFAHTHDAPPGYAPRPRPTLPHAPRYAPRGWPVGSQTLDGYEVMDPRDAGHYYRPLPPQFRVPEFRAPGLITPDSTYIPAGRVHPHFYEEFGHAAAFADVP*
>EED85217
MADRGASKESACAISAQHLGGRDSVTRNRTKRSEGGPPVDSKAPFAHACITLNALPRLCRTAAKMFPSEHVELFKRWTMRRQLREYPSEGPQHTRFSTNARGSQTSAVRELDTGRQTQITRAGDGHWVGMRLRTAHRHDAGREGDAFHYAVTQLARGSASQIHKPDARAIKAGKAVESDVINVNRASWDSNQVGALPAG*
>EED85218
MSFLAGACIFAVTRTLHERVGTYQIRSSISRRPPSCGSCCEKHVEEWYCPSMSVVFQSVAFCHDGTTPWATYHNCLLPDPASTAAKSRQRCANEQQAGLDAPPATPLTRSPPAELFVSRGIKCAVARASHISSARAREERKLSKYGTSSTVSTSNGTGNAVGKADIAGPLGVRGPKMNMRMITGYAVTQSDWIWSGYVQHVMVVAYRSSVALWPGHARDDQVPARKLSNDKAEDLYIEMQRGYKPTAYYSEMNLAEFHDPLTGAAPAPNPATPAFNVEPGPEDSPALQALAQAVNAISGLAAAQTTFATNQQVIQDAIAHLMASMQTPGTNCASVPPGSIRFRDPHCRDLGLSNRGKPNKALGAFDWILPK*
>EED85219
MLMRPRGPRRSLVTDYDKSIYMSTWLEDGLPKSWFWAIEKTQPDLLNNHEELIEDFCKHFGDSDFINSQMTKIENLKQCASASKYASTFREIMVHLPITDDIIKINMFRKGLKDDVCMLLITITEPKIFDQYVEQAITFDNQLHACAQELGTNRKHLTAFLPVPVTTSHAATPATPSSSTNGPVPMEGDAVRHHGLLTDAKKQRHCDNNLYAYCGGKHKVDDCPTLAKRNGKGNPTSGKAKPAAH*
>EED85220
MRLVASSLGLSWNFKQLKPRGPRMRTDASIAIARGYRSGIAALALQYCRRTAIVFPSVRGGYCGLVTGIGNCVLYLMYPLPQYYEGDTLSRLEVKRQRMNKPESPGAFDWVLTKAINERCSSSRLGTKVETEVPRAAELVRAQHADAAPGAAWSVLQLLHTMVLLITDSLQVL*
>EED85221
MGRPRTRVKSLSELESPRMRRKFLGRWSDEESEVHPIFVRDLLHNRARLLGLPLNTKVTIDEACETSPAMIRPLPLEVWLQVIDELGAESEYDVLRACSEASDGLLQERAESYIPTKMAFRTKEAVASINLELCWTGPLRVHIEGGRHGGERRPIPHLATFASRLARNWTDVQALTIERAEWRAQDLDLRTLLLDMGCFDRITTLHLYDVTFPTVLTFWRLVCGLPSLTQLFFRGVKIVNTAVDARALSALRGLCTTSLSAVLVRPPIDLSLEEPEALTKHFTELPTQFVPFLRAPPWRYIRNLYLWDLTLPTPAALANLLYAIPALMVIGIDGPCRFSERRSDSTEIWSLHPEELLKLRTVELGKMFSLCSDSRSVHDLVDLLFRVNSDGKLKTIKAWLSPSLGVTTSIDVALTRLVARAGHGVTSLTLKALPQDSLSLFNPTPAHAAPGADPSHNVFFQSILRDTTGYLDSYLSLSRNNNLQYLDCSLAITHEDDSPFSPLVGSLRKVTSEEISHIGLSISVTDEEDLAKFWTGLLQLDEALCRDIFDKLNVVEMRFPRVNEFTEAHVDARAIIRLKVVKWHSKGLFCLLLPSTQPFETESLRILLVGAQQGQYLQIWMLIIDELGAEREYDALQACVRAGQGVIKERAERYFPNEMVFRTQEEVASIKVAHRWKGARRVRIEGGTRRGERLPIPHLATFAARLARNWTVVDKLTIERGEWRAQDLELRSLLLDLSCFDQIRHLELYDITFPTVLTLWRLVCAFPGLKRLNLHDVHFAKTATNSRTLSALRLLPASQVWHIHLLPRPEDSVGVERSGSHSAGLVAQTMPSLDKSPWSKITMLALWDVTLPTAAAFGRLLSALPALKWLSIMGPCTFSEHNADGGVVPLRQHMPSRLCQLEVGEDFSAHSDPQSVHDLVDILNHSGTSGRLQTIIAWLSPSLRATSSTDVALNELVKGAGRALRTLDLQPIRQDGLAMYNEGSIYAAPGAALCFDLSANTHLQDLTFSIDITHGDNSPIAPVLELLRRATSPQISSLKLTFCVMKKAGVAKLWAGLPKLDAALSRDAFSKLKSVMISLRGVDLSREVLKTRVKSYLPNVEARRVLCTRARSVFLGRNPSERTPALLNHRSVRQGGSATLTPLDPLQSECDQPSRPVALITSSNLHTNDVSDPLSARMHAPRIDGDSPGPPCYDNPGSTSPPSAVAPSCTGGGEFDSACTASSIFDLQMRSNPILDVYIAMGMRATGLLIYALMSVLFVVAALASLHKQTTDVIQAKTGYHTKPPNHPGKRRLLRRSQEYHCDATTNVSTAVLAPNKSSCVPPGLAVRISDVTGVQLAGYWMMGKNWVEECTALDVVRPAERWVPAEVHLAECTVLRPLDIVHLVSEETQDVQRLRRQALCPSELAIAIQEEARAIEDVVLHRGNNFVNRVSNKRRQHGCDEKKLDGSYICIASTYTPSQVCFWLWAS*
>EED85222
MEMPAPGPLPTLPSSHRCRWVCKGPRPRSQTKQPLPPPSGPASEISTTRRQHK*
>EED85223
MSSTLPFLDQFNAPSTEGGKRTSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSACREYDEAVKAANEAIDHHKRLLRAPRSHPLFSKQRRGALPSRLTGNPTLVGPRREAVGDADHLDTGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKVVPIPPPRSANPEPQASPIAGSSCPRPDTPVVFRKVDPDWTPDTTPWTWDSSWLRQEHLSGEEWKNVGRNARNEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVPGIVPLCFLLY*
>EED85224
MSARSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETGLRVAAAVKQLAERASESWVDWARGDWPELATAIDAEVERRVEEQKRLAEEEARRIEEAAKRAQAAEDRRLEDERRRKDEEERRLEDEHRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVAELSDDPSIKTPRTVDRPFAMTEVDMAAAAIEKRQAGQKVLQSLRRLPLGPGRLRVGRERYDLR*
>EED85225
MCPVGNYDISEMHYNGPTGNAGYGYNIRVEGQRCSDLPAMKRLLSFKSIPAVKSVPAAPANPTGTVPHTTSLQPKYVLPPLPHPCPHEHIALLATAQGLLLRPHFLSETRPESYVRILWGKTGAIEELPINEDADQDWSESVIIYGIVGILELFSASYLLVVSARSEVGHLFDPRHAVYSVKRVAAIPLVEHRAHAALNLLARSNTETRVSLIPPSRSETPPLAEEVPPASALFSAGSSFSAEAASPHVKFAAEPHVKVMTPQSSRQFPDSDEGSPPSPTLSAASSAASTPSSEYSLNTDTVVKTLTARLSFWSHRVPAYGDFSKRSRNLQTIVNLAEQHGKESQVTNAYRDFVSELDDENIRYLAYDFHTETKGMKYENISKLISQLERTFENQGYFWISNNTMMSHQKGVFRVNCIDCLDRTNVVEVSPFMPNVLAKSAFARHVLNRQLGAVALLDPSEEKQRTETDVVFNDVWANNGDAISREYAGTSALKGDFTRTGKRDLTGMLNDGVNSLARMYSSTFADWFSQAVIDYILGNRTLSVFSEFLNKLQSTDPRDLIRISKIRADAIATCVSRVLPEGERLLSGWTLFSPAELNAKVAHGIL*
>EED85226
MAQLLQPAAPDPGRISQILPAVKCSSCAQPVPIAELGDHICQPGSPVPSLAGKPLVSPLTALELDPAKHQSRVAFPVKTIQAQQPPASDLIPTTPTNIPHTAPQVVRRLSGGRPISGQVDTKTGGEAGMAGVGMEPPKTPLSATPTTPSAASIRLPFFEKFKDSKLRSELQPEDNLDTTAPDSDAESDYGGLAYARSSADEDEDEDKPLKTKLPPISTSVTPASDSDHKDKVRFPSIAGSESHYSESPVSPRLPQRSLSASTGTSTYSARTIAKSTGALDRVMETLLEDVVSPSTSAAASPAPLTAPLLPENQRDSRPPKLPTRSHTSPTLGTGRVEQARGKKRVKERVCAKCTKIIDDGRWIQMEGGSVLCDKCWKSMYLPKVRAAVCLVPGCETDDVVVGDSAGDAA*
>EED85227
MGPYVEDLHTLDQLARMTGNAYALPGQKNWYDIDPIWNESFPFGWEDAADGFRGHVFLAQHNSTVILAIKGTTLQGPTSKKDKFNDNLLFSCCCARVDFSWVFSTVCGCYAGHSRCDSGCLTQALVEDSLFYNVGVNLINNLTALYPTSTIWLVGHSLGGSLASLLGATFGLPAVAFEAPGERLAAQRLHLPLPPLAQNPYNYNASGSGSGSALHPAPAAQTRFGRTPVTHVYHTADPIPQGACTGLGSLCAQAGFALETRCHLGRAVVFDTVGKLGWRVDVQTHTIKSVITRVLEASVEGGWEVGEDGVPRDVPVAREEADCVDCYKWEFGDFKDS
>EED85228
METESAHTQRAARSMLGCFSPALTPCQFRPRTAPHAVTSASPIALHFKGRSYEALGGCNEDVLPRVIRVSGRRQASLPAVRISIPNGGCVIPIGGIFGGYTDEQTPSDLVACGVMTFDETVELASVSHTGQSNIRGAPIWLLGSLRDRHAHNRMEGQDETARAPALPGDKRDDARKAIYHTLDVYLRAILRREKARDASVRAGQGAGRVCGWGSHGLSLHMAIRHDVARISRSPSGSETRSATVPRVALRARAKYIQRDRGAGSFLVHRTVAGRDAPGRASSGSMAVRLLIYTRGWGSRYGTGRRNKGEGKQDEEGEDVAEKHWA*
>EED85229
MPSLRRTVSSPPARRGPYSYPSSLSQGTPGSATRPGAHNPRRSSGSDVNNRRVLADIDWWIVQDGQRDDLDAYDQRDAPDAAEREGEPAPAVAGVAAPTPLVEHDLDHVHPMWQLGPQVGSGAGAVENISFPAPSLGDIASEVAYGFRSLEALSPIPQFVDLSITPSTRHTHSPDASFSDSSLQSTPSSAHFALLPVSALSFDDTRFMDFEPFGLPAGPSVRRSATGMTRSASYSFVEDELSYAVSRRREVERFDDVPSTPCPPPFFSLTRTDVDDLFY*
>EED85230
MSSDYQLSIKPLKLSELPSEDAIITLAKTLLDSTTSWKSGKTYYKVVKTCSRPKGPQDGAPWHCRVSEHAPADATFDEFWGKLGVDKAENEMKFIADIKKVKLIKQISPTQAVWSLYYTFTPPVSPRVFTVLQTTYLDAATPKTGIIVSIPIDLSDEPELVKLEEKGVKGRYVSVERLQELENGNVEWRMATSSTPGGNIPSFIAESTMDSAISQDVTHFLHWFHSVRPKPEEAQQDAAA*
>EED85231
MLPSFVYDEKSYDPHNILKGLFRGFFLVRVFRHIFTGPRTALKVTDGPIAGKPWNAQILGMLSVTKYSIAYAAVQARQLLNSQEEWSVEDAHFNAEHFFYTILAIFDDAAHSTWYEETIDWWNRRVFGNSYTGSDRLTHDDDSDDEESDLSLLKAQHAARQAVQ*
>EED85232
MKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPCDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATPDFWKKYM*
>EED85233
MFTGLIEHLGYVSSIEHDSGGCTLTIADSAPILSDCHIGDSIAVNGACLTVTEFDKQESGGWFKVWLANETLDRTDLGERTVGDQLNLERAMGAHVRFGGHFVQAHVDTTATIVGQMPDGDSLRLLFQLPEPTPERPSLLPYLIPKGYVAIDGTSLTITGVDDTQRTFGVMLITHTQEKITLSKKPIGAKVNIEVDMVGKFVEKSVHAALSGGGGDTMKTYVERIVEDVLAKKGIL*
>EED85234
MYIFYSFAAPLLLLLALRLLRRSKVCGKGLPPTPEDIFSNPREAYGAALRQHGPVIAVRRKGNLEYVVGPEFARHVLTCDRDFSFEEGSAAIMNLQPIMALTGGTLFRDLKDFVREGIIARMDEIVDQLYPIYDRDCEVFVDLVAKPEHESSRPDLFNHTRDTLSEATLLLLLGQEYVSKRNMRIVEDVSNGMAQLTGQYQNFSFVGKYLPWLWVLLTWTSVDAVVPLTLRATDAGISFSQGNIPHILPPAYRDVFSD*
>EED85235
MSPYAIPSDVWTLYEEKVQAAGRHAISTPGSNIILDVVTHPRNLNLLFIAYGGGIVLSDLDILLPRRPAVTALAVHPSGHIISVGYADGSIAFWALEDEDRPLLVRTLDDEQDVGVMDVDKLEAALPSGQPSAPHQEKPTASREPIFKLSWSGFPDSSDPRGGNTVLTVLGGLAHDSSPGLTTFLLPPLSPHASPASDAKGKGPASPALHPDTRAAMVASLLSLDSYAYNTVGAVQDFLLIPRASPHFAGAWDPQAVLLLSDSDLDPTGATRVVEALEFPPPAFAPSTSNAAVPEGITSNAAPDGDLDSALANELASTLQSMSLSEDPMLLNLPSAFGAISDGVIWENDRHAMLPIRGGKAWLEDTEGQMKLMKFQPRRVLITHDHDLVLHFYDLSAQSLVSSFDEPLTNSLPDPLPSLTIELSALLFEPSLRPTPSDPAHTTAAEADSPEIDSILLAPDSLECITVFRNGAVILHRLDVQSTGDQPELEDDELVSLAHLSVRNGLRYSPFCAIRSKYGRVTACAISDVDPVSRIRLIAVSTSGHASVYTLARSPANVWTISDTPAVSEGCVQTAPGGSFVLDAKSGARLRADPQAFAAVLANDSVSQQSENAESDTRKRGCIWVNAGAKGAKCIANVVGDRIAKVDWSSRVGRVIRVEAVEKNGGCALVAFTEHAEALIYSLPFLEHLHTLQLPEQSLANVSTDPTGDYITFVLDPTTRLTLETRYGTLFGTRRAAPYAPPLVDLAFGRPPVPPQPAPVSLEPQSVIGSVLGYLGRGVMSGAEIDALLAGPDRPEPPQSNYDPAGRVPPSSKTTGKGKDNTVKSAADSMSSGVSDLYNKLGTALAERGQMLGDLEESFNSLEQGSKGMLSQISKKAVIPRGLALMVPFLGVVSNELTVFMGFGNETGERMSSADKRRSTMYLRGRPGRVTVVGDILVDQNSALRNRWRVLEKAELLEMAEARSMCMLGKRRTDLDEAIELSPDGLDSLGNPDRIVKRRIRRVDLVSVLLSKIHTDKEEA*
>EED85236
MANRRRPQVASVTGRRRSISDPLAAALLPPPNETPEQRENRLREEEDAKKRSDRIDKMIKESERERRRKKVIKVLLLGQSESGKSTTLKRECGFDDLDDVYSTEVASIVISSPISSHNRPVSASAAGPDYESYHRRLAPLMELELRLIQLLSDPEDNDTREATHLPPDALAYPPRGFGATPLSSSAFGPSTSAPSRPAPRISIPPTAASSPTSPTSLSPTTELSVSTTSTWKRVLTLGNRSPKSEHTGELQGWWEDPHDPVHILHRCAPVMTELWRDKRVRARLGEKRIRLEESSGFYLDEIQRVTAKMYFPTDEDVLKARLKTNGVVEHSFSLPKNSEFGGVEWKIFDVGGSRPQRRAWEPYFDDVNAIIFLAPISAFDQVLAEDPSVNRLEDSMLLWQSVTSSKLLANVNIVLFLNKCDLLKRKLESGVRLSHHMPSYGDRLNDYETVSNYFRSKFIAQHHLCTPNKSRECFVHLTSVTDSRKTHLIINNVRDIILTGNLKSSQLI*
>EED85237
MAPARAPPAYLTSFVTGTGRRRRVLIYLDWLSCSGAGEWWRAQSRFTAICYATPPASSTRETSLRARAAIASSAQGPDQRYYAYGAGSPGARGARTLGYVRLLLLSAAMLMGQSSPANVMATIFGTKQDRCAHGTGALRPSGRSCPVYRDCQRKEACGPELSSTSSPDRTLRNERPELRELGTKDGGWRTRSEDAAKDPAHMNAFELTLPQGCPRDDGVFFVVCRELYCGIDRCRWWAIEVGGLVAGLGYQMSSDDDQ*
>EED85238
MGNQASHTRYLALGSFVVNFGVQSYGMLTSPNMKDVADANHYAFSPNPWFIAAFFSGQVVLQLAWIRKLFKLDQSGYQQIGASSEDANVTADEVQTAVSYVPIYALGNLCIAGWLLFWLRGEFTGSQVLVTINTLMQVFAVARLPPLTSTSSSLMLLTHMVAKTFAGIGVLDFIDNGGVVMGYRAPPSALVAGLTYGLFPLATAVSTPFFGSILLYDVLGIMVGQRGVLGAGRWTTGLGWTAVAMGGIIGAKTFLTERKI*
>EED85239
MSNHDYGPSHDRKCADVMMARQGADSEEFPTERKLELLQREDRIHAILIFFRYMFVIERHRLVGHADKYWESATYQSQRVEMLARPLHSVEHTRHCWGCTFDDQSTVSDFRYYHNAHFAVGRCYKRCLLINESIGTDGYASVNLKRRNAATSPADRGPDGSPPSATATINTFSVSGGSSSTSTVAHGTAAGGAEHGSSSSAISLTVVTSIYDETTVYARNSTSTGLSGTYTLYYMETSTSIITTVYTSTPAGGAGPSSNGTSSTPASAANRTKTPRIIGAVLGVLGGLFLLLVALACWRRSRRRGQSQRRYDEWIRRKTSQRSTSGELSTVILGVGLSRASMDSFHTAEAYMSETSHGSTYRYSAVARSMTVSPPLVITPSPEPDTQSVTPQHDQCSVPASVSREQSLSSAPEMSPTTHAPMLDPFDAPFLWATNTISVQPKRLSHVSSESTQFLERLSTTRKIGEPHPMPPAPSIVGKTRSGIRQVPARRGIWQRPTVIVGKGGATSGWYSSRSELPPPRFNTLPNIN*
>EED85240
MSGESITSQRHNDYVGGDASQGRIPGANKATTGHQYASVFPKENRPEEHLPAPTGRDAPPAVDVPPQGRNIRQIIPGDQQPNVTSDDRSHLERLTAARTIDAPYPLPPAPGSHTVIDRDPFATPTTAGDTLTGATSADVYNGLGHPGSGMSSAEAHHDGREHRKRQGQGVSTFGSGEIPRE*
>EED85241
MPAQPTFRVALYGDAILTNPKWNKGSAFTTAERKAFDLTGRLPYRVNTLDEQCDRAYDQLQSRESPLRKNSFLQSLKDQNYVLYYALISRHLEELIPIIYTPTEAEAISNYSHLFRRSEGLYLTFPHQDSMEEDFLEQIKGRDIDLVVCSDAEQILGIGDQGVGIASAKAVIYAYVLHLLIHTLFNSLIAGVNPSRTLSITLDVGTDNEELLNDRLYVTIRQYLPHSLLHFEDFGVNNAKRLLDLYQDKHAVFNDDVYVYIGLLTVCRRRDQRIVVFGAGTAGLGITRQVRDAMVTLDGLSPSEANKRFYLLDRCGLVKASLGRDQIRAGLEDFVRPDAEWADAQANARGEVGLLEVVRRVRPTVLVGCSTVAGAFGEDVVREMARGTARPIILPLSNPSRLHECTPQDANDWTDGRALLATGSPFPPCKMPNGKDYMCSAYCSPTTDALIYPGLGFGAIVSSSRALTDTMIIAGTQRLASLAPALKDPDDALLPDFGSAPAVNFEVAVAVAEQAIKEGTAGVDWKVEEVREKVRDRQWRPIYGEYVYDPQGER*
>EED85242
MPTRKRRLPMSATPANGAKRSRTEVIDLSDEEEPLEAILAQIQAQDESEVLARQLQDEWNNVPSASGSNDGKIEREAVTIDDEDDEALARRLAKEWEELDKNTSKGESSAAASHAGSSRSKDKGRIRAIALFEALGGFDRQYLEERATSDARAKDAAAKRKSATAASVGPGGTGYAMGHASTPMAYPSAPSRGRGRGKRATNTKSVDSGSVSDQLASHWEEVIVRALMTITEFLPSPYSDAAQVYDMLPHASMAPLLCASQLPDLLRLADCELTIEVLIGQRYEMTRSCGLEEWMWRNGDITWAEKEGEREPMKLVRAPPLYAHFAKLTKQCETFLSGASSMFESENDEDEVAETMIKATSLCGDIIAARDDIERAMRVMGKEPSALLHEADVPEPPGPSSRKGKGIDASIALEKTYADACDRLAFAHVALFAPSADGKGLVYPTFNFAKEVAHTVSATRNPKDRLHLIKELAVIATSLPPGVWMRVDEVRNDVIKIMIAGPEGTPYAGGLFEFDCFLPIEYPHKPPRVHLRTTGGGKVRFNPNLYNNGKVCLSLLGTWPGTPEEQWSPKSTLLQVLVSIQSMILVELPYFNEPGYGKANPSSQASINYNRNISMQTVRWGMVEWLKDEHKDGIWADVIASHFTIRNVKIRKW*
>EED85243
MRSAILAVFAFAAAVLAVPRDAARLAARAPTPVDAAPDAHWPKPSNHGWKKREQLPIMPITDVSRQLCPLSMSACPISAATPTTLSEWISNGFECVEFNEDLMSCGGCGTLDEQYDCTAIAGALGVSCEVGSCRVHSCTVGYSPALDGKTCVPTN*
>EED85244
MNMRLFLFSLLACPLFSFASIRDQTVFQGHPTDDMGDKAYTPFTHAQPTLADLLTIDSSASIFYSYSRETELSALFSDENARITMLVPTNKAVMALARKPSRHEGPAPIKEGVILSEKEYDALSKENVDRWVSAHIIPHSPISLLTPGTHETMLDGKNVTFQAVDPDENEPEWTRVRMDDDVRLISMKEAQNGVLYIIDGTVKLE*
>EED85245
MRKLRYQCSRPPCVGSSRRTARYSTWSHIVTCACAARRSYHSSLRTSRRRR*
>EED85246
MAWYSRPWSDQAHYPPDSML*
>EED85247
MTLVEIARAGVQDFLDQMRFLNFKRRWDGELRRRVDEITDAWDFKESARPHINTALVITESAYDHLTDITAKVAITIFTALATSLDDPNVLDGLAFDQYHRQHSNCSVYRDNSPLGLFAEVTRRMGKCYPDFAAGTILVSALQFVNVSVLENVTKGITLHPKALPFVEYRRMLSGVPEAYGCFIWEKARFPTVDCYIQAIPDICLVIDYLNDILSFYKEELANELVNYIHDRALVTGASASSTLRNVICETVAASERVRVILGEGDARDAWDAFVKGYIKFHVDDPRYRLREVLGDDFFVEE*
>EED85248
MTPPPANEFVVFCDNRGCSVWKVKGIQLKRCQGCKVKRYCSKECQTAAWPSHKGQCLEAQAARRLCNTGEQRKLWNDILRWADRNRTPCYNGLLAALDLHNRPQAQQELLLVVKLDYSPCAQHFNDRFLTTKVLVTSWQKVKETKVAWIRQHLAKIAASRACLEEEVMSLPQLVVYGVGTVVLIADFSDQTAWGGNTVILELPYRIQNLDLLARPMFSQAGWQHELMEELNKTCNIRHDIS*
>EED85249
MGANLKLFWRQFAALVWKNWIVLLKHPWLNIVRCVLLPIGYGIFLAVAQLFLTKPNNYGLGTAIPVPSLIDQYDGSMALVWADATNGTGSPSAQDIISHITREFSSKQLGNVKKAASPDDIPPLCPENFNGFSECYAAVVFNSLPIANDDSEVALQPINYTLRADGGLYYINVAKHTSSYEERVLPLQWAVDSAIIELTTGVAPATPLEWPFTQETNTEQSTDIRLSYIRGLRTLLVLALYVCYVGIAYQLPGAFTGERANLLTVHLKAMGLMDSARIISWHVSISLVYLPAWIIVSLIWHYRIFTATNAGLVLVIHLLLGLTLASWSFFVSAPFGKSPQLAAIASTVLSIVFAILALVDTHASTGAAFFFSIFFPPGFYIFAIRAVCGFEAHQIATNVLKPDPDNNLILLPLIFAAIIDIFLWPYMGILLERRLYDAQNPSISSWRFWRKRRSSTEDSTVPMSPGTAISIRNLGKDFQTSMFKRDKGLVTAVADLSLDIPKTGIYVLLGSNGAGKSTTMSILAGLLGRTRGSVLFEGGVERPPLGSIGLVPQKNVLFPELTCYQTLRVWRAIKPLSDSAEEEDIEQLLKDCDLGKKIHYNANALSGGQKRKLQLAIGLVGGSKILLVDECTSGVDPLSRRALWRTLTSVRHDRTVVFTTHFLDEADLLADTIAVLAAPGKLVAQGTPVALKSSLGEGYTVQVSFSGNQVGEKAGNEPSELLDRVRPLAPSTYVTSSGPNEASYHLKAKDPAAVHKVLEVMELEREASRLASYSVLSTSIEDIFLGLMHNNQDAGSEKADRKSAGSTLLPVLSHGSAPTLELTSGRRRSPLGQAFTIFHKRALIARRSWLTPFLAVLVAVAGSCVPLFFIAGRARQTCVQTFQQTSSVPIYLPYSPVILAGVNDTAPGTQVLESPPGIISSLGSTVSAVPVENIADNATFVNTINQNFQNLSLGGVSIDLQSGQALVAWEATPPGLTGLVMLNLASNLLYNHALNSSGKSTGVPQLIAANYQDFPGFDAGTLVSLKWLAFYGAAMAVFPAFFSLYVSKERRSSVQAMQLSNGLSNTVGLWLGHLMFDSVFSVISASIIIIVFAAASNQFTGLGFFWVVLVLYGIVGALFSYCVSLVVTSPLAAFAASAGYQVIMYILYLAAFLLTLTYAKTAADNYDLTLIHFTMSIASPVANALQASFVSVNLFSLLCDGDLPATTSSMGKLTRYGGPILYLIVYAFVLFGILVWVDSGSILPRRIAPRKRPAPTNKDGASAPGRVGRQDIDDEAAAVAGSDDALRVLNVVKAYGSPDNRVVDNVSFGVGKDTMFALLGPNGAGKTTTFNMIRGDVIPDEGDVLINGVSIVNHPRSARLSLGVCPQFTAIDSELTVEEHLIIYGRLKGLYRGEELDRNVKALMRATSLDIYADRLAIRLSGGNQRKLALAIALIGNPSVVLIDEFSTGIDAKMKRDMWGTLRTVAVGKAIVITTHSMEEASALANKVGILAKKMLAVGTTDQLAERYATYEVHFSCRTREEVIRAQELMAHIPGSRMADDVATRFEVPIGNGMSLAHLFGVLSSQEDFSEYTVERATLESVFLKVIRENDVLEEDRETRRRRWRVRCC*
>EED85250
MVLRRGGLCRMAVPLSWWCLQDYSYDPGVLNDSDDGDPGPSNFKGIKRGSRACDRCRKLKSKCEATSGNKCKNCATAGTPCTYQGPSFKRGPPKGYIHAIEQRWHQVECILGSLMAIPQAQNVVSQLRADSFANSILNRVDSGPYGPRGRALERQGVTSGDFYATIMGAPEASRDDRRVRRQSRMTREIVSSENPSVLATPTKDWQDQLIRRLSGGRIPEYPISPIHTSASPASPSSSSYRGISEAIEPGRQRRRLEGSYVQYSSHLHQNVYEGGGGSDDSYSNWDELDDTADSFGHLALDDHKDDRAEDSKDIDGLWKFPTLKEEARSEDSTFSVFDAEDDPRIALPSLDIQRHLVQLYFIYVHPFFPVIHKSHFLANFPIQNRSDSQIFGGQHPSASERMPMQKINKLLLLAMFSVAARYADQRLDAVLGEDLRAIGVKCAIGAREILNNWKDRGRDIFTPVEKQLRKQIWWSCCITDKLSAMWMGRPITFRANDYSTLKPDITEIYPVRTSKDKPRRNLPGDTLPMKSFDICQSAAANISAIVTVLHEKYGLDRAPSFLSIYLQSAGIMHVITLTRRPHDAQATLGLKECIDALRYMEGIWPSATRVRKLLEGVKVLRDEVTMSLQSQQQPSRHKRSAEEALGAGQVEQDLSQSTYEGAGYSRGEVSQPSTAFEEDTTARLMMQTLGLNVPGLDASPSYYGGYQFWPHSDYDEGIMHDNAMDVPTTSPSTNLVEGEFSFCQQQLVPEFVQGVHYPILDPSNLFPQGPHPNRNPRGTHGPGVPRF*
>EED85251
MLAKAAQHALAKQAKSPFRFKGCLNIKRNAPHIISRPATATAALPLPASRDDVDIVALFDQPQAAQRSASTSYTGLFGHHILTSPSAFNALADSTLRRAQLLTERVLRARESREELFKVVKNLDRLSDLLCGVIDLAELIRNAHPDRAWVQSADEVYEKLCEFMNVLNTHVGLYEVLRAVLSDLEVVKSLSPEAHQTALIFWRDFEKSGIDLPPEQRNRFVSLSTEILLLGRQFLNETASARPPAVIKPAELQGLKDMGMGARLRLQAQVTNRDLLVYPGSLQAQMIMRSAPAEEPRRKVYMAANASTQEQVQTLERLLCARGELARLVGKPSFAHMTLSDKMAKSPENVQHFLDAIMNHTHPYARRALRTLSMRKQAHLNTEPFPTIQAWDRDYYCPPEPPAPPVALPPLTLGTVFMGLSRLLQNLYGISLRLAEVTPGEVWHTDVRKLEVVDEETGVLGWIYADLFARRGKPGGAAHYTVRCSRRVDDDDAEGDFRFADEHDWTIVQMSQDFEDAHRRPFPGQEGTFQLPVVVLLCEFTRPSISRSATVLEWHEVMTLFHEMGHAMHSMIGRTEYQNVSGTRCATDFVELPSILMEHFLSSPAVLSLFDSDDSFSIRQSGNHHEDPCRSIDTHTQILLAALDQIYHSSAALESDFDSTITLARLYETRGLIPYVPGTAWQTQFGHLFGYGATYYSYLFDRAIASRLWKKLFSHNPLDRKTGERYKVELLRHGGGKDPWLMVSTMLDAQELESGDAVAMGEVGKWKIEDDVSVPGRH*
>EED85252
MTRLTGLQIALIPGRSTRSNDPIHSSSRLEILSVVTGIVTERTVELHQVTLVNATELLKCTIKKLQEEMAGLEEPKSYWPPVTDKVAASIGFMQVKYSHLLDDLELELETLALVIPRGGWDDKPPLEFRLRSPGLHRLYFVNVSPLPQDKFSNVTYIGLFDCHRVLLSDLVRLLGECPILEDLIIASHIHGSTNPTRAVPLLRLRRLAVAWLEDHTLATFLSHVEMALTTAVQIQLHGALRDSPLFHALKGIPVMQSVSRLLLGTSLDRYSFMAHRIIAQQDSPMFALGSYPAAMAQEYNRSGPLGAILAAPAHV*
>EED85253
MPQAIVLGPNEFSIYDSRAAVFEKLGRVKDALRDSKKVIDLGPQRWQGISKLDHALRMINLALERVNADDVRRVTEITTIRDQIQQGLKQRREHESRAFYHFGKLPLEIATTIFTMVAADDHTRVISLSQVCHNWRAAILGMPRLWSNLILTNKNPVKKAKVWTVRCQGRLIELRLRAGVAPTPWALDELASVPLDCLRALDADNFPIHEIRRRLPTFTDDVLQRLDELNIQHCADVRAASWLWRQPSMRIRDLTIAHSIFHWATAAENINQLESFTFRGPVVETPLSDILAFLRRNPNLRKLALSMMDIPLANPGEDEEPIRLLHLSHLELEYHDFATSRIIPILDIPALSCLHIRGGIHSLDTTLRHLVHSGCAASLLELCIQRCAVSAQTIIELLRCTPSLETLQLEHVGGSQANKILESLAEPRPHIISEQETLSAVLCPSLRTVNFSHCPDIKSGTVLRLVKTRLPTDTLTVTKDASQESRPPPIVPIDTLIIDGCPNIDADILPWLRNKVRVVSCVYMTKKDARWKR*
>EED85254
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWARGDWPELATAIDAEVERQVEEQKRLAEEEARRAEEAAKRAKAAEDRRLEDERRRKDEEDRLRQAAEDERRAPEAADEELARIAAAEGLLDKGKGRARVDDEVTELSDDPSVKTPRTVEHPFAMTEVDMAAAALEKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVADSSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIIRVIREERAFIARRRALLHDMDLDLQKMEKAALAKGGISRIEKVALGLDET*
>EED85255
MAPYIPFVPTAAFAVATEEEWHDAIFQNVNVSDKQANLLQTVTANAAESTTGRVRDWVGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDFYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAEKDESTLPKDWTLFLDIFLNINKIINPEKAQGSVFKNSGSDNGGAVPMDIDSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKQPNTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELTDSEDNTPLSAKAVSTNTARIEEIANIEESTLAGKDEPQLSAKTEPIATTSDFWKKYM*
>EED85256
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRTWHTGTRGFALFLKKLPHRGAHIKSIEGLGAYQRAEKAKHDIEELALRTHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQCTKILLRTSEYNEMFAATADRLEPVFARMEKEEGNLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSHLPEICNELASLSITFKYEV*
>EED85257
MTGTVTRLALVHLLSAPAALCMSRLVALQSFFDAPRSFGENSRIKCLVDGTASSSGMLITAWLMRIKHLKGITLRDTVRAVISVTLCSHRSFPHGPLPTSGVFVSDEGRLTYITRFTGKEKLKAAHSPHCSLSECHPRLSRVLIPWTTIGISIWRNLEEREEYIGVSCESQIKSWSSMHAVAVSAHYRLPRNALCIRPGVVLEAVGGRDAHVEHVNHTGQYGRQELAAEMNEAGTKQW*
>EED85258
MSHGKQFTLYSHQAGPNGWKVAFVLTELGLTYESIYLDFGKNEQKAAEYTKYNPNGRIPTLIDHKNNDFVVWESNAIIIYLVEIYDTAHRISAATPNDKVFELQWLFFQASGQGPYFGQAAWFKVYHPEKVPSAIERYQKETARVIGVLDGVLSKQEWLVGGKYSVADIVFVSWTNVALALVLPDAQDINVEKDYPAFWAWHQKLMAQNSIKAVWAERASVQS*
>EED85259
MPRTPKTPSTSTSLKDHPPSSSAANNNPVLIARPTRSNKTPGATEPSEGPNIRLAPTAPTLLDLRPGSPALSPLARASLKLSPVQVKEEETPISLQTLRQSQSLTRVRVKKKSRSPSLHFMVGPHRRTRSPPRLQSLLYVTPPPGFLQHLYRRRHREAARALAPPEVCPADSHNHRLHPRAVLRPPPSSILSSPTSPPDKETLKHLLPLRYNGKTVIECDQFLSQLHVYWIVNMSLTTIELKVQVALSLLDGDARTWATPFFTQLASVHIGTQGVTTPFANEAAFATAFKARFGNLDDEAAAQVELAKLCADKSMCEKRTAAEFSALFKGPADRSRYGDLELRDKYLSGIPSHVYRKIELETFTTWEDADKHATEVEQQLDISRAHRPELNSFFSARGRGRGGARGGAP*
>EED85260
MSHGKQFTLYTHQSGPNGWKVAFVLTELGLTYESIYLDFGKNEQKAAEYTKYNPNGRIPTLIDHKNNDFVVWESNAIIIYLAEKYDTAHRISAATPNDKVFELHPYLGQAVWFKVYHPENVPSAIERYQKETARVIGVLDGVLSKQEWLVGGKYSVADISFVSWTNIALTLVLPDAQGINVEKDYPAFWAWHQKLMAQDSIKAVWAERAAVQS*
>EED85261
MPRFLRSLWCLPSFGADVTAIEGLPVPHSRVDVEVAIDDTVRKFNCAYLEDSADPEGSWDSWDTGGENGGIQTVVRPHLVGDLLLDHPRPPLDAQAAMDNTISITTGIVPTAMLRPLPLQVWLLIIDVLGAEGEYDALEACAKASEGVLKERAKKYVPDKLTFRMQEEVASINLRPRWTGPKEVRILGGDRSGQIPHLATFAWRLAGKWTKIERLTIESAEWPAYDGYLRSLLLYLAYFKTISKLSLSGVTFPTVLTFWRFVCAFPRLDWLALGDVRFVETDIDARTFSAFRLPSATTSKLFLVILPKRSLAMHHAGQPEMILAQTLSFPQASPSPWSNITHLALMDVTLPTAANFGRLLCAFPALKSLSIEGLLMFSEHGFNPSDVPMLLKLTDVELDNNFSLWSDAQTAYRYLKKHPHMQLRAQLSYSVKVTHEDSSWIAPLLELLYQVTSCICVIHLIFYVTDEAYLARLWADLPSLDDALSQIAFDKLDYVYKL*
>EED85262
MSRRDTRKRSSERSAVRLEGYEGSEGGNGGLDITTSIVPTAMLRRPPLEVWLLIIDELGAEGEYDALEAFAEASEGLLKERAERYIPRKMTFKTKEEVASINLRQRWAGPKKVRILGEIRSGKIPHLATFASRLAGKWTNMEQLEINRAEWPVHDFDLRSLLLDLACFDSSYGTSHTSHDRGAAARVSRAGARRVLALYVCLLRDINVTRNAGLLEMILAQTLPSPQASPSPWNHITTLTLVDVILPTAATFGRLLCAFPALKRLEIVRHFMFSEHGFNLSDVPMLSKLTNVELDDDFSLWSDPQSVHDLVDLLIQSGASRRLERIDVWLSPSLRVATSRDIALNRLVKHAGQ*
>EED85263
MPVRERIVVLGNHERVMEGGRSRHLSQLAFSVSLALYNAISPVMHASIHTLCGAPFPVQKTSLTSHLSKVNRKSLGSHLHDRWTLTYNTCIPLTPNGCVRLETGEGNTTSRYDKRPSTVHTITLEVTMDIKGHSVSLGDRILRFLRSLWCLPLFGANVTAIEGLPDLHSRVDAEAAINDTVRCMAMSSYSNFNFAYLGQYDQRHSPNSLASALDGVFRGRAESYIPGKIGFKTQEDFKESEEPGGSEDSEESASSEDSDDSADPKTVRPTFIRDLLRDRPWPPLDAQAVIGNTTSFSTGAVPTVMLRPLPVEVWLLVINELGADGEYDALEKFAEASEGLLKDRAERYIPKEMTFKTLEDVARIKLRQRWKGPLAVRIEGSSERLPILHLATFASRLARKWRRVETLTIERAEWRVQDLDLRSLLLDLAWFGSIRHLHLCDITFPSVLTFCRLICTFQHLSDLDLRDVMFMKTAVNARALRLLPTTKLQKLTLRSDKPGVNRPGSLATHSARLLQVLLVQTVPFLKAPPWSKVSHLDFWEVTIPTAAAFACLLCALLALRWLTINGPCTFPEHGCNSSDVPLRPGTLLGLTHVALGKEFSLHSDPQSVHDLVDLLIETGASGRLQSIAAWLSPSLRVATSIDVALNRLVKHAGQSLQHLAVHVLPQDNFPLFNEASTYAAPSPARCFDVSANTSLGSLDYSVVITHEGSSWIAPLLELLHQVTSHISHVQLAFNVMSEAHLAILRADLSHLDAALPQTLLDELQHVWTCFKPGHESANVTSAMVRSCLPKLDARGILHIYVEYPSGTMHTEFELVERSLTIDVCRTSRPPSLRPCALFVRDDTIPPKYGPSGGRFHFAESRQETFPYLLLRPTDPLKHVAPLRAPARPASTGLVLVVSLLTIVADLYIHVPCERVDVNTVGGAAPGAHWQKQVEERRIHVYSGHDDGRDGRRGGCVRPRAAASLELLYVGIRVPTMMLSMQRRHRVLLDVSTPPPLTLCIATYHNAQQGAQRGRNVSLVYSSSGLTRGAGRGSRAVLPLFWSGLRNWKRDGSVETESRPSQTSFAVAMRPPCTAHSCTVLVTTANIHAPARTDVAAPPRASVALSRTVFGRYSGSLSYSVWSLGSLLCSRITHVISLKLDGRGLERRTADTREHMLLSGWTLVGEAGLWWNAMLSGRNVTPRTLDAHQTFGPSRGTTHVFRSTGMVFEIAWHPGARAEEPCRRRPYGTSPEEPRRTVTAPRSPGADISFNVLLTAPRIQVTRAHHMVPETQGSWLIPTERNAAIPFVPSEEVLIGLWIRQRALSADHTYVYAAGYCDHPVLHFPAVRIRHERVPTREAGVRAPGGAICTETHGDHPEPQPAIDSQDAHALRPERATQPVDIMDDQPQSILMRWFSLVRFADRKVSNIIGISTSAPIGGFPKHRAHKGRRYCLPLFGTDVATIQDLPDLYSRVNIEVEISNTASITTGIVPTVMCRPLPLEVWLLIIDELGAQGEYDALEACAEASEGLLKERAERSIPQEMTFRTQEEAASISVRQRWDGPREVRIEGGRRSGERLPIPHLETFASRLARKWFNVHALTIERAEWRAQDLDLPSVLLNLACLFPPYWHIHSFLPSFNSIRHLRLHEVTFPTVLTFWRLVCALPSLSDLYLRDIKFVKTAINARTLSALRPLCRTTMLRTICVLRPDGSGVERPGSLAMYSVTGLLLMPFIKMPPWVNVSNLHLWEVTLPTAAAFAHVLCALPALAVFAIQGPCSFLEHGFDPKDIPMQLGKLSKLRNIELGKVFSICSDPQSVHDLVDILFQTGTSVHLDTMTAWLSPSLRVVTCIDVTLNRLIKHAGQSLKILKLERLQQDGLPLSNEAFTYAAPSTACYFDISANTQLEYLTCAIDIGHDDVSPIAPVVELLHQASSEHISNLWLRFHVKNEADLETLWTGFPQLDAVLSRDVFNKLEKVWIKICLANKSTATLVATVKSLLPELGARGILQYMPLILEVYHSRWARTGDHLIE*
>EED85264
MGKQTTARARNRGRPVKLTPQAIEAQNRKACEWLASQPGEPDFAEATREFPGSKYHTVRRRFLGLTKPHHEAHEKQMHLSHKQEATLVEWMKKDADEANPWDREWLRNRVKDLTGTKPGYSWVTAFNKRHDHILDYKGTSGLDPQRAQCFNPGTVREHFKLFGSIRHRYRVLLNMDETGEQMGGGRKKSGKKYYTSVGRRVRYKKRDANLETVTVLECGCADGTMLDPGFIFAGKGNAWTTEWFEGSENRKISVAVTGNGWTNDDQCRFWFENVLVPQARAKTLSDDEHVLLIFDGHHSHLTDELYDLGIKYKIDFYKLPSHTTHKLQPLDVGCFGPMQKRWIARCEEIAQTLGRRVRKEEFVKEYLSVRDASITPEVVHAAWRKTGLYPFDPDIFTEADFAPSRPWSTQAHLPASFPATIPATSISTPSVTEPERAPEPSQILDVEGEDDDAMDIDEFDDPMDVDTEGIPACPSLQDFHAPISYQLKGPTTPEWRRTPVSKRDTVGTQLRVVLADLERLEALYYSEFGKRQQAEVHCANALVEIRSLKLRLNGREQHAERKDKKFSSKAVFLMSPEAQAEREARRAERVAKEQGEADKRERQAGKERDDEKRRASLASDTSVQFTGSLKGKKKADLQDIAYLLGIKDLNAKNDDLNLIIRSRLLNTEQHRSDPRFAKLYTALDRESRVDNIPTSILNVSVIAPSSTPTVTSRESTLADTGTIGSGAQLQAVSYGNVAAVNCCPTYTVNDYYKRYTTVIVFRAQRTLEVRDSALCRSATPAAAEFKYAAVTQM*
>EED85265
MIHSGAWARLWVTLLLVAATIYFDVTLFDTAKKVAAARRLSTRRHNEKSRQEYTWIGSDFPRYLPVDAGPVKMVAEESVHYSLTNPEAYEEWLWTAPLVGDNHVRLGPDKRMFAVPMFHELHCLRNMRSAMEDGLATLNPVYQGHIHHCFNYLRQWTLCSADVTLEPGDFTTRNFSAERVGGTYECVDWVPVYRMAEDNWDSWERFRDEHGLSDALPVER*
>EED85266
MSEPSATNRPIQKSWGTLSNMRHWRRDIPRPPLDTQIIVVNASLAFIAAVPTRVVSFPVEIWLLIIDELGRQREYDALEAISLACEGEIHWRAKKYVPSEVTFRKQEEVASINVARRLRWGGPSKVCIEGGARSDERLPIPHLATFASRLAGKWFSLEDLIIARAEWRLQDLDLPSVSLNLSCFTSIKRFDLCHVTFPNALTFFRLVCALPSLKFLSLWDINIVNSAIDTRPLLTFPVLSALKLNSVTLVRPDEVTGERPTTLASHSTGILQWVSNIMDRISIERSPLSLQTSPWCNVKALKLWDVSFPTAAAFARLLGALPVLSKLQIQGPCMFSKHDFDYSDVPVIPGVFMSSLRELDLGYKCCLCSDPQSLDDLVAVFIRTGASDLLNSIHAWLPLFLRTTTSIDVAINRLVEHAGQSLHDLRLRVIAQDDFLLYNKAARYVGPSTTRCFNTSRNKRLQHLACSMDIARKDRFQIDSILELLHRATSTRISDFSLNLHVMDEVVPPKLWSSLSELDAVLSQAVFGKLAKVRIQIRHEETFPHNLEARVKSCLPKLDARGWDILWCVLMASSESSL*
>EED85267
MAQSKPSVNIAINTLVLRAGQSLRWINLDPIAEENPLLYSAMPPEVAQSLVLRCSVDVINQHESYVAPIVERLNQVASASISDIHLAFHIANEVFLPKLCDSLAQIDTALCGTVLYSARLVKISLCGVASSKQIAKAKNNAFIHKDQSIERHHTQDREGVPAMLEGLGQEQPVPVLGLCGVTGQFCLVFSRFDVIFKRVRPL*
>EED85268
MQSPINEQPLELPEVHDEAKIAFTVALMRKDLGKTWADTYYKKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILIKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFIKGLPKELYFALATRVARDRPNTMKAWYDEVRNADAAKQGALVVTDTRDYGEPMDIDAAAVASTFASTLGGRKWELGAVLNEADQKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFCARIKTLSADKKRELLALPCPGPRVQN*
>EED85269
MAEIHAAATFILHGTSSTPTTVANQATASTSNTSTMVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHSHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFNGVEILQRKKPTTPAVPKSAEASGSGTSRGVAAPSSTSTSTAPPPTIPAAAPAPPSPPAQSTSQPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDIRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALIDNKEQIECIVDPAEHAIGERRGGPIAGTHSKCSLPHR*
>EED85270
MGAWVAREKLIVGITDDALLRKKAHREVLENVALRTARTRAFLERFKPGLHYDIVPISDVYGPTAWDPDVQALIVSKETLSGAASIHRLRQEKSLPPLHTFVIDVIS
>EED85271
MSRIPDLPGTPVPHRSEQEQWLRRTVAHLCQVENERFPGSQPVSFASSDLEKLDKKDYWVCEKSDGIRVLLFVQTDLNTNDQAVYIIDRHNSYRQVNGLFFPHHENPRMPLRNTIVDGELVVDVDPRTQQETLRYLAFDCLVVDEQNVMSKPLDKRYGRLKLWFYQPYAKMLQEYPQMAPQQPFQIQVKRVQLSYHVDDVFNIDLPALQHGNDGLIYTCAETPYIPGTDHNILKWKPPSENSIDFKLVLRFPPVSKDSPAPDLHAKPVFELHVYCGDERGKPRYEFFDVMYVEDEEWERMKASGEQFDDRIVEVHWDAEREYWRLMRFRDDKPAGNYKTVVDNIIKSIADGVEKEMLLERSTSIRNNWKTRHGGPPQPAPQARPPAGPKAEPRYGPSAPSPWSKVTGPEMVGGMYR*
>EED85272
MSPTPRAPTSIEELTVLLEDDHKVKVAVDGVLRGKFMSKDKFLSAASSDGFGFCSVIFGWDIHDTVYSRELLISNRANGYRDLLAIIDLSTYRRIPWEHNVPFFLVSFLDPDTKEPICADPRGVLKQVVERAGSKGWQCYAGCEYEYFQFKETPDSLAAKKFTNLQPLTSGMHGYSLLRTQLNNDYFHDLFDESLKFGVPLEGHHTETGPGVYETALAYTTASRMADNAILFKYLAKSIGMKHGVVPSFMAKPWGNLPGCSGHIHVSLRDENGKSIFAVPDSELRTGRADAAYPDTKFLSQEGEWFLAGVLDGLPDVMPMLVPTINGYKRLVGGEAFWAPNAVTYGYDSRAASVRIISPPSVPPAATRLEVRVPGADMNPYFALSAVFALGFRGIEKKLKLPGPPVGELTLEDKQDGKVRMLPQSLESATARMMRPNSIAREESVFGEDFVEHFGGTRQHEVRLWNEAVTNWEVERYLELA*
>EED85273
MSACWTPGTDHLCLHIPELPLVYILAMSSPPSPSFLHTTYSRSTLALLSIHKFSAPLNVELIDELVEKTFCDPNFEPAVMLGPGSLGFLADFVSRHTASVDATLTIIQLALMKHFTEPLTIFIESSTLGLRNERLAGQKLDQPDGAVSNGKGKGRARAHIPMEVDEADEDAGRSEEEESELGEDEDEEAAEAWRIEVRVRFIRALHELDYLGVVKPTGRKADHVVRTLSVETGRGVVGARSALALIRLALAAVGGQDQPVIYSSLMGRYRQRSWGRRWSEGKVVSIASRETEATTTDHEHGEESCSHVSGPISVRRVKKIF*
>EED85274
MTQIRLGAVTAAVVLATAPVVNALANGVARLPVLGYNTWNAYACNIDENLILETANLMKSLGLQDAGYTHVNLDDCWAEKNRSAEGLLVPNSERFPSGFNNMTAQLHALGFEAGIYGDSGWLTCAGYPGSYSNELLDATTYQNWGFDYLKYDNCYIPFDDVIREGTFGKYQRMSDAIAELSLTSRQPPLIFSLCEWGWSQVWIWGAQVGNSWRVDGDIESNWPALSSIINLASFITQGTDFYGRNDMDILEVGNGNLTYDENKSHFTAWALFKSPLLIGTNLSAASPEIVEILSNREILAINQDPVVGTSISPFRWGLNADWTSNDSYPAQYWSGPSENGTVVMLLNTLDEPANMFFNLTESPWIRAGRQYAVRDLWTHTDNGTAVRNFTATDVPAHGVVALLLQDAGDEPAGIYPLCSVYYQCSSENGTLVDGS*
>EED85275
MFTVAILISWFSLARAALYVNEPIASSACYGGQPCTVSWLDDGEQPLLSKIGACYVGLYNGEGLPVQQIEPVNVASAHSLVFTPDPSAGPSAGDYVMDVAVRANGFDLLRPRGTLKEL*
>EED85276
MLTRPRGPTVAQPEPNSNSELWWEESLSNQEIIELCHKAPKLRIPGSSQPGLQPPPIFIISPRVIVKTGWYRLGEFESRAMEIVRAQTSIPVPRPLRIFKDGDTFLLAMEYIKGRSLDWCWDDLSLWRKFVIAWTLRGYIRQLRRVRTEQIERQVPGPLTDDLSKPLKCFGPAMGADYHCGPFSSAAALFEWLNGRLRVTQYIRELSLDTPPFVQRERLVLVHGDLTPRNVVLGDDGKLWLIDWGSSGVYPPWFEAAAMLYTQPQPSWWKWVLIFLLVRVFL*
>EED85277
MNVVNAARPTVILISYRLNSELWWEESLTDEEIYKLCHGAPELRILGSSQSGLQPPPIFIISPRVIVKTGSYTLGEFEARAMEIVRAQTSIPVPKPLRIFKRGETFLLAMEHIQGRSLDWCWDDLSPWRKFVIAWTLRGYIRQLRRVRTEQIERQIPGPLTDDLSKPLKCFGPAMGADYHCGPFSSAAALFEWLNGRLRVSQYIRERSLDTPPFVQRERLVLVHGDLTPRNVVLGDDGKLWLIDWGSSGVYPPWFEAGGMLFTDPQPSWWKWVRRLVAGWYARDMHTYVAALYGMTTGFFVPDPLVHKY*
>EED85278
MDPALMEAIATANWVEGGESDNLSIFNTDSVTAADKTSTIKRVHLACTGTLDNVRGIYSYHWRLFFQLTPVEANLVEKRSVELHVTLLNKGTGMANTIAMSRNYENTHRSVATTYWDVVDDEWTRERLRVLVHSGLGGYRGRGKFSSGVKKAAEEFVNEAARERGMPVPPPRGIFYD*
>EED85279
MEPAFYRGDLLFLTNPASQRYKVGDITVYKIPGADIPIVHRVLETHDVVSNEKGLVAASPLAQRQLLLTKGDNNHIDDLELYQGLEWLERRHIVGKVRGFLPYVGYVTIAMNDFPQLKYALLGGLGLLALIQRE*
>EED85280
MTEQTAASSPPQKGLPSTLEAAPGVVQPVQTRRSSLIKNSLATTRDTHPLTQKTYSPSYGSTLNLYKQQKACSHPSMNNHSNFLKFTPPAPTNTPVEIPMASFTQEDINQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFIVVLMRKDLGKTWADTYYKKSAGGIQVYSTWANFVAALEEVFPEHGTKTALSLSNYITCFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNTDAAKQGALVVTDTRDYGEPMDIDTAAVASTFASTSVGRKWELGAVLNEANRKLHRDGNMCFYCHIKGHSTKDCHKKAATRQGGGRPNQGGSGKDDFCARIKTLSADKKRELYEELTMEDF*
>EED85281
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGNSTLLMLLLSNPTDIFNKLKTHHPEATNATDRAALEAYLSARREYNKAVKAADEAIDHHKRLLCQQDDRVLTELIRLDNLKVAHRFQPLLPCSIQARHNKFILRTIPNTYLPLPAPLPTSAFRRPPIPSPFLQAMPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVQDCPDIRCTRCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPLCSANPEPQASPIAGSSRPRPNTPVVFHKVDPNWTPNTTPWTWDSSWLRQEHLSGEEWKNVGRNARNKWFDEEEDDGVDWELYGDGEQRQAPLGPDTALFGSRIPHGTSTHSPNTSISPSTLFDIFDGARSLLEARHGRPDASRVDLGTSPAFGGQ*
>EED85282
MQWYDTLRHSIQHRLDAAIDAAHACLTPNPVDTLVDNHNAHALSTPAPVDALTRCTRLLSTRCPACFGGAVFGWSFDEGADIHVALDATFSQCHSMHLGDSPHFYEPEFLIPKAQVDECGRCIIAACKKPPRPSCAPKVPAHIVDECEKLYEAADEKKVKTSEQQKYAIALLEHLFTFLPPNATVVALYNIGCVIDRSLELIVYNPRLRESLGLTDGEGIERLWSRLRKLIPITRSSARSRCIWLIDHQAKVISLDLHDNLGHWIAQRLHHGVESREASSLEELVQVGIPKEELRDEWKQQCVAQTSIRAHAPAHLKKELDVVLSLQADLDTVNKAIETTWTVLENGEVPAESHEVVASLERSHTRLVDKVEALYSSLNITDSFPDFGNVSLEFVRLLLMACDLKINICKRAVGSFFEWDRLDQAVGGWHNPLGTKIHQQTCKAIAKRTPTLKTAVRKFNRYCETLKELKQPEWTITVPLPLPTDLNALRDDASLLADVWIDPSQAQAPRWLEDVDVHKGIHTLLLEDHCLEERHRLGREADNICRWYGSELAAAKLALATSSNADIAFLLQQHVCELLLLPAKWKNPLVSPQRFDAQTTLASEMVNSALGDPQAYAWPFVIETPLPYIIHQDDTDPYGHLEEEEPSYLEAEQHLVEDVFLDDASGNEGPPEDARSKASVMMITMTWETPIHVSINDTPHFLQATAPVLSQLLQSNVHLDASDMSRLQNRSAWMNDSCINTCMQLLQLVFLGPGVRTDAGDVTLWRNAASTRFWEKDVWTIPIHYEDRWMLATIDIPRSRVAYFDSFAWEHPWEGHIQDIMQLTMCLLNIAADKGHCIVHAQRAWAVHPTTLRELPQVAKDLLGRKLRPGRRHNLCAIVIVHTLHGSLLPLGVSNLPMLPRIGEVLLYQPSQFVQPPFVPQAYMTIPFIAQSRDKAGNAAGVQMYPGDVQARWRAKRAKLEALRAQKQPVYDLQLDQWTLIHAGIGCSTRYRSPRSIIASTEERSMYGRLMPVQIPVMNLGHTKSRPKNHQWSVVIGSSCSPGQGSLGSRAGRIHLLQEAAAAQKDWGQALISEGDRSPRSTTRVPRSSADRSGNPRTDQIEPEGPPETIVLNGTWLCRRTAWHLGCLPCGHSGHTHRQGHLERQAGQHEADGRMPTAACQRPMTF*
>EED85283
MSSTLPFLDHNPTDVFNKLKAHNPEATNATDCAALEAYLSARRDYDEVVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRSIRAQHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTILADWQPNPGWTPKGSCRQCGLSQHWVRDCPDIRCTRCGKEAPGHLEQECRTRPMKRHVSAPPEEPARHVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPRSANPEPQASPIAGSSRPHPDTPVIFRKVDPDWTPDTTPWTWDSSWPHQEHLSGEEWKNVGRNAHNEWFDEEEDDGVDWELYGDGEHLHNGVRAHFVSGIVPLHFFLY*
>EED85284
MSLRVPNSLKRGRKKKTANAVQAATNASTSTQAPPTPRTNTPDTAGITLLPYTSREQMLRAALNASITGGSFVDTRLHAFSRREGGTVSRPRPIFVNSIALRGTSAEFDLLLTGGFSESRAVDIFDDSADIGAEQASAAEYGYESDSDLDDDDDDAPSSTGASRPAAPTQTLTPRASPTQRGRPVSGSSDATSDDHAMHHRGRSVFVKDAAHKTLQALVAYLYTGEIDFAPLRSANARPAAQRGSAYEAPLCSPKSIYRLADRYGLDDLKKRALENLGAQLTADNILPELFSRFTSRYEEVQQLEVELLSEYPLEGDVKAGLHEWVARLARGELQHGGAALLALMDKYAAEKFKNSPSCARGNTSHERQEAACRECHNHIYECITDIDG*
>EED85285
MIRRLQLALLGLCLVVFVAAQGDTDHTTFIDGTAINVTDDGFPYDPILQLRPPFARSLPVQVLLNGIVLSLSSVLLIQLLFTAQYHWPLAPLNFTLQVAAVILLVVTLAKRKEQRERAETLWRGVTGAIGLGRQQTSSSPDRPRRKPSLSSFSTGGTMVRRIFNTQPGRQVYGWFLHWRHAHLAAARQQAVQRRERMDAVYGHEGASTPRTRSVALGWGVSAGPRRDAEAQDDETIVASESDASVDLEKAPQSGETSTEGDASVRRRGVKKEGKSSLNEQPASLNSAATPGIFMKRLKLEWRRKERKRHHATCWFAIGIRDQKRTNSRTANIEESLQHIEVDVRRAIYIMLALRFDVPRALSGDNRLMVAIAVIMVNKLLDGGKLCHPQKSTETYATRNVLRLSSAPAPLASVSNNCYAASSLEYFYDLDVRMWEVAQRIRGRLAGWLRNPHQDYTPLWSDASNSRPLSRKYVLLALVLIGASALTNVILYSKLAKRWPGPLDNYQPLTIQPVVNDDFLRTRSPPLNSSENAIVTSLYTDSYATAIATLGHSLNRANSTASRILFYLPEKISPRALCIATATGFIPRAIARIPPPHGGKGVYDHFMDQYSKLNIWTLADEGYKGVVYLDADTLVLRNFDELFALPYNFAAVPDVYVDGMGFSLGFNAGVLFLRPSTEVFTDMLAKIDTASYNMHEAEQSFLNHYYGAEAVRLPYAYNANLAIKKRKPELWADVKREARIVHYTLVKPFLKEWDNSGKTVVEIRRMESNVQNKLSAFGGMFKEELLDWVGIWKETRRTYADVLTLCFSVEYNRRFLPPANKPTSGSFGQLRRFTFIFQIGQPEREKR*
>EED85286
MYVCRDKVVLKVSQLCRFITGAILRPTEDDEIYNFTVSGDLDLEKIYEDYDTLVQTMKEISDRQDLIFGEIRFKSNYSPNVRMVNKFSEGRVFVAGDAAHVHSPAGGQGMNSSVQDAVRHEFLQKYHHELIRIDDQLNLGWKLALVELGFASESLLSTYTEERLPIISHMLKKTTTLFHSSINERANGDVTQGWARPRDLKMLGVNYRWSSIVLDERHPQQTSGKEKPLIDAYGTNTSDGLHAGDRAPDAPGLAIVETGNVGCETTSLFRIFSSTRHTILVLSSDSDQITAVLGLAKKLPPRFVRTVVILPQDSAPLPTASGADVVCIDQDGHGYTGYSDGTEGATVAVVRPDGVVGALVAGAIGLEAYFKNVFLNVKA*
>EED85287
MNSKNFFVALLMYALSPWAHLFGDSVEKWFTRRMIQLDKHLTRRKDAPGSKVKASFMQWWHDRFDVFYWTPQELALDAFHAATGYTSWKRPEHEEICARSRVEPRVKREGKVRIEWYPSIFAWKRLVRECEGLPFIRKDEMYTYISREPNEDLNLAEILRKLNLENIHIIDWRRGTLFTPGNQDRLSLVAFNNLVHVEKKVPTRYFGRPERQPMDTLYVVEIPSEQTLALRHRRAQMLRRWYAKLLGPNDTRAGILWFAMISWAALTSKERIPLPGYYRAFRKVSYFRDVCMILSLLVSAAISLLAAALQEVDLLRYSAVTLAATVFFWNTNPRAVDCLDRAVMDVFYVLSRPVLCCYRILWDVVARSMRRVGRVLVDSWGVVAWGMCEAGWLLVEWLVHTLGYDGEVEVGEEEEGEEDL*
>EED85288
MDAETIQSLILKPPEWMSECHIPEEIPCPLTRSWAEGFEKAVKMQIMPRGLVLWSFNHKFHLGGCRVPVSAKFPLIAIHDDAEAEPMRCGTPGDRAATSPQILDSPPQSVARSTPSTSDEDEEDEEDEYDGDEDEDVPMDVDDVDTAEISSSATRFSTALSLLSRVAAAAAEASLPPESPSHINENSDSTAQAEPSIIQVAPPQQEPLSARPPLAPRSRNVPRPSSASFVASSASFGVYGAGYGSTITTRSAAKVKREALDVDMWKGDIQQRSAISAPAAAEKKRAQPSRAQRTVSVIFRHIQFHTHLHSTPQTAKPACRKSKSPPPQRKKQVRVRTSPVNPEGKSKAHYCKICGQCIYGVKEPLRHVDTVHLHPLAYRCLCEKSYSRVDALSRHMKTTCKDVKLPKGETLPYDFGRHPFDITRFEVLIQDHPVADLMPLDDVPQDLLDIGLPLDEPPALESFLSTSALESGRKRRRPAAPKAKPSASRPSLRDPGTSSPPPISSSVSSSSSRGSSPLTDPPTSCEGSHESEEEYESEEED*
>EED85289
MHSAVMPQQEHLQSRKMRSTFAHPLQVLPIYV*
>EED85290
MLVELKNGETFNGHLVNCDNFMNITLREVYQTNADGDRFWKLKECYIRGSTIKYLRVPDTLLDAVKEEQNKAREAGRSARGAHVSGAGNRGV
>EED85291
MIILDESDTPAKSQQRVEDRVQAPPNDDENIPPPAYSGPSSNTSALHIAAHANDSLPLLRAGYDHAAKEPTSRRFMKAFAAALLIYAFLALFARGIFMIARYEALWSKINHDEVGWPLEDDGKLLRCVRGREQWLWHGAGAPYSASFELPLSAEQVILTSRGRLSKGRVHIIQDPSWTKPNTAAVNISLLYPFEALWDRMSVCMLDLDGASVVGLYIDDNERKPKTPNRWPALFPDVFEFNVTIRLPISEDHTPLHIPSLVTNVPNFSQFVDDLAGNVTFGLLNLWGSNGPVAAQSVSAGEMSIMSRNGPIEGTFYASEFLSLVTSDSHIKADVHITAHNSNTALHMKTSNGVLDSSVSLASASPSAAGDSFIVSAQTSNAPLTLAFPQSPLDARLTLRARTSNAPAAASLHRAYEGSFKLRTTNGRMAVPFDQNAHDPTGSGRTRQLSLSQSERLVTGSVSWGEADTQTGSVEVESTNGPVTLNLV*
>EED85292
MASQAPIDGEKAPPAPVLVPYHGYQATYSVASPPPPVGRRLRRSARARFLHAFVFAGLALVLLHVVAKHARYLRNFVHDRLHGEPNSDMSTGSGDHRSCEAASWTFDPEGRVPVDQFLHVAEASFELPVSADLLFFKSKGAATFAHGVIEIDDSGTAESETGGQFLVGIRTSNSPTNIAVLDAPVDHTLHLKAHTSNSFVQAALHKTYEGAFRLTSSRFFRPTIHASDVQDPAEKGRRRVIETSRATGGYLEGAVHWRPTDRKTRYGSVDISTSNLGLDLSLPA*
>EED85293
MALLYAMG*
>EED85294
MASSSSLSTTHLPRLRDELAQWRAEQPTDSGFKSSLEDISNKLTNLNSLTVAPNKDIFVAFRTRPPVDEEANKKFALPQSAEESNSDTTNASNSGNATVQGSAFCYGITATSAEPGKMVAHVPGMKWSGPMLTHREFVSDLAFGPDVKNEEVYQRTVVANETDSGKTYTMEAIEHRVARDLFLVARAMGRRLLTTGQNSSVESSMDMSDDDVFEFSATFLELFGKHAVDLLEPADGLPTDAQGNDIRKDISVQENKVHGQACVVAQYRTDVLLNAGDVRPSLISTQFKSSDELEKLIVTALSHSYELAGRRTSATARNARSSRSHAVVTIRIKNQLLPYADEGELILVDRQRTAKMANEDGFVHIPWRMNKLTMLLKPIFDPESRRASRTLVIAHVSPHIQDATHSVNTLAYAAPFRTAPPRPRGPAPYDAADPRTWDTAHTRAWLTDEFTQRARTRAVASYKVRAKTAARRGQTLPPPGLGPAAKPAVDVARLCPEGMTATHFARMYTLEFVQRCLEAASDSPEATPDVLRNAAEDVMGTLTYLLLTAKTRTRNSIMKSRKKLALDSTYGKELKIPMHHALSVYSDAEIAEAAQSLGSSWDGTLQAATVEAEQQHVGVDKTQAEVIIQIMDRWKAGNADGERV*
>EED85295
MDAFVHPYAYTYPLPRARGRVHKPKARLHHSPLAGLLTRWNHHHADKQFAAECGTPSSSSSETPPKSVQTPGECAGSLVRKHAKMLASKLQHFRGLLRCAQMDLREARQVSALAEDLEQTLAMVRTISPFDTETDAPVPLHECLEEAVPWAVYTTDTRFASPPPPHYTQESDSEQELPSSETLSSTSSTSPTSSAVSSSSASSACSSPESQQQKNNVYTYPYAEPQSVPPTTSYTQARATHSPLVLAPSPPQSQARAQKPPHDRPTEVYWEDLLNPYIPPSPTPAHMRMEIPPLAPDEYFIEPEGSNLLACLRPSATLEPPATSSTRWVYYPDGKPGGSIQENNKTVRKHRASPRARGSAGANRRTRHKTRDANSSDSDAAYRGDTEEGREGQHGPVRGLSKDRCTSRAHNRSRQPRERAYSPYPSTSPRKTSR*
>EED85296
MSHRLLYRGALTLPDSHLLLDGVSFTAALSTGEDEIGKDSSRNLELDLLNHPLALALESMRGRPSLHFLGAIKLSEVWIDEKSTGVVSIMYFETLFCLDGSITPFGRSEYGFRISLSDNEPIQIVAARLLPGPPPAPAPPRPRPDDPTPRVPPSALASKRKRDISPAGQDKRSRITTDRGKEKATANDIDAEALRKARETMKRMPKPPTTSTAAPVAARANGKESKRGEFKVPKVPQWTESVLSPMDDGDMFGAGYAAGTQAQSPEEQEKTNKTASHSPFVVRPRMLMCPQVVKQATVRCLADHAINKQHPEFNDLYQTIYRGASFALRSQMRAGPVDLWSVYKFVDAHAKLRKAITINCDFLA*
>EED85297
MSLKLQTPSEFPAFPFKPYDIQLDLMRHLYASVEDRKITIVESPTGTGKTLSLLCSSLTWLKDEQERARKGKLSELGESHEGPDWVLAQTLDLCRTPGEGTQKGGRDAEACTCTGAKETGESTLRRIVRAAAYLMTTTASFFRKMMEQTPTLTMKRAIYPPQKVDRVVTEEAPRLRQGTKPSTPGSDAEEEPGCTKIYYASRTHTQLAQVLHELRKLKLMLNVTLASVPEEAAAVSGKRRLPEVENDDDDLGSSYASMMMSRSRTPEKGKKRCHYLPPPEDESKMLDLRDQILASPKDIEDLVATGQESETCPYFGSRRAIRQAQLVLLPYNLLLQKTAREALGIDLTDQVQTDKQHTQGPKSGNEKARGAGVEVMTPGELLHRLGRKTEGVNLLEVETYLRGSKIARKVSGYSVKTLERAAGHDPVKLAKVSRLSNTTPPLHLVESFITALTASNDDGRVTLSLVDGQVEIKYQHLNPSTHFRELIDIARSIVLAGGTMSPVSDVIHQLFMGLEPSRIRTFSCGHIIPTSNLQTLVLKKGPRGGDLLFKYEQRGNQAIIAELGQILLNFVNVVPGGMVVFLPSYSFLHTMMSAWEGSGLMEKLKSRKRVFSEPQDAGQIEAVLREYSEAIHRTGALLFAVVGAKLSEGLNFTDDLARAVLIIGLPFANLASPELRERMSYVNRLEQQLRAKGDAVTKGASATKDAATELYENMCMNAVNQSIGRAIRHRGDWASLILIDGRYASSRIRNKLPKWIGGNTTVTETFGQAMREMGKFFREKKVAAS*
>EED85298
MGKSDIQSWIASTSEIDDSENTNGESSQSQRGWRRENILSDWTRSLELARSQLLSSSTKVRTQFLREELLGLARQDELSLSQILDIYKLLTLTYSRYVDTPSREAVEEVGTELVRRDELRGRSDGDQDETPLGVTEQIIGWLSTEVSQIAHRASSHAAADVFILLSWACGLYVVCLKVNPEFPSSIAWNNLLSAVAVSVDMLLDRTARIKPSIQKGTLTRVRRALRSCPEHISTAIRTLLAKGKTSQQALHVVPLLGICVDVKLRLKNVKDETLKALDSSLKAAFHDFIEAVVTQDDLTSSVLPTMEKALLRSPEISLFVVTQFFDAYRYPVEGDIFRKVLTSALNSAKSANPLVRKNASLLFRAMISKTSSSDDLTFSVSETLSLPKSGKTTGPDHRMALYSMLGFVRPASSASTAIMQTSLPLLAKETHDGAVSVLTSALVPHLVFCLRENVVLPGDAVSVITKELTGAKPVIRRAFCSLLEVLHTEVALSLAKAVLPALEINLKTVAAAPLTSAAGPLEGYTAVASLMTAGSKPSFLLWDKVYQKLNGEDDEKWLLRAAQASLAFFQRELLRNEPAWFVCCARLWGPILTHSIGLSSAMIGMVFLHLVINSPLPQTRRETLKTLEESVAQLPEVASVAIVSALSAYVSKEKASSAKVQNGSSEENEPKVNREGRLSAFLLAAQAFSEECDSATRKTALVRCVVLAHHPALGVGSRQIWIEACQKGRVDPLNLVVERADELFKEIQGALDFRSKSYNANIADAGHRAVTTIVFVAPEVILPRIIEQLRADINPSEVNALTDLDLGIWATPEGQTFVDVLSSKKADEPVKKGKGYKDAQWEAEVRKSLASKRAASNSTLSKQDQGLVDAQLAKESQIRQRVVAMKARLERGLALVRSLVAAHVEQLRSYLSSIAVLLLNGAFGKAVALIGHAAFERYLDLAQVCSERLDTFRAWVGVATLRSLDVEGIPTDFCTEPLNSLVIRVLYRLRTLSEQVPLDAATFSYAYPLLSQVLLKGGIALDEEDDPLEQIALTVDIIKFHSGEFLDSMFPRARTMQDLLHVIRNQPKLAKNASSALVDIGQAMQANAAREEIDVLLHGTLQQEVYVRNSCLQALQPFDLTDLDWSPELWIVCHDDDEQNARLAHHLWEDNGLDVAENFMHDLPRYLEHEHGYVRSSTAAALADAVVNHWPQLASDVLDSLQAFYREKAKVIAPEFDEYGMVIAQSLDRSDPWPTRVVIGHTFELLASAFSARDVEPFFRFLIQDEALGDRSADVRKAMLSAGTAVIDLHGASHLPGLISMFEAQLESTGPATETADFIKEAVVILFGRVARHLDPSDRRVPQIVESMPSDVGLRMDLRVLSKAQGSLPSKISIL*
>EED85299
MTACELFAVFCEESELDSSLYWVDWIRQLVSLMDDTEVSVHTAALKSLDAFVKSVPKDELEPLVVPLRRTIEGTGAPGRPVPGFSLHKGVAPMVPIIISGLTTGSNEQREYAAYAISDLIERTEESAIKPFVVPFTGPLIRVATQATTYPPAVKTAILTALSTMLDRIPAFVKPFFPQLQRTFVKSASDPASLGVRNKAAQGLGMLMRSQPRVDPVITELITGAKSNEDPIAASLIYALAYVVHSAGSNVGEKAREACVSLITEAFREPHEENYCQAVAALFSALSSQAQLLKPVVE*
>EED85300
MQKLVSSAFKSSWRPKHTLSSYSRPVTNNFSSLIRQNSALAENDARSQDQHETESLCEHLPRLPATQKRVPRVPAKGKNRQEPPTTVVVHHLDPAWDERYLYEQLKQFGDINGLWIKRARTGESRGIALVNFTSREAVRHLIHSRPRRPVFVDGHLLKLDWSRSQRRGQQAQWLVVLNLPDGMRPKEVMEAFAPFHPIQLELPQAQASDLDLRPVNGNIFSAKAAYTPIGIPRGATRPQCDGCVQMHVCEGARPPLLTQTSIISGHARSKAWPW*
>EED85301
MYLRPVKHSYVSIVPFSDVLSQNTINTAKNSEKRTHHAAGLPAPEAMVVGKKKDDAHCQLGPVMTSHSGHSRVGTPPIHISVTGPINVFVFPSTNANMELQQQLALARCMVSVPDQSTPSVGPKSHKRPLSDPGPPLGNRWAYTQAATVTDTMPPSLTPPGQSQPVPTDTADPLSSDTSDQQPAWCQSEEHVLTHSSGQRLASRLTPHGYPMVAVMGAVWHRHAVHQQPPPHATNPVCQMNPARQTKPPVTSWYSV*
>EED85302
MATFTQEDINQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRHFIQCVLSYFVATNNTRLSDEAKTAFTVVLMRKDLGKTWADAYYEKSAGGVQVYSTWANFVAALEEVFPEHGMKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYNEV*
>EED85303
MSQFLINTRLGAQIDCTKVELAEYADVYNFCRSMIPIVDGGKQLSSLQVLSLSDKACGRLDRETLYLLCQLLFNASALRVLRLGKSQKLLTEEPLLGRVIEELRELMTLEFYEGGTKTIEVLGNLKSYPVHLVHRTNGRFPRDAYDYTPFAHSKVFARLESLNLDCFAYNRRTAIDPGQSTFNKSLKSLTLVASHAPLHPFVKAFPYLQDLTLEEVSCRHRCPSSQSPDRWETIPKLTVDVMSLRAWKIASQVYHLIVTRRPLVKYDGNPEADLFTPVLNAVEDMKPTKFTFSTTLDVRDQLWGRMATRMSSVMYLEVRVEDLLVEPSGSITDWMVRMLKISIQAWATLDDASADHEAFTPLRHMYVEFPTSLSRMVQNNYTLRYLILEVSEGASLTHGMSRIAFARKWQVIQDPWGYRAPGLEEKNTDEAALALEACGGSMANSLSY*
>EED85304
MILPLRFGLVQPNLDYLEDFVMDVSHPESPNYGKHWSAAKIAQTFRPAKESIETVHLWLVESGIDRASMRLSQGGGWLKANVTVEQAERLLQTEYHVYQSDEEGGGQHIACYEKYHLPEHVAKHVDIVTPTLHFDTKPKTSVPQEVGIDKRDRPVNARSASQPELGVSIPKTAATVETIYKGIEKCDEQIVPDCLRALYNFAYTPVATEKNSIAIIEYNSQAYFPNDLDLFFANFSPSQVGQRPVLDSIDGGYLQTKEQDESVNGESDLDLQCRLWDKNNPSPCMRLVTRTNVLASFNNLLDALDGSYCTFEGGDDPEYDATYPDPSGNGYEGPEDCGTVTPAYVMSTSYYFDEAQFTPAYEQRQCAEYAKLGLMGTTVLYVSGDNGVSGGNFQCLDSERQSSNNGTIFNPSFPSTCPYVTSVGATQINPGASVYEPESACEQVIYSGGGFSNVFAMPSYQKTAVEHYLSNYPPPYSSAIYNTSGTSRAYPDISANGANYVVAIDGNFTLTYGTSCSSPVLAAFFSAINDARLGVGKGPIGFINPTIYSPAFVEAFNDITNGSNPGCNTSGFSAEPGWDPVTGVGTPNFPKLLDLWLALP*
>EED85305
MSDAGYNIFGVVTGVIGIIGLALSGLYTLVNNQLPSTKIRLMDEKSEDTESLLSIRVQAEEYRLLSYCATTWLQQIKAIFKGLSHKISLLCEEITQVRAKIAERKRLLDEERLSSSETNIPVENSAPPDELPAGISDSEDATHPVSATITTTYWADELQFKSISESTLVQPTDVGLSPADFDDVEHNLSARPHVSGPAAATPPHTPWTKPSLSSELRLIMEQIERDLRAQGQHHVLLTSLIESEPDVHA*
>EED85306
MQSTQFLWDYQPSQDHCSFSTTEQIAASSPPQKGLPSTLEVVAHQELVSYYQRHPPAHPEDIFTILRIDIEPTQTAESLQSPVNEQPFELPEVQYIPIEIPDIELPPAPPAPTNAPVEVPMATFTQEDIDQRIAVALAAYQSTANRPLHLDIPAPEPFSGKAEDLRRFIQCVLSYFVATNNTRLSDEAKVAFTVALMRKDLGKTWADTYYEKSAGGVQKDRKTALSLGNYVTHFEQLASKAQLKDAEVNGTNRVKNDYHTLHANFVKGLLKELYFALATRVTRDRPNTMKAWYDEVRNTNTAKQGALIITDTMDYGEPMDINAAAVASTFASTSGGRKWELGAVLNEANRKLHRDRNLCFYCHIKGHSAKDCHKKAAARQGGGRPNQGGSGKDDFHARIKALSADEKRELYKELMMEDF*
>EED85307
MSSTLPFLDQFNTPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHHPEATNATNRAALEAHLSARHEYDEAVKAADEAINHHKRLLRQQDDRVLTELIQLDNLKVAHRFQPLLLRSIRAQHNKFIPRAIPNAYLPLPAPLPMSAFRRPPILSPFLQATPWSTTILADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCTGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEVKERKERERQTKAVPIPPPHSANPEPPASPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWLRQKHLEWKNLGRNAHNEWFDEEEDDGVDWELYGNGEQ*
>EED85308
MHRKCIPQYAWRRYDYELGSSNLGVLAEADKVVMDDSFLAGKHIKSACTKSVHDLMAGQACFVEHFLPERLPAVDIETVLGVLKALPDRRRFKIVYRQLILRVLVGRSEIPNQAFLIAFMPECISSPLYEIAVGVKVRMFRNILGRIPSGQCIDTSNADNNQRNAERYGYTVLNTHYRKSSGTSSGPDPKPVERKRD*
>EED85309
MPPPGSKGKGRAGGRQGNKDGVVGTLVVGTTQTSPADVPSTTAAAEPASMAGTKRRRANSEEAEGDDLPKLEATQEKRNLLRSYDGASESLASFLRGPPLEPEEGEEPRKPPANRRRIDSAEDMSTPTASLDGEAHKAKVPRLGDSDDRTPSVSSGSMASTAPAVRAETSTSPAQAASSAQEPFGYMTSDAPSEPRAPMYSGSNPFATGPSSIIAPPGMLRGFPMMGSSSWTPPPSASLGSQGVRSAGVGPSMFSHTSFASGSMFPPAPSFMGMPMPMGMGMSSFGLPLGQMMPRMAPAQPVHKHAYITLGAGMRQKEVDMYTAEHPLEGISPVTGSREDGIVPYHFPSSAHPVGSSILLLAGFGFISRMHGLSIDNLVEVEMVMADGRIVVVNQDDDPDLWWAVRGAGPAFGIATRYKVRAFPVPVVFAGNLVYRFHRATAPSLIKHFRDCVKNAPRELYANVLLTAGPEDKDSLVVIQMCYVGPKEKGIEYLNAISSWDGERCLLNEVNEKSYLNQQDSVAQILRGKGMFLMSLEGSAWLFELSGGAIGDFEDTCLPKEQRDATWTVAALHQWEMGIDDPRCITSAEDVRFCLPSSSWIDGVIGTVALGGPYPSFLARHEPPPRTMACFGKNWSRLAELKRRYDPQCLFKNNFWPVDAKGEPIELLSNEPPSPQ*
>EED85310
MNGSVSSRFDPAYFLTPDPPSFPAASTANIMQGNMCSWNGTCGHNISILPPGPGLIMQHLREHHSEILPLATGDRRDCRWCMADGSLCGKQIISRDFGKHIATVHLKSSAKICARCSRIFSRGDSLRRHLKKCGGKHT*
>EED85311
MDHIVNSLGTLLHLPLHSIELIPGDGSELLVQHSQNNDKLTPGLHSPFLLVEGNLGVPQKVLYKAYIAAAGLFTQLRRHRRRSHDNRLTVQEVDELRLVSVVLLLANPAHQSALSIRKQLVQENLIDAEHELRTTEALLTLRDGSKQSILWHHRRWLLRRLFLTVMERFPPLADEDSLLYYQIPADALRSELKIASRASETYHRNYFAWLHRFKVLEALSSLARSSPSRDSYRRLLAEEYAAIQQWIERHVSDYTAMQYMRSLYTVALEVFPLNVSSDETTTRYQSRLADHPSLLEHAQSLVELYPNHESLWLYLRAGIPATPPRDYATALARKLLDQHAEDGAESEGVRAHAHRYLAWLERQRYREPHCAEPVTWHKALAFSQASNLYCTYAGA*
>EED85312
MSSTFARFLLGFPLLPDSPSSHKERPQSWRWFSFGLRSRVAKQVRVTVLGILRDLVKQPDISSSASAILESCADACRMNNLSISALLQEPSVEGHTPLYWAIIKRAPGSPVEPEDPSSPDLLESFLSIATPFTETTISDIRLACLNNADHALYQRLRRSPAFSPLSGAEEVLLGGLRPVDEINVLNVEEDDCAFVVDFRIPLFQKRLRVSKQLNLEFIAKDRLWSLKFLIAAAENSNIRPIAETGTWLVTLALLEHSAPTAVDSNLIIKDATIPAGPSPSSPSVLSRQSKGKPTITLRMKTGTTHLAPDHRDNVISASFKDSLMAESLQYNASPYVAADGSLTARLEARLGRPDGECIIC*
>EED85313
MIIFDKAASPGSLRRRAPGSPRTLTLEELPPAYESLDNTLSSFADSEPISPNRASTWGQPSTSRRPSLALGLSRLQKVLPNIPSPTSPSTPSSTPVVRSQSVPDVPSQLVPDTQQPHPPVAGPSRVSIPEPNVVNGTVKGSPTPDLYSPLVDGAARQSIADSMLHPDTTSKKFSSTIPSPRPRPTINLRRCRSSVTPTEPPKPQTVAQVNALVLELLRDLVKQSEADSYTTSLVSGCSEACRSYGIPFSVLLQEPSLEGHSPIYWAIIRRDSHATPSSADVVTSLFSPISSLSDATISEIRLACLHNSDQLLYQQLRRWSAFNPVSGMEEMLMGGSVPHDEIVVENAPDDERAFMVRFRVPMFQKRLRISKHIALEFIARGALPRRFSTRRLWSVRLLIASAENATLRPKAKLGTCVVTLSLLEHSPPTLLDSRFVIQEVHRSASRTSSRDAAGPSRAKKGQNAPPNSVRLKTGSTKLTPENKKNFLSASFKDRVIAENLQNHTYRDILEYSESSFVDADEYDYFDFGHFYLFSDLCVIQMLTLTINLEGNKSMEIDTMWVPHEDNEQIAALVPQMTYGTPWRATYRK*
>EED85314
MSPVRAESRQLLESPRRQIRNVCKTPYRVLDAPELADDFYLNLVDWASTNVLGVGLGSCVYLWTAHTAQVSKLCDLSDSNDTISSVSWVQKGTTLAVGTLSGRLRIYDANTLQLQRTYQQAHTQRIGALSWNAHILSSGSRDRMIHHRDVREAGTKPFKRVQGHRQEVCGLRWSGDGGAQAATLASGGNDNKVCIWDLRGSKRPQPSAAARATTATASATASSSGEDGGEVPLWKFHEHTAAVKALAWDPHVAGVLASGGGTADKHIRFWNTFNGNMLNELDTGSQVCNLAWSLTSHELVSTHGFSSTTAQHQICIWKYPSLDMVASLTGHTYRVLYLAMSPDGETIVTGAGDETLRFWNAFPKRENHEAKRESRLDYGRLIR*
>EED85315
MDLWAPAAWPTDGLGLGLEPTDQYIDDIMLTDHQLMPPLFFHAALGALPSIDRPSFPTSDHNLPLPIHPIMTSVTQVRTCHWQGFCDHTISALSPGHVMQHLQQYNLNVEANPPPVGIITLCCWVMQDGTLCGRQVTNRNLGKHVAAVHLKSTARKCDCCGHIVSRSDTLWHHMKKCHGSSN*
>EED85316
MGGITYSMTGVHGIPKDASLLWCQIIHEPVAEQYRILPGTSTQSPNTSISPSTLFDIFDGIEPRGMPSTTDTGPKCPYVSLHLRVWHDVTLPMDLIRATRSYHFWSPPRGDLRQEFAAFGFPKTSPVLTRSQVREAASRSAAENLDSSSRTHLTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDISTPDLVERSSSSPEPEDPIPSTSNLVLPTPSSFRAHAQPPIASSSRLSVIPSSDLAPPPPLAPSNAASNSNPAPPAPTNPSTTTASSSTPAPTNTTNMSQNANTPLMPLRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIEFFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQNRLSTIEQSCAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQTIASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLWKRREVFDSVEILQRKKPTTTAIPKSAEASGSGTSKGVAAPSSTSTSTAPPPMIPAAAPAPTTSTPFRKRS*
>EED85317
MAAERYTGRHAHYDGVRASTSAGMILPPSNAHKGVEGGNQGAFRTSTYNQRQFHAVGSDMWWVKANSPTVRFRLQADGLNMKMKNKSRKLRRFCPLGTMPTVQQQAVATLHSLRITNLSDPHKDNASRRTWVIRANPGQLGPAQLARRSTAWLCGVYGQRGTEYARGACSAPKARPGMDGMLAQRTGPRTITALRKNFADIKPTEDACGEARARDGAQVGCFGTSPLVLDSVPGAGRPDKGGGGVSGRAEGQADKSSKSSKIQDDDGK*
>EED85318
MSAYGAIQRTASLEPLASTVWHLKDKGHGEHDNVTIHHLTLSRARSLSGLVEYLHAVFADELARGLTYPQEILPGETYTQETFEAYYFAADVLVAVQGESENTLSFEHPEGQAVSYGIDAARNGRRWEACIAGCYYVKPNYPGRSSHICNAGFLVPVSQRAKGVGAALARSFLHYAPRLGYEASVFNLVFVNNTASVRLWESLNFTKAGLIPRAGRLKKADGSPDEEYVDAWVFYRRFDQPS*
>EED85319
MDAPAVQNVAQINDNGDAGHIDVLAEGLQLTPTPSPEPRTPRPRPRFLERSYGPSPGALPPDAVFMLKTPRLPPDVQPESASACRPAAAERHAAAHRAATPSPIARPPVFFPPAAAPLGGQSRPQVAVAGPSRPLTDRPPVFTLPSKPDAPALAPPRTQGLVAAPADAAEAAANAPAPVSQPSRSHRRNSDPAAEEVLEHGVTTMGEHAQRDLGYFQRSRALCEQAGDQLYTILDLRKQVFQEKALRIRMLTYILRWQKIESLWSEEALGEHVEDILAEDGNASAALQGEIEAIQEAIAKADGEGAEGAKNASRLRSERARDGQHTPVLDQRILQQIADAVRDPVGLLGNATQKRRRSEEDGEDDEPQGSKRARSSAPRAGPSQFDVAAPPNLSKGKGKARMMSPLQEEPEEANVEEEQECATVIAMLGILLSDSEEEEGEEDGEDDDDDNVPALVAPVQDAPPPRQPSPPIDRPVRPVPRRSPSIGPARQPQSQPAYRQSTRLRARTNGRA*
>EED85320
MQNVAQTANNGAAAHININAPAVEGSQLTPAPSPALRRNTPSLRPRYLERSYGPSPSAIPPHAVQMLKTPRLPPGVQAESASTFRPAAAERDGAAHRAATPSPTPIARPPVFFPLPAAPLRGQSRPQAAVAGPSNSLADRRPVFTLPTKPDVAAVGPPRAQGLAAAPNSNPAGAAGGAANALAPFPQPSQRDSDPATEDVLMQAVTAMGKQAERAFGYHQRSQALCEQANHQLYAVVDFRKQIFQEKAARMRMLAHALRWQGWSAEALDGHLGEMLAEDGGPAAALQGEIEAIRTVMAEVDAESMSAAGKTARALRAEKRQNAPAVDPRIRREIADVVKSPSGWQRNASSRKRRWSGEASEEGDEPKGSKRARSSPPRAEASPSDAAAPQNLSKGKGKAREVSPVREEPEEAMVVEEQECATVPGMAGSLLSGSEAGEGPRGEEQAERERAEEHAEEERGEAEHAEEEHPEEDDQDDGSLPDLVSPSQTRWYRDDDDDDDDDDEEEELVDSDDLPDLVTPERLFAYRQQEDEEKHREEDDTLPALAGPAQDALPQRSSPPVERPMSPVPRRSPSVEPAQEAEATPARRKSTRRKRKGGR*
>EED85321
MFSRAFQLCTLSMLLISLVCASPAPAPMPEPIDANMANVIAMNHNAGCTAPQGCLAAQPNGAEDVAAILAKSAALPSAVSSSGRVLLAISLVSGAVTATTLL*
>EED85322
MADIPFTPLYIDGRHRPANPPATFDVRNAYSGDVVTRAASASAQDCNDAVEAAVRAFPAWERSSYAERRDYFLKASELLKAEKYRKKFKEAFQQETCGVDYLVDLNLHITDSMLRWTASLVTELKGETFPSVIPGGQVVIHRRAQGVILGIAPWNSPLILTLRAMAIPMICGNTVVLKSSEVSPRTQYVIAELFHEAGLPAGVLNFVHASKDDVAARTFQLIAHPAVKKINFTGSDRVGRILAQEAAKYLKPCVFELGGKSPAVVLEDADIERAARAITSSTLVHSGQICMSTERVIVQRKVAPQLREALVKRFSQYRSGGPGEDLSAQFAEGSAENIVSMLQEAKDNGATFLVGDGTRAGANVHPHLVSGVKPGMRLWECESFGPKVDTVDEAVELANATNYSLAGAVWTKDVNSAMDVSMRIRAGCVNVNGPTFHVEDAREHGGLRLSGTPFSRWWGADTGGWVRDNQLYT*
>EED85323
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDKAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPCSIRARHNKFIPSAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRMGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSTNPEPPASPVAGPSCPHPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEDWMNVGRNARKEWFDEEEDDGVDWELYGDGEHLHNGVCAHFVPGIVPLRFFLH*
>EED85324
MNNPRAYPQEALATEYVRQHTSIPVPRVLDVVPFWCDEVYILMTKLPGEQLGLRAGDIKDLSPRRLQILEDALRGWFEQLRALEPPDPDAVCGFGGSGIKCYRISHDNYVGPFASQNDFHQLLLGACAATYGPMTAASHSKTHRIWFTHGDITPHNILLDEDMKPVGLVDWECAGWMPEYWDYTYALYIRYPRYMAWCDLFTRIFPQYGVELEAEYKLWEIANPW*
>EED85325
MGDFAKQASNDASTASRQPSDSQYDSPDATCSCNEASAKLQHVTTWRGHPNSCYAIWRKESTGSLPTFPAVSSHDEGMSDSSDTEDSTYQASPSGSSNYTATVDVQPPCGCALLQGIRDQLLLERYPTTGGEYLEAIFTHREVFFAFPEGHQMCAIGFTDLAKNLETRNARADRDGDGEAAAAFRHEAWVIASNRGRW*
>EED85326
MAGQFTTPGKPFLRETRAMTEEPGPFLRSGSRLLLFDSDYMPRAATTGHMNNNEKESAQGRRWTQTDQDETDAEIRRRVMKELCHSWMDRLQLISVVTTFFAATEAQLLGFIAPDDHDEGPLVQQAANAILAGALIIHLCAVRYRLREAKREELKVELGVSPLDGSRSSDPPVWSANPHLEQVGPFRRGTPPTHLLEHCHGLCMWLAAIGFALALTGVLCYSWARLPRSASIFASVCMAVCWVLGIGSVLVVKL*
>EED85327
MRSQALISEVVLNDNSIVAALFTRGRDEGFHWMLALPGAGEEPGADLKLHVNNPRGRWQFQAGDHNLPQAHVSGLEDFPAELRMRLGSRGMATPAQIRSIVEGIAMDVVPEVDRRREEKFTCRVWFREAIRRLVENNIIHCLDVDALEAELISLSSPSAEGVLAGTGKYTIHIAASCF*
>EED85328
MHSFAHRFLTASHSYLKVTRPPKGFMQRLDYSAAAQTELKMFAAESVSLRKGGVSLAEKQTSVMRFSSIRCEPEAIVATETPAGQGFWQPKVEKALAIKSMPVNKNQGAKETDMRLHAVYNDRDGWRFEALEHGLLFVVHSRKDRIFTRAEVRALLQNIPMATPVFELSSVQKFTCRVWFRQALRVLIEKHMVYCDHIDLLETEFLTLATATVQNVLDKVPTRLYHVSKNTSWFNKYFPPLSI*
>EED85329
MAEEMIDSHSIVAAMFTRYDGTFHWSLALPGAGAGGQALKIHAIDPGQFWRFDVSDQDLIHSARFHILRYKTFLMGLRPRLGSRRTPTRTFDPAEVRDLLQEIPMATPVFELSSVQRFTCRVWFRQAIRVLIEKSMVYCPRIKDLEDELLGLATANAQNIFSGTVPCSYRISKTCSWANKRFPPLTI*
>EED85330
MTMGMESCAAKTVIAGVGGFGIGAFFSLMSSSFAYEDPLLRGHPSGELSRTQKASEVFKEMGRGMWRSGKGFGKVGALFAGIECVIESYRAKNDMVNPVAAGFVAGGVLARNAGPKAVLGGGVAFAAFSAAIDL
>EED85331
MPQSKQQQKNGLDIRLAESVVFLRAGDATGRQRTMQADAPPGMLRGLLTLTLAKPTRISSIEIELVGKTSTAWPEGVGARRIEVAEEHEIYSQSYVLFRAGSESPYRNNRRTLSVGPGLSLDHDEDERSEESSEDHHVQERHGSIHSPIRTERRGRDPGPPPAVFNRGSRRHQSVDQSTFQRDYVLHRDNGRVQPLSLNNAVPHSPSYSEDAAPQYSVTPSTLSPTASVSHRMHTVEESPIQESATDINEFGRSSAPDTERSSLTSVHHVRPMLHMHRSSSSSLRLDSPQPYSARQSFDEDRPPEFIAGSSSSPLPGRSSSRLATLRQREPSRSSEQREVDDGRGRKHKRFSLANVSNALLDVVMDRMRSRSRSAAVDRKPGDDTPPRGRPRERMDAAEEEEEEGNRRERSTLGRVSELVGLDLDDDKEYGDGWKEFRKVIWKLKAYAHRPGAFTTKLSASQEITVVACPSEDDIEETDSIIVERQWDTQMQYLITVSGRSFPIGGIMPISITFMPWTKMKVYRVSVLLEERVDYWTDFKRIARTDPITRLPLLALRHTQKDGPPILPYNPDEMQAFMRSPFAETLPPGEDVGEYASSLMGPGPWRIRKDIQLPDLCTQLHFTNRNKRSNIFVTHTLKIVFRVERGDDQAVDPHTGKRKLFDIVVQTPIHILSVCSFAFSLRHRTC*
>EED85332
MSDHLTRRLLRTQRSWLSITQVCTYWRSLITNNPAFWTNLKLGAFFNKQLAAAFLRRAENRLIDLRYRGSGNPPNRDPLLIASFIDSHTSRIKGLSIVQLDHEETEVMVRRLCTPALRLQTLEISAVRRRTMLYPIFTGILPALQDFRMTGLCTALPQSSSMRVLILESSHMMRSFGWILECLHEMPFLEVLHVNQLTQYPYTLPQDPTHILPVQLARLKSFAWTSWIPKDLTAVLEYIVFPPTANVKLHFCYLSIPLTIALHDKSVSLQTIASRVPAAILIVSKDPKYATAILQSADHQLELQWSWREGQPGAASARPFERITFNALLFLSLRQLSVHSLRRELKETDWANILESLYSLETLDVGANVMTVRHLGAALSPSDHAVTSSVYLCAQLKHLKIVHLQRGERCLHELLSSLMRRSRVGLKLRSLALALPKDGELVSHPQSILRAIADEVKVQYHTLHPQRYSWQPTS*
>EED85333
MVDNLDDIGDVLQAAIKYDVLLAANIMRKCAMEYKNDNPVKVYSIACSNHIEEMAREAAQAALQIPFDRLLITDHVKGLTAGEHSRLVRFHLSSGEVPSNFIFCSSSHSTTTSLDTPLVMDDTPTAHDDFPTDRVSMSVHLSLFKTVPTDVVLLSSDHVKFSAHRAVLMISSSVLEQLLTSTIPSGCSCSVDAEADRHLVHLPESSSTIELLLRLCYAGRSRDAIAIEDVPEAMLFAAVAQQYKINIDPLLPTILALVDTDPIRSYFLAQLHKMDIYAIQAARTIVCSRLGPLGSWDQWKGVQEMENVPALAHVHILLYRVRVYRRCTKTTTTTDHQVIANVIQCTPCVKKLDTTNPCWWRRERWESALKEYMSKRKPAILSTKLFDPVAVYGNAVEQLVSNNELCSSCREPKALARLMEVAKILTEAAEKRFLDSEVPVCEEVLVLASELAWV*
>EED85334
MTPTETNVVDYFLERVSSSDSLRIPSVGLSGLHPCSWFRQTVAQPKPDSKSETWWEDSLTEEEILELCNTAPELPILGNASSRSLRPAPIYVISPRVLVKLGSYYTGEYESRAMEVVRSQTSIPVPRPLRFIQREGGCYLVMEYIKGRSLDWCWDGLSLWRKFVIAWTLRGYIRQLRRVRTAQIEHQIPGPLTGDLSEPLKCIGPAMGAEYHCGPFSSAAALFAWLNGRLRVTQYIKGTEPLVLVHGDLTPRNVMLDDDGKIWLIDWGCSGVYPPWFEAAAMLYTRPQPSWWTWVR*
>EED85335
MHSPIHLARIISWFGPIWLTCMVEQRWLIAWEDDNATCEFEVAPFTLDDEDADELLSVMVRDETGVRDEVNIDEVAGDNEGAEDTFVVDDNELDERLDDGDDDTIELVMWVDGDS*
>EED85336
MYKYKSRVSTIDRATSLEVSLIGWVNTVAHVQIKKATAAKQGSGMKGVSDDTVVEEEAEAVVTDELGARELVSLAEDEDEKELEMVDVDSTRFDVGLSTLEGTGSAEVSLAMGDERLPDIDVRLLTSPRSDVQ*
>EED85337
MTIAAARRSLFQLITEALCSGLPEDTGGDEKSGLPVGDALPCSGSIHSLLKAYTRPNSEPKLSEVLRVSLCGSFHSCEQGYRTIPFAKQVPTLKLERLSSSRSENKENALCEHMCTSVAKIIRILNCRPHAHFGLILQSRGDMTL*
>EED85338
MQDQSVSGAPSAQPLFFASTPSAAGTPARQRVFTSTLHARLTTYAFHSTPTSSSTLKNRRGDIHSSLSITPVAASRRARRAQGLQDGDLDSDGTHLSMPASSAPALSAPTVPSDEPDEIRAIWGTTVNINETMQTFRSFLLDFKVKYRVAYDRDRGVRTRVLATPEEGEARLYVSYLRRMRQTGETNLNLDMANLSAYPPSRKLHSQLTKYPQEVIPAMDQVLKDLMLEVADQDQQAGMAGMQGQQGEEEIADIMSKVYKIRPFGMPAINMRDLNPTDTDKLVCIKGLVIRATPVIPDMKVAFFRCLKCNHTVQVEIDRGKIDEPALCPREVCASVGTMSLVHNRCEFADRQVIRLQETPDAVPDGQTPHTVSLSVYDELVDVSKPGDRLVVTGIFRSVPVRVNPRQRTIKSLFKTFLDVVHVRLGSGDRLGLDRSTRTTGGDRVPGVGGVGGGADDEDEDNADDREQGMSRREEMEMKLRELSQQPNIYDLLARSLAPSIWAMDDVKKGILLQLFGGTNKSIARGGGAGGPRYRGDINVLLVGDPGVSKSQILQYVHKIAPRGVYTSGKGSSAVGLTAYVTRDPDSKQLVLESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQQTVSIAKAGIITTLNARTSILAAANPIGSKYNRNDTITKNIDLPPTLISRFDLLYLVLDEVDEALDRRLAQHLVGLYLEDAPETGGQDILPLDQLSAYITYARSRMNPVITEEASDELVRCYVILRKAGDDPRSNEKRITATTRQLESMIRLSEAHARMRFSPFVELEDVKEAYRLMREAINTSARDPTTGEIDMGLLDTGVGRQQRKLRGDMRKAVLVMLDGSAGGTRGVRWADALQQLESQSSVRISSAEFQQVIRELEQEGLVKVVGERERRMIRRVEGA*
>EED85339
MPKAKPFIVTAKHEPTGLLERIVIHNTHKFDDVGKPRQIVCPTIKPLIRRPFNPERAEKAKHDIEELALRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPFYEYEPPKPLPDIHFQCTKILLHTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED85340
MEMPAPGPLPTLPSSHRCRWVCKGPRPRLQTKRPLPPPSGPASEISTTRWQHK*
>EED85341
MVKVSSSILRYMREGMPLRSPYPERSAGPLNSAENSAASFASSTWAAAASSRFPKRALKAAVKAASFLKGVVTPCTPICTDASWAKYGVAQARASPLSRLSATCTLSSMVVNDVLINHGGGEEASGSPCRGRLWGKTLPASGGTEDAAGAEAAVVAFRWSNFERSECSSGLSAESAVKVPKEVRQRGYALALAQGLEGDLLALDLDRRRQFEGGGSWGRQGKSAARQIGMQGCFADRADVALIGSPCSVGSPLGLIGVFGSGTVAARNGR*
>EED85342
MVRVSVLNDCLNNIVNAERRGKRQVLVRPSSKVIVKFLSVMQRHGYIGEFEIVDDHRSGKIVIQLNGRLNKTGVISPRYNVQVPQIEAWVNLLLPARGFGFIILTTSSGILDHEEARRKNIGGKLLGYVY*
>EED85343
MSQSCSSLSEQNDPVTVPLSCVLFASVRIWSFGGNALGSGFARSLSSRCSSTRDENEDIEEGIVPVSNVSEDRNEVLLASTTRGLLRAWFVTTLRWRLCSDVEVRLDGRAFPICAFFTSVSDVMFFSEENSALGNAVMAVDSNSNDESSVRLLKDDGRLPATTTISGLLQDAGVDIETYLRRDSATAVTLHSAPRAELHVLHPTAGRGGVCSGIDVVLRDAELLVCRKPRSRARAGALDGRRARGERANVQCDDVPEQIEQQDDQDRNENAVALAPLATANAVRAAQLRALLNVQRWPFAQLRLLDWHELRLAVVAHRAHVEEELGEAVAEAGAGHCGGLEVPRRVLGGHGIGPGGLIAGGGGGCLWREARGLDRHIVRNVDSKSLLPWLGEKCVITREASYHLSLYLFLYGEGMQKFEDDEYDEEVSFQEQQRTSYSRCSGSLRILILTETTRIIHPLHIASTARNMSACARICLVCFSVGQQLQSANNAWDLLSERRNQRGPRDQARSPLWIAAAAYINASVKRLIIRASVVERRNMSSRQPQTLFQQAQVRTQVMPSDGILHLVAAYDGEFSEVGFTVSAYANCDITWIEDPPKALYVKEVALFRSIRSGIRLMFLEIEGTFNAKTAGGNHHYPTFMDNPQYHLRIHDPTPNGTNANVKASTSLVVQGPRDVPMNASLVLGHNEVAASSGPYSYGYAHCYRELTAGDYTLVVSAFEPRHLGEFQLHVESPLRFELTPIPQEGAGMFSKVVRDAWSESTAGGGPNFKRYATNPAYEFQVESATQVKFRLQLVQTAPPAAVNVSIFLKTAIGLMLVTTSGPYSDSVAGVVTPQVALQPGTYLAIPSTYHPDVQRRYEETKLMALTARIIDQQQHAASRPHDAGGRRASKWALSSLTRAPSELLLVLHKQPSAGKGGEDADTGAAQCYVYKAMLGIMFDHAEEAAGAYPCDELRCWWQQSSSSRPLYGSQTASPMPLCFCARCRITRGAGRPAGEHGNGGAGNGGAVRATGPGWASVRSRAEAAMGGCPGNSLNIATHFGIVALTALERLAQDCARIDLTAYLELLWMVVLGACPADLVQELLLILRERLAGEGGKDAARRDMYKAALRTAFDCAMEAADACSCRGGKPGRIAQSVVEDAEFRAKSECDEGMCQSARALAV*
>EED85344
MSSNPTAYTEAWLRGPADHPFYTRTYLPPDSSAPRAAVLFIHGFAEHVGRHEHAHRVWAQRGLAVVTFDQRGFGRTALSKHEGWRGETYGKTSHREQIEDIEWFVRYVGKRWEGTPVFLAGQSMASGGALALSFPTQARAPPDPSTTARLAGVLACSPLLRQTAPVPRLMRRVGGAAANVLPWMAFPAVVPVEDLSHDSAMNEATDHDPLIRKQGTLRGLADMFNRGEDVVERGYRRWPRELPVLVIHGTADKVTSPQASQEFVEKLDASDKKLSLIEGGFHELTHEPDGVKERFWDECVKWILAHANAGGAPVSRL*
>EED85345
MYSLVFGTYILVHCLLAPFVLASMYDQGFDGQWGAGAGDAPAPPEAPTEPQPQVNQPQTQLPSAEAQCIHALLEAVVAIGAGQQAFMDNQTRYGLALEVLTRHLDNTTLGSLQSNSQPRSRGVKTRDPRMFNGRSTEVVSFLCEICAYIDLQQDPNLVKSSLTAIENLKQTGAAADYANKFQEHLVHLDLSMFTQITYFDRGLKPSLKLVLVNTPRPATLDGWIATIVEADNRLHEYEREQKSLTKAAGKQPAPRNDRHASPVVTTQMTMQMVAPAAASSHSNVVPMEIDAMCRGPITAEEKERRHKNGLCFYCGQGKHLARDCPNMSKQAKKKVKASPAQGKA*
>EED85346
MTRPSSCSIQCLSRTYTAVSITLEKRGAKLPPSFKGPSNLDIAIVSQWPIIVFWPDLNRRSNSLGRVKTDDNINRAMKGPAAQGAPRTFDPLDMIRTNVHALWRATVPLNSGTWRFLVTHATFVRVQFNVVHPRQ*
>EED85347
MATGAVTPVLIVGAGPSGLILALTLLMSGINVRIIDKDPEFHVGQRGVGIQPRTLELYNLLGILQDVRATGLDMMPIRLYKFGGGADPLKTFYVSPPVDATAAVPFPNPMLLGQNRAEAILRSHLEKYGCYVELGAELRTLVQNLDYVTAHVVRKHGDEETTETIACRWMVGADGSHSAVRKQLHLAFSGEQRSEQSAVGEIEVQGLDVDGDVATYRDSRSLCIRGSRETHGREGVLGWRPAGGQGMNSSMQDGVNLGWKLALVEQGLAKPQILVTYDEERMPVLREMLKLTTDLLNKRTATRADGRGGELGLTNDDILKQLTINYRWSSIVLDERTPLVTPAVYDPYGLLAGAVVRAGDRAPDASGMRDVRTNAKTSVFKIISVSYHTVLLFSHDQAQIKAISAVLRKFPADAIRSVVIYAKDGPITPSASEDDADLILVDDEGHAYSGYQVCDARVTTIIVVRPDGVVGAIVLGVQGLETYLRLVFSAAAT*
>EED85348
MSFRIDAFARRSELALQALPWHFLWCSMACKFGLLIGISSFILDSAALAYKTLEAWNLLGVLPDILSKSMGLLPMRFYKLPGGVEPAKTIDLITPEDPTPDTPYIHAQLLGQAKTEQILREHLAKYGCHVERGTELLSLEQNGSHVVAHLVKRSGDEEANESVVSRWLVGTDGARGTVRKQLGLTFLGRVFVAGDAAHVHSPVGGQGMNSSVQDSLNLGWKLALVERGLAPESLLDTYTEERLPVIAHMLKQTTNLFKTTRNAMANGEDASKAWARPRDLKMLGVNYRWSSIVLDERHPREASEKDMQLLDAYGADTSGGLHAGDRAPDAPGLAVVEEGNVSTETTSLFRIFDSAHHTVLIFSSDGSQIAAVLKATKKLPPRLIRTVVIYPRDSSPSLAVSGADVVCVDRDGYGHSGYGASPDGTTVSIVRPDGIVGALVSGAAGLEAYFRNVFLG*
>EED85349
MSVTQPLSVLVVGAGPSGLVSALSLVQHGIQVRVVDRDQQFHMGQRGAGIQPRTLEAYNLLGVLPDIVSRGIDLRPMRFYKLPGGVEPSKTFDMMPIEEPTPSTPYMNTWMLGQAKAEQVLREHLATYGCHVERGTELSSFEQNTEHVVAHLVKRDGDEEIAETVVCRWLVGTDGARGIVRKHLNLTFLGEALSGKGVFGEIVVKNLTRDYWHCWGDFTSKIVILRPTEDDEIYTFILSGNVDLEEAYENYDTLVQIMKEVSNRQDLIFGEIKWKSNFSPNVRMVNKFSEGRVFVAGDAAHVHSPSGAQGMNSSVQDALNLGWKLALVERGFAPQSLLNTYTEERLPVIAHMLKQTTNLFKTTRDPAAHGGDASQAWTRPRDMKMLGVNYRWSSIVLNERHPQEVTEKDKHLLDAYGADASGGLHAGDRAPDAPGLALVEEGNVGSETTSLFRIFSSTRHTVLIFSSDGDEIAAVLKSVQRLSSRLVRAVIVVPRGLAGLPIVSSADGVYVDRDGHGYAGYGAGHEGITVAVVRPDGVVGALVSGATGLEAYFRSVLSGSNA*
>EED85350
MSATQPLPVLIAGAGPSGLVLALSLVQHGIQVRIIDRDQQFHIGQRGAGIQPRTLEAYNLLGVLPDIVSRGGDLRPLRFYKLPGGVEPSKTFDMMPIEEPTPSTPYMNTWMLGQAKAEQVLREHLATYGCHVERGTELSSFEQDTEHVVAHLIKRDGDEEAAETVVCRWLVGTDGAKGIVRKHLGLTFLGEAIAGKIVFGEIVVKDLTRDYWHCWGDFGSQMVILRPTQDDEIFNFTVSGDLDLDKIYEDYDTLVQTMKEISDRQDLVFGEIRFKSNYSPNVRMVNKFSEGRVFVAGGCCEFPVHEKHYHELIRIDGQLNLGWKLALVELGFASQSLLSTYTEERLPVISHMLKETTTLLHATRSAKVDGDVSQGWTRSHDLKMLGVNYRWSSIVLDERHLQQTSGKEKPLIDAYGTNTSDGLHAGDRAPDAPGLAVVETGNVGSETTTLFRIFSSTRHTVLIFSSDSDQIAAVLGLAKKLPPRFVRTVVILPQDSAPLSAASGADIVCIDQDGHGYTGYSAGTERAIVAVVRPDGVVGALAGGATGLEAYFRNVFLHVKA*
>EED85351
MADIRFTFSEAASLLEKLSNSCREWSLTDLKSIEDELLHTLSDVRMSLNAQHPVNRLPVEILGEIFHQVPPLLNPDLDDPSLEEFLVWDSLFDFKDTDALLPLTHVCRRWRDVALDTPTLWTTIYSSSHPDAISEYRIRSQSAPLRVLNVEDEDLDDEDEDVDDEDEDVDVEQLWRTDGQRIQSLASYPGRDSDLPASYAHGLQALAAWDCQFQGDVSNVKVLVLRAVDWHLPSSLTNLTHFYFAEKRLHVVDLFHILSIAPRLKDLGLDQISAEDAFDPHENIPAVTLHHLRRLAICCPDRNMVSGFFSHVGLPARLAVNFERCKESDFQWLVPLTQSDAKSLYISAWTHSVVAAGSSKAVRFSSHHDFGRMGQWMAALLSHFHLNDLWIASTSQADEAIIKHTPWVETLHLGSLSTCTTMLTTLGNNPAYWPKLTKLVSSQPSKLSRILKLAETRAHLGCPLDEFECHVRSMGRKKYLKVLEKIKSYVGVVRLIQDDQDDPVALPLPDVCTDGVPSPYFWPKEWSILLCSGDVLSCMICRDGGYVLSLGIHYFPVYSDA*
>EED85352
MYFLSRYKDYTPLWSDVAALKQTSRRNVVRIVAALVVLAASAVLNAYFIYKLSHRWFTTPLDNYQHLNVHPIVNEAFYSSLAKPAADETAVVTCMYTDSYATAIANLGHSLSRVNSTARRILFYLPEHISDEALCIASATGFTPHPVSRIAPPHNGEGTHARFMDAYSKLNLWTLGDEGVRAVVHLDADTLVVRNFDELFALPFNFGAVPDVYVGSHGFALEFNTGVIFARPSTEVFRDMMVKMQTASYDGIQADQAFLNQYYAAEAVRLPYVYNANLAIKKRKPGMWEDLRNRTRIVHYTLVKPFLAEEDNSGKTVLDMHSLAENVRHRMGEFDGAFDEELQTWLEVWNETYRIYNDALTQCGTIHSPS*
>EED85353
MRWRHGAAWFCVQERPDSRDRTTSSCRLAARTMASSTAAAKC*
>EED85354
MALEQFAIVLGAVLGFWTGFFTREIPGSASWRIPLGIQLGPGVLLAVGCLFLPPSPRLLIMQGRPDDARRALATLRLRSPEESITDPLLQLELLEMQAEIALLAQTTGVPAKAGSLRTEARAWARLFGRKYRKRTAIGVLMMFFQQWSGINALLYYGPTLMHSLGLQGDAVTLTVSGGIGIVRFTPLPAHYHVSLSCRRSKAATKSVFGLPPFEVRQFEGDWLSHSWAAWFSVGCVYVFTAAYGVSYGPIGWVLPSEVFPLSVRSKGVSLSTASNWFNNFLIGLITPELMELSPSGTFLVFATACFLGYLWSTYSVPETANVSLEEMDAVFGSSAGREDLLLKQQGTSSISSPDRGRSLEAHWRQLFYTEDARIVETEKSYTT*
>EED85355
MLLSTLLFTVFTLALCATGAPSATSGSPHIVHGSRRNIPHGWTPVRRAEPSMILPPRFGLVQSNLDYLEDFVMDVSHPESPNYGKHGSAAKIAQTFRPAKESIETAFLACRERHRSGLREAQPGRRSTWNKRARPINARSHPQDSGNGRVEYNSQAPNDLDLFFANFSPSQVGQHPVLDSIDGGYLQTKEQDESVNGESDLDLQYSMSLVGKEQPVTLYEVGNPNEFASFNNLLDALDGSYCTFEGGDDPEYDATYPDPSGNGYEGPEDCGTVTPAYVMSTSYYFDEAQFTPAYEQRQCAEYAKLGLMGTTVLYVSGDNGVSGGNFQCLDSERQSSNNGTIFNPSFPSTCPYVTSVGATQINPGASVYEPESACEQVIYSGGGFSNVFAMPSYQKAAVEHYLSNYPPPYSSAVYNTSGTSRAYPDISANGANYVVAIDGNFTLTYGTSCSSPVLAAFFSAINNARLGVGKGPIGFINPTIYSSAFMEAVNDITNGSYPGCNTNGFSAEPGWDPVTVLIVQSTFGDSGIAWDTLNHFAGFRLPRISTSFRKKMSRNRGNRSSWAMVKADNESNVSSSSSITVAESQNQAIAAKTREQRIHSALNQSLSGGIFIDTRIYLFSRRGSSGSASTPLSVYTSSMTLMDASPEFQTLLSGGFAESLVSDIDAINADYALQRASLAEYGYESDSDLEDDADDDDSDVHASENGQRSDRQTEGDDSNPRCAASTAACLSARPVVVHMQRPGRAVFVKDVAYKTIAFARLRSTTAGKEKVNIVQPMPHEPPLCSPKSMYRLADKYGFQDLKKLSLNNIRSQLTADNILPELFSRFTSRQALPVS*
>EED85356
MLATTRQERLSLHTLPYDLLLNIAQHLGWSDIHAVQVTCRSLHDFATTRPVYRNLAVSLLRRCRALPLEGFQRLSDLSTEQLVSAVAKAIRLESAFLTRTPRPSYALRNGVSGKSWYKVISAPPNEEVDWLSPITSSYSLCATKSGKVVCWDVHRDVCLAEWDPRERWELWKCRVDFDNQTVFFTMAKVLHRSSTNYDDRLMEFVLMKLQFPEPGGEVTGSTSAGAILPTFSSLATFRTIGIVMNVFLLDPPSRLLSAFVWVAASNTIGLFALLDWDKEEYVFIDTGLECTMSSNWSCILHQEQIVIHSEESSYAHQYFYPISVLQQYVRRAHKFPSFAPSMSARVGPSLTMTAPFIFPSLDRSPSPSSRGRTNRTVNRNPFPYPPWYPESAHFVRQWWPSLPSVPRLSCTVVLLADHDMVTHRTRYVLAQHYFRVPLFDMDDPRAREGSPLEGGSTSGSSQDCSGSESTVTLSSSTGENADSMMKVWYVRQPFEVVCVLDELDEGSDDGTGPQERPRPLMAVDFGHAVWIEFVEPAEGEGGDGEQKRVRFVSFPPVVDELDELGDVGVRWKEAPASNAEGAVRTLEIPDEVDLDEVETINIDQSQGAVIMSVRQGKIYILYYE*
>EED85357
MAPAFAMQMCPNCETDVPIDTSPLSSSTLDCMTASCPVGLRTISPEVNERVLLCKLNMLSADESVIIIMVNSSDGPPNTHVGCHTVPGYEWADTDQQGMMEDNAAIVTETGIGVGNATETATETETGIDNAGAIAVATEIATATATATEIVGYTMDGDVSTFVVSCDSTSRADDDESELSLRDVPNGVMGDVLSGDFSSPRGRGKRAAVAGETRFR*
>EED85358
MIITDDTPSSPTKAQQALLEAPAADTRDNMPPPAYPGPSSYQVAVPLQSSQPLLSPQADDFDYEPVEPVGRRFLKAFALAALTYCVLGVFTQSTVAIAQRESRRGGRIRVNPRIQVDRDMYGWPLVSDGKIVQCVAGRPNWDNDYAGVRPSKSFELPISSDVLYLFSRGRLSQGNVHIVHDADWRNPSSVKVDVSLHHASTEILDRISVCQLERQEGHSGVGIFTPLRWPFWSDSAFRFNVTVRIPMPDAALSPTLVSALETSLPHFYHHVGDLNGEVIFDSLSLRSTNAPIHAKPGESDPVQSVYAEHGEFRTTNDAIEGNFYTSDGLTLMTTNAPIKADVVLYHDAKGNSTAVTIKTTNDPITANVHLISKAPALTGGNYSVAAQTTNGPLRLSFPESALDAQLDVRARTTNAMADVRMHRAFEGTFELRTTNGHIEVPFDQNAADPSGRGRIRQLSVSQRNKLVTGSVNWGGAASEGRVEVQSTNGPIAMRLPLVSLMFLYPPCGRQNECPQFASSAAHGLFHREHSGVVSVVERTNLREAEDPRNVVLFAQMRPLTLAGSSRASSSEDVAATSVRNPASELLKEPRK*
>EED85359
MVDALDLLPGSGRHHHDWEALPDEPGSGDSAVKPTAQKNDAKEKKGHYVLRSWWQRAPFAGGQLFLGIALAALILGSRSRIVRRLYILPPASPSPSTSQTAGRAPILSAAPSDNGRIVLQGVDHWRTQGKVFPKTECTLERGKDESELILWINNVRGHHWFGMQDATINGKKLPVWQSREEIFKAWYGEEKGKKMSVEERWKSGPVLKSS*
>EED85360
MSSMLRQHVTNVPHPQHLLRRQLSAAGKALRREFYMNNPDPTLEQEHELNVAISRLPGCAWYKDERKLQANYTSSVRGTRCADGGAADGQSMNLVPHLKEATHSRPHHAATGKLRRANGTATLAILLSTCPNPDCRDLRSSDWGIPKGWGRPTDSHQSDEVETEVPRAAEAGLYTWGDKGRLCALVRAQLVHAQLVRAQLVRAQPADAAPGAVDNDVSISGR*
>EED85361
MNCDRTERIEQIFQRVEEESERRARAEAEAEARAAQEAQETQFSSIPVVTIQPAAQTPATSTSTSAVPGRRRGGSVSVSRFGQDVKHDMHDIMFAHDGSLASLTKPADAPPPVSSYVVHKQGFYQAQTHTTSADSLASLTPPDHADADTDADEDEEQVVLMETFAGRQSLSKAFSRRLSRSRSHSCDVFAAASSLVIGVRVEEATVEGEAHGAGVVVAVAGGDEGLREKDKEQDRDRDAGREKGRWIGKARELSQKLRRRSTVVFTGSPLASPTAVSTGPT*
>EED85362
MTTATVDQLDNILVLSPNARTPKPDVVIWNPKNRVIMFGRDYETFEAVMRDELNREKVDISRFKSVTNIMIMRSDLAKLFYENKFSIDVDDNYRIVFFQDTDELECSSESMDALNARDYHWTQPIPDGAFQPDKDLLRRHFRHSLRVNLCGNDIHFHLTRNQIQTYWDRFNGHSDHDDIIRPTDPEWDTPLGRCFREWQRLDKVAELDERRQELQMSEEED*
>EED85363
MTDKLGHRGPNKAPAHSSPSSSFSSNSLSAISQEHRGSLSTERTSASAWSRKAEGQDSDKRDDGLIELPLHLQNQKPKGSYRLSDFFIQRTLGTGSFGRVHLVRSKVNLRFYAIKVLSKEKIVRTKQVSHTRNEQMMLQAVQHPFIINLWGTFQDSANLYMRFPDPVAKFYAAEVALALNYLHSLDIIYRDLKPENILLNFDGHIKIADFGFAKYCNTTVWTLCGTPDYLAPEIIGHMRYNKSVDWYALGVLIYEMLSGLPPFHEPDITPVRLYEKIAQGPACIKWPNISPSATDLIMKFMERDPSKRYGNLHHGAGDVFAHPWFREVDWERLRNREIQAPYMPKIAGDGDASAFESYPEIDAAHLYGASAPDPYGDQFPDFDYAA*
>EED85364
MARQRPLRGLYFAAFHGARKVILSLLGHAYYIVVNPLARGMLYTLDTDSAQSPNDEKSYCPPLIYRVMEYGKALTRDFTAAKADTSAVFQEVTSFGHYVVSRRSLKVQQFRQRYDLVDHSSSAGPSRQTRRAHTERLQSLKAPFVSAPQSRLKKNTKTVAPFTSPDTALVAANTKGKGKGKPIDGVVNGTASAKLLPSQMKSPLAKQPSVKRIKLIVRPPDPVYTHPGQIPPTAKHDQSLVKLLSSYTVLDDNDVDAATVEQLVQRAAAFWDRVDSLQKQGRFFPHAMGIGENTSGLSHSADASASRQSRDLWSHVVDAMRSRALSRPINGRQVAAQVASRVRAYWESRALKQDKVKAQEEKRLRALAKATIKLVIVEWKKAVFHVREEERLKREEEERRRGHLHLDAILDQSGQILEAQQLDLSKAASRSRSSSVSAMLHHWTPMSPEADGVSLFEADVGSDDELSSEVDGTEDDPGVDSTFLLAEDDIASLMRSRSTTTDQSISRSASVSQSQDDHDAMSIEESVVHSESEDRTDATPMVSASPNATASPNVSLMDMDLEYPDAPDDVVDGLNTPILSLTSRLSDHDIISSPSLHPDDATPARASAQQAYYYRSVDERIVPSSTSATLLDSMDNDLDMKSRGILDDSAAHLDQLESTQEIKEDASLTDSTGLLPDVVRAIGSTDVLPSPVTVPDDERTPDAADANSEDEEVSSIPMYLRLYAVAPVEWDLSSKVKPPFLLRGSLRPYQQAGLEWLASLHTNNLNGILADEMGLGKTIQTISLLAHLACDRGIWGPHLIIVPTSVLLNWEMEFKKFLPGFKVLSYHGNTKRRKELRQGWNNKYHFNVCVTSYTLASRDAHVFKRKPWYYMILDEAHMIKNFKSQRWNILLMFRSFRRLLLTGTPLQNNLTELWALLQFLMSGTNFANLKEFGDWFSREPPPHDVRTVEGYRRFRTWQQKAATIAKWAHIGYVNRLRCSRLPLYSEETIAIARSLYEPLRPMDELPATSWSMPTLAQTTVKSYAKRVEDMAEVIDKFAFATPVVVARDMPSIALSGFDETLLPRQSDLQFDAVLHRASVKLQIAFPDPSLLQYDCGKLQELARLLRERKAGGHRILIFTQMTKILDILEIFLNLHGYLYLRLDGATKIEDRQYITERFNSDSRIFCFISSSRSGGVGINLTGADTVIFYDSDFNPQMDRQCEDRAHRIGQIRDVHIYRFISEHTVEEALLRKANQKRSLDNIVIQQGEFDWRSLFSDETALTKALGEFEDSEDAHAAAVAASEEVALVAGKGVLRARGIT*
>EED85365
MLPADLRLAGLCLRPNISRAALRQCTRRALQTEAAPEASSSSADLFLHASSPETTHEKNVNTRLQTIFVRPWDGISSMIELYAIIRGLERQFGRIREYQVGRDTNVPNIYVPYFWARFADSESMDRVPRDPTVLKIEVPLVNSSRQGGVGLDDLYRLLKPQNYVDPTQESLDVLKSDEAQVEETVTVDVRVERSSATPFLPPHRTAVLIPGAVDTRSRVDIGVFQHFGAWGGFYTPRDSAIDDKTRFMRQARTKSAQIVREWQRKRGIDVQEEPEDSTVQAETLDVPDRDVQASEPLAPSLVEQTAASGEEDLTSSAATASVADTHTPAPPPPQKVGLSRRERILEQARHVARTPLPAQLTAVAEQQQEEKKREREREKEEEERIRVSMRERLWKIMGGKWF*
>EED85366
MNAVANPQIVVTPVTPSRDSLPWEMRAALEEITHGKPPGAWRGRHNAATTGTMDVEVAGDTKGKHTEDDCDEDTLVEKESEDHHYHHNHTRLIREHARLTYGLEGQPVTRSDNPATSVERTIRYHGNGAVSFSATSRAGAGASSKKLVKSRPATARRPAQPAQRQDAGRSDDMWREEEEALAGHEDDAQALPRPPPKDSDRPEQYHPTWRHAMYAHSPQSRSTKLTIR*
>EED85367
MRALSSLLVLVAVPAALAVKTQDFKTCTQAAFCRRGRALSARAQENPSWSSPYSIDPSTISVLPGQASFTAGVKSLLYPDVKFGLDVRVHKDGVVRVRMDEVNGLRKHYDEAASWALIAEPDISPEIKWSVGKSDVRAVYGDKKDIEVVVAFQPLKVTLLRDGKEQVVLNGRGLLHMEHFRTKESAEEKLPVEQPQGDDSQVVMQVNSRAWFEGETEDGYWEEQFGSWTDTKPKGPESLSIDISFPNHGHVYGIPQHATRLSLPTTTGENAFYSEPYRLYNADVFEYLADSTMSLYGSIPVMHAHSAASTVAIFNAVGSETWIDVAHPTPRSTETHWISESGILDVFLMPGPTPADVFGQYTRLTGTPALPAHWALAYHQCRWNYVSSDDVRDVQRRFDLEDMPVDVFWLDIEYAEEHKYFIWDKKYFPDPVDMTRDVEAVGRKMVVIVDPHLKRAASYPVYQEAQERGVLVKSPGGSNDYEGWCWSGSAAWVDGFNPESWDWWTSVTGLPVLRPHYVAFPHDEAGFALDDQYFVGSSGLLVKPICEKGATETSVYLPDDQVYYDYFNHYAYRGAAKGKHVTVPAELEKVPLLIRGGSIISTRERPRRSSPLMKYDPFTLRVALNAAGEAHGELYLDDGVTFSHQDGQFIWREFVATSDKKARGVRISSRNLAAQKPSEAVDGVALATYDIANSFVKEMLGVRVERVVVFGLASKPNKVQAGGRDLYWEFTPGVTASEKRQGTTSVLVVKDPDLSVTSDWEIVVQT*
>EED85368
MVGALIAARHMTLADPLDLESLLTEEEVAIRPENLLPRVLEGQRTENYDHGILPEMGALGLLGPTIQGYGCAGVSSVAYGLIAREVERVDSGYRSTSSVQSSLVMHPIHEFGSDVQKEKYLPRLAKGELVGCFGLTEPNHGSDPAGMETTAEETDGGFVINGAKTWITNSPIADIFIIWARAKWDGKIHGFVLEKGMEGLSAPAIKHKLALRCSATGSIFMDNVKVGHDAILPHGSGMGAPFSCLNSARYGISWGAIGALEDSIARTRAYALERHQFKRPLASFQLVQKKFVDAHTEAALGLQASLQVGRLKDAGKLAPEMISMVKRNNCGKALEHSRRLLDILGGNACSDEYHVGRHAANLQIDIHTLILGKAITDIAAFAN*
>EED85369
MALRQGVHDSSLPAICLELKRAAINIAGNDKDKKPFHEVLHKIGTTKVVTDDNAEASVDSANFRDITEQDVIDSIREVVDALKDARGPTRAEAWLKKLNNFVLQVSPVVEAAASTYSGAWPASVVWAAIKVILHTMDYDAVQRANPSALKDEIHPSSKVPSPARPAFEGIVVYLTEVCGILHSKSRAFLKGITTEDFSTAKTKLVQQFRAAEFEGIAQRFKEASQFLRDHEKSEVEYRRHQDFLGLLSRLHFVNFDDDLSRMVQPRLKDTCAWTLTNPTVRTWLDADTDSGSEGSRLWLYGGPGIGKSTLAAYLVEQSRAQRTADEVVLYFFCDAKDPRKRASAPIIKTLLAQILTMEDRCAYMDDIQVLLKAILSKSHDYPFSVIDLGSHLETMLSSFTTVRIILDGIDECDDEVTAEGGLLDLLESCNRTGTKLLYLSRTETHVSQRTKSWPSLHIGHIGQTGTTEVDLQRYVGERLEQLQKRVPHIRNRQSLGDEIYHAAAGMFLYVRVITDHIAAMPNPTTEEVNNALHHSSADLDQMYESYFEVLFRRNKGVDKLGDIAIRTLQWILFTAKPLQLHQLNAMLATEPDYDDALLHHDIQEVLTRSLGVLIDFAQDETGVYYVRLVHQSLKEFLTRHRSSLCTSPILESLFHYLQPAIACRKLLLTCSIILASPSLLYTLHHQRLHIELAMLLEASQGRAATLAVHRRLHDTGLYAIRASSSFRTLPILDCFQRSRAFTHLFVSHDSGNIIRRYREYISQLQWSEDNVDTIFSRFLNLDPERLEALYLSLQRVESPTAPSFHSNPSPNPPKTLPAVEHCIRHLPLYISTAITSENHAVCIASLLATLFVNLDRCSQSALRVIDDVSVSCSQDSHRFDTVTHATETVDIVETLQLLVTFISTCNFGLSGSPKGVSTRLQAAEYLSGLARKIMSRHDRFLDTGIHDVLEHELSSCSLTADDAEFVFVLVHSLANTSAVFRSLQRFDQHVQVLIGMSNLLEAMQNIRNDLAWLLAVKIHQCCPSIQKAGISQLVSAGRFDISGLVSTSSLDSDTLPSDPRGPASFFVDQSILTPMFMLPTCIVLLFCILSNLPPAPSLWISVALQILVSYGLERGCTRRPAEYYLLRRLARSVLSILILLRFVWTILFVGTNMLGLILAVSSLLLAHIQIHLAVDILLKDSVTRRRPILQGFTARLHFVHPDLFNLTRFIFTTLAPLWNGIACAITQGQGHLGALLDYTSAIIVMSCTLDFLEDPGRWHHSVSKLQAIKTELSVLGSDVVYSGLVHRENSQHHWHQSTIDFYK*
>EED85370
MSRLVRIVPAVPPILAFHKFGNSKTKLVDAESEDMILQARTSLYPFIGGECHICGVLPPPTSLRFSAALSRLEELRESTHNSQSKLRPVVKQPLVCGTVAYNILCPTILSELQAAFSQDENAVTHKAAQVAQHNNSSHQHTQPGGTFASSRPAGLVFGEVQYRPGPNAIPLEAERSQRNNASAGYKGQTMEPGEKQCLQQMNAPCIIRLNRNSSTSAYNLQTSLPLGETTQPTNTDSIELSLAGKGDLPSPPLHLRNAIAPPAIRRSQTTPPNASQSCSAISHPLPLPSLPSALIAYVPNGHAACPTYSPHVRTERQAVLLGLVPLEYSRPEPPTSRTPQGP*
>EED85371
MEMPSGTGKLHLKRTPAEQAERDFRKAKKATKKAAKKTAKRRRHADISDDELGNSSSSSKRQRAGSPSRSATDYPFVFDDDEYGPPPPPPASTSSHRAHKPDYDEIYARLEEERFREKMFGAMAEDERLDGLESHLNNYAHVPRRWRGGGMDRIDDELGIDPQMMEEEDYTEWVRAGMWRRKHAEEYAEHERKAAERNARRAREEAVREETARLEKNAEADRRRRRRSRERRRAAEARELYDVRWKELLSASSEGSLRFSDIPWPVLLPDGDSARGRVLTLEHFTVEAISAFLLPTEGDSSGDPEVAKSKKEKLRETMLRFHPDKFEGRITGRVRENDQEKVKEAVGIVVRTVTGLMGDGK*
>EED85372
MKILDPHLKDGVHEWRDGKRFVKEGDKLYLEGTDTLAGSVVTLDKCVRNFSRFTGCSLGEAIKCATYNPARCLGIEKRKGTLRPGADADLVVLSRQGDVLSTWVRGKEVWTRN*
>EED85373
MAASSSAICGSTNAGESSWMPSVHSSCSGNGLTE*
>EED85374
MTPPTKRCKGNVGMPIVGKILKGQDFWSHVDAWWSQCMAKWGMNIKNDAWRPYIIDTIQLDKLHFGQTTQLALEPLLVTYVPTGMDTRTDSQSAPGNVFAPECETSHTSDNGSAGTPFSLLSQVF*
>EED85375
MPPTLSMLAPPPTPSLLNSRWWIPGMGEHAGLWTCTACRDHCWLELHHARHHNASSMHQAIVQYHLQAPPPESTASAPSAVVGPLFELLNNVTHAVSMEIDNTISDQDDHMSINWDAISSQISGDLGLIAAQAAVADLTTLLLDWLTVDPDTQRDLSDSEPEEHSGDGVTKDFDEPPHGMRFLLLA*
>EED85376
MHQALQIVDILHLVFQQLASDEDTPWNVLKVNQRALSRASRVCKIFESPALDALWAYMEDIRNLLRLLPPLKNSRQWTYYLAGETCDRYWARFQTYARRIHRILGPFDWISSDTYSQLSQRNGNMPLLPNVEAILGDSLEYDILSIIGNRLHELHYCVSVRDEEPDPSDWVTLNECFRVLAETSPAVEFIYTQNIPLSCLQVPFEHWHALRRVDLCEACGRLDGAVLRALSCLDNLEDLALHDCNITTAENHPPCRFAALTKLDLSDSPARLRGLFVAIETPVLKDISLCFSVEDDDPNLVRDCCRCLDTMVAKCSSSLWKLRIVVWLPHIHAIVPCIDLLPPLFGLRNIRRLSVALQDRMSSNHLEPEDVQRMARAWPHIRFLSLDYDLEASMLHSLPVDALVPFARYCPDLRILKLPVVTSNVPMDLEDYPTFSHKLRVLRTLFSNPQGPARPLALFLDRLFPCLKTSRCRLMINDDDDWDNSLWHDLVVQLRAIQAAKRRASRNDSASA*
>EED85377
MSSDASYNIWGAVSGALGIIGLIPVTIALVHSQLPLTKLRILDKTLEETETLLTSVTEEGLFRNSNFIDLARRKLSNAATLNQQLKEMVKGLSHGISILCEDVKVLRADIATTTDEERKRLKQLRETSAHDEDISQTHATSQFEYDDQTEHRDQHNSADGIVTYLNSAPRPMRQDSIVSTSSTLVAYADHENTYVLPVTIWIPAPHPDHDDHAKLTWPPLSSPHFLLREDHTRVISAKVAVNEQDQTGYHSPASKAPRRQAITHTCSRLSSTKGRRRVCRKASSRTPSGENSSRSKDLGHLRYDLQSTSADIDEWEDIDDEVALTLAHYSLRGVASQLYNETAVSGSDNVL*
>EED85378
MSSDAEYNIYGVITGTLGVIGLIPVLLALVHSQTPRIKLRNLDQTLEETEVLLTSVSEKETQQIKGIISGLSYRISVLCKEVAVLRADIVTTTDEERKRLQRLRESGVNVGNVSQLDEECPREPHNSEPSARQNVAVAHDSRPPQLARRGSIVSTTSTLVSCSGHEDAHLLPVTTWILAPSAR*
>EED85379
MLFTSVIDPTVKSSQQLIIVRYGMTRILVPLSESYEEMQAVAARELGLDGRPDLFTDQIHGSAGEKIKIHKDAWAGISPLLGSISIETVNANARRSSAGRQSLLPSRVVVHEAGAVLPAEVMSTNRRVSRLSFAAAPSGPASAKAALPRSGVFNPASINASLSHAPAEEDANASYVTNIDDEEEEEEELEEELMLSSPKKSRRPRVYSDDEEEYDEVKEEPEGERDEVKEEPKETQKDGLVIERSPSVEFANSYVSDPLAARDERKVSPVHEPEPKASRERQPPPPSPKSSASHKSVTLSAERRPDIFSKQERPQKEPTRSASGSVKAKDLAEVRPEKISAPKERLSQGRAPPTVVQSQSRATESFQPRGDEVGPNDRFVVIVEYDDGAGTEENQMMFKTRGRHTVSRVLLQACKTFGIEDLFPMARLVLIVDVEDGDEIMEHRFHCALEDTMARAGAKPEARFAIEIDEE*
>EED85380
MFNLRINWEALKDYDWSLLRVVGFPNMTDPFEAMEHVQTPLQRFDGQSHAIPTPRLAAVSVQQHLAPSPLGTSAPVSQSLLAPSVSPPPTLAPNAPMAFIALIPITSTMPAIAIAPAAQIVQLESSSTIADFHTSSTTNTLIGPGLSMVSLNDGTLTTPHGHFDLMNMPASSINSFPAFDNQFSISPVFHGPGPQSTNAISLGILRADGSASAHTTLLTNSGCTSDPSGLTADTLEVPTLSQNDVPVESSLAAALPCPNDTSGGVLDKPVFATALSQPNNISDKPVLAVEPALRDHHCNSAAEASSLSVHTDADIVAPTTVEIQVTGRPSRNRRLPTRYNEKNDKGLTQSSDNIGITGEGAEASQSTGRKCNGGAMLSATQTSKKYIEAVL*
>EED85381
MGVAADITAGGDVGGSGSGSAAAEGASNADLNKGARNIVGDLPTRHRTAAIGDDLESILEQSFEDPWSLCAEQVWKVENALVEKWKEDIGSLLVFDGLFMTILTGFIVAFYPSLHPDPSTEVLLVIAAQLSTMTGQSKLTQQQQASLNDAAATSRPTPWILSTSTLWFSAMICGMCVASIAIAVGQWLHQHLDRPSTMSRRSVLIWESRRRGLQKWEVQFIIDMLPLLLQISMALFLVGLLQLLWSLSYIVAIVATVLVVVLLAPSVCSVFVPAFVPECPYKSRTAWWFFRLVCWLTASLKWFRSIQLRKMWIRIRTAWAWTAAKFRSLSSRRYRGSSMSATGMRHLCSRIADEILNLRRACMTRLSAWCEWYSKAAKAANWRELEDYSTRLPTRRYDNGLRSNLIVLAEADQIVMDDSFLASVIHPCFQQSDVDDSVHVLCRILERRAHASVVGPDAKWPTLKWFSSEQDSAATVAMGNLCVDVLAKYDFLPLYSDDVARVVDHLLYLMRAMPPTNSARAVCQFAAYIMKRDKSPWFKWMDEETRSARICDLALGASACFVEHVLPDWLEAVDIETALGVLKALPHCCSYKEDSTRIVLGNIYVDIFRRLPVHHADQNQVWWAIRRCAESMGPESTTGHGWLIDVLMHPGISVNVRVECIRTMWSYWHVCSAEALDVKRFLEYVPVARRELGTVYFLQAEKGTHVIQGGWRTSVHVLHI*
>EED85382
MFLIYHLWEYDRFHCLRWSAGRQPGAFKRVMTYSYLGSVPLFVVYSIATTVIKYKEGTSLGLLYPSQSTKLRYAPGFVVMPDHRILPRPVQMYGPHNRAWVIPLNFIFSCAWALELVTHLEGKPIAPLARVPHLWLTLSPWLSRSIVAILGMPLVCVIARRDLMTMDAYIFLAGSSGSLSTTLVFLYVLWRFPRFIRHVKAEGADPSVVVRLTTFLYFNRARVVFRFLFTVPLLMLAIDGITGTKHPLNTNLFSTGLLPALDHQGGGVQAEAVLVGAALAQIGARVAVIHQHPLRVAVVRRRPLRVAARAHAAARLVRQLGRRVVCAWVVRGDESLLEGQSMYQGQGQSIRYQGQYADMTDDAPPYHAAHAYTDSVAYAYAQPGPGPHTLKQQAQLQQRHPFPHAIPGAQLLALSPAAVRVAIPPPAASPRQEWERDRVQARGEEGQHLMHHMARSASFSVRAPPTHVNHPHPHRASLPASKLHPYVRTFTSPIDLVDLDRPEEYPRSPS*
>EED85383
MSPVPSILESASAAEDKESTIEERKVESSGSTERLRDGGCNGESSQGPFTHTDMASSSGEDASFANASSTEPRSCRVGITGPPSSFRLSSSTSNTETSDSASFSTPPSSPSLFAAISPPRPPRSPLRPTPPTRRASASLLKHEQIDLSPDCDEMPLSHSRSLGSFSGLLASQPASAKSSRSVRSQTPDKPLPITPDPSISAVSVNDDDRDRDREPENDQKELEDALSVNSEFVSLSVPASGSSLGPGSFLQPRPTVSKRVHALEELLSTERAYASDLALIRDVHIPLASGLPAPFLAAPPTPPPSGPSSRTQSTSSDAPSHVLDSASSICGSEPPMTREDIRIIFNNVADIAAFSDRFTEQIEEALGSILEGGSGEDRIGALFIEMAS*
>EED85384
MDALSSGILLLTLACIYAMIRRLRKPSVSNIPGPQPESFLMGNLSELHQGQAAVADFEWQTVYGGIARIKAPFGEDMLWISDPKALQYIYQTSGYNFPKQPERRAVSRLVGDHGLTWADGDTHKRQRKVMFPAFGGPESRALLPIFEHHAEQVAIRWKEILETAPEHSAILNVIQHISPATLDAIGEAAFDYKLGCLDNSDNELAKAYLNLVADIFARPSKSKIFFTSIAHYLPMRLAEFLYDHLPGKGLQKARFNRDVAHSVAEGLLQSKSQALMLGKGSRDVMSMLVKANASENDLSRLTHDEMIAQMRTIMMAGQETTTNTLSFALVELARYPHYQSRLRAEIRAAKRTVRERGDVSLSVQDMESMPFLQAVVREVLRFHPVVPHTYRQAGKDDVLPLSKPLTLRSGEVVTEVAIPAGIRLVLSVAGYNRDKGIWGEDAHTFNPERFLHRSGKRGPTVGVFGNMYAVVHVSDVIFMILTTSSSLTFSGGVRACIGWRFALYELQAFIVQLISNFEFALTDDIKRLRRDNAVIMVPTLEGENGVQAPLRVSLASDAGC*
>EED85385
MDNRDAEAFLKPVSKAEVPDYYDVITNPMDLQTMLKKVKQKQYKSKKEFKDDLDLIWSNCFTYNATEVKNHPLRMCAKRLKVKAERLLKNITDWKERADPVIPADIASGGTRLRPNGVALNGHKRFHSPVAVKSPSPGKAIPIAAGSKKARGDIPFEERPAVVRTADGMAAFMQLDRELTGQMPPWHATQEFNRQMTTLADRLSEYAITSTDDSDGSDPRAGEVSLSTIDGEVGSKRKLNGFVDGRPRKRARTHSPEDKDTVELWWDAVQSEELFGNGLPIITHASSEPISNLSPPFSITDPPREATVRRKKKRKTKPSSNTLLYHMNNNIRTLRRVRTTHAKFASLNQANEEAGGSVLPPPDLAPEEVEDVIDERPWRPMGSGIEIGEESADDCLHWTGSKILEHAGFQGASKVALDVLAGVTSEYLLNVGRTIRFLCDKYSKKMTPEEIILHTLFESGTTRIYELERYIKDEILRYGGRLSELEKKLDTAYREATTEEAWDDDVLFQNGEDEEDGQFVMGNFADSFGEDFLGLRELGIAAEFGLSSLTIPKKLLKGKGKQGLAEGPSASKPSEPPPPFPPPPPFLPLNYKAVEHQIGLLQPYYQHRLISLSAPPVLPIPPPAPPLSSVSPEFAPPLMHPQAFSSAAADPDTPPHILPDDPPSPAHTKMGPLGQIMKGAPSAVTTKKKSKAKGAAAPMGLMAGPSEGEAAQDFIVPSPVPPAEPQRRGRPPGTTNKKKSAKAPDALPTSIA*
>EED85386
MATTDVVPAPITPAQSPTLACYPTSPIAHFPPPVQAAVRRPLRERCGFNLSLKIHTTCAQQGRAAPWSPKGHVQPHRNNLPRRRKGQIKRIVVVDDDSPSTTEPKPDSEQPPKGERHLQEVVPGLFLAFKLADAAADAAKRQEERYTHVIDICYPPPGYDSGAIEQAYEGRVHRLRLVLPAAHPADAERAGLGLTDAQLRAARDFLAQALPYVSATAPRPLMSSAPCANVRILVSTPPRRPTDAMSIVGCYLSFVSNKGADATLRFIDDQADILSIWKWEVSEDEVGKIERVARMWSWLSKVRR*
>EED85387
MPPTRKGVTSQSTASTSSSVQLKSSAHGDAKSKRKHGHQQKDDASSPLALPGVQKIKAQLRQTRRLLAKDKLAADVRTKTERRLKSLEADLAQAERVRKERAMSARYHGVKFFERQKITRRIQQVKRQLSQSEASDKGKEAAKLGKKERKQLEKKLEELRVDLNYIIAFLTPYSDRKHYPKLKKYISLFPPELRSQSQEHSDPYPHSYSRPSGSENETDAQREEVRAWVREQMAASEMSAEPELEIRSANKDGVRLPKHISDRYPAAGHQGGKQDKMDVEIGVQRDEFFGDDDDNSDGERIEGNGLDGNGSGSDIA*
>EED85388
MLEDCKQLANLALLQGHSLTCHADPLQPHDAYQYALLLLPILLILSLHEETQDTPKAEVRAESRGEWQRLVVEHCFRLTKSPSPMVQGAILAQQTPCWWSNNEANDENGGRAGGAPSELQASRRTSGVPRVSFLMKLYMLKYPENHHMVWWDPASEHIIIQRPVQLLLHVLYNVYCQLCFTSFSRQLNIYGFMCKVNMANVDHVINGPDTSITDCLV*
>EED85389
MRFATPERMLPASRSSSRVRRNCDGFAGVRTEDHNGLVLVGEVLDDALREHVEGSAEEDVVCCISNDVSLEIQHDLTDAEGNISNESQRSVHLAVRRLHVQLDSRIEEEVEAETDLFRHGDDLRTGVDDAFDLLLVVDQRDDRTNRIRIACDGEFCGVLAVRDVLQIGFIGVGDDCSTGDRRRVPLGPDTPLFGTRIPPGTSTQSPNTSISPLTLFDTFDGARRLLEARPGLPDASSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAGENLDSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERNSSPELLGLTTSDYDISTPDLVERSSSSPEPEDPIPSTSNLVLPTPSSFRAHAQPPIASSSRLSVIPSSDLAPPPPLAPLNAASNSNPAPPAPTIPSTTTTSSSSPAPTTPTNMSQNTTAPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQAIASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTVQTFTLNTSGRIEALERELLQLWKRREVFDSVEILQRKKPTTPAVPKSAEASGSGTSKGVAAPPSTSTSTAPPPTIPAAAPAPPSPPTQSTSHPITTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIEGPTARDVSTLLADDADDSTRLVVEVERIEVVLLEPKAAGPIKRPSVVRQLGDLLVDYRAPFPLVEKAFGGRDPRQLLIGGLLRAAFVFEAPFLFLLPTPFVFEATFLGGLRTLRGLFDAACFLFGEALLLFEASFDLGIDGGCELWPVPARPIDPGLRRPLGELLDGGGNAQLRLLVADGAQGLAIGELFENKPGDKSCAHSSTTSSAASAVGGASRTTSSEARRRLTSEGVEAGVAERADIVEASPNTSISPSTLFDTFDGARRLLEARPGLPDASCVDLGTFSAFGEQ*
>EED85390
MSSRSATPVSTPSLVNRRLSSLLVVLEAPPTADTAFDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAVKQLAERASESWVEWAHGDWPELATAIDAEVEQRVEEQKRLAEEEARRIEEAAKRAKAAEDRRLEDERRRKDEEDHRKQAAEDERRAQEAADEELARIAAAEGLLDKGKGRARVDDEVTELSDDPSVKTPRTLEHLFAMTEVDMAAVALGKRQAGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPTSPVPSVADLSGSKKRRVDEPPRPLLRLPLDGAGRLGLEQDDLDALDLDDESRGIIRVICEERALIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED85391
MSPATDRLIRVLELLLALPPQQTKMPPHATLHIADRTDITRDDIQPAGYFHSTPAWPAGSRGTSSEITNQLFNFIMPTEADPQVQLALFLGNDPAYAFAGTVPAPSSNGSVLYTGPGLYHSDLLTHTEPTTTSVLRGGICSWQSCGHTISALSPGRVMQHLRWYHLDVGANPLPAGQSAECCWVMRDGTLCGKQVVSRNLGKHVAAVHLKCTARECDRCGRRNISVRNPCVMPRDYYLNTAYLVYINGSRKVGRLPTWCWSKGANGAGARLSTGVAMPVRDNRVRLRTLKFWRYLEEQGDTSAQIKDVLAYMLSKSIDLPILLHLLSWGAEELIDDPFVRFEQTACRDLGSSDRGESESWGRSTDLTEAMGQEVETEVPRAAEAGLYTGEDKGRLCTLVRAQLVRAQHADMAPGAVDNDTSISGM*
>EED85392
MIPYKGAEPITRSPPERHRPGCGAGQDAVSALYGRSSMTGELPGPSRFAAQVVFPDVWCRRMGSTLPVFRFQTLLGILIGNSASPTLEVLYMVNLPLPVHAADSSIHFSAGCRPPSRWQCHAIYLGATLMKWTTEYTYIRTTSMLYVTLSQSRSVLLRDIHDYSPDARAIPEPPHLQVPQSLTLKFTGTGPNKERQEAWYLHQVFLHPTRAELLIPDLGADETRRFVEDASGVWVPTGVVAYKAGGGPRHVVVLNDILYTVLELTNEVTAHRSMRRCLV*
>EED85393
MAFGLTIGTERYTTLQNSIQDELVRRGYSPEADPIMAEYITIMIINNKTAAQISSELEDDPNFVDWLFSEAAKGAPEPSDAPSSSTAAASAQPSTRDSPPHLPTVSKDEASRRPPSGPRASAPLYQQALSQALPSTSPTAQKRTASGRSPSPSGHGHVHKARRIDLPTGPRAMIHGRDVSSGSRSLLERVGPARNGGGGGGPQFGQDDIQARIDTITGAGGSPEMAMMMAGFPGMNGMGGMGMDMGMASMANPLLLQEMMMNQMALMSQMAGAMGIMPGQLMNGFPMQPGMGGDMFNGGMQGDGPGRGRGRGRGGPAGRGAGRGRGGHAGANGSAGSPMTDAGQASPPPPAAAPAVVAPTPTPVPIVAPVIASTSSSSSIASQQRTGFVPPQRPQSPTLCKFNLKCSNPLCRYSHPSPVATAESGVVLSNDPCEAGLQHTSAPLGSTLVPRLTQTSLPAAEHLKPSTFVPPPTPTTHLPTPCRYGAACTRPNCTFTHPPRASHGSGAAATPCRFGSACTRATCPFQHPEGRVLPGTFHRGLSTSAPLVSVKTPEAGSMSGLSHNRSVTFNTSKPSTNAAVLEKKVREVEEKKSEAEKAVAQAEKVAGSKDDASKPVAISA*
>EED85394
MTFRSFTERAQSQYCPEMYSRKQACASGLHLRFKSQHRTMNAHAPRAVGHTDLQRLVKAHDIDTDCMNEVAIEHLRERPLALSSWVSNPSFEGAISPPLTPQGHLGPKPKPYSTERTARQAFNSFMRPEARPESLAGPSRAANPEGPSRPPMNGSFASVESQDSEDINFDAPRAQRRPSRHASADSAGRRTEVARLARQAAHLGPATEKDLRRAAHSMADMSDKNHGYLQRSRFLRQAAKKQMTQVRELRKQIAQERGARVRMLHYLLYWQKIEPLWTEEQLGECVEGIVQDVDAGKSEKGRRNPQHGVHARDAGGDNPGQATSTKLAGARLLPVDDATVQALAGLLKGPTKVAQSGQKRTRPEVDAANEAGGRPHKRLRSEGSEAASSLAKRTTIRRPNKGKEQDKLTLSSRRSQLDASECESVLSVLNAALSEADSDDED*
>EED85395
MTITLALGLTLCALYALLKLSDVFFPRYFHAKLYYAPSTFPVLVKSPINTKEDREESLRHFVETRCPSLHKEFRPAWWLFSGHLQTGYSVVGDFSKVDKVDYERTTLRTLDGGTIGLDFAPLEHDRKLKDDTPIVVVKHGLTGGSHEAYVRAVLAPVCAPVEEGGLGYRAVVCNFRGCAGVPITSPQLYSAGHTDDLRVAVYYLRKRYPRAPLLGLGFSLGANVLTRYLAEEGENSRIVAACLLACPWDLLKNSEATAFSLEGRWFHRTVYSSALGTNLQNIVRRHAASLSKFADTTVAQAVAPLLALKGPTMEAFDSTFNRFAGGSSPPFPFPSAQAYYVWASSHDKLGAVRVPLLALNAEDDPIVQVLPVGGGGNPRVVFAVTRRGGHLGWFEAGARAGEVRRWFREPVVEWLRAVGEDMVLGERAGRPLHEEDGYLKEEGRDNIGCMEVEVGGRVVGVEGQESVLAGLSTVLWSLRWRFQNTDGILGTRHVMVRVVPAIASVETQAWKSHSVADTKHGKQDNGILSDARRDTVTAEAILMIKSHSVRVPSVSVDHHAKCNARTEGGLLRQQTVSSIKLMTGLAPGRGGWMASVRACVCNGRVEDRSKVVRGTPRGTSLSKGTCHDSPTDNWALRVYGTLEKRLRVLFRVPTMALLNQEIPLVGIHFSHGILPDNINERHFAM*
>EED85396
MPLNSLVGQAGLKLGPLVLETLFKHYLERIQKERGDGVTDLSQTELLYDEAFHIIKVSLDFKLDRHTIEELQEFSNTRTPTPPWTHIVRLLVPISCCDDAATYLIQALGGEEVTKRVVGGTKWWQVRGITGVDAEWLAAKKDWQEAKRRHKAHLKKSGDKDSNIGHPEGLEQAPQAIYEPEMDKMPCILFAHGGGYYFGSIDQERYSIERYARKINGRVFAINYRLAPQYPFPCALHDCLSAYLFLIRPPEGALHRPVDPAKIVVAGDSAGGGLCLALLQVIRDTGLPMPAGGVLISPWCDLTHSFPSVHVNSATVCAEHGDVLPPYGLSFHKPSMLWPPPPDEVTTQVQNSIRSRVREAFRTVSHEAGGPAHAAKEIEEHAPPSGVEHQFVVGPTGQTLHLGSTASLPTPSNVIRDQTITLRTASEEVMKIDRQIQMYAPNYLLTHPLVSSAVSYLGGLPPLLVIASDKEVLRDEIIYIAHKAAHPDKHHVKDEARKLYPLLEGIESRFGPTKVHLQVWDDAAHTLPTLFSFTTPAKYCYRAIATFCKYATGVLPPPSSSYQLGDAISINASPSESPNPSGVSALTEHALGSFSTSMPHSHSSSTLDSRPRMTRPNTSSGSSRGASRSKRSMRRAVSVSAIRAGSLFHRGRGESTQDPGAPLRETSDMSDVAGPRFGDTSTGREEGVRKAGEPSVYDNGLMIRERVTTKGVVRPLEPEEELVALQFSEELIGEISELVVRRYFDGRAKFDKKFAKVTKSIEKERRRNIDRAHNDAIRKMAHLQAHPYSEEQDADGGKGKERTPKGIQDGLINAGSWPWGWALEEDEAPPPSSIVARRDTDEARRLARIADQAFLVDENALSGNNLWSVIVEFLTKSPDKSKHGHKSGGHAHEAVSADQTDSTDLKRGSRFARFLTERRPHANKD*
>EED85397
MCQTPVDTITCARGRRVSFNPFQRLVDISFGISWGPDFRDRRCLG*
>EED85398
MLMTRVPGEQMSRRAGDIKDISPRQLQVLEDTLRGWFEQLRALEPPDADAVCGFGGNGIKSYRIRHDHYVGPFASQQEFHQELVSGYEATHGELVAPSHSKPHRICFTHGDISPYNILLDEDMKPVGLVDWECAGWMPEYWDYTYALYLKNPVYMEWVDLFTRIFPQYRVEFEAE
>EED85399
MVARIPYPTTLPKYYAVASEVATMEFLRSSGLPVPQVYGYSPVSDNTAKTEYIFMEFVRGTKLSDVWLELGESDIVSILRQLVQLESQLMSISFPAGGSLYYTHDLEKLAGRAAIPLKDERFCVGPDTRLPMWHRGPCTPLSAFFYYALEPADYYRRKRARKELAYLEQFGRPLLPFRRERRDGYQYQEQSPSAHVENLKRYLLIASSLVLKDPVLGRFCIRHPDLQQSNIVVRRSSDSGWQVVGLLDWQHASILPLFLLAGVPERLQNYDDPVSQSITPPSLPENFDELDETERTEAEGVYRCRLDHYHYIKNTEEYNKPHYDVLMDSMCALRSRLFDHAGSPWEGDTLDLKVALIRATERWETLTGEGAPCPVTFDADDVRETRKLNELQEKTDRAFEVWQNIFGLGPDGWVPTQHYEEAVALCKQAKEEALTEATSEEERAEIMAHWPWDDMDEGEYM*
>EED85400
MPDFYNSVRRQTEFKNQAQVVESLSSLMRHPQEFRPEEWACVCIQGEEKSKSLDHLATFIYRFAETNAEIKEIKTLRIERAQWRYIPHFVNLTSILLDYWRSITCLELHDVIFPNVTAMFDLLRALPEMKQLVCHGVAFSGSECDLEKLSKYPRLPQRPFEKLQTLNLSSLPVGDNLQLSSSVGDMTRIFKAGIRNCQFVVVEYRLASSTDLTENTAGLDIKKLIQDFGESLVEPASIGIVALQELTVAVACSVHHRDQRAATRIWKH*
>EED85401
MIRRMDDAPRLVNPSGWISEGRSEPLTIDPAGNNDAGRYLAFADIDNEELVNSAMPIQSPAGPTQGFALDAERSSESEDIDDEDETLVSIGCSDLAVSDILTEMNELPRSLSINIASQNRSPIMPRTQTVEFAQTPLPLREARSLDRAQRQHLDSIAQGRSYTGNFSSHSRGRGSMRRPTLSLQQSMNSQHSGRRSSMHVPAMHSGFGGFPMPHQIASRLFRRLFPRLEHKLTRTLTLPRTRTIASQHGSLAPGARAVSYISFEATVGRNSRFRALTRDQQEELGGVEYRALSALLWIVGGYHILTQLLGFMVISSYMSISRWSDDFKIHQLYRNISPVWFSAFQVVSAYTNAGMSLEDESMVPFQRAYPTILAMIFLILAGNTAFVGLQFLLSYSQLTPTGSLFFCVLRYHPRRCFIYLFPSHQTWFLLTILIALNATDWFFFLVLDLGNSAVTSIPIGVRIIDGLLQAVAVRAAGFSVVTLSELVPAVKVLYVIMMYVSVYPVAMSVRSTNVYEEKSLGVFEDEDESIDVDETFTPSGNRMTVWSRYLGMHMRKQLSFDMWWLGTALFLICIIEKDKLQDDANATWFNIFRILFETVSAYGGVGLSLGVPYDNYSFCGAFNPLSKLIICAVMLRGRHRGLPVAIDRAVMLPMEFHPDFQRSNIDGQEDRFDYQPASPNGNARSDAVSEKSPRSYRRNTPMSAGQEHARGGTLSPREANPQIARLQDEEAL*
>EED85402
MSFTIERIIDFSLRAIESRNASDRVSKFFISWLQTTYAAGFISIGQDLAIVARTYLVHTTMGSIDKPITPFRSTDQPEAPLTSYYGDSASSSSSGYRDLSLSVDEADREDELRRRFWIRRFTVSGGTYYAGETNTSQAQLTQQTRFNRIAATIIALILLQVTNWVTIVVWWTSRGRVQLMPVLIICADANLLTVTCIYRLSVMPFQTTNLLSMGSHSLNTPLEKAAFYAFHIAPEWIAGAILLCVNVKEMFHTGLTGDWRSTDSHLKTKPPHEEKLVFHGIKLAILKARHLPRPKSIARKVPVHSSSLGYANGYSAWLQRRHNSASTSKKSTSGHSLSFDWRSGTAIAETWAPGRYLCSMPHLLCDRRDVLEIFSFQFGDVWYLCVTAGICQAMKTTSDDLECSGCLADYSARSFSILSAMSGSTAIHQAPPQPLKLAAPKCRLSAAFGYLLPHTIALGRETTMQNKGTTTEHLLASMDATQHIHCERLESRTLAHARDHTPIPPPMMPPAAAFGLDAASNMGVHVQTCSGKGDRECHFRRRMSLCRLVTPRPVRHPTLFDTSSSPHHYNTATNDASTPRWNWQLRRRPRHHGFTLSTSGLGEKTQSFKPRGDLRRASPGHGRKQNQVPQETDGNHGTRDGPSVAAPFGGRLRVAALESQKKTHRQQHYGQHLQLGLVARGLWRKLHWRNSETTARRGGRLGARFYATANTFTPGFAVARDWRAYGGNFTGERRDREHNHSSSWSAGLGTRSPHCAGEELRPTTAPQVLRSDLGTRSYRAEVRVLAGWSLVA*
>EED85403
MGQEVETEVPRAAEAGLYTGEDEGRLCALVRAQLVRAQYADAAPGAADRSGYGDLELRNKYLSGIPSRVYHKIELETFTMWQGAEEHITEVEQILDISWAQHIKR*
>EED85404
MLCVLIHQSAEEHKDNIQDTQYWMNWVPADSHSISAMSEIQYFCQSHACQGDWIPRRTQQKHVKADSHMPYTKVQRRDAVISGPVAPQNNIAPPPANPNLFQVPRREVSLAIECEMMANSSMSWSDLDLENPITKQGPDINNPDLFRIRDPLVQEMGGDPVGDMHPHLLWIYAVVTWLHLQFYLPHVACHVLLWMFVFLLAGIAPALECPFVTLKSANHALGLDVPYQILTLCTLLQVPGVEDTLDDWRKIPCSPGIYQDVFNVNLAPEFRYRTTNLLLLSILPGPHEQPPNEIQRYLRPIINDLRRLWKEGIKVPTPSCPEALGRIPIRISAPSAGSSRSTRPPRPPLNMVVILHDYFHLAWMLNNGDWVRSMWRNPQKLHVNVLSRTKQHGDRRQAPLGPDTPLFGTRIPPGTSTQSPNSLISPSTLFDIFDGARRLLEARHGRPDASRVDPGTSSAFGEQ*
>EED85405
MYGPIIVPQLWCSCLPKDPSRLLNDHVARIQHEEELKVTCKKKAAEAKRCTQQQKELVKEQKKAAKVAEHTCKAAMKQAAQACRQNLTQGTSAPGTLLAAIDALDIMATHSALNDLAANTYEDGDGHVAPGMQENGDGITNSAAGPSAVPPDLAQEELPYAMHPADPTNFLKLSQALQFLGICTITHADLDHADKLLWEYNSELITLYGSAALKSNNHYSIHTADFATKDECGTVVGLAACELCEILQFQQNTTSPHMWFGRMRWALTLCTSTIPGTALWWINEYLLDEQQSQIIDLSWIKGLLGCTATALRDAVAGASTASIILLALVIGLPWAEWGCAGSEHEMASMRASRAPPKVLPA*
>EED85406
MLENRNLTVLLGLKHSILLCCHIKHCLLGHLSMRLDKSIIPAIIIEPLLCMLPARRTFRFVRMLHTGLGLMMMSVVGSGLATVSVMGAGTTDSSTRICGPKTYQDMRNKNCHPLGLPMVCVKLMSSILQGGCAPGLSAYESRGTFMLGLWMESLRMGYIWPGEEALRKSAMALKGSEVEEVVGDAGPVGLEWEWSTEMLMVVEQ*
>EED85407
MSNNSSTITSNNAVNPAPLGLPTLAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFTTALEEAFPEHGTRIKVHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTLRQPLPPHREEGSGN*
>EED85408
MTPPSTRSPSTSTPSSTIASSNAV*
>EED85409
MG*
>EED85410
MSSTLSFLNQFNAPSTEGGKRILIYTPKHTHVGNSTLLTLLLSNPTDVFNKLKTHHPEATNATDRAALEVYLSAHHEYDEAVKAADEAIDHHKRLLLSRLRVEQQWKHRLGRVLGAFDWVLPKRCLLMQPRGPQHVSAPPEEPARCVGVVIDNVFIEGIINETKERKERERQTKVVPIPPPRSTNPEPQASPIMGSSCPRPDTPIVFRKVDPDWTPDTTPWTWDSSWPRQEHLSGEEWKNVGRNARNEWFDEEEDDGIDWELYGDGEQWYLYKELYH*
>EED85411
MSLSPSTSAEYDHPTAKRRRTSFPVGGRDRADAMADDAPPGLSAPSASSATHIPKRGARACTACRKGKNRCEGELSGTPCVFEKPEKKNVQLMSGASVECVRLSPARPSLTPPPSPRRRLSRLEGQYLVMQSQMIGMQSSLDRILSAIHAQSQQTAAIVQHSIYANGAPPPMPPPLRNGVDLYGSPGPVDGPSRPVPRSFPPLPGFAPPPHKYATYGIVPSTAPSSDDESEDTLPRSTLNAPIEALQGLANAAAEAAAVAPSASPPR*
>EED85412
MSLSPSTSAEYDHPTAKRRRTSFPVGGRDRADAMADDAPPGLSAPSASSATHIPKRGARACTACRKGKNRCEGELSGTPCVFEKPEKKNVQLMSGASVECVRLSPARPSLTPPPSPRRRLSRLEGQYLVMQSQMIGMQSSLDRILSAIHAQSQQTAAIVQHSIYANGAPPPMPPPLRNGVDLYGSPGPVDGPSRPVPRSFPPLPGFAPPPHKYATYGIVPSTAPSSDDESEDTLPRSTLNAPIEALQGLANAAAEAAAVAPSASPPR*
>EED85413
MTTRVFALIIGIDKYKSGGIWNLESSVDDAKNIKHWLTHDLHVPRDQVCLLLDAEATKRKIEDKFTSHLLRNPAIEPGDAILVYFAGHGSRMRSPPGWFENGKGEVEMLCPYDHDTRSGEGRIAGISDRSLHTMLRELCQAKGDNVTLMLDTCFSPPRTAEGSRNRSHVRFSPTLKASPDDLLSGLWRSASSDKNQHSASRGFTATSHSTHVVFAACGAGWVATEGKAGGNFTSSLMALKDRIALHKFTYTDMASEVAALMDDHQQAVSLGRHVDRVLFDEVPFLPDARYVSVDIYDHEKLRIDAGAIHGIMEGSEFSIHQHNHRGSLNPVLATYSAIEVYPTWCVARCTSSIKGITRQGWARVTRWNNRTPFRVHIRQSLLSLFRRCHLRKAIPTDAEKPSSRSETNMLRVRSATQADMSVQLRRRELVVERHDPLIAANCRRIIQLPSRRASSDLKIIDDAARFHLHLHRRNPERPLRGLVSMEIFQLDASTWTRISGNLLVNGKAEIVYDEKATLYTIVLHNRSDYDLWPYLAYMDATGYGISMICHPDLSSSTDPPFRKHSQMAVGEDPDDSAFTFALGDGAEVGAGFLKLFVSSTFTPMTFIEQGPPSVASKVPRMRKARDTQPTQTDLWDSVMACITVVRKSGRER*
>EED85414
MRMRSARIKTSQSELLVY*
>EED85415
MFPRGPRFPAPKAPDVPGPNAYNPQDPEYDAYKRGAFLEKTNRFTKDNPSDVPGPGTYNTETNATRSKPPNNGTKSNVDRFAVLQRKLEELERVHTDGKKSHHLELERLKLDLSRAQRAATEHAERADKLKKQNEQLEARLQELKKSTVSEQGDLRDLRIKLKAAEHERTQLAAKQGETSEMKKALQAAEAKRKDDLRERDRRIAELERGLQSEKKKREMAEARLADVKGKVDMESQQARAAVSDLESQLASTRADVYDTKASLEDLKAQAADTEEELLERLEQHRALLARVANEYGRLAAATVHKSHHIRVQDQSNNLQLRVFRLERKLANADGQVVELANLVRHTKEENIFLAARLREADEEAVFYARLASELSNPLPDPPAWRELDRCLDNIADGLQRSRAEIHERITADFSTWVDLHRLRDDALVFHSSVLLKALDQTNALAQRHSTQLTDAQARCTELQTTLATAQEDCANAQEQLVETKVALERCQALEAALRKDLDNTRAQMQQEASKGEQLLQKEKDANTRLADTVHKSRMAEEGLQAEIEQLTSDLAQAEQYQEAYNGLLEEVDALVAKNALAEEEAVRLSKFNAEIVGHRNPAQRIVYVDKIRRELHETKQKLLMTSRDRDAVQAHNEDLQYEIALYKSVAIPSEYKPRTAVTRVERAPMVTQGLSISKGVEHGKSISVPSARLASMPEMEYEQGGMTLDEIM*
>EED85416
MCGVLAFVTGHILFQGILVSEQMKEHLLQGTTWTSPDMLILHILAESSACIRDGLDLEARAIFEDMLAVGLNPTRQHFHLLLDTMRFMNTRHMWDILESMRRFDISLNEQTYEIVILRYVEAGQIELALQQLSEMGKRSLSPTLKTARGLIMAAGRSGYPRLALELAESFEQSSVRHLEGEVWVDLLISCSESFYEYGVLRTWQQVVHELGITPDEGCCLQVLHTAGRHGKSALALDVLQVLERIGASWQEYHFAPIIEALCRDDKVKDALAMLGVIRSRNIIPVTETTFPIFTAISRDTDTVDEAWGHLEAIRDEGKEAVDVTALNVVIQASVAIGDLQRAVGTYKAAGDLNVTPNVDTYNLLLAGCIAAQHRQLGDRLLSEMKDAKIKPDVRTYERLIVLCLTQTNYEDAFFYLEEMKALRFMPPLAVYEAIIRKCVLTGDTRSKIAIEEMTESGYYTISTRLKAFIDSGGSHDPAGEPVPGRGPPARRDRQRSFFRQAAEEVEQGLEEVLQAKKTTS*
>EED85417
MRTCVALLALVPVLTRSASAQFVLADQFVGYNFFEGWTWETINDPTNGRVNYTDQAFARAQNLSYATPTTFVMRADDTNVVPASARGRNSVRILSNKAYGDSVAILDLSHMPQGCATWPAFWSLSATGPWPAGGEIDIIEGVNENVQNLVSLHTSPNCTMPPQRVESGTVTSTNCDANVNYNQGCGVQGATGSYGVPFNAAGGGWYVMARTAEDGIRVWFWARGDPTVPPEVLYAPALGILGTTISPNPTWGAPVASFPMGPLCDYATHFNAHQFVFDLTFCGDWAGNDYTTTGCSGSCTDLVNNDPEAFSESYWAVNSLRIYTRVESTPYPPYP*
>EED85418
MGFRQSFLVILFLTLCCWSHPLDSAERGKKSFVAKIRRSSGQPPPVQDAANRVFDPTYATEELKGLVGKYAQASQFLAGVGLNPDQHPEAGYEPFTHPLVGALNATNSTAYNVTTNSRTKPIMVQNQNGSASVLPVPGLAQSPLTDYNSDGLDILYYGPLQFGSQGQSLTVDIDTGSADLWVPVNCRACGGNSFSAAQSTTYSNLGQKFSVFYGAGHVSGTVAQDTVTVGRLVVKQQGFGAVRSESEDFYDQPSDGLLGLAFGTIAQSKQSTFFENLMMQKQVAAGAFAVHLARGQATGSEICFGCFDTTKALGPVTWNPIASRVGSVLSVVLDNQHGRYFGRPVAQRQDEPHSGTSTQSAIDTGTTLIYLPDEAAAQFYATIPGSSPAVQYGSGFYTYPCSAPLTPSLSFGGKSYSINTYDFNLGRTSTDSQDCVGGILAMGKGFPPNLAIIGYSVYDYPGSRVGFAPSINNQ*
>EED85419
MVSCEVISSLFQITAHVYEPIAPSQKRSALVRHRWWSGFAYQIWSRLEATKNVPEQGFAFGCVAAGSSHMASRTVCSPIGFNHIIESVLCGGPSGVVLPKGCRSPSGALVVGLLARIDQGLGSYDSGGKASAVCRNAGPMQKCADDLRVTHTAAVTLRAVGLWLRLFLTGAVGIPKPILYALAKDSKLPPLYSRFHQYELSLPQHHLDPASPNAAETQYFWIANHMTRNGWGNAMQELLLNSYLAYRANRSFVFNNFTWDEGVSDYSIYNLKPIPSRIPLTALIRGPTVGGPFPPGDHAPLAVMKEFWDTTCQNPTVIDNNAVVATWGGEDPTSQAIVDKWIGLLDASHDRCVEVAKDPRQLFDIWLLQDGNRLLDLWPAFSQSPILRHFRWSDLVELAFDTNREVFAPSNTVEFNLMSVPDNVPSPERYTVIPGLLALHIRRGDFIRHCKRLAGWNANFVGYNAFPSLPDRELRPADLDPGALDELYRVRCFPEIDEIVRKVENVRSTEAGRGMQHLYIMTNAPADWILELKAALQHVGTWENIASSRDILVNKEQEYVKQAVDMLIGQRAQVFIGNGVRPCAFLFWRLFLTVIS*
>EED85420
MSPMVVGLVGPSEVPNMLTPSAVPNMLKHSAVPDFADLLSVLEPRQIRINDYEIPTNSSLPSLRRAKLIGRNEKAEAEERARLQAAQATQAVQPSASPQGLAPTSPEQAASSSIQELGESRLEASDVFHKTLGVVFSGFNNTDSQNASSTTLHETYTKSAQDKPLPVGPGYTTDTMDAVPANTGSGQKSTSVQKSARRGLPALLKNFALLETFDKPHTMDIDLNALLPEEASTSGPQAAWQKNTGLINLDSNHPPPPPVTSANLTTTAANLADAQTPANITSINHKHRSMGPARELATSQRQAWNVAPMILVTGVTGFLGSHLVLQLLKAGHRVRGFVCIVTLFIGTIPNYNFMISTARSGKLHLAQTAYAKYDEQCELVVVDDLIHCDFTSILQVSEGVDGVLHQAAPVASKEQTLEAQLDVAVQGSLNILRQAEKAGINTFVLASSYVTLFDWRQRPISRMLNDGDWNRATRDEALSGSYDSMFVYCAEKTLQERAVWEFADQHPNLNITTVNPPFFFGPFVPGFIPRDATILNANEFIYALLDPEGQLFSDVAMGVDIRDVARAMISALSAPHYEPRKRLLISGEWFSWREAVDHIASVRPHLHSRLSTTALGAPSQPVATLMDTKRARELLAIEFTPWKTTITDAVDSLLEAEELWSRTR*
>EED85421
MISMTPSASAEMQLSRQVCAQRGSIHALPDDIMLLIIGFIEVKDILSIRKASKRFYTITKLRWVWHDAMKRHVIDRGLPVPAADADLKAFSAEHLEARAVHAARFHDNWCSSKPKATRKIEFRADRPLLDDLNYQNGTSVSQIFFLPGRNGEFLVTAVGRVITCWEVPLDGSGAYRVAEWVSSKKIDQLVMNEDPRHPVVLAYVSGDPNPQGAVELCALSLDTFHGCFHMRAKLRGHRANILPLHVCHGDYVIFGDPLIAWFTMSPSEIKTLGAYHSPTVQEGESDDILAVKVVNRYMVVVRQRSCAIDYAPSWNGKRITYSAMKMAVMAFDNIVSEAVIVVRNTTVKRADEPEPEWPSEPVTVLARCTYDGLDTLQQFDLLPQRYFPVVVTEDESGKPVNIFRFPCIFPPRFTRVVVTAPSCRGLYVTRSGKGFWIETRNVTSRHSVHPARCIVGFQVASNPESEAVMAEIRAAGVKQGCIPITEVGNGLEICRDALYARRCDMSEILWKKYSLACAALEDTVGRIAIGDRMGKVEVLDFA*
>EED85422
MHIPIQLIFTALLALVATLKAPSPLDGPGVVALIDGVVTSLVDVHEHADHFVRWMVGTTTPRRFQLLDAAPQTSLAECARPLIVEDDVVFTNMSIIVDDGVCPAVWNTVFTFDIVVPAASVSSGAVVEVVKALESMYITNLAWFTALVTVYVVSVTLLVKHRVTSMHRTRLNVEAGPSAPPFKPASPGSPETSPPYSTSLQPLVMMDFLSYVHDNIRHTLDHVLSPVPASYDDDQVGCSVTFEEIVAEVSHIASVTDRADACGEGLRPSSRQCLSVSPKTDTTYPPIDQGEAVSTMISTFIATLPSPFLTGSSAPVPTTVVVLDAPLASIVRSSETEPNDEAKLETLDTSLVMTHNNIWGDKGLRLWLPPAHTLETLPSAPPAITSSDVGASAHADEVPASPMISTPVISGVGASANVDNLVVLPTPENAARQLAWFALCFLLARMQLVRLCLLATHGSAPRSEHNTDGTQFMLFFVDGQLYAGFIPDHARWPDGSVMFPHANDDDDVSREAARDNLFETIGHGVARRIAELPPNDEHPEEPPANDEHQYEPRRRRRRRRRHGAANDADLPGAVSAQSDDEITSRPPNALTSHQEPSQISSPLPSSASASSPSTSRRRPVIALRGLSAIRNILNPAVRPRLGQAKNKSPSICTPTPPPPPRPTGITNVIVHNASPNIGDLPHIPSASSSLPITLSPRRPRQVAMSVDHLLGNIPVRFVSGKRGKKRLISAPFGFDNALDVLATGQDIFPPPFAERLPSEPSSASTPPLDAALVPLPPSPPLS*
>EED85423
MHSNSPFVTDRRYWGHEVPCATKAPALAPTPSPHNFLLAYARTIDVCNYHSGNSGDCLYHVWGKCRGLTVPLKRNMKKAVGYRSCKAQTPASHSSSARRQPQHAIAAVINSFFTAIYNTGIGYGRSKMVLRWKKRDPATIRKAVKHESNVKNEESQNTIPIAQPSPRMSRTNFATILDHQWSIDLATQTITVATDDVHMHDDDSDYIELAAELSAVTLDGATITPHCDTPDSVGPLTPAPWTSPWGAQCASPRSDKHSVAYSAESSTPGSERPDTPMEWTTLWDASCVSPEFGKESVAHIGLPHDFYVSDTILNSVWPLTHGMLGQRREPMGSGSDSVIKGLARTGYLRRATMNTTTTTRMEGTDVDDIFEYKTRTTSTQA*
>EED85424
MPSRASSENTLHRKAASGQNPRPQTPENSRPTLAELQTTPLDPKISTRRSLSAEPSKGAGLDPHRIELGDEMAEYWAKMAVKTFLDEHVPGGDPDEQTKSMFHKFDGEMLDKLEFVMASELIKGVQSVFQCNADNTLVAKDTADWPDNTDAIDRAVSATMRPDVCIYSTDDDHAAPYTLTAQELAGRKYSEERKQKLARTRWGSMVVPIELKSDISRAPFHFGNSRTEETEKKIKARGQIADYATRIMQRQHRLFLFMIVICKREARFLRWDRSGAIVTDAFDFVKNPEPLHTFFYRLSKMTREQHGYDPTVVPADADEIALMESYKDKLPQDDYLRKCLNDAMERGWPIQKVMMRQEDVVSVESWRAAANKADSTGSTPPDTSQASSACGESSPGSSSDAGVSDPGPHVSKDACLASASPPRCFLVGKPCATSDSPTGRGTNGYVAYDLATGRLVFLKGAWRARTANSEIKVYEDLWKAGVRCIATPICGGDVVGSDGEVQQTVTQKYLTDMPVRIHCRLVVEEIGRPLEDYRGGDELVGALFCALIAHQDAWEKARVLHRDVSAKNVLLYINSKTQLDGSIATAFLNDWDLCRYEKELGSATQYGRSGTWQFMSALLLKFPGLTPHGVSDDLESFVHVLNWFCLRFHRHDHRTVALQRLVASLYDVSEKDETSKEAFGGAAKLILMQSGKPAVRLARHGPLKTLLEQLSALCEEHYSGIDIEALEANPSDTPAAKVAKPTPTVAFPEWNTRLRPNAQAHVPPSKKDAAQKVGAKVLSTHKAVMEAFADAIFGGAVWADDKTNDQFANFKIGTTKGQSTLSTGTSSHSGSKRKPEESEDIAETSSAKKSRNGPSMSSITEDAAED*
>EED85425
MVAALSAKLTSLVVVKIIDKFCPLGLVSSIERSGRKRGNVEMIGTDTMVLRWTKRDSAVIRKAVKHESNVKNEESQNTIPIAQPSPRMSRTNFATILDHQWSIDLATQTITVATDDVHMHDDDRDYIELAAELSAVTLDGATITPHCDTPDSSTPGSERPDTPMEWTTLWDASCVSPEFGKESVAHIGSPHDFYVSGQRREPMGSGSDSVIKGLARTGCQRRAMMNTTTTAEATRSLFSAMRTYGDTIYAPLRLRQARASE*
>EED85426
MRPTAAAFRPLTHRITTGAAITHVHNSSVPQARGILTLKDHVYFAKATARGRGRSGAVKSNGDCPLELKMATPKIIGGTGDGHNPEQLFGMGYASCFLGSLQLMASRMDKKHVAEDAKVHPNVFLGHPDDPTLEGFGLRVEITVEGCEDDDVITAAHEYCAYSRMLKHGANVRVTKA*
>EED85427
MVLPFPNEIWLDIFHGLAKEGEYDTLERCRVVCRGLQQMAGECLSELLVMVFVSTEDVERIKVEASGGKMQRWKGPQEVLIQGGLDWPWNAGGRPTGRRPIPHLATFASRLGGRWPSVAVLHISNAVWRARDLDADAVFRDLARFPSITSLYITDVVFPTILTLGRLVCALPCLKKLELLDVQFSRQPFEASTISQFRLLPRTQLETLTLDSRLEIDSTGHGLRPSVVELVDFIASVSNRKFLFPRSCSTQVYLWSAVRTLHLSCVIFPSVATFARLLCALPSLETLVSEWSCTFVNHDFDLRSIPMRPGLPPRLVTLDLKFCTDVDPRSIADLVDFFITTGIGHQLQDIKVCLSSPGVTTQSDVNRLVRHSGQSLHRLDLDSPWAMAPASKDVWLAAARNAAPYFDVSENMCLERLGLTVNITHESSCTPAVDILSHVTSAHISKILVRFRPYSLLDSSFDVDWGALMDGLPQIDAVLSLPIFGNLVHVFIGVCTREVPDGGDEERVDELRARLPKLDERGILGIYMNGTRSWKHYGIERSAAQGTVVIEEMSGADGDTGLSPCDDSEAVPAELEVVSPSLEARANAQPPSSSYPTDSRVAAEGCDDGFGPQGAMAALEACSGTPQQMSSPLLCYLIDMNQPIYACSYW*
>EED85428
MLRPLPLEVWLLIVDELGAAREYDALEACAEASSEELKLLNERAKKYIPNELRFRTPEEVASIQVARKLGWLGPKCVRIEGGEHRGERLPIPHLATFASRLARKWYNVSVLVIERAEWRAQDLDFPSVSLSLCYFASITHLHLHDVAFPTVRTFWRLVCAFPDLLTLRAYDIEIANTAIDARTLAALRLLSAPVELRVIEFVTEQPGDLAARADCAKLFQVIITQAVPFLKTSPWSLVSELDFQHVTCPTAAAFARLLCALPTLTKLSIRGPCTFSEQSTDVPDMTFRLDRLDLGKDFSLQSESQSVDALIGLFTQPRAGGGLRDISVWLSPYLRVMTSTDVALNRLVKHAGRSLSGLGLRALPEDGFRLYSKASILAAPNTVRPFNIAANTDLNFLGCSIDFKPEDKFQDSPLMELLHSVTSGWMTHVSVTFHFKDAAGLPRFWIALPQLDLALSRNAFTKLRSVRLHLCGIEVTCMIRNIITLCLRRINNRYILHIDTGNLAGIWNRYNIYD*
>EED85429
MTSLSVLSSPRLVSALSQCLKSLILMPLLAETVAGAVQYKGTARVEALWKGRTDQIKVYCKACLEWHIAKEQGINIIKIQDRRREAAQTIETITVHSSVGVPLSWIENPHWLCFCDEFLPQAIAATAKSAATVQADGWTGVNNHHLIVFMLTANQETHTVKVFNASSEHKTAENFFKLIESAFDTIHQNWQVEIVAFTLDASGKSCKARTVLHRKYPILIVLDCYAHQINLVVGDYFKLGSSVVFFVWAECADDLIAWLHKWTYILGVLRDIQISLRKTPLTIIHAVITHWTAHYLAYHHLLKLQPTISWVIQDDEACGQESHFIAGTKQAARTKSNEMVKLMKNSVFWESIAHIKCHLEPLAIAANIVQAAHCCIDQVLVIFGYLYHQYDKLDQTNESENAVRKALQQSLEARWKKADQDIFIATVILNPLYRLKAFAPLPALTRAGVTILIERLWQGFYPGQTANDLQQELRDYCRDLGSSDRGKPKAGGVRLVLLKRW*
>EED85430
MVLPFPTETWLEIFKGLLEEGEYDTLERCRVVCREFRPMARECLGISMAFGNVDGVERIKVDVSGGRLPCWGGPQRVSITGGNGEEGRRPIPHLATFASRISIWTPSRVFSITNLVLRDVIFPSILTLGRLLCALPRLKELTLHDIQFTQHPFDASTISHFRLLPRTQLDTLVLGHGYSNTELKPSFVELVDLMAAVGNRRCPVPPPNLAQVSPWSSVRALSLGHVMFPSVTTFARLLCALPGLKRLQLVTSCTFAKHGFDLRSVPAHPGLPLLLADVDLADDFRLHSDPCSVADLVDVFVATGLSENLRRITICLSSSSRVTTGCDAALSRLVKHSAQSLHHLSLDSSSYWWISSGADELLHANHSAASYFDVSENTCLEHLDLKIQVTHANMSHLCASLVEILSQVTSTHISVIQVNFSPYYRPGAELDVDLRNLMDGLPQLDAVLSRPIFNNLTDIAVHIRTLDGSNIRDQELAHAIRLCLPTLDARGILDIGMHWDYRIRAWKRFTVNRVSAQDAVVTNQRANGEGRRGNASTGAIPHVDSEFAPSLPSRPPSSR*
>EED85431
MSNYYAPPNPSLTKTLDKYPPLIPLAPISPPPSVIPSPNTHLLGGSETVPGFLRTSHMVPAASPRSLTPHVTLRQKARHGLPVDPGMLAKDLINAKLAFETDEMQKLYKETSDTVFWNCVDRYVRQCPSIHAHKPGVTLVLTHSLGSLRQIWEPTILEMITLARTFELDIDEIWTFEAVQHGDSALLNDKNLRDVSDVAPRVRAAEDMPQLFDKVIVVEPMIVPPTYHGGKSFELLVQSSLIKPMLYQSKESAQQYFAKRNPTKRWHPTVLNTFIDKGLTTSEPDISIRSSTDQRVRPKTLPFDEAVAWIGLRDLDPRISLHWIMSGQTAIITGGENLTRETVWRRNKNTSNVRIPKAGHLIVQEAPKELAMEIVHYLKEVPSVKL*
>EED85432
MAPSSSLPERCWGPGPGTREFPIALLLRLTAVWFRPETATLSCTTQNSCHGPGVASAQSQASGRMQLKTPHSVGADDELVIKRRPQVALCSYVKIVPPVQPSQLTMALLDESYDFKPATRDKVATCSYIDLPDVDDVFVDDGACYMDWKMENAAKTDSFTIQSVPHSDEEHIPDLSKLNLESPRGDPHLTPKLRSLELKPELTFDNQELIPEYSGETDTQPMAQAQSAAPQHVRLGPLLDGLSNALRLEVDQGWNAWLEPINAWLEPLKMWF*
>EED85433
MVDSLELRRSDRLKDLDSVDYDECSISGSQPESEPKPAYGKRKRELSDDGSNYTPSREGTPVNDTPKTPKRRVSRKYGITNGARQRAVKASRNGGACIISGLKDKSVQQCHVLPRATDASILTSLEWWWGIKKEGLNVDSSRNMAFRASSFSKACRYAWAYLHTVRGDLHILWDRGDILIAPMPDVVDIFMDKYKDGERHNILEVIGKKKIHRYCLIPHPGLSGGARSRANRAIRQGFTNGFHKLKFVPSHAQPHFMIMNAAMKIMENKELWVKGLEEFYERIHLRIDASRVVENILRLHALWTAPPPGEAQLIRKQEHMLPMNIPTGVPRTPERPKVRKPEACKSNGEQRVNTLKSQVAQLAPTGSRCLLTHQEDRSIQGCHVIPRRTDNRLRKRLAAWWGLKDFDIDTPFNLFLLRADIHSLWDKGHIIFVPEPQILDEYRAQSRFPIVVGAPLDKPFRVCNGPLTKYCVVAHRDLPRSDEDSDFPRALDTVGWVVSRVPPQFVTYNAGLALSKGDGPEGFEQLVKRSTYSLI*
>EED85434
MVQPFPNELWLDIFKGLAEEGELDVLARCSMVCRGFRPMARRWLRHAMAFTNVGEVERIKVDVSGGGNSKDERRPLPHLATFVSRLAGRWTSVDELKIYNAAWQARDLDLDGQITQDGVSSITELNLYDVTLPSILTLGQLVCALPLLTWLDLNNVQFNQQPRDAGTISRFHLLPHTQLRTITLDHGSNDAELRPSFVELVDLMAAVSNRRCPFAPLNLAQASPWSAVRRLVLANVTFPSVTTFARLLCALPALESLEFRRSCAFVRHGFDLRSVPVNPGLPLQLADVELAYDFSLHSDPCSVADLADFFIATGLSENLRRIKACLSPLLRVANEVDVALNRLVKHSVQSLHHLSLNSYSPYDISNDAYEWIRADHSAGASPITFSLTNPQSISIAPYFDVSENTCLEYLDLKIQITRADMSHLCAPVVEILSRVTSTHISRIQVYFHRYVCQPGPEIDVDLEALMDELPQLDALLSGPIFHSLTNVFVYVSTLKRPDLQYEDAARELRLCLPRLDARGLLGIGFNAILYAARIGMHWDEDMGELRCHRIKEAAAQDAVPTNGGTSADGDRHTNNATTGASPHEAAAYADAQRPSSSNLMDAQVPAASACDDEPVSQNATAVPGTSVDISAPDDDVDLLRVRKVNFSAMYYAHDVPSYTERERDIDPLCECSCGLRHRFPLILHLANCKRLLYALFEKLTLNGMPSVASPACPRNMRTWSGDEAGEITHHEREPGASSEDEWHGSEQQAMRGKRYFATEGFLSALHNMVLPFPNEIWLHIFHDLAKEGEYDAERARKLGRASSRGPEAPIVVYNDRARCTYATRLSLTGIASPVDKRAPAPSHPCSNRGSRPMAQDCLLRVMRFKNTEDVERIKVDASGGEMRRWRGPRHVFIGGGNWNDGRQPIPYLATFASRFGGRWPSIVSLTISKAVWRAVDLDADTVFRDLARFPSITFLSLSDVMLPTILTLGRLVCALPHLKWLRLSDVQFIQRPFEAFTISQFCLLPCTQLETLFLSRLDNASVPAPFFVELVEFIASVSNRKCLTPLSHPTQACPWSAVRTLHFFHAGFPSVTTFARFLCALPALETLVFAGGFTFLKHGFDLRNIPAHLELPPQLVTADLSGYSALSPKQLQAIKISPSLFLRVAAESDVSLNKLVRHSGQSLYHFSLGLSQFRISPHLDGETLSPQSDLSPVPYFDLSENTYLDHLEFIINYSHEIGPYLWTRAFDVISQVTSTHISRLSVQSRPNHCREASFDFGKLIEKLPQLDDILSRPVFDNLAHVNVHVRTLYRSKARDEKWANNLRVCLAKLDEHGILGISVNSIRIGLHWDHRTKYWKRYGVERDAAQNDIKNSEVARVSDESCTNDADSRTIPCNDPGTVLAALQGVSASSADAQTSSSPLSPNARVAAELDHDDGIAQQGGATTCSDTYLNISAPEEQATDAESSMSAGLS*
>EED85435
MTFARLLCTLPALESIHLGGSCTFAKHGFDLRSVPVLPGLPSQLADVQLTNGFRIYSDPCSVTDLIEFFIATDLGKNLRRITACPSSISRAANEVDVALNRLIKHSAQSLHHLSLDSSLSQCISNDTYELLHADHSADAQSISIAPYFDVSDNTCLKRLDLTVRVAHEKMSHLCAPGVKILSQVTSTHISKIQVNFTPNYWSAAELDVDLEALMDGLPQLDAVLSGPIFSGLASVVMEVMTLVRSDVRDEDSAHELRLCLPTLDTRGILGIGMHWNEKISEQRCYGIERASTQDAAVPNTGTSADDDKLTNNATTLREAEETTDKNILVSEEKEYAGVPRPALSNLMDAQVPAASACDNEPVPQNAMAGLAKDLDIFAPDDHGDESSADLGSLV*
>EED85436
MTAAITDDPMHLYLDDAPDAGMSHLRQILRRVKMGTRMAIEIYSGRSFTVDRGAANVQIVHLSTRKIQRGEELNKKMEDAIKEAFGNRIEHMYAVESLATDPKMQGRGYGTALVATVTDKASIAHGRCVCSYIDTVIWLTSGKKADAQWVDTWLVSSNVANTGFYEHCGFQTVSTFTLGDGNPTWTRPPVVVTVMIREFRPQTRREKAFLISVPHPMAHRRTQSQRYPTMNSPLALESPPLTPTLAVFGSLTTRNPKSYWYRTTFLALFALVVLSVYVLLVAQPSLSSIPMFEPDGARHPPSRISSEAYRLAALRHKHAAGVASVGSAGSAERPQIELDSAQELAAVSSFIASLPQNVIPSFVDPSRPIDPQLVLDFDTRSVQAVEEVQTVVNEVWARNPVMLYSKFYSPVSREIKQMLSEMYLSPSPTIIEVDQRTDESVLAPLLFRLTSKAELPILLIGGRPVQGSLEELRYLKKKGELQRMISAAGAEIYGAKKKQRKF*
>EED85437
MAESVFPLTLDDTSPTIAYAPFADTFGLPNLTAGWNPYYTDSGFSTDSGPSSSSSGVSNIGNGTSLHVTAHDGAQFAIRWNGTDISIYGTVTAPSSSAFTYSVSLDGTSTTNYISSISQVPSVNTASTDILAQFANLTDTSHEVVLTVHIPGMSSKAGTTNLDAVVAFDRAVIDMDGSGSQATPTSSQLPPATSTPTTTSVPDNLISYRGQWSYEPGLLPDSPSSAFHTSTSVGDTAYVNFNGLLKMLLCCVRRRRDPPLPADGTFVCVLASALRPPAYGTAVTLAGLTTPSSGRYNITLDNTSYSTLSARSSFTASAPTILFYASDLDPEAMHSLEITNAGPAVGEETSYLVVLAGGVNVTTLTPPGPAATPVGATSTSSSLARGTVAAITIGATLAVIAVIGLVAMLVLWRRRITRRKQSFIENPQVGYWRTNWRRWLGPGPTQPEYAHGPGAGTEKHDMWEDGRRRSRTGVLNIGMYRDDDEKMEGDVERGQAKGKAVTRPRHASQNSDGSFSIELPELSSTPLEYPPHPFPSTPQPLSLMSQISTVPRVAPSTSPRSARPRGPRDMHGRDSSRGILLSEAISPMSEDEGEDDIPALRVEFAQEQRRPERRTERYLSAGGFVPQQRRRGREWSRSIWGIAAVHIPLLPGLLILVFKLGVGRPLTLASSVRFQLSLQLDSLQPQITAQYGQRAQHQQHSPARAAHVLGAVYDGRRRAHSQPSVPDP*
>EED85438
MSTYLYELPTTGAVFFAGVCEDLTVSYTTAIADATETRANLRAALKESKRADDGGKDYLKLVKVLDDYLPYLYAIMTCVHHGELMLKTEPIFSWRTTLSSTLFHNSPRLSIPTIATELCFTQLTYGFALSNLARAVIHSLGAYERDRAISDAERKAKDERLGFAVTLLCKAAGLFEYIGKDCIHEWELERDRAVAAGLTCPRPPDLSREVVIALSKMALADAQTLAIRKLLSKAAFDNTVTPGPPLPKSHPSPILIAKLHLECVALYSSARSLAKTPGDYRNSDEGGEEVSPELRRYLSEEADFHAALARKWLGVDAGESGGTARGGIAVGFLTWAKKDLEELKKQPGVMATDREKEMRERRREKVVEELESVNVFLKGYKNMNDTVSFQPVPPQSDLQASIPAGRAAVASKIYSKPIPAFGPGSIEDTRRQAEALELASSMGDQSETATLVEEGVSHPQPEDSHVSPRSGSSYAGAGSYF*
>EED85439
MHSPTSPDPHHQPQGVLHPPIDPELDVQGSPDSQDQLTSQMNRLHVASTPAGLDNADQQRIASESVEMHDGVHLPQAAQRTLGQAGAPMQRVQVVGRMKPMIDEHKVKPFVGLDPGRRPTEAELQQARLYKDLLLKQLIRPGIDDMVPQHVPLEYQAEYARKFDELLGYTHQVVPVLELFICAYNDYIVKKLIAAGMTVLSQKALSDTKGSPCYLIRFQDLRNITSTMKAASEAIPYKRMWGGQPSSQPHR*
>EED85440
MDRAVLVTEEMTDGPELYSCCSVSNLTTSVDSLRAQCGWSADDVLEFLRLNPMVSTFGWAEILAEALNDRAAGRPHSRANARLQAHTTERVFRWRRFYQDRGKVPELACARSDDFDAFTAWCAVETRADNLLILWEERDARDASRSPFTSRASSTWRLPRNRRAGGRCPPAASVFSRLQDPRAARRLRVSRIARAPRYWWRAPCPPENRRALTSILRYTPVCLFPSEGAEPIAQSYWRLAYTLHVPTLYGV*
>EED85441
MPLQSPPSLSSQDDSSPSSSSQASSSGLNTSPASSRPLLRTSTSDPAAGLTIPFEQLTLQEQKDFWSHHPQIAHVKAHAPRNPSVGIEPQQIEPGEYYNMEMFDNRMREHEGGKGKGKDAGTQLQHACASPLPSICGSGVQLRQEEWRGRDHLVRCLPTLSHVAPAMAEAGADGTEAGGVQIGRRWPEVQRREAPGGPANVMPDGDGAHSVFGAYDFERSPGPAGGDHAGSAGSSASSSDSLPSASSQSSPPTGPTLSRVDTPMDTGSSAAASSSAVASTSTAHYHIDAGLMASTSQHQLAGTKVPASPVHPFSRPYSSPDTYGSRQPPARIHSPTPVRAAPMPDRSSTASLPLPFHTPFHTLPSVAPSNGAIMEDRDPAGRASLPQQQAPSLSYFAGPSRYRSSAAEHDSDWPDDRSVSPPMARILERAYPSMMYPPPHAVPSPELGPLPPPAPPVVLTPPPPPLSEETRDRAPHEPFLAHDPAPHDSYIAVETNPREYRLLVRLPGFRRDAIFMGTKRWCVLSHFERRISFGYDADLAQVRAEFDGEFLRVIVPRRGTPASLWGIRD*
>EED85442
MSHAVRDPLARDPSRHGSRSSHHSRSPRHSQGGSPLFPFPTEDDTPMPDEPPVQPQVPLRQPRPRHPPRSPPQPTPVPNAGPRQPDPATAIFTQAFAQIAQTLQLMQQGQQHGSAGRKPVVNKPKDFDGDKELYEKWKMEMRLFIADHQISDDNRKTNVIVSYIRGPKVDAFVRILYNTNCAGGYWQISSTELWNILDEHYVDASLKEKAQQKIEYIRQGSRSADDYIVEFEDLASQAGYRLADEHNGAPVSAALTETGEFARRKKLCGAATTATQMLLEASQHNNRLVKLLQQHHKELLGSYQWPESHTSRGGMEQVLCTKEVDNRCR*
>EED85443
MQPLLATATSVAGYPSVADGVAKAEALQADGASLKARNTCGDPIIAVQFVDSTGDNFAVCKAVYYETYLTSKRPQGFSYCTEELSGCNGDTLGRAIFMKSQDVKITTRKQRHAADVIEGGKRGERSSYRENSTKELRHLHYAPRKPWQEPQMQDSKRAAEGQDRLEGGTVEIEEERKAPYATIILWGKVGQKLAAGVGRSAGCNTALLVGKYVNRLYKANACAHLVCISPTSTAAWKISADPRNNPPIRPAHCSALHNMVLPFPTEMWLDIFHGLAKEGEYDTLEGCRVVCRGFQLMADECLAYNMTFKSPKYVERIKVNLSGDAGEFRLLPHTQLETLFLGRMFDSKFPRPSSSFVELSEFIAASSHVFAKHGLDPRSIPVHSGLPSGLVAVELMLGFSLHSDPRSVTDLVDFFIAIGTSKELREIKIHSTPSFRTTTQSDVCFNRLVSYSGQSLRRLDLEPYTPWPTLEENDVPLHAGRSAVPYFDLSENRCLEQSDLTVKVTHGSNSCLCPVTEVLSQMTSTHISRIAVWLRPYDSPRVSFDVNLGTLIEELVDFWVRRASVAAGPGHVVVRRCGNRTYVHGQLFG*
>EED85444
MVLPFPNEIWLDIFEGLAREGEYDTLERCRVVCKGFRPMAQGYLLWDVAFTSTEEVERIKVDASGGDMRRWRGPQRVNINGGNWKDGRRQIPHLATFTSRFAGRWHFVHELHIAHGVWRARDFDADAVFRDLARFSSITSLYIRDIILPTILTLGRLVCALPCLKELKLFEVQFIQQPFEASTISQFRLLPRTQLETLILDSLPELDSTGLGLRPSIVELVNFIASVSNHKFLFPRTCSTQVYLWSTVRTLHLLRVTFPSVANFARLLCALPSLETLVFHLSPIFAKHGFDPRSIPVHPGLPSRLTTIVLTFAFNMHSDARSVADLVDFFITTGIGHQLQDISTCLSPSLRVKTEYDVSLNRLVRQSGQSLHRLFLESDWHKSESKDVWLPAEQRVYKAPYFDLSENTCLDSLVLAVDVSHETRLRLCTPVVDILSHMTSTHISRIAVRFRPYSLLDSSFDVDWRTLMDGLPQIDNVLSLPIFGNLVHVSIRVVMWGWPDAVHKERADELRACLAKVDERGILGIEMNDIRVGIHWDDETNSWKRYGTERGAARGTLITDEDGDTCVYPCDDSQAVPAALEVASATAIARANAQPPSSSYLIVSRVAAEGCNDGIAPQDALNALETCSGTLQQMSSLISHEPSTPIGFTSIVSRTSNARPDMPIRSEILQRCSSLYASGGEASLSAVSRSTPSTTFR*
>EED85445
MVLPFPNEIWLHIFRGLATEGEYDTLERCRVVCREFHPMAQECLSQNMTFKSAEEVERIKVDFSGGEMRRWRGPRNVHIEGGDSEDGRRPIPQLATFASRFTGNWPSVVSLTIFNAAWRARDLDADAVFRDLARFASITSLFLYDVIFPTILTLGRLVCALPRLKELSLHGVRFTQQPFDASTISQFRLLPRMQLETLTLNTLDNGSVPTPSFVELVSFISAVSNRICLVPVGNPAQVYLWSAVRTLHLSSVVFPSVATLARLLCALPSLEGISLGWSCMFSKHGFDPRIIPVHPCLPPRLVTLHLDLRMDVGRRSIADLADFFITTGMGRQLQDIMIPPCTSLRVTKESDVDLNRLVRYSGQSLRRLNLDSSWLIYDSKDVWLPAAQSADWRTLMDGLPQIDEVLSWPIFENLVNVSIGVRTWDGLDVRDEERAEKLRACLPKLDERGILGFGMHWDDVTNSCEFYGMGRGAAREAVIIDEVSGADGDTCVSPCDDSEAVSAPLEVVSASSEARANVQPPSSSYPTDSRRMLIDEGLTSLTVPHPGVNDDHLDLCYWRTQALLSAVSRSTPPSRRRSTCYSGSLRAREVIEGGKMHVQATTRITIDTRFLHFALRSLPPIALFLIDMDQPIFICEKLRHRSPTRHRVTDNIGNTHLSCRLHTSIGPQGGELVRWIQEQVQAEGDLSEITTMDRAQQTLWFTSVLPVVRCKNVPQYTPLVQHRQPRAQFFCHDFAHFIPVTGDSPHAYHHVLMQRKDSGSDGNLQLVSDDNYAFTGGNPASDALLDAHNDRSCHKANFDAIRLWLHVVAPYKRSYCRQPSTVSVLEYLPAPVDEVEALVLHGHSALHWELCTCFSSFLSFGLPCCAEAESPLAAKASSSREYQMRYIAMDEALPSQRLTAVRIAYSRSHDMLLALRVLAERSERDENKAVARGVCTMRHCHMAHRLPLRSSSVSEQATAWRAQRHTSHPNYSIASDAVPEKPALDLQSAFMPSLARTQSTRARLPVVGHGLHGTIIFRTKINKASRSEAIGADSPVGIELLRLGRSSALSTRLEVATNAVHIWRSHRNISSRFKSPLDVQKTVDNDFLAYYSDLNSIRHTSASPLKIRLSITKKLGAEHRNGVLEVYADSWEGFMEGSRENWKSRMTGA*
>EED85446
MSAWGQKRSGLNIVPATFNLVHSGRTVLQGLVLQHPRSEYLVLVLELRQAPLGPDTPLFGTRIPPGTSTQSPNSSISPSTLFDIFDVHCLDAAINATHARLTPDPIDTLVDNHDAHALSTPPPVDALTRCARLLSTRCPACFGGAAFGWSFDEGADIHVALDATFSQRHSMHVDNSPHFYEPEFCIPKAQVDECGWRIIAACKKPLRPSCAPKVPAHIVDECEKLYEAADEKKVKTSANQFDDTGVMALICHHDIPIANVDTPGEQQKYAIVLLEHLFTFLPPNATIVALYDIGCVVDHSLKLYNILPVDIHQQLIFAISAMHAYGHQWACQIVYNPRLCEGLRLTDGEGVERLWARLRKLIPITRLSVRSRYIWLIDCQAKVISLDLRDDLGHWIAQRLCHGVESREVSSLEELVRVGIPKEELQGEWKQQCVAQTSIRAHAPVHLKKELDIVLSLQADLDTVNKAIKTTRTVLENDFGNVSLEFMRLLLMAHDLKINIHKRTVGSFFEWDQLDQAVGGRHNPLGTKIHQQTRKAIVKLSLQLPNWHWRHHPTTLASETVNSALGDPQAYAWPFVIETPLPYIIHQDDTDLYGCLEEEELSYLEAEQHLVEDVFLDDTSGDEGPPEDARSEASVMTITITERPILDENHPVKCTVFVYSSQAERARFHPDRGNFNQRECEIHV*
>EED85447
MWIVSTLGCGKEAPGHLERECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPLRSANPEPPASPIVGPLRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWLRQKHLSGEEWKNLGRNTCNEWFDEEEDDGVDWELYGDGEX
>EED85448
MVILRNNMMRRASCPREDAAEAGGVVASEETEVVTVAAVVIVAVVVVIVVVTVAAIVVVNVAAIVVVNVVVNVVVNVVNMVNAVNVVTVVVLVAASEGNEVSTVAGIAGNGGVATASIAVVAGIAGNGGVAMASIAVEAAVVDAIPLGFHEARGGTPAATPA*
>EED85449
MYALVQGLEGDLLVLDLNRRQFGEAGGDRARMPRGGSECKGAVGAERMLGPSDGLVTLIILLNRSRRVIRAQLLFAGDDESKRTVSEVDVDEVLGVEGVNKALTCSHVGWGKDGEEVKREDEGRLCTLVHAQLIVMHPYQVQFSWFVVLTWV*
>EED85450
MSAHATVHTSPGIDSPMLHIPTSADLGDLVQNTHAEAETLLVECFHEPVEDWLMEATPDSKGHLRAKANEHPQAKVWEMLGLTEGDNNVENGRDTYIQEADGTRLWRPNWSMDTNHLINKQFINVATDLVYNEEKYFRHFKDIRTKAANDVSVAKIEQKKINDCCCTRHAQKTSERVDGIALFEEWIQARELADFIDDEYVLTPISASSSGFSTGTEEHHHNQEAGPNMWMQTKLMFALERLGSIVKESEKFKKQTTNPTQDVHVTKQPRTAKGKNNVFYAGPSKANLRPPDSHKKALWCCIVKTTYLAGLQGSTPPMVNEVPVWWTTWEQKLIDVLKECGHGDLYTHQQLMALADLPDDIGDEADESSIE*
>EED85451
MVQQNFHMLNQLDPALRPQLDLQKFLSAFSITIGDEVFALNDGWDLGPGSTAYSEVLQTTRADALELFEVQKRTQAKFIASIARNANPEPEPTADAPVRTLGHPCAAAAKHAAAQLDGEHGSSSRPVKVRKINAPLLSISQQVGKGKGKAIRRSKHIELKGGGEVRDDGESERKSSQAEDSSSDEDFEDSGPTSVGLVDEEEQQEPVLDSEGDNASDLDWTSVFRMSNLQAADREFALLAKQVSSLQQSQKVVTVQLYPSDVKCIVSVVAQNLHLLSGDKSSERKTEWLTRLCSHIYYHFSGYKCVSNSLKATYFLPSISASDIQLSTSMGLSGTILHDHVTATLVKSKVLHAPQQRQSSLTLALLDPILACLSKLPLATPTSPESVLLAKVTVNIQQCAFASACIFRGITFSCRFLHTQSNLFPNLEAWNEACKEFLAQPGNNERGLCDPGAYGTWSKVGGKASFPTALKWVLSGTSGGKKILPQIGQLNALLLAGDLCYAGKVTFPTVQEMGQMVGKMKKGARQGLLECALIQELASPDEVQAAFEGVYNFLNSSLTDQQKASITFDVFMVEHLLCKYQRVDRKA*
>EED85452
MWSLLLLRQLNLVLLLLLLDQQQGLHECFAKAHRILGKKPLSRKEEVIYNWAVGATEKQMASMCQNDGAMVTKVVYGSDNDRACIGSHMVFMGLDIITYSDTVLKGQRQQYKKDQGCCVD*
>EED85453
MRLFPTSTPSPARLR*
>EED85454
MSCLPVFRRHNREEIVDDILHGTLVLLETAGDGLSYAPIQGLDTAASALSSIVKMIIKTRDNDQTAVECAEAVNELAALIKETMQKTDSEVKSEGKLDELKDKAGKLKEPSPFLRCLRSEQNAKILDSIKEGITNARSNFTVQGIVMVELLQIQMVGKIEDVGEAVQRMEQAQRFSQEEELLARRLPHRNEAEYRSAINSTKNGYLQGTRVELLADLEAWAKAENNVSHPPIFVLTGAAGTGKSTVAYELARRLEANSNLGASFFFVRGDADLSTTKFVFPSIAYQLARSHPTLRSFILDACREHLAHGETQDIDFQLNELIIEPLKKISADHAPITIILDALDECTELALERIPRMLYILMQRSRDIPFPLRILITTRPELQFEDAFMSVKSDGITKMLRLQDIPRAIVDSDIKLYLDTNLTHLREFGQALPSAAQSRFIDELTRRADGLFIYATTVIRILRGDPDHLLDNMDSLLADTAGAAEDQPDLSELDRLYLVALKHAFPIHSPGFPKTVKAALKDVFGVLALLQDHVSALTIQSLLNIPVADTQSILHRAGAVIFSDPEDKNAPIRPLHASFPQFLIDPDRCTDRDFFVSHFCPTWPYRISLSRISEYTPT*
>EED85455
MIRDIVFHTLRLSHSLTYSNYLSFVALLRDSPHVALSVRELSITIEPEEGQPCLPPILPRLHEVERLTLNFSGGMFDMSEDTRDRLSTYFHSVKKLRLENVRFDGTDLLQLLCACPELKALHMSAVYWRRSSLLPAFTPDLAAIVPSAPVELDELILQTPPAQVVAWLVRGPFALRPRRMDLHWDGSTDGKYVPKLFESAGKSLLDLTLEFPGWFSFREAMNLSHNTNLTWLRFDKVRVDGSQPRFVYIPDPVQLHSHDWIPAALSRLQSPRIQQVHFNIELCNSGELSVLDWDLIDTHLVRLARGSDGKLVASFHVLNSDYKPAKYNVTDAIMYRLPRLRAAMCRVGVVYTHWPNVEECWFP*
>EED85456
MSRVYDTSSEIYSLYSHPMTRASSITQDSEPLTTPPDETFLGHRYKAGTADGKAAASHDAVHIRSATPETPRYLAPERRLDALHETPEHEESPYGPYFSLPRDPTSSGPSPAGLARKRTTKELIGRFESMSERSEARTAVSSVSTKVEQRRARPGAYPAIEQKKGRSPIRQSIRNILSVFKKTKSAWKEQGGESGIAEEAGRPQVERQPAYGTPTPTPARVLPTVPQLSALKESKDLSQCTTPLEPGHARRSGPLLHLSHDISPSVHPVWANCTATLHSTHLLLTWHTRRGNPSTDIISFNACSDVRSMSLSELDANERAMLPVDAREAKVFELLFEGRPREKFAAKNVGERATWVSAIWVNCHVDKPVLRVDTGTGAWKPTPHHEQRGALGTGDLRQVLEAIGGSVKKLEGRADMDTGAMTDIRSKVNAILTEIRSQPGPSDGPASVDISAVLQKLEDLRSELRIPEQSTVSRSPIGPVHSDVFDAIPVLHEKLDILVALYEGKQSVETTADNDQGAGSQGQHWLEAFVNHGVSQIESVTAGVQQLCKDLGPVPEMQEQNEEGDTAVQEDLKQNAEARNTLTTESLVGLIDRQRQDHERMLRALGTGMAL*
>EED85457
MAAEGYEACIQFIITKMFFTLKGYRSAKAIVPILEIKLMPVPAGLDYNVSMSRVYDTSSEIYSLYSHPMTRASSITQDSEPLTTPPDETFLGHRYKAGTADGKAAASHDAVHIRSATPETPRYLAPERRLDALHETPEHEESPYGPYFSLPRDPTSSGPSPAGLARKRTTKELIGRFESMSERSEARTAVSSVSTKVEQRRARPGAYPAIEQKKGRSPIRQSIRNILSVFKKNKSAWKEQGGESGVEAGRPQVERQPAYGTPTPTPARVLPTVPQLSALKESKDLSQCTTPLEPGHARRSGPLLHLSHDISPSVHPVWANCTATLHSTHLLLTWHTHRGNPSTDIISFNACTDVRSMSLSELDANERAMLPVDAREVKVFERPRERFAAKNVGERAMRVPQLIRVVKC*
>EED85458
MSQQQTAPKPSLQGVRIRARKGAVKAHAKHEPTVFRDQLLKHLETVPANDFEGFTNKLVQAGSTLEYLKYADVLFEILLVGGLLQPGGSYLDDGAPISPFSIVHAKEPVEVADIKQYVEVLNKLIRRYKYLQRPLEDTSLPTLLQYLNRWTDAQKEKLAIATALLMSQGLASASCLQSLTKDHLVKNDVALNAITTIFRAYLTDQAMDHLAGALRRGGIKDLTLFFPPNKRDDKILDAHFRQAGLPQVAEWWTKRQYASLKEGIIKTLREMLEQGVPHVEIVAAIKTRQEEQPLPDTELVQCIWQGLIASVEWSARQDQNEALALREISGFADILEPFCNGAKTEVALINIVQVYCYEDTRIIKAFPQILKVLYNKDCISDQAIIYWHQKGAKPQGKQHFLQAAAPLVKFLQEQDDSEEEEE*
>EED85459
MGEGESGDPQIPTGVFYFIFDVEDAPVIFTAITCFFVGGIVSEFVQSMLPANLLGSSLGLYIAYHLERYYRHRREISRLYQPLNDDVGLSEDDDDMEGSSMQLLPTHIQNTSSHNAAKATAAKPGGLIRLENVWDEGEELFGIGDDTDDEGDAHVRIPTSKPATPKIVVTDAEEP*
>EED85460
MGLLSLGTPLAWDDAKQHADHVRTHGITQFLNIWSRLKDRCGDELLWGDEIEYMVISFDNDAKNAKLSLRQTEILAKLTSITNDIASDRGESDSVPTFHPEYGRYMLESTPGSPYTGSLTDLLSVESDMRYRRRLARKYLKQDEIPFTLTSFPRLGVPGVFTEPYCDPADAVSSHSLFLPEEITNPHARFPTLTANIRRRRGSKVAINLPLFIDDNTPKPFVDPAIPWQRSIYPEDPGSEERGLKVMKSNKPQRECGADIAVAAERKPVQDTEVSLQQRQPEYNDIYAPHDEAIFDRLRKHGIDDPLAKHVAHLFIRDPIVIFSETIDQDDTASNDHFENIQSTNWQTVRFKPPPVNSPIGWRVEFRSMEVQMTDFENAAFSVFVVLLSRAILALNLNFYVPISKVDENMARAQKRDAVRSQKFYFRKDVFPPGSSRASSVCSSGASSPVDGPGGTQQKERKLRNCFPSIPRPVDGFDPRPVEDEYEEMTMEEIMFGKYGRFPGLFGLVYTYLDTLDMTEEERFKLEGYLNLVKRRTDGTLKTAATWIREFVRSHPAYKFDSAVSQEINYDLMVAVDEIERGVRKVPNFLPTGV*
>EED85461
MHGYSVDELDTAARGDEEREGRLHCV*
>EED85462
MSATIHTPWIASYIVGIAESYGGDLSSVPARSKGAKAQLIKFLTYPRDDHDAQIWAMLSDKLYTVPVRFSVEAIKSFKEDPIFKGKSVTQHKTALITLKNFRPFFARLPKGGDRGMSSTQHLALDVGSFKLLGSYDEAVWGDPKDIESHQDIQEWVKGLREDGGGGNVLKLKKLVQEPERNQAGAAPASTLPLAVRSDVSPMKESSRKVRVAARKSAYKKPPAKPAAVPKPAEPEVDAIKAWKARWRSFVFKRPKNRPPQEFFDAFRNTKDNSGGNEASNPAGQIETTVTQPVDCPPAPLDLAHSPVPLPKRPRRDPLDLSSIPGTPERTSPSTHEREEPVPATPSHWSPSIRGTPSRIELADPDALMQDPDEGRSRSLVNQPNLQQKPRASLRFPSPPERAAARAQQPAVAQHHSVLEPPASAPPAQRRGSILLSSPAQEVSLGHVPSSSAPVAPFSSAIRSERGPVCPPMTRRRVPLPILGHLSPDFDASGPGRILVPNSDTSASLSQSQAQSQRSPSQRDSQSQRYSLQSLSYASQSQEHGQSQPRSQGLSQLRNETHLSPSGPTGKVSLPANAEAPDVPASQPIACVENPIVPSASGAVNTNDCEDSRPGGEVASSSQPVLGVDVPSTPAPKAQSGSESEIEGEDADDEDELGQVGHDDLSSNADRSRAANRGSDTDVELDSDDARTKELVDKYGAHRQQESSKDTVDILHLAPLSARTGTPQRLVSISSRRSDQMRKRISQASISSGRSLSSDGPLPPSSPDVFMNEKSFRATQSASHTSSSPPPRSPVRRSFDTRTSHVQSKIQSEYIVSSREHAIPTLAVIQPSNSLPLHDPAGWRKPSFMRQKAEPEKKSEDKTNNVLASASAAPLHRPKRAISLSSSPEAMDPPPKKRKTSARTAHPAEAVNGAQSLTNNTKQSATNEQTRTNRVVAEVPDPRPTISRIDKRSGASTSVAPSIAGKKRKADDDEMLRSRWEAKGASRQPPKAKPTAVSFYPLLALSNKKGNTGTSSQEGSNRARTLGSLATLLPHHAQLLQTGQMDERSSTSRPSGANSRSMNEKDSHQPLRSIEQITRPEHGLNLKAAHRQEHAGYGDREVPPGGILNMRPGHPSNLLGAYKIDFKMKRQEDGPPMIIWKDLEEALRKTGRRRHKDRQKAELRIEPPSRQK*
>EED85463
MLWISIACKLGAYALFALFAHIHANGGLNGQNIGFLLDAVTPQARLITTVLRSLGPSLRSAPTIKAPDDGWLIVETPYPTMLHILPDLEPTASPVISATAGNGSSHRAHDLTTLARRYRMEPIVWGSMHGGEGLWERSYAFVHDHGVGVAAVGAILAFMCLWIARRTRKGSDAKASRVTMEIWYQESLEDALNGIMTQQGNEANIAHLVQVYNAIITNASRSALLNTATYAPTASAAARMVTYPSVDAADAYTRAAAADTLHTLTTPHTPAPLGPAPAPSRPSTVGIPDNTHANDAPAIDERSDLKFVSRILDGVPRLHTHWGQADRERAAATSADAASAQPGPHPASPPPDVPPTGSPPHVTPPHGSFQPPPAFQQLTWDAVRQFCWDAMKSTIRTAVIPKMAAVLRAEHDWHMELDAGLRALDHRTAQWDVERVQSYEDLRERQDKLTLRYVQLQQTCGALETRCGQLQTRVTGLEQAERLRVACEVARRQEEDARALWEEMMKGKGLDRGHGSIWLPGGLGEGVSTLRQGRAPAAELVPNVLYRTPEPAPVPAPSRPPSPASPRLPPAFASLLQRQASPIPRPAAPIPRSASPPPRAASPPPRPAAPSPRLASPPSRPASPSPRPASPVPELSPPSVQQMRLILPARPPTCSSTRPSSAPSSPLPRDEDKSYLLAMPGPEPRRSHTVGPGVPPRTESSASDISAIAPFDWPLPDYAYAQEPSSLPETPVYEEPLDAQIFEDAPDQQDFSPVPSLFPSGASHTTLNPTAAEFRPTQAHQQAYSHIQPNNLHPAQTPGAGPSAYTDYPPSRYLPAFASSHHRRAPESRPPLSGNVPLGTQPRYVCCDICIAWLFC*
>EED85464
MSSDSFSNTRVWFITGSSQGLGRALLEEVLASGERAVATLRTPAVLAPLAQKYPAAQLLVVPLDVADAAQIAAAFGAARAHFGRLDVVVNNAGYGLQGEIEAVPEEDARRQMEVLFWGPVHVTKEAIAFFRDVNPPGHGGRVLNVSSCGGYAANPTLAYYSAGKFAMEGFTEAFTKEMLPEWNIKGVIVEPGGFRTAWNAASMVRVPAHPQYAAPTSPSSVHRELAAQPYIGDPAKAARAMVQIAGLRDPPLRVQLGTESLMLVRGRALRTIRDGEQYEELAHSTNCDGVDRNKVMEWFKVLSSDD*
>EED85465
MCEQNSGKWSGKEGKIYRLHSTRAQRRRPPATSAGGFGPLNVDVPYEKYCVESAQDRSPSRIYFSSASNSQHGPLGDAVSLPSRMPSYLVTGANRGLGFAMVEELDLVAKYGKDRLALITLDYADGESIEKAAEAASALLPNGLDYLLSYAGVNLQETALFDQIDMKVLEDEFRINAFAPFHLTRSFLPLVRKSNEKKVVFVTSDLASLENAPSLSTLSIVYSMTKASLNMLARKWAPTLHNEGIAVVLVHPAPPGWVGTDMGKLIREWVKENIPHHKMITIEESTTGTLSVIKQAKPEKAIKYYTQDGSTLPW*
>EED85466
MAQTTNSVARSDKKRGPPTLIKYYLVVYNVLSAVGWSYVLALTVAHLAGLDVAATAVHQSRVASYIPASVSQYLPIVSRLAGSTHHYEKQLPAALVPLFRRACSTYAVVGLQTSFVQSFALLEVLHSLLGWVRSPLGTTMAQVASRLYLVWGVTALFPETHTHPLYASMMLSWSLTEVVRYVFYACSLLGREPRALLWLRYTLFYVLYPSGAGSEAGLIYASLPSPPPSIPLLSSEWYGWALPLSWPFATPRWTQALHDDLRCVLFLIWWPGLYIMYTHMMKQRRKVLGGRTLGAKPKTRTE*
>EED85467
MALVTISGYPSSGKTRRAEQIRNHLETRLQDSAYIGPKLKVAVLSDDSLNIDRGAYNDGRAEKLARGALFTALQRQMGADTILIVDSLNYIKGFRYQMYCAARESRLRVCTVYVLATPELCREWNTVREDGRAYLPETNICYSLN*
>EED85468
MASVRAARSRWLMRMVVWWWLSGNHSSMWTASSAPNAAIK*
>EED85469
MFNTCARMALSPVRRSPAPSQSNGTRDAFRLSVDSHAPQPLAIKDLYSVCSAAVRFLDCSSSPLFLSQEIPTRKLTDLGRQSCELNKASYATDRLFMAGSTNLFVTFEYAVHRQNPHLNRSLMALARPREFFIEIKNIEISCLLKM*
>EED85470
MPSRASSENTLHRKAASGQNPRPQTPENSRPTLAELQTTPLNPKISTRRSLSAEPSKGAGLDPHRIELGDEMAEYWAKMAVKTFLDEHVPGGDPDEQTKSMFHKFDGEMLDKLEFVMASELIKGVQSVFQCNADNTLVAKDTADWPDNTDAIDRAVSATMRPDVCIYSTDDDHAAPYTLTAQELAGRKYSEERKQKLARTRWGSMVVPIELKSDISRAPFHFGNSRTEETEKKIKARGQIADYATRIMQRQHRLFLFMIVICKREARFLRWDRSGAIVTDAFDFVKNPEPLHTFFYRLSKMTREQHGYDPTVVPADADEIALMESYKDKLPQDEYLRKCLNDAMERGWPIQKNPGAPLRTRRTRLAQLPRTLLKPPLPVVNRRLDLPPTRACLIQVHTSQKTPV*
>EED85471
MHIPIQLIFTALLALVATLKAPSPLDGPGVVALIDGVVTSLVDVHEHADHFVRRMVGTTTPGRFQLLDAAPQTSLAECARPLIVEDDVVFTNMSIIVDDGVCPAVWNTVVTFDIVVPAASVSSGAVVEVVKALSVRGPTSISALTCSPMDAPVTHAYMESMYITSLAWFTALVTVYVVAVTLLVKHRVTSMHRTRLNVEAGPSAPPFKPASPGSPETSPPYSTSLQPLVMMDFLSYVHDNICHTLDHVLSPVPASYDDDQVGCSVTFEEIVAEVSHIASVTDMLYAEAASQRADACGEGLRPSSRQCLSVSPKTDTTYPPIDQGEAVSTMISTFIATLPSPFLAGSSAPVPTIVVLDAPLASVVRSPETEPKNEAKPETLDTSSVMTHDNIWGDKGLRLWLPPAHTLETLPSTPPAITSSDVGASAHADEVPASPMISTPVISGVGASANVDNLVVLPTPEDAARQLAWFALCFLLARMQLMRLCLLATHGSASHLKHSTDGTQFMLFFVGGQLYAGFIPDHARWPDGSVMFPHANDDDVSREAARDNLFETIGHGTARRIAVSKLRMDDGRVLITLHRNHLPTINTQKNHLRTMNINMNRGDAVAVAVDTVRWMTRTCLEQCRCNSTTRSPLALPMLSPAIKNHLKLLLRFLPLPLPLPLPHLLRPQDSDL*
>EED85472
MISTFIATLPSPFLAGSSAPVPTIVVLDAPLASVVRSPETEPNDEAKLETLDTSLVMTHGNIWGDKGLRLWLPPAHTLETLPSAPPAITSSDVGASAHADEVPASPMISTPVISGVGASANVDNLVVLPTPEDAARQLAWFALCFLLARMQLMRLCLLATHGSAPHLEHSTDGTQFMLFFVDGQLYAGFIPDHARWPDGSVMFPHANDDDVVSREAARDSLFETIGHGTARRIAEPPPNDQHPEEPPANDEHQYEPRRLRRRRRRHGSVDDADLPGAVSVQFDDEITSRPPNALTSHQEPSQTSSPLPSSASASSSSPSTSRRRPVIALRVFSAIRDILNPAVRPRPGQVKNKSPSMCTPTPPPPPRPTGITNIIVHNASPNIGDLPLIPSTSSSLPITLSPRRPRQVAMSVDHSLGNIPVRIVSGKRGKKRLVSASFCFDDALNAMETGQDLFPSLVTACAVPPATSTPFLVAALIPLPPSPPLS*
>EED85473
MVKPFPNEIWLDIFKGLAKEGEYDTLERCRVVCRVFQLMVRGCLGGTRYFWDVEEVEHIKVDTSGGRLRRWGGPQVVKIIGGNSKDGRQSIPHLATFASRLAGRWLRVKELWIENAVWRTQDLDLDAILRDLAVSSITELYITNITLPSILTLGRLVCALPLLKLLSLHDVRFTQNPFDAGTLSRFHLLPHTQLETLRLSHGYVGRKLRPSFAELVDLMAAISNRRCPVAPASLAHASPWSTVRDLTLSYVTFPSVATFARLLCALPALESIHLGGSCAFVKHGFDLRSVPVPPGLPLQLADVQLTNGFSIYSDSCSVTDLVEFFIATGLGKNLRRINACLSPILRVANEVDVALNRLVKHSAQSLHHLFLDSSLPFRISDGADEWVHADRSAAPYFNVSENTRLKHLDLKVPIFNSLTDVIVCVRAMHGSNVRDEDSAHELRLRLPTLDARGILGIAVNDVGIGLDWDKSILGWKRRRIERVAVQDAMVPDNAGTSVDNDMHTNNATIGATPHAAEVVTYADGQRRSSSHLKDAQVLAASACDDEPVPQRATAGSGTSVVMFPPDDYVLWTMFGLRRVYVDVKADSEKATPMIVPIPVLDYAGYPFVVSAASAPTLIGWRPPSFSANWCSSIRPTLQLAWRSYAIPGTSVATLLRPWLSFVTDHPPSLVHGCDDTRQKTFVHINKPSGKAAAVSGTRTVHVSYETVAALPRALSKTSWSVASGVECYRETEEDMNWPTFRGLEDRTSFKEMNPHLATFASRLSGRWPGVSKLSITRAVWRTQDLDLDAVCRDVAVTSTTGLRLTNVTLPSILTLGRFVCALPHLRELALTDVRFTQHPCDAGTISCFRLLPHTQLDALYLNHGRDDIELRPSFVELVDLMAVVSNRRCADPPPDLPQASPWSAVRRLTLDNATFPSVTTFARLLSCAFVKRGFDLRSVPMHPGLPLHLYTDPDFTADLVEFFIATGLAKQLRRIQGLRLSFLRVASESDAALNRLVKHSAQSLRHLSLESFSFWRISSDMNVLFFTDHSLELDVDLGRLTDWLPQLDAVLSGPIFNDLTNVVVGVGTRGGLLCLPTLAARGILGGYTHSVFMNSVRFGLHWDKRIREWRHYGIKKVVAQDAVVPNAEASADDDKDTNNTTTGAPAREAEGAAYADDQRPSSSNLVDAQVSAASACDDEPVPHNATTGSGTSVDMFAPHDHGTSEGR*
>EED85474
MVKPFPNEIWLSIFKGLAKEGEYDALERCRVVCREFQPMARECLGTCITFENAEEVEQIKVDASGGGLRRWGGPQGVIITGGNSEDVCRPIPHLATFTSRLVGRWPGVEWLKITNAVWRTQDLGLDAVLRDLAVFSISYLDLRQVTLPSILTLGRIVCVFPRLKQLSLNDVKFTQNPFDAGTLSRFHLLPHIQLERLWLDHGHDGAEPRPSFVELVDLMTAISNRRCPVAPLNLAQASPWSAVRRLALGKVTFPSVTTFARLLCALPALGTLELWGSCAFVKHGFDIKSVPVHPGLPSQLADVQLIEDFSIGSDPCSVADLVELFIATGVSENLRHIKACLSPILRVADEVDVALSRLVRHSAPSLRHLSLDSSLPCSRSNDKYVLVHADHSAAPYFDISENACLEYLDLTVEVAHESLSHLCAPVVEILSQVTSTHISVIQVYFSHYYQPSAELDVYLGKLIDELPQLDAVLAGPIFNGLNNVVISVGKQGVWYERDEWDARDEASAYGLRLCFPRLDARGILGIGFNGILLSRIGLDCDEDTGELRHRGIERVAAQDTVAANAGTSAEDDRRTNIAATGAIPHEAATHVDARGLPSSNTGNARVPAEFECGDGNVPSDVTASPGTSVVKSLPYDHVSLQMETEIKSLAWLSYVAEYETVPWGPG*
>EED85475
MVRLLSNFIIPRCLHVPDEAEQPDWDMNVLVIPSDIGLTDGFCIRSDAGSVDDLAELFIATGLGKALRRIITCLSPFLRVASEIDAALSRLVKHAAQSLRYLSLDSSSPWCTPHRTDERIHADHSTAPYFDVSENTCLERLDLIVEPGEVVFDINLGKLMDGLPQLDAILSAPTFDGLTDVDVDVRILDGPDAERDEELAHELRLCLPRLDARVNLAIGFNGILYAARRARCSPFSRRRLSRIGLDWDEDAGKLRCHRIERVSAQDAVVANEETSAEDDRCTNNATTGRILHEAAAYVDAERPSSSNPMDARVPSQPVCDDEPVPPHATAGLGMSVDISAPDNHDDELSALPGSVA*
>EED85476
MFNLRTTRRDTKLMKFISARFGCPNLLATVLHCSHSETKELTFPRRTPILIHNNMFTFTDGSTHPWPAGSHARYREVTNEPCGNALSTQQYSIADFQEDQVTCEIATSVALSALAKPRMGHHEQTMPKLELWPILLYSDVVHGVKATGSQSKKNACYSPSCKSAFPPPQKPKGKVSPMDGRVQFSQFAVQDQVQDCHDLRSSDRGKPNKDAGGVRLGLTEAMGQEVETEVPRAAEAGLYTGEDKGRLCTLVRVQLVRAQHADAAPGADKEMSISGI*
>EED85477
MVRPFPNEIWLTIFEGLAEEGEYDTLERCRVACREFTPIARECLEVRMRFKNVEEVGRIKVDVSGGRLRRWSGPWQVIIEGANGEDEHRLVSHLATFASRFAGRWPRVRELSIENAAWRARDLDLDAVVRDLAVFSITKLNLYDVILPSILTLGRLLCALPRLKWLTLRDVQFTQHPLDAGAISRFHLLPHTQLETLHLDFADGRDDTKLRPSFDEFVELIAAVSNRRCPGPPPNLAQTSLWSTVRGLQLNNVTFPSVTTFARLLCALPSLEGLELKGSFAFVKHGSDLRSVPVHPGLPPRLADVDLTYSLLYSDPCSMADLVDLFIATGLSENLRRITACLSSSPRVTTACDADLNKLVKHSQSLQHLSFRPYPRSSVSDTHEWHADQNAAPYFDVSSNTCLERLDLTVDVDHENISHLCAPVVEILSQVTSAHILRNHVHYLHYNHLGAKLGVDLGKLMDGLPQLDAIFSRPVFNNLTDVIVYIETLDDTDVRDEELAHDLRLCLPMLDARGILGLSRSGLHWDEETKEWRSHKTERVSAQDAVVTNAGSDADNDTSELVWVPPAVYADAQTPSSSISTDVRVPADSACDDELELQNATAGPGTPVDKIAPGDHGDKSSAEPGTPASERCPLSVLTEAHLT*
>EED85478
MVLPFPNEIWLDIFHGLAKEGEYDALERCRVVCREFRPMAEECLLGNMSFISTEEVERIKVQVPGGEMRRWRGPLQVFIHGGHWDDGRQPIPHLAMFASRFAGKWLFVEMLGISRAVWRSRDLDADAVFRDLARFSITSLILYDVVFPTILTLGQLVCALPRLNQLILCDVGFTRPPFDASTISQFRLLPRTQLETLSLGETSNTPEPSPPFVELVYPWSAVRTLTLLGVGFPSVTTFARLLCALPALENLVFREPCTFTKHEFDLQSIPAHPGLPSGLVAVEFQSNRRSDPLSMDDLVDFFITTGTSSQLGDITFCPSRSLRVTTESDISLNRLSPPMGTSPSPKSRRCVATFRPEFRISVQFYHAEFHDVKVGMLIEKLPQLDAILSRPFFEDLAHINVDIYTTDGLDIQDEQRANELRVCLAKLDERGILGILVNSTSLSSIGLRWDYKTESWKHYGVERGAAQEDVKNSAVIGVDDESCTNDADSRVIACSDSGAVLAAFQIGSPSSADAQTRFSSLSSNARVATELASDGGIPQQDATAGSDTYLSPAAPEAQATGAESSVGAGQSEKD*
>EED85479
MTSLDLTGGAVEVGILISVFLYGVATVQAYIYIRSSAQDPLWLRLLVIVVWLLETFITVFTCMYLYDLTITHFGDAGAFLEEPWTIAISFALGGIASALVQSFFAWRVYIISGRLETSVISWTGSLVRVGITMTICMLLLKTNSTVATFERDYPWSITFSLALAMFIDVLNTCSLCFWLWRKRDGHHRSVAIVDKILIWTIGASFWLVNLGVLSPHSIHRNRIGHKACST*
>EED85480
MFIYCNGSDGSIRVSSRALSEIGNFLPTDATFWLNTVGLVFARMLEEASYPDNLKRSYIWLFIFCIIPELYSDSTPLFRKSNSAPGVRHPTYPSYMTDDHSPIEFSCIYSADRPPTVRFSIDPIKRQQESEPAMHLFKQFATLLSLSLEADLAWCRVCAETLVISGNSASMPTSLSHPSQYFIGFDLSQKGVGLKAYFLTEACSAVYGVSKTDVVSSLVDQLSAIPGMEAPNIYIAWCAIKAFFQLLPQRLQPSVEIVAVDCVPSHANRLKIYFRIPLATLSTIRRVMTLDSTLQPTGVEKTLEWVTLFWRLLFPDITDDEEPGVEHSRLRHPTSGLLFYFDLRPDHTKPSPKVYIPVRHLCRNDGDIIHAVTCLYDMLGFEKARDSYGHFVRNTFAHKRMETKTGVHTYMSFAAKQGDAEITAYFNPECFSSEGAV*
>EED85481
MQRRTKHSTGGVLSFPS*
>EED85482
MVSPFPMEICLDIFKDLAKEGEYDTLERCRVACRDFQAMAEKYLAYCMIFKSTEEVARIKVDVLRGEMRHWRGQVNIQGGNGRRIPYLATFASRFARRWTGVSRLHITRAVWRVQDIDADAVLRDLAVFSITHLHLDNVTFPTILTFGRLLSSLPRLQSLELRSVQFIQQPSDACTIAQFRVLPQTQLKVLRFGFWYDSPTATQSSSFVELLDVIASVSNRICQVPPRDLVQGCPWTTVQALSLDDVVFPSGTTFARLLCALPALERLEFSGSCTFMKHSFDHRSVPPRPGLSSRLESVSLPTEFGFQSGLHSDPHSVADLVDFFITAGISDQLRTITVWLSPYFQLVRESDIVLNRLIKHSGKTLQRLSLGTPLRWSVSNEKDLCVYEDQNTALYLDVSENTGLEHLALTVDVTRENILRLCTPVTNILSKLTSTHISSIIVQVHYNSDSIASLDIDLRRLMDDLPQLDAVLSGPIFDNLTHVVIAVHHFNKQDIRSEELVDDIRLCLPKLDERGILGISLDGTKIGLHRDYVKNGWKRCEVKRNEAVITHEGATGSDKGRMGDNATGDNTAEGCRKTRGELTNSDGGNVPCLDITSMVDISGEQKTLKESLKGWASERESDRSTAGGAWKLCYGINDKRTGHNGRLRTSSPYEHLTKPDYWLIAKPCTMVRPFPNEIWLDIFKGLAKEGEYDTVERCRVACREFHPIAKECLRGYIRFKSIEDVERIKVDASGGEMRRWGGPLRVYIDGGNEEDGQRPIPHLATFASRIAGRWPSVEELVITGAVCRARDLDLDAVFRDLAAFSITNLYLYDVIFPSILTLGRLVCAIPRLKLLCLSDVQFTQHPRDASTISHFRLLPRTLLDTLVLGHRHGALQLGNVTFPSVTTFARLLCALPALESLELLGSCAFVKHGFDLRSVPTDLGLPSQLADVDFGRHSEPRSVDDLVGFFISTCLTTNLRRITWFMSPILWVTTKSDAALDRLVKYSAQSLHHRSLQCALYDPDEWPVLENHSTASYFNVSSATCLEHLDLTVQFIHDNTSRLCDPVVKILSQVASAHISTIRVCFWPWDHLGAELDEELGKVLAGLPQLDAVFSRPIFNNLTDVHVHIRTPYGSNVRDEELAHDLRLCLPMLDARGILRPSWRHRLPALIRRHLPRLVQQTEEYLLHRLATMDSHRRMQWPAREDTWAAFASDNHDTPAGSLLMMME*
>EED85483
MSYLGRRNKVVAVTSPGEEAEAFTYLEFEPPHPNDVDAIKYQDKDHIYWSNHVNTAINDPVVGQVEVQSYSRVSLDNEFRTYALLRRLNPNCSPSFHNYGKNPPFRVLDLGCGKGCWVMNAAKSWKNTKVTGLDLIDVYNMCGKEPHCPESPSEEVHNVEWDRSNFVKHPLPYAEDSFDLVRIANLSLCIPRQRWEFVFSEVWRILAPGGRLELIDDDLEFPAIAPPPSSAPRLQKSLNRHCVIIGAAFEEVEAVSEYEENVGTCKDLETIFNNMIVEKYDLLLRPHEHFDGLLRSRFGEGVKKLHGITLAIPSEAFIERADTARPPARRKNSEPIQFQFTHGFYVGQKRYKRTAAAVGGSDTRIPLELQTSIQQNDDPDCTSRASSTTIATPPQPRLVPQKLGQEDEIPEELYLLESVGKANGTKQPHEQDNTVILSDHLYLVWEDQHTNLLGLGIDEE*
>EED85484
MNGLYILIPDTSHTLAAEHPPRDMSTPALSDDTGSSSSRESSPPATPTSGVSRAPSISFDDYSKHTSSGKGTDIRIVETDADSPLPEDITPPLKRRRLADTRADGVRAERALLPDIWSDSTRVDGGAFFGLGHIPISDCTSVGDVGPDAVDPLLEYVSRPLKRKRSADTSTYDVCAKRARTSGTSNDATKAEDRACFGLGHIHIHDYTMIRVVEPDADLLVAPGIDTHVPLEAITRPPKRKRCADTTVDEVCVKRRRLSDSPSDASKLKYGGPYGPHPRCMITGCVSAEVEACYILPPDTPQPLAYKYYVVAEDEHPLDSGTPQDYTIIPPVARACSPYRSLGWHNLSANLHLMTIRVGREFIKRPLHYEHVFPMDALVHIPIIRLAQPDAVEPVSPNIERESPVESIPRPKRKRGLDTETDAVPAKRMRTSNIEDAVPFGPHPRCMITGCVSADVEGCYIWPLDMPQRLVYKYCVIAEDEHPPDSCATMGNPITPSVMTAPCSYRSLGWHELKADLRLMVFRAGQKLSKRPFHYQHILRELLPHNEVNHTYSIVSRYKLWTGPLQLGIVHGRRLWATGELAPFPDGDFRYIRKQYCPPLSDDDAVRFPRPFRPIVSGIKRKRSGDTRADNEVYTAKEDAQCEISVHKWCRDCDQARDEWTMGPPAEPEDAELLAYRQEEAGNVLTAAQKPWWAEDHNSSIFFYDVSSSRAIGCISESPPGRRGHYTRGPCEMPNNVYQPSVHTTLTSMETSALRCRRPTKKQFRPYVDQPLGIDFSYGNLLVLNRQEAAVDLLNMETASMCCRWRSFSQIIFSDSRFRNYHQQSLVFGPNRIAADATWQKPWPLQFNLLFACQSHDGLMSVLATDVKTIIYEGNATADAVSKTTIPIIMAFSMSRTTFGQSSDEWFQTLSLIGLSTNGAPVYTRIMARHA*
>EED85485
MVLPFPNEIWLDIFHGLAKEGEYDALERCRVVCREFEPMAQECLLWDMRFGSTEEVERIKVDVSGGESRRWHGPWHVRIEGENRKDERRHIPHLATFASRLGGRWPSVEALDISNAMWRVRDLGADAVFRDLACFPITGLSLRHVIFPTVLTFGRLVCAFPRLERLDLHDVQFTQQPFDAFTISQFRLLPHTQLETLSFGQVDGFPDPTLSFVQLFLLVVLCRRVHGVGIRVRMVRGAFVHGRSNARKGQSRAVHTSCRVVIYYDRGLWPPATRAPELSSSFLTTRTSTLARQLVLSTSSSLLALLTNFETLRLLHLHPSK*
>EED85486
MVSPFPTEIWLDIFKGLAEEGEYDTLERCRVVCREFEPMARENLKSHIVFKSIEEVERIKVGVSGANRMRRWGGPATVLIMGWPQGAIPHLATFASRLGGRWPSVDTLNIISAVWRTRDLDADAVFRDLARFSSIDRLFLDHVTFPSILILGRLLCALPRLKSVNLTNVEFNQHPFDTAAISHLRLLPNIQLEALSLNYWVINEEPSPSFVEFVDIVAAVSNRVSIVPLDDLTQGCLWSTVRSLQLCNIIFPSGATFARLLCALPALEDLDISGPCTFMKHDFDLRSVPPRPGLPARLAAVSLTTDGRQPDSRSVVDLVDFFITTGISSNLRSIMIELPPSLRVAREFDAALSRLLKHSAQSLHHLSLDSSLPWWISNDTDEWLHAEYSAASCFDVSENTCLNSLDLTVQVTPENISHLCAPVVEILSQVTSKHMSKIQVNFKSCYQPSTTLYVDLGKLMDGLRVLDTVLSKPIFDDLTNVVVHVGTLRGSDVGDEYSVHDLRLCLPTLDARGILGIGIHREEGIHDWKGHAVERVDAQDTVVTNEGTSADADDIGRTNDATTGAIPHVDSDVVPAVSQPTLMPSATCADAQAPSSSRSTDAGVSAEEACDDEHDATARCGASLGDSVSDGHSASADACLLTREKVALDYLLPIAVDRSTCIQTQSCNDLTRGHDATKAGVSDVRIMICKSRRYYDYLPALFSVADPSPMPILVVTLDLHRQHLLLPHSVTGVIGYEKLMLQPLPLEIWFLIIDELGAESEYDALSACSNVCPEWQERTKNYILPKMSFRTQEEVASIKFGRELGWGGPREVCIEGGRRSDERLPIPHLRTFASRLARRWTGVETLRIERAEWRVQDLDANTVLLDLSCFDSITHVRLHNVTFPSFLTFARLISAFPRLEELDVCDIDIVKAVIDARTLMALRLLPATKIWRLTLFRPQKVLSSSLSSQPQTLVSEESAEQHDALATRSVGLLQLVTTLVNHESFLMQDRHSRSDPTIRTTRLLRSRLQSVESTVRQLKLWNVTSPTAAPFIRLLCSLPSLQTLIIEGPCEFSRHGLDPREVPVSSGIPSQLTVIKLGHDCCLHSDPLSIVDLVDFFIQIGLGGGLQSLSAWLSPSLHATRRIDIAINRLIKCAGNSLRQLQLSALSQDHLPPDNKASTYAGPSTVLHQVTSTLLTYIKLTFHVVCEIDLPRLWTSLPQLDAALSRTVFDKLERVQIELCHVESSVLGLEARVRSCLPKLDSRDVLGLDCSCAPHQTKTGSAPLGSSE*
>EED85487
MDAARSAPNPKETGICDLPYDILFELVHSLAAQDMTYLLSTCRTLHKYLHEISLWQHFSARYGLRDLSHFHGLSFYTVYTQLLHAYAPLCGLWASDHPYRGNIMEFRLTVDEDYAGIVGESWVFPDSSSPDTRLLRPQYMPVVTIGFQPPPRCMADKGSNGSGTVSVYCDLAHPSNADIDSCHLSRIEVLSSTQDGYFMQNYRRSFPHPDFPWEGASWYEGERGMPRLKVSTPVSVDQHELVRIYPAVRLPLVFSTPTKHLKPPAISITCPHKDFRGSFEPPIPFEDLTPAPPRYYPLKCEIRSGIDPESDEWKPESLVGLWIGDYGPNGLEVLYLSWHPDAVEVHAHKITGDIHVPRGVSSEGVVHLGFDIPARRVFAGAGTAWLADVQYTKKARDCTMQNDIASDRERAWGGLEVAGVPDVLGPGSGGAGGLLGTVAVVGVTAGVLAVESVTGRPMSFCTMVDRMTWEPSRVCVPAIGDGGDEDEGIMGSGGCGG*
>EED85488
MAATAMLEYASHAPEPLPYPRLSKHHLLPSGTPDYLRLILTSKVYEVLKETPLFYCPNLSARFGNQIWLKREDLQEVFSFKIRGAYNFMANISEEERWKGVVTCSAGNHAQGVALSGSKLGIPCTIVMPKGTPAIKVRNVSRLGAKVVLYGQDFDEAKAECARLASAHGLIFVPPYDDPLVVAGQGTIGMEILKQMSDAETLDAIFAGVGGGGLISGILEYVKRIGSPDTKVIGVETVDGDAMARSLEKGERVTLEEVGPFSDGTAVKIVGAEPFRICKQLLDEIVKTDNDEICAAIKDVFEETRSITEPAGALALAGLKRYILNNQLVGAQKKFVAVVSGANMNFDRLRFVAERAELGEGREALLSVEMPEKPGSFIKLHNVIHPRATTEFIYRYSNPERAHIFISFKLETNLREKEVGDVLAALDKQDMKGFDISDDEMAKTHARYMIGGCQNVPNERLFRFDLGKVLAAIQVPPSDAKEFDGFLNNLGYPYVEETENEVYKRYLRC*
>EED85489
MANAAVAVMGPPPSPKEPRRSGRRSVPSGSTSKSPAGSPTSDSAPKAKDTSHRPSSSSNSSSSKNRRHKHDDHDEPLDDVRKGGTNGNGNGSSRSKRKGKEKEKSSNGAGGAGDDGDEGLPQVDGSVAGDFEDNNEEEGGITRCICGEYYAPSERISQSQPQNTVDDDEEQKDRGDWMAQCEMCTTWQHGQCMGYAAEKDMPEHYYCEECRPDLHVELLKKHAKRARQSSINSHHARHASRSSRSRSPILQPKPTKRRNTMNSRDAAYDESVHLLLEVTAAEAAAATQDVKTSSPISATANGEANGDGDGEPEADIQPNSKRKRKRSEDDAAPAKRTRSASIASDRTNVALGRDATPINNANGNVKATPAPTPTAKASNSRNRRATARKTQVQDLGAAEGEEAGGTAPARRPANNRSKANTVNDYNGRRTQGSATGGHGVNSSGHANAAAASRAYHQSHAYAVSQQPLFTSWNLPDYLAHLEAMLPTDVPRPLEVRGSGVDYAGHESLERTTERGVKVKWPAKRMSVGDMNKRVRALVEWVGREQASALERTRRREALENALKEGLSNQIDSEDVTMVDEGPATIESPLQERRPNGVDSVPSMAPNLGPSDSGKTTMKMMEELMEELISFQERFGPGAKAKERERRVAAS*
>EED85490
MFRDGTLYFCLSSILISRFLIHIRQAADGAERTLSGQSTIAFRNSQPRSTQRWSFSTAELAADMNHNTVQGDGLSSSSVIDGDDDDDFEETRDGEDRIENEESGVELQEVSPTAMRSM*
>EED85491
MFRDGTSYFWRVDSADSADYITDCSSSTITLLNSINIVVYTLLGDYTVPISAHITRGRVSVIINTVFAFYSLSSILISRFLIHIRQAADRAARGFSSQSSLSFTNSQPDLSFSTAEFAADMAHNTVQDDDPDSAFDLDDDNNDIQEERDEEDGIEDEESGIELQELSPTARRST*
>EED85492
MAQPAQSTHETYNSEDADVVIRSADDILFRLHKAILKHASPIFKDTFSLPCPSAANDGEERIDDLPVVLIAENSVTFQALLRFVYPGVRQFDPLPMEHLPHLIKAASKYEMFDVVEHLGGILIASTRSTPLHAFAIACHLDLPETARAAARQCVHAKLWPPSPNMPKELRLLSTSTLYRLLYYYEQCCQTAVAIATDTAGILIAIPPPEVGTELFLV*
>EED85493
MSLIANKYASRLTDGALIVRDCLEKDKAIRHLFPVEQLWLFVRLDTRLRKATFKKNMHAVPGGYEIFVALWNLDETCEFLFCEYDAALGKVTTHGTQVIPPDLFVPPKVETPATKVIERVVEKVVETSKYTPEQEKIIDAMIWDAAFREISFRAKKTEFRRGTDEMKKTHSFEPTGAGAREPDAKKRKVEAAPVAGPSTLPEPPSEVGRGPVDEDEDMVALE*
>EED85494
MARECLEESMRFKNVEEARRIKVDVSGGGLRRWGGPVSVYIEGADWKDGRGPIPHLATFASRFAGRCVGTTVRTVRGAFVHGRSDARKGQSRAGPPAPGYSRVRAFELVPDHAGLRVSTRWSRVKDLWIYNAVWRARDLDLDAVVRDLAAFAITELHLYDVIFPSILTFGRLLCALPRLKRLILHHVQFTQHPLDASAFSRFHLLLHMQLETLDLDHGRDDSELRPSFVELVELMAAVSNRRCLAPPANFAQASLWRAVRRLILGYVTFPSVTTFVRLLCAFPALEDLRLHKPYAFVKHGFDLRSVPVHPGLPSHLADVELTFDFHCYSDSCSVIDLVDLFIATGLSENLRRITIHMSSSSRITTVCDAALNRLVKHSQSLQHLSFMPPLLFSVSPEADEWVHVDHSAAPYFDVSSDTCLERLDLTVDVDHEDISHLCARVIEILSQVTSAHMTRIQIFFGPLYHPDTELDVDLGQLMDGLPQLDAILSRPIFDSLTDVIVFIGTLDRCNARDEDLAQDLRLCLPTVNARGILGIMLNRIHLSSMGLDWDEETGEWRSHRIERVSAQDAVVTDAGAGADNDRRTKNATTVTIPHDDSDVVSGTSQPVWVPPVVYADAQTPSSSNSTDAQVPADSACDDELILQNATAGLGASVHKFAPDDHGTCTLVHSLAPAINEALVWEIRPSEKAEAVSQLRRCMSCANHCCFAESIVVRPVRALTAALEDVVDKFQEMNAYLVSTVARQTTADIPLILRYSALHTMVRPFPTEIWLDIFEGLAEEGEYDSLERCRVVCREFFPMARECLKESMRFKNVEEVERIKVDVSDGGNQEDGRRPIPHLATFASRLAGRWPRVKELSITDAVWRARDLDLDAVVRDLAASTITKLRVINIILPSILTFGRLLCALPHLKKLTLCDVQFSQHPLVAGSISRLHLLPRTQLKTLYLTDGRDDSELRPSFVELVDLMAAVSPWNAVRSLALDHVTFPSVITFAHLLCALPALKSLKLEDLYAFVKHGFDLTSVPVLPGLPSHLADVHLGNNLRSDTCSVADLVDFFIATGLSENLRRITACLSSSPRVTTVCDAALNRLVKHSQSLRHLSLHQSSFGAAPYFDVSSNTYLERLDLTVDVDHEHIPHLCAPVIEILSQVTSAHISRIQVNFWPCYLLGVKFDVDLGELMDGLPQLDVMFSRPIFNNLTDVIIHIRTLDRRNVRDKELAHSLQLCLPALNARGILSIGLDWNEEMGEWRSHRSERVSAQDAVVTDAGADADNDRRTNNAITITVPHGDSDVMSGTYADAQTPSSSNPTDAQVPAESACDDKLVLQNATASSVYPWTNLRQTITLIPELLGLTTSG*
>EED85495
MLRTDQLCTDQSSTRFLWDYQPSQDHRSSSTTEQITASSPPQKELPSTLEAAPGVVQPVQTRRSSPIKNSLATTRDTHPLTQKTYSPPYGSTSNLHKQQKAYSHPSTNNHSNSLKFTPPAPTNALVEVPMATFTQEDIDQRIAVALAAYQSQHGKAEDLRHFIQCILSYFVTTNNTRLSDEAKIAFTVALMRKNLGKTWADAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFIKGLPKELYFALATRVARDRPNTMKAWGLSSSQTPGTMANQWILTPLPLHQPSPPHREEGNGN*
>EED85496
MFRTRLSNRTRTWMSSSYTSSTLQQGVSRNALAIILDPTEVNVFDETVKGTDTIEHTTSPVHLKADKADEIIFPAIFGASRTIGPAFAHGSSVKSIHTDKAF*
>EED85497
MGLEDDDRLYKSIWVSSKFLIEFQSKLTVSLCNQHKRTIRTIANGSHLDWCLPWYRQDKTKIGRIMLLARKRQPYLERFARDWATEEFLKSHLKNKRRYNKRMGYDDEMPDSPEEPEENLPFIDEGEIDMAEEGDDHERDEVEHESARRDSEEDL*
>EED85498
MAPYIPFIPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLCESSRPSRSCKDQLIST*
>EED85499
MAEIHAAATFILHGTSSTPTMAANQATASMSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHSHAPAPRQQAAVHVHENSGAEQTCHYCGNRGCRVGTCEFAEIDIRDGKRNLAAPAAPTMLFEIDDCSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTSRGVAAPSSTSTSTAPPPTIPAAAPASSSAPPAQSTSHPIATSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEESNERGVSSKVSRGSSEHEKRLRKRLRMLGKVATTIREWHTSTRGFALFLKNLPHRGAHTKCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADLGGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIVRRRALLHDMDLDLQKMEKDALAKGGIGFVRGVVDED*
>EED85500
MNHIAVAGKPSATFTFAWSSIFHARDCAWRNDTRIKAEKATSIRMTAPQSLWPNLASDGALSICVQWGTSGILGSSVPRTYGVLAGVVAAHTTTSAAQGTKFVFVTPVGIKKLPHLKSHVIPAATFFVVFATAMSTGLKMNPVQGARLAAQRWIPMNRSTSQFVTMRDAGRGSYPDALDISTVESVQCNLPVSHHDLPPFHYDSPRTRPYVSHETRTRAAAPTIHLCHPSTNNVDILIVKPTLFCSSTAHTISGKWTSTGSEVLCEAVRLISAARATLVVPGPSALMKTHIIEPYCRHLISEKNV*
>EED85501
MTTNPGGPEIVPLTLWTENHITAIYKATNRADFDKAFDEFIDKNAQITLNGRKIGREEYKLQLVGEKFFERSADVSFAGAVQREGKIVDYIETGDVGVFFKATVFGRLFYRGAPVSSTVNSSLNAV*
>EED85502
MPDEVIVLVPSPLADVALELAPDDVKLALELAPDDVKLALLLNVPFPDAVEVPFAEPVADAEADVALEAEVLDEHPLPMTPKRQKPELDEVALLEPEDDNALMLDEPDGADVALALLVPVDEAFALPEPLALRVGLALAEPLAETDALTLPDPDRVVLALEIVSVPLALALTLAPEEDALPDVALALLDPDVALTLLLESVDEGKHRRPSKQSLLVALALLEAEAEDVALLESVADVALELPEPVADVALALAESVPEAALAVAESVDEGELLEPEDEGELLEPEDEGELLEPEADAVALALPESVPEDAALALLLEPVGVEAGALDVELSQPISRPRRSTQRPLDDALADEAALALLEPLGVGVAADDALPDEAVAVEETLAVVLAELGVEEATELALAELAAEDTAVDEVDDEIVDDADAGDDDARDDDARDDDAGDGDAEDDAGAEDEEAEDEDAAEDEDATGEDKEEATLDEFALELVDELEDEMEVEVAGTAAVVPDGGGSNT*
>EED85503
MCRWFAYLSDSEPCLLEDVLIVPQHSLSKQVHDRYLPYLSHFEPDSTKKLTRAEIGSRNSPYNMDGLGVGWLRFTLRERERMQSICANTATTAVFAHIRAASGDTAITEYNCHPFQFGRWLFMHNGSVAHFSEIQRDVLMTISDQALKLVKGTTDSEHLAALFFTYLEQSRGDAAWSTSQPLEDVKAAMEQTIMKVLSIQRNVIGRTGGEVAASSLNCAVTDGTQLFATRFRNHPTEHPPSLYFSTRAGPTLNRKYPGHPDKAVDGTEDVNKEGSGHGAHIIVASEPITYRDEDWELIEKNTCLMVSRDMTVRQVPLGVAF*
>EED85504
MSIDRDAALQLLLCHTLGRAATIQLHKNFKHMDGLTESKDVVASKAASAALDGVDFAQLAFVDPIFAILWSITARALINEMARLRALSIGGEHALYVRGEHHKVATSLTKVTNAMSIRLLRLADSMINDTLRRMYRPLPLPTIETWGQDVDCSV*
>EED85505
MPPGPFRWPLIGNTLQVPQVHPWLTYSRWARVYGDIFYLDALGQHIIVINSARVARELLDRRSSIYSGRPHLVWGDRMLIMQPYGDELRQQRRLISQTLSTSTIGQYYDIQEAAARRLVLGVIDNPSSLEGQIKTNIASIIMLVVYGYTVKGTEDMFAERAIQVVDNLSLAATPGICAIKYVPSWMPGISSLKTAKAWRKLLHTTNGMTAPCILSGVINHAACLQENGTAHLPNLCASVLSDAEGKATLQLEESLKWVAFSVLTGGLDTNISTIISFILAMLRFPDVQKKAQAEIDAVVGSERLPQISDRPSLPYIRSVIAETYRWLPATPLSVPHALDEDDIYDGSFLPKGSIIMPNVWHMLHDPNIYPEPDAFKPERYGGSDIEMKKVTDIAFGFGRRACPGFYFAQGTIFSIVVTVLATCDIVPIVDNHGREIIPDVRYSTKIILCPEDVKCTFRPRSEQAKLALVDTCSD*
>EED85506
MTSTKTFVETYAQVSLVHRVITLAKRAIFHSHTTQGLRRTLELGPAMHVNHPNIYYLCFPRDSLLCKAAVYALCLYEWVQTAGITADVLVSLTKQADNLLTLGHLAPSSYYTWFSVCAMCGVLSGAVQIFYAWRILKLSGSRICSGLIVLVGIETIMSLQTNISPWMVGAADKRAENITIAACVTMSTPDNGHVLQQLRKMKNGIMETEILINRLICIVVETGSLTAVVSIVTLTLVLGLPDAVLVQAIFQQRAISLNNRAFVKQA*
>EED85507
MPSRFLARNDMNVGKCKSVTHGFPLCLIDIFTVEVENSHDPYMSPSRRLCRFLRGGQSPAIPRPIYSPKKVCKRAAAILQLGASSPSSKEKPHSFGQKVPPSPLSLSLESIQLPVLDGSLMRHIALYHAYIDYAKVILAWGQSWQGSDLQPVRVVIEQVSKSLNSPSLMLSTRTPDNRHGCFSRIECKSAHWIRCVNATVSECSKWDGRVSYPSYPYASFTSYDAWGPVRHEVSPWVIADLDWKTKFRFLHSSSNLDADSGMYQPRTPYGTLQRSARRLPRPPTSVALDDNFDAMTTEAPTEWNFGVIRRGRDYEESISGYQNYVNEMERKLSGEDQREREAAEKKAAAEALKRANRNIYGSELGRGSQRGGRAATPENARKVSGRQGKMELVESRVVEDGPNRTISLWRERVAESSSDGNPLEDDMLSGANPHTHRRVSSENVQTKRIISDGLGGVSSVSRGDSRRGLEMQKTGISSYERSEYMVAYHQPTKGGYPIPMYENTLPMLASGMGYAPMSPKSSQIGSRATLPGRRRASGRLSLDRTEFMMSYPQTPPRSGGSEESLTSPKPLQRQMSPANTRLSIPIDMGDVPNGQKTGFTSPAELILSSCEPSLVHLLPILTELGIQRMEHLRAIAKLSEETRNREVKEQALKRGMTVVEWAILLDKLHTL*
>EED85508
MSGPKGHRNILMTYAAPIASSHMMCPPADTTSQLTREQLDRSQPEFVGLLLDWGLQGALCSQTYFYYTSFPKDVTALKIFVGALFLAEWVQTILATTGISGNLFAENDAVANILPLTVGVPVMSGIIAAAVQIFYAWRIFVLSVDKRSRYLAAAIALFDPQMSGSSIGTWLAITASVDILIAISMSILHVYALSLFIMPLQVVL*
>EED85509
MNSSQLTYPPTVQPERRQEGLTSAGEKQPSALVSILSNLVHPGSHSILDTCPRQLAGSTGARGFPLTKLPPSEKYPSVSRAWTQINIIPLPEAFFRLTSRSSSAASGQRASAFALAAMFTASQVSMGPGSDRRVLALVFVAVGIVKARDPRIQRQSPRGARSIVAKVDQKGRSTPWGHVHIPLTVECALGFIVMEAYVIIQYQLCVTLKGQVSARRRLPEFGVRQNATSRQVADLFAPRLPSMMGGEQILGDQVLLRARGLMVHLASDRTRYKSARTVQSCNAFHCVVPAAVGCAMSSTLARTATASGWTCELSPVPRTYTKQQVKIMMPLKEFTLLHSLAGRNMFKKLLKSLDSTPSTPKMGRVELPDVGEGDVYYISTTDTSVIGKDWSSDSEGSSSPRSPIAPWRRPLTPQPNGLKPRRRPSAPQPDSPLSPRRGSSSSQTEGRKPISRSSTPRVEDRGCSRSATPQPGLSQVTERSSSPVPAKSVPKGILKPAPPPQPVTLHWQLLPYETPKSKKKLYFDVAHPPHLIRDHSHMPPVVLHSADMEKLASEIPLTEMNIRCSQLPHWDIEVSPSRPGGVRCFDVFQAIYETFHWRLARCEAAFRARCKATPGLRDVELSHGMRRVDILEGRTIFMGLRRPIPSDDKPDRYWVLELGFPQNSR*
>EED85510
MDIDVSPTRDTTRRVQYCSKWRRIGNTIYVSASVGCDMMYHQRAPTPREQMELGIANMMAAIQGAGSNKDYIAKLTMYLTRKDNHFDMMMSILAQYFGKPEDVPPWTCLGVSALPQATCFAIECICEFPGQLPPSGTATLSGQNVTNETPNQDYDA*
>EED85511
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLLEWLDLIVLWCEHKGVATDKQRIVTALSKLQGPAHQYMKSYYMKMWEGKDLGTWKAFVAELAQIYGQHDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDLAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELMDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED85512
MSAEIAEELDRSGYGDLKLRDKYLSGIPSCLYCKIELETFTTWLKAEKRATEVKQILNISRARQPELNNFFLPRGRGHGGARGGAPSTHGASASINVAIGKENFPGSCSGCGKQGY*
>EED85513
MRLFLRDRISAADLQEESLAIELSWTVQDTERLADILAEHGVDLLDVRFAEMVKKTHGHIIHGARFVAVSEGSPNNSLCRELRLISSRNTLTIAPSPHRSIPQIFGLAFNWGLQGTLCAQIYFYYTSFPKDTTTLKIFVGALFLAEWLQTSFTTINFLSDISWSDFESNELWELYRQVVPVVSGIIATAVQIFYAWRISVLSDCKTFRYGAALITLVWLSLTAFVDIVIAILMSILLYRSQSHSASTATLKTNLIQYTITSGAITASVALVAFALYMTDVLAFDPATDYWAPDYSGALSICPFWRALGYAGIASVHTGFQDFLSAARARSEQRVMVQRKQAANH*
>EED85514
MAACQGQVHAFDSQDLIDVYVVDGPETALYRCEQQPCPNRTPRSIEEDNPRYKAIRRAQHPLAPDFLKLSSPIQVKREEDPAPEPEPEESASEEGVSESESADPARPALLTALASTAFTAVSAARPPEHSFLAKFARRPSSPSSPIMSSSAAAPDKETLKLLLPLRYDGKTVVECNRFVSQLLIYWAINTTLSTIELKVQVALSLLDGDARAWATPIFAQLAAFHIGTHGTTTPFADETAFLTAFKARFGNLDDAAVAQVELTKLCADKTV*
>EED85515
MQHTRKFATAPLAEVPPGTPLKKGPPRGPVPARPPLQIRTSGAPKTVEKRSLTRAACPQSLSAVPVKKNTVTTHDGAPPFKVKPSASEGVDVRPSAPTFTQSVLHDSILPSPDALSPIIDRPFTDVNSQLMAAAANSVRQVRTNIEIFRGPRPTRPPPAGKENASPKENRPSFARPTKTSAARTRPVPITPFRVSAADRLAQSANVGARTKETDRLQVPGTVYGRSLPRSAQAKNAAVRASPPRALKPIQSVQTRIPPPVKYIQPVKPSVESPRRGVAGGVRAKVIGTLASVKKGVAKIVDSNKSKKLVVPEIVLTVPDDDDEQVMAPSDIVIPSLSVSSSTDLLTLPGWGYDSPVTEEVEDVVLPSAPQSNPEVEASAIRPVFLSPGWEKALRTGPMRRHTAALIEEPVEESDESKTVLQDAPKPAIPNTLVNEGPVIKEGPIDDNARVAEGTLIMADILMLVAPDPTEDAVTSVFQDDEDNSSVESSDAGSYGTATTHMEEHFPVYEANHFPEDDVEVIDEQSEEFATFRYAFSFVLGVFISACTVSPVFEDAYSSYFIDDILAEYLDEDPEACDICSSYEDCGPASDEDPTERIRSSESLTSLLKEVDDAFSLFEDDSVASLVRMSAEADVSRVTQAQQEFGRSSPVPKLLQTTMTTHESEPTAGVDKELPKDVVEHPEVTHALEGRGSAAAPGSPRPNRYWGVSSPDGADYKIADDVAPRFTVSEKEKFRAIVYEDDFELEIEPEIEPESEYVTTETTVSAVQETFVGYLPWSAPEFILGNSRGTALYGTIGFHSRPSPRPVISKFARGSPLLKGKSSKPVIQPKLLFQSSSMTPATPQRLMPERGLARKHSSSKLAITPAEFEDVPLDAVPIKSADSQPRLWSRLFSRRPSTPAAQAMRSPSSVSSDVASTTGTTSVGSPDAPSSIIVSLTADFPPSPTPISYGASEMMERSYFSDDSSDMSSEYSMSSSELSPNIATSSAFSISPSRPSSASSRGWIQKDATRLDLIKDFFSRLF*
>EED85516
MLSKLITFTLAVALAFAQVNAESHTVIFTNQCDFGTPILRASNGDILSVGGDYTSDGPLTGPCGDNGEGCTVIETTLQNDYSSTDISLVPPHKFSVTGGFGYFDGCNGAGADCAYSTCPTALLYSNQTWLQVACTDLNVNLAITFCD*
>EED85517
MDAVEKANTERQRRWVKDQKIGEGMYAVVYRGREAATGRRVAIKKIKVGQFKDGLDMSAIREVKYLRELKHQNLLDVFSSKTNLNLVLEFLDTDLELVIRDRSLVFLPADIKSWMAMTFRGLEFCHRNFILHRDLKPNNLLIASDGQLKLADFGLARDFADPGYKMTCQVITRWYRPP
>EED85518
MAAGKTLYDILGITQGASADTVRKAYKVKALETHPDHIVDRLKHSVHTQVRTAFDVLSDPAKRRAYDNGLNFMRTRVNVNVNMNDMQAKLARERAEWARQAETRHQERMKVLREEMLASQRRYQETMAKAELRYQERMQAMEDELRRKREAERQAEGNISETYDLAGRRSYG*
>EED85519
MYDPTPLSLFGTFAHVDKDDAQPSCVDPRELCRRRYPPTAEAQTGTIDSTAYQSPMDPSSEPDLADGMLTDDDEEFYEDQSESSPMSVEVDLPSDDMNLRIGFELEGCPPGTGIRLSRHEDFWETTAPHVVNGHKAVRDYAPDFFRYIGTVVVNIRWPQRPHSSIQLGEFWVHNKGRSQTIADLLDWVMGLTLHFIEICERIAPEIFETPRIAYSDRPIADQIYIRSLLCNDGLNFEVKYDVD*
>EED85520
MPSTTDTGPKWPYVALHLRIRHDVTLPMDLMRAPRSYHFWSPPRGDFRQEFAAFGFPKTSPVVTRSQAREAASRSAGENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDISTPDLFKRSGSSPEPEDPFPSTSNLVLPTPSSVRAHAQPPIASSSRLSVIPTSELAPPPPLAPSNAASNSNLAPPAPTIPSTTTTSSSSPAPTTTTNMSQTTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDIADLWETIESFIDVTKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAVATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGGRHLRVRGDRHPGRQVQA*
>EED85521
MADSGVTDPALAAELKKKRTFRTFSYRGVELDKLLDLGNDELVELVHARARRRFQRGLKRRPMGLIKKLRKAKKEALPNEKPPVVKTHLRDMIIVPEMIGSVVGIYNGKVFNSVEIKPEMTGHYLAEFSCSYRPVKHGRPGIGATHSSRFIPLK*
>EED85522
MSLLFLHGESEKLTPYDKSKTCHFYAAGFCRRGDKCWFQHVASDASTSQIQTAPAAEEEELCCICYEKPVTYGLLIGCSHMFCIHCIREWRSSNKRSVEVVFSGVTKQCPLCRAASRFVIPSSQYFPEGHPRKAETINRYKASMARVPCRHFQNSPANRRFCPFGKDCFYQHLKYDGTPYIFQHGADHNMRIYRRRLERANGRGPHGLIGDLFPDFPDLGATIDALRASLPGLMEGLGLPMVPMDDADWDIEPEEDFEDRPLVETMEMLAEEVGGRTFYRFGTAPTIPIADNGPAFAPRTDVQNLGLQPDQQLNTRGLDALVPSTGATPSTVMERAPANRSVSDSPSRFSTVVTREDQASTSLSISSLDDLRQLPDLAANPPQVPSLFIPDAVGSLVPQARISSTADTVPPEARQPVIPRDSATQASATARPSNSQASQEEAPSEPISAPPAEVVHDTDPPFLTDGRGRVVWSSTTAGRHRGDRESRRAGRAAAATAASASAPAPVQAQQKMRREASDSDVAIARAESGSGEDSGGRERQGSRPRQSARVLSSPEGTQQISDGSLEVLDGILSDERRFLLPASDAQAIEGPIASGSTEEPEALGTDEDEQQLIEEVARRNEGRSFFGRIFGAVLFF*
>EED85523
MSSSRAGGRATSPTSQLLKSMNMTREDLRRHSEQMRQFLTTEQANEGRNFAPVPNGHRSKSSVSRSRGRSRAGSSADASVFARSPSPPQTPVKAEPIESTLPTRPLDTMELVMERKLNEKLRRSRYFHPATIYKFIYDLQTPVRTHDASSNMFHLPLRVASHPRYYSSRVVNEALSSQPYLPPFDAANGALPRTPLGLPPSRGADSNSARRSFGRHIYSTPRTAAHTPTPASSPPRVINQVSSPAPMRSSPAPEEHDELPFILPPGPYCAAKPEFAYAGLIGQAILASPQHRLTLQDIYEFITIVYPYYKRGEQTWMNSVRHCLSTMAVFRKVPRGRNEGKSLWAIFDDDVAAFVGGGFRKTLCKDMVKLDQEKAAKKGPRKRGTMDEVMTRDTKRRKKNDGAESNQASSSALPVHHGPVFPPYFPGFHPSAHHQPYYQPYVPLPRPQPLPAEVVFPPLPPTSNLHRVAHARTPGQVVTSPALESDDVSRTERGRNPSPKPISSSPSAPSLTPNFSSSSSPPLPSDASLEAQWLRSPSASPQAVESADEDFGTSWTSKLTYPVDALDPQLLNKQGPSHSQKPAKGKGKGKAQACFPRHFCSLYSSSHLDPPLPAVFHPAAPLLAMPIEGLSTSPTATFSGEARTPTRRRDGPSSSMTGEMLPLTPFAPTTPRRTKRNTFESPFRTPTNKIYDPVAPGALLAEELERQERQQFDVSPGIFGRRERLGSLFDTPTGPSPGAWDRLW*
>EED85524
MSPRRSQKCDGVRPVCGPCVRAETAQDCVYSDGPAYTAAQQLQEKVTRLEDRLKELQASAPGPIALHDPYETHRMTEASQYTTGLPPIPTHVIQTLIVNFSNYASELGFFLHVPRFLEAVSQPQADDLPKHTSTLLNTIYLLGAYLSNDLPTRALQERFLSAIPEHRAVALAEITPGTVMYILQAEILLANYYFAQDRTLESAYHCSAASAIVLACRLHHTRSARDIPGVFAGTMQFRLDPPADHVEEGERMNAFWVAYILDKCLSFALGSPSALTDEEEKGTQIDVPWPLDTSAYEQSILALHAKSVALFEYAAEMAKQVVPNTNQYPAGFGHLAIRLERFRLTLPSTDEVGALRAELRRRFLVIHMAIHCAAIQLWQPIEMQNDAISLSGRSIAAARGAVEILRKSDVGAVEHIDPFVGVLLKIVADVLRRSLMRALSDHSSPQLSEQKTLCNNAQPNAVYAVISWFVVLQQKWRFADQEKKVLKRFNLITSMASTSASGNLGKGKACLVCRLNRQKKTVRSFNNALKCDGVRPICGQCIRSNMGAECGYLDEPDSDNIQALERHIAHLENHIANLEDPSLAPVTLQDPYEQFHRMQAPHQGSVQGHRLSQLSLRALFNIFTVHALNFGFFLHIPHFMRTFILPGQALQTDHSAALLNAIYLVGAHCSNDPQMHGLQAELLTLTLEHLTVGLNNPTPTAIMHALQTEVLLASYFFSNNCALEGTYRANAMAAMVLACRLHVIGSLRGPTSDVLPISIPYRLAAPANVVEMGEHINAFWMIYALDKAWSFAFGYASAFTERKGTEIDVPWPLTVYDNLDQRIEQFKGGLPEIPPMNSSRADLIPRLLMIHIIAHCATIELFQRHDSQGGRVDMESKTARAITSAVWLLQQIDYSRIKYVDAFLGVLLFTLVRVLKNSLSATTVVAENTVLNATGSLPAVEARSTEQLRVIVTTLTAWSGTSPFMRCEDAAVTTMAALVASRTTLYRTHEYPAKEYTMDPKHTAKLETARSLQSTLEKTRLHIVEYREQFDSQQSSSESEDPTLKAMFTQFQNPSTHQSLLEGQLSALGLPSYLQRRHANSAAGASCSNVEDELREQV*
>EED85525
MPRYWLCHHPRQLPCCNSSPCVCWPHRHG*
>EED85526
MSAEIAEKLGHAHLPFLPLLIVANEFFDCSRLEHAFDTQEQVDVSRDDPDRDGNLAPFFSCDRQPCPNRTPRSITTNEDEGQGTSYQSDESNTPAALHSGQARGDPHLPRRTEEVAQPTATPQAIPQPSLGPQLERPPKTEPRDTPPHSWASSSSAVTASTSVPVLRHPASGLPQPASPPSPPRGRPSTRSSRSPPGGQSQQSPSSAGSPSSPSSPIMSSPAAVPDKETLKLLLPLRYDGKSVIECNRFISQLLIYWTINTALSSLELKIQAFADDFVVRPASPGPSIADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED85527
MATFAQADIDQRIAVTLAAYQSQQSTANRPLHLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTQLSNEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYSTWADFVAALEEVFPEHGTRIKAHQILMKLPERQKDRKTALSLGNYVTRFEQLASKAQLKDAEVNGTNRVENDYHTLHANFVKGLPKELYFALATRVARDRPNTMKAWYDEVRNADAAKQGALVVTDTRDYGEPMDIDATAVASTFASTSGGRKWELGAALNEADWKLHRDGNLCFYCHIKGHSAKDCRKKAATRQGGGRPNQGGSGKDDFRARIKTLSADEKRELRIPPGTSTQSPNTSISPSTLFDIFDGARRLLEARHGHPDASRVDLGTSPAFGGQ*
>EED85528
MIAYGTTPIDLYLVPYVFAGYKPGQARFILSSSVGVKNWRDAKLPLDPGIAHGDLPSPTFESLTPRAEGLQYKLETEPMEKGKESVLSEASSPFDRTDADVILQSSDEVQFRVHRVILALASPVFADMFGLPPPRAPDGTTMEAIQTVYITESSHVLRILLDMCYPVTNVQFSSLDDVHAVLKAAVKYDMSKVIALAYRALSTFISKSAMRVYAIACQLGAEDVAREAAPHAAIQELLPGNYKAEFEGMPAGCLHRLWEHMRTDTKQASYCYPEQLRDQTDTNESLSRVNSATFLLDAPNADLTIVTSDNVQLRVCRQTISLCSPVLKDMLELTTCDSSSTSGGDMSDCGRTAGATLSVPEDSITFVPTLLLSYPTGTPEMNATQLVSLLPTAEKYGMQRAIWFIKRQWPEFARNQQACSINFLFMRTSDEIRSIYVPELETSTAGLYYRLLQYHERRGEIIHGSGPRSWPSLNVKGASCPYCMKNGRPSWMPPWIHEAVVILRQRPSPCTINDVEGQAFQALLSVAMSRCSNCKRNAVSLRKLWMRSGPLYPSCWNKKATGDEETPFGGSVVEYNREESGSYLRAHNEV*
>EED85529
MPSVQKLGGGVSTRSVTCHPFNKASVDVILYSSDGVAFRMHYALFNESSGFFKANLRPSASNARSKQVVQVEVPEHSAVLNVILCFCYPMESPVLSTLALTEAALEAALKYSMQDATSFLKHQMLHFACNSPLRVFAIACRHGLEDVASAAALALSKKAREPSVGDFADELHDLTAGQYMRLLQFCQNPETAPESFCRVKTTQPRNGEDEYEKDSLVSPYTLNAAATDAILCSSDHVGFFVHSAVVAILSPVLDRMLSKAGDSVVMVEGPSLDSMEPDFGGPQLRNDGYVKLVSHAEIRQSDNLNARPVKIDRFNFADLYSAAKKYGIASVLDLLEAVLRQHALEEPFATYFVARRFHLQDIATEAARRTLGLPVDNMSCVEMDDVSARAYIQLVKYHEACTTAAVNLTKDYDWLKSQGFDLTPGHCLVPTSKPHSLNVAIVPTWTRILMANLRPLLRKHPSGGVVMDGRAFTKTVTELHCHSLVCGPCTHLDVAHAVFKACQVIACGVDDAVSQNWSVDIQMPETVEKTSSGGDSGPASVTNHPFTKDSADAVLISFDKIKFRVHRVILSEASHFFDELFSLPQESKSDDASTQTSHEEAPVIHVSEHSSVLDPLLRYCYPMADPVLTSLDVTIATLEAALKYELTEATELLKVQLSTHAKAAPHRVYSIACRHKMEDLALVAAEEVHRQKKAAVFHPDMKSITAGQYAKLLQFCQEGSLPTRQTFISSSTTARIQSEYGKGGEDSAVSLLPSETETADVIIACLDGVDLRAHRVILELASPVLKRMLSDASTTSQSTTDNPANHSDIMGGTTTAANLTESHLASGVATNPRINLAQPSRIVSFLLRFCYPSPGPTPMTPLDIVDVHSAARKYDMKKVLKTFETRIKASENLEKDPLLVYFLACHCGYKSIACIAARRTLGTEVNYDYRPEMELVAARALYNLKMYRARCMNAVTTLMQSDDWLFNKKDKAVSRCAYFARLTRPCWLEPLVMLIIPTLHKDLTGATVKHCFPELLEIVSSAKTTCDRCKGWDVAAVFYRTCDTLANAVDEAISRLAAGGAMASRSSDMINVRISRYTSITSRVPGQKSVNVEERREYPAWDGLDFKQPHEIE*
>EED85530
MPIPKQIIEQVKQLIPPLNGSLHKGQSGRVGVLGGALDYTGAPFFASMSALRIGADLSHVICSPTAAGAIKSYSPDLIVHPILREDQTPEALRPTLSSLLERLHVLIIGPGLGREDYMQTFAKLALNIAKEQDMYIVLDADALWMVGHDLALIRGYRKAVLTPNVMEFKRLSESVKVDPSVPADERAMRISRALGGITILEKGKADIIATDTGSSLHGQESTEEQLSVDVPGGLKRCGGQGDILSGNVGALLAWGKCYETGAFGDKTLSISRIPLLAAVGGSMVTRTASRRAFDKQGRGVVTQDMLAEIGSAFAETFGSAGEKGWGSSGDAGKL*
>EED85531
MAGHAGGIKCCAISQQASLGLPTAATAMSSGRLGQSSALSVCAQASIAATNTLCTGDQDLLWLDVDPSVSPSDDWFTENFPAPREGSPLANVFGGSCVQETHTGYKHTAIAGIYPHIERFIPRSYSPSPVYPSRTAHCWDVSAQDVHKMYEATDPWIAGGLGGPSSPLYFGSQGYNMSLGSMVTCYAQGTPYTVHTPAAATSYHRVPSTTGFSTGDLSCSSSPESLSSFLSLSPPDSSSSYLSCSPSSSYTSPYTPSSSDMGRELPARRSSVQKTTRKTPYSRDPPNSRRRTSHSSSSSPSSSTDSESVSPSGKRRLAPPRNKQAAVDVTAFIDGGSAECPICSHVPAEGSPAALRRHLETHDCTLSTKEWVCCGVPEELADGYNIQKPAKRVLHKGRWMVGGCEGVFSRKDALRRHVKEHKKGCAGDVVYGDTSGWFDKPFDVSSGCQVGT*
>EED85532
MDLMAEFVLSSLHSEGQSRRDRRWLRCQYHATITVADVFSQLAQGISAQVDEAVLDGSFSLDPIDVLGVPQTYDYFDIPDLFFFEDLCPESTSEVIVSGISPTHMGALEATAAPPEKGNMTSPQHISEADTHYSEPYSPLLSPGLIDAVSVPAYYPQDVHYAYDPVSFAPLTCPHDVNPFFYIGVTFAFVFFSLASARAHAAEVPLHARSSPKTNYSFHQLEAMIAKGSCRCPVDDRSFTPASEKRHDLLRHIKTHCEDKGEVWSCRVAGTCKPFEYGGQMRVGGGCLLSFSQQDALGRHVRDSKNTEEDTEDALLSRSAKFSTADIPLIIIIPVLEHRLEVSVAQR*
>EED85533
MGLLPNLSSIDTTFWDNAVAFIGSWKRQLQSIKDTTVDLMVESVLSPLHSEGQSRRDRRRLLWHAYCFGRVVPGDSDSLVYSESQSLFRTASPQRARYTQMVSIDQNPLCLDVEPSVSWSDEWFTDNFPEPKGEPPVVANAAGPVFGGSWAHEAHIGFGHTASPNMHPCIGRYMQAVRIGRNENIEAFKALLLQRSRILISRTGNVGKKAIALAMLHHPEVASALPARYSVSEAITDLEAFRLQLVDSMGVPPQMRGQQLLAVVDELADASRFESTVGDVIIVPLHFRQMDLAAVPMWRTSRCGPVIVHSYFIGYLCFQSVLGWYTAFLIHFAYFV*
>EED85534
MDSNTAADTHSSHKNIVLDIKESVVSAIVMISALRAEFCAAAIVHGVGPGDLWAKVNETFSDVLAASRTEFLHASTGAGHAERELRVRSLLTRLCNETQRVGVGLGLSEARLEAQLHALSVLLRRVIITIGDLALAEQHSAIIEIVLVASMLIFASELKSLRLILRVLKVIYKKESLATWAQHALFGMSVNNEDVLLRLRRAGIPIRVIRVVRKRGKSLNSFDQFNNVHKLKFLGLAIGKRPARSVQSH*
>EED85535
MWRYAGNRPMRPLDTVILDAGLKDAIIKDCQDFIASKDWYMKRGIPFRRGYLLYGAPGSGKTSFIQSLAGEFRLDIYTISLAGSDMDDSNLMRLIAQLPERCIILMEDIDAAITITGRRDETGSSNRNQSESTRHVTLSGLLNVLDGVSAQEGRILFATTNHIEALDPALTRPGRMDVHYEFKLASKSQITALFTLFFDDLGSENSAKEKIERGDLTKLAVQFSDAIPEHMFSMAELQGYLMRYKAQPYEAAAEAFQWAECELERKD
>EED85536
MSSNSAEPNKSTGQYHSLKGTVVEAVGNLTGAESWQESGKQEHAQGEAEYKAAEAKGYAEGTVDRIGGKKDSIIGAVTGDSTQQNAGNLRKNKGEAQQNINS*
>EED85537
MADAPRLASDPGLQLCPEFADPEYGILRQGLVAAGQVASDAAATEHLIAIWSAHNAAKRALWAAQVEGNRLADTDRLLLEAEACQHADDATAEEALLTQEKRRLQLGTLHFNRDAPSFLDQRPSAYALHKLAKGEYVELWYFTVEGCATARNDRSTAEEAYGITRTDDTLALRPLAAHCASRQAVPDEKLTWDQFCAGNSELQLAVEAAGWPAAHVAMLEAFFYTLSRHPFTRRPRGKDALLLYQARLRRHWHTHLAQDEGYNLSNIKEDLLNEMLQEIASIAHKDRISRLVHAQHADVAPGAVDSDVSISGM*
>EED85538
MGRGTKYFTAADKTFATKRRKQAHAATPSIKASQRAQNRRAYLHRQHHHDIPPNVLTDAHLPLRSHPLLLKALCNTPLDFNPANATAKQMEAVLRGQAWRTLRDKRDCRIRLWNEGRLDELRGLIEQHLADAREHRQVAHAQWEEAAAEGVDNDNRAQIVTSTRAEFVAKESTMVYSMS*
>EED85539
MGSLRESVRFSQNHLQQSQLKSCYLIPSAVAMPKAKPFIVTAKHEPTGLLERIAIHNMHKFDDVGKPRRIVRPTIKPLICRPFNPECAEKAKHDIEELALHAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFATTADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKACQLTNRHWRVIKRDLKRIGHVSFEDLSSRLPDICNELASLNITFKYEV*
>EED85540
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKKEITSLFINKDLASKDFVKYAERFRTLGHLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIINPEKARGSVFKNSGSDNGSAVPMDIDSAEKSKLKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKWPKTQGDGAKKAQGGSGNPAVKKAKKTRVIQVELTDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED85541
MPSRRLPVQSGHMLLFISAFGMTSLYRWTWSYHFWSPPRGDLRQEFAAFGFPKTSPVVTRSQAREAASRSATENLDSSSRTQSTPSPTIPGDFDHDEEDEIDQELQDDFNEEPIPSTAEERTSSPELLGLTTSDYDTLTPELFERSGSSPEPEDPIPSTSNLELPTPSSFRAHAQPPIASSSRLSVIPTSDLAPPPPLAPSNAASNSNFAPPTPTIPSTTTASSSTPAPTNTTNMSQNTNTPLMPPRGHSTALSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIARIGIHNAADRS*
>EED85542
MRSAIAISLLALPLTALGAHQERAHRRRHAGLAHSPAYMRRSANYTLQNKFVGEDFLQWDFFDQSDPTDGLVHYLDADAATSAGLATVDCNNVTTLAVDANQTVASGGQRNSVRISSPQTYNSGLFIADFQAMPFGCGIWPAYWTVSINATWPDGGEIDVIEGVNLNTENQITLHSGPDCTLNNTTPTSGHVLGTQCASGPGDDAGCAYAQNDTRSFGAGFNQAGGGVFAHLWNSDGVTFWFFARDEIPQDIKSGNPDPSTWGEAAAIFPSTGCDISSHFYDHAIVLDTTICGDWAGPAYASSGCPGTCDSMVANGTNFLDAKWVINSISVFQ*
>EED85543
MFAQPLLLSPCICSCIKPLLSVSREHRCSLRATDRYSLLSATIDLAEMFNDPPPPYDASAREPGASPPWHFASLPDVSCVSIPDVRYFSFSCVSGIPFAAFSGVSFATFSGVSFAAFSGVSFAAFRHFSFASFSFADPRNASRAELGHSSSTQQNRAPAINPSTSDLPRASSTVPLRPSTSDPSRSPTLAPARSPASASFHALGARSSADVDVDVAVAGPSQYQIGAKTLATPLVTVPYAKAHLRLLAAFKALRTAVEDNAGARLPEMVAGLDAARRWAWFVGLAVDRFECWADSVRPGSLRSWVRTQLPPLDVLMVWHAYMLNPRWYAEDCERLPLMKTLKGLGDRLLPAVIVAGDPAAYQPTRERRMSWLADTGTHWDPLEASRYMTHRRVTCPKCAQDIETPYMTRDGTGYAQRTFAVTCPSCRLTVRKAALGLAKFADDLVSDHETPHVGFGVYLAGTLHTEAKTTDEDHATRIKNALIRSKALRSRTQITRTEWRQELMRKFRYSTVSLPAAASLPLQSMGGTRTVIMSRSNRILSAYTDDRPFSLDLVGAVIRQGSFIDKMHAFGWTQPGFADEPQDEVVLVHAIARYHAGVLMSSFLDLMASTPASLFVPTLDIDLAWHSHQLMARKYSADCFRTVGRFVDHDDKVEENFLAMSLDITCRAWEIDIDNRTLQQRFRVPYMHCGCPLPGDTVGQRLGHLSRILKGNTTHEDINPPHRNDAFRATHPSEHPAIQGGRRGVLPDHARSRREVREAKMRERRARDAEKVRRGKMDMAVFRRGEGHDYPFLTPVPFTMPVTACIAVGSQLTCGSGSCAVGAGMCGSTGDATCGSGSCSGVACASFSGAAPCAAATRGAAFAGMPMVSMMSSGGDGSGSPAPSSSSDSSISASGSGVTEGDGGGPSSAAICLGGSTSVAACGAASSSAAACGGGSASACGGVGSWSDDI*
>EED85544
MFDDPPPPYAITTNEPHLAPGILQTQGSTVPRSLNSTAHLRLLGAFKALRSTVEENLGAQLPDTVAGLDAAQRWAWFVGLAVDRFERWAAFVSSKALKSWVKTDFPPLDVLMVWHAYMLNPRWYAEDCERLPLLASLKGLGDRLLPAVPWILVKIEIGDPTTYHPSGPRETSWAARTGMPWDPFEASREMIHRRMKCPKCHKEVETPYLTPEGTGYAQRKFAVACSSCRLLITKEGLGLAKFADDLVSDHKTPHVGFGVYFAGTLHTEMKTTDELRANRIKNAFVRANALSSRTQITRAQWRQEILEKFNYSIAALPAAVSLLLLDGGGRRIVNRILSAYTDDRPFSIDLVGAVIRQGSFIDKMYSFGWTQADFFDGPQDEAVLVHAITRYHAFLDLMTSTPASLFVPTLDIDLAWHSHQLMSSKYSADCFHTVGRFIDQHYLPCLGDYSEELDTIKDALSDIVPLAAQFKANIGAISENNGSIFDNISESVNKVYAGLAEKLVAAFPPPNHASGHAARQELFNMILSEAEDAIVSVCVQAGLPEEDILVVIVVTIGDIAEQHPELLPILVVTAITMLIPEEWILDPLIQLFGIGPSGPEKGTFSPIALLSSIAAWAQRTFFGAEVKAGSWFSLLQSAGMKPGAPATKGVLHIVEPLLSYLALSTFEMIRSVAFKGPLYEFGNNHWDPRTSDGSSSAIPESQERETLDASADSPPSSIPAHEATGLRCTTESLSGPAFYQIGSTMRTRPLVSVQYLKAHLCLLGAFKSLRTSVENAGDDQLLILALGLDKSQRWSCFHRWVESVEYGPLRSWVDTELPPLDVLMIWHAYMLNPRIRAQGIWPDGMQKTASIEIGDPSTYQPGADRVRVWLAKIGTPWDALEAARHMSHRQISCPRCSVSVNTPYLTSEGTGYAQHNFGVNCSECGLFITKEGLGLAKFAGDLVSDYEVPHSGYGAYLAGTLHTESKITDEDHARRIKDAIIRTREFESGVKQVEREQWKREILERFKYSTQDVPSAACQQMLDVGGMRTVKRIMAAYTDDRPFSVELVGAVIRQCSFIDKMHNFGWTAPSFLNNQQDEVVLVNAVARYHAFLDLMAISPALLLVPTLDIDLAWHSHQLTASKYAQDCRDTVGRYVNHDDKVEENYLVISLDITRHAWQERFGVPYMLCGCPLPGEAAGQSLGHLVKLQEEGGDTQRDIDVTHPRGRNLQEANMQERRFPFLVPAPFVAPTSTCDSVDTQVIGGAGSHAATAIDMYDVCPAAETCLGGIAGDGYLNASCQGLLWWSQSTIVQGHHSHSKACGGMSGKHSQAPSSNDAHDVPTIIDLPGRIRAIGFLRSDDVLSYRSLSRSMTSRLIQTPILVDEALHTDFWERAAQLGAFARKYAESRIDEVQTTYPQYIKEVGTIKDAIPHIVFVAAGFRARIKQANLLMTRDASNSGTASDDVSSESVMDDIADKVDKNLAELLEELSAAFPPPDHALHHVARKDLVNQILSRAEDIITRICVEAGLPEEEVRAQLRLLHPYIVTAVVTIGDIAEQHPDVVEILLITAAFMIIPEGWILRPVARLFGIGPYGPVKGSTAAWAQRTFYGGEVKAGSWFSHLQRAGMKPIIQPVKKGIVGPVVGVIGVVGSVFACG*
>EED85545
MLFTQSDISITSSQDDAVLDASFSLDPIDFLGVPRNYDYFDIPDLFLFEDLCPESTSEVIVSGTSPTHMGALEATAAPPETDTMDSPQYIPEVDTHYSEPYFPLPSPGLPDAVSVPAYYPQDVHYAYDPVPSASPTVYGITRSLAELSLLDSGNTTPYTPQLAVSITSPPTPFSSDSEALTDSSSGESSSDDESSPSSSPSVYTTSISRSASTAPLPSSSSRSPPRAHTRRKSPYTRDHPQRPSKKARSDSSSTPANIPRRTKPRNIQTSYSFHELEAMIAKGSRRCPVDECSFTPASGKRDDLVRHIKTHCEDKGEEWDAKGVI*
>EED85546
MAGHSGDIKGLADSLLHSSSLLRAVTLHGTHHTQAVNTCYPPISSRVPGRLMTGRTLSLSMLLFRTYTRVSRDIRHHASCRRFLLQFTGAPAAFCIHEFPGQLLVLLSFVIIAHVALHFQRFRPGPHIVRTALASAEEDTPSRPLLERICKVSTENVSLVVFLLEQRSSAALRRHLETHDRSLANNVWVCCGVPEEIAGMYEIRDGSKRLEHKGQWMVGGCGIDFSRKDSLIRHLKDSKEPCTADLALGEALGWFDK*
>EED85547
MSTPLIVLQEVCRWSPIKGTTDFMREGAMIAFLTIEEYDELSYKTNSRHIRRPRKFSAFKVNNSLRHGAKKRCLFKPKAVNAHGFWEVAAVERKDSNGLRGVW*
>EED85548
MTDVLPQKNEEYGSKEYWDQRYSKEPSGASFDWFKSYADVADIIRELIPDKASRILMLGCGNSTLSEDMYDDGYKSIVNTDYSGVLIENMQQRHEYTRPEMQWLEMDIRDLKFEQDTFDVAIDKGTMDAMMTAKGDVWDPPEDVVENCNREVDEVLRVLKPGGIFIYLTFGQPHFRRRYLTRPGTTLEVRALGEAFHYYLYILRT*
>EED85549
MSKHHPDLIMCRRQPGIAIGRLCEKCDGKCPVCDSYVRPETLVRICDECNFGTYGGRCIICGSPGISDAYYCAECTRLEKDRDGCPKIVNLGASRTDLFYERRRLGFKKG*
>EED85550
MWCPLLSTRPTSRSRCLI*
>EED85551
MEMPAPGPLLTFPSSHRCRWVCKGLRPRSQTKRPLPPPSGPASEISTTRRRHK*
>EED85552
MHRTRMAPANMDTHTSI*
>EED85553
MAARPAWQTDELEDEWIDQEEDDDFQAYASLHAHSCSDLSLTQPIGSLLVRSENAGTNSSDSSNASGGTFLVKEDEPALPLLPKTPGQNKKPFGKDIFTPLALEKMFEPPSPPSQPAPLPPGTTTAPAVRSRLSQVYTPGEDDTEIIMDNSGGYGELESPADESGGAPASSYHFTFEVPRGSPFNPVGSTPQAQSTPGPPCAALPNFNPPLTDPRLRLFQFQYDTFTREHLSAMVDSIAVNTPSGGSGTANTGANTSSAGLSPVREASISRLRSAKRIKLSPASELSDSGDGAVTIFRPQSLRKDYVGESKSLMEKIREARDFSTVSTVASAQSPGQAGKVGSPKDQPQPSSSTPIPNDPQSRVPSSTGTASSSKRGAYSSLAYRQQAANLMAQIRRDMKGTKRVFSEETDASHLGLCDRHNRSVTETTVGHDTFPAHSQLAHEGPSNEASTQSQFKNGKYPSAVGERAGGGIYPQEQDDTNSTTSGTTLTAGSTGSFVKHPGPKQITRITPEDVPALPDRVGKMVFDKVLMKWVKVSADAPEEDERHPPVADANDSEDPFRDFESLREEDTSRQPDVSAVVIEGEYEDHDDDVSADVEQSRIEEVEESEVEDEEEAELTSFSFDSSSAELVQVVADEGQHLNADMTMTDSEEEEEEATETTGPSFDIDSDESDNEYYQQAPGPDTEPALQDSPPHLLAPPTTTALLSTPNPSSRATADPTPTSIIRSALKSSSVTPVSAMKDPSRSSYTPAHRLGHRRSVSFSDGRRDGPILGVGRNAPTPDATELEDDDSLVAESSKASAPLVPSARSKRIAEFLDDLEDPIFDESPSKTSSSGRPPEELKLQKPRRPSTMVANGDSPNRGASRRVFSRSHSAKSPRSTERNPNATFLTECSFGVTHDRLVQVITDVEPFEPHWEDLTSVDLSKRNLDGVARLKEFLPRLDSLALNDNHLSWLSGVPGTVRSLSVVSNLHLLSLENLDISRNQIDSLRQLECLRHLRELRADGNKIDSTDGLHNLDGLVKLSLQGNRIRHLKLHDVRWTRLEMLNLSHNRLGNVEGLASLAALIALNLDNNALGELEPGKPMPRLRILRVSGNRLQQLNASPFPNLRTLYADNNSLGTITKAHRLTKLENLSLRNQNAKPGL*
>EED85554
MVVVPDLQTQILLSKILKEAPLRRYWEQLLHGMPGLWCTGFSNYTPQVQATMHLQRAQNLPLSLVRACMARIRVLYLNVDFPSGLHTLVGLPASRLEVLLLHSDAPSHLGYEPSTMTLCNGDFPQLRYLSIMQCPIRPAAAFPALTHLHLAHQCMGPLPELLQFLAGAPRLVQLTLSFLRVSSPAAKIDAPKDATPRKVYLDHLRSLCLTDIAPDIVLLLLAYLVIPASPVAIQLAHIRKATPAFFRALPDLPALRGATRLSVEARRGSLVVAGAGATSAVRMHFVDVAGPGSLVPEWLDALLDVLPAGACCRELWWTDWHRPGAAPWTYGLHRTLERMWAVSALVLCEPPMRCKRQGALFDGLFGVDGGASGCAMLPALRTLHLVTHRFAIPARTNEYAKTRACRGRPLTRVVVQVAVDELDSDDVPDEDLRAGPLGLLDASLDELQETVGVVELRVDHCTPSMPLPLVCHDPFVYWESAGMPRPWFQLARGFFD*
>EED85555
MFISGSLNATSSGLSSTASLNATSSASASANASSSVIISFPSSASSSSSFSFSSLSTITSSSASFSKFTATPSFESQFSYVTESTLILASPTSTFSSSSVSSQTNPDQPTSTFSFASQSVSATASPTAAPLPTWLPTTIVPPTQLDIAEIPKNYTAVNILFQNTLNWALVANNTESSGQIFEWMPTLVASSLGISTLDVMQYALQVYQPDTYTGPDDASQLLTLWVAYIPTNQVSSLAQQLKDKNSQFYTGFNPPYSTLAAQVDAAFSVNSGSAPPAGGSGGGGSSNNGGSSGSSASKTREDAIIGVVTSLGAITLIILAFLVARAVKQRRALAHQRLAEPIDPGYDGARPDGQEFDRDSLGGQRRRSFYYAADSLRGFSEMSNAAAATYESSAGPDGGMRERRTVAPGMISTPVLRDNTMNW*
>EED85556
MAPTKTTKTRKEPAKASAKEAKAKAARKAALAGTNSHIARKVRYSVSFRRPKTLRLARDPKYPRKSIPHVPRMDQFRTIVSPLNTESAMKKIEENNTLVFIVDLRANKRQIKDAVKKLYDVQAAKINTLIRPDGKKKAYVRLTPDHDALDVANKIGFI*
>EED85557
MSTEKKAEQQLPETPERPYAIVRIKRKRNEEPLDGLVVDPEAVPSRKRSRGALNFFKFAETARLSTLARESPKKEILRTSVSAPAVVEQAVSPAVSTPRPQIDDPNRRYTIVKRERPGTERVQRRVPTAPPKVWSTKELQALRESQSSFAMYDAIPSSSALSSKSEIDPEVAKFLPLLRDYLKLDDPSMATPPGSMSTLSSSPSVTHTIDDSDYVYDVFYQRLTTLAELYEPGMPVWNIGTLTEIPEELMLYDSDDDSEVYDTDDEDSNAEDFYKNDYPDGEPDEMSDGSEGSGDPDVFHEDSDYDDMIHGDTSDHEWR*
>EED85558
MNGVGTGTGSISSSIASEEPRISFRHQHIEDKNGHHLIVGREGKLSRCEDEPIRTPGAVQGFGVLIAVEENEETGNLEVRQVSENSTELLGLSPPHLFSLECFSRTLPDHQSDVLFDNIQYLNDTTLSAEEQAENVHVFMLSGWGEPGTVLSESMSADPEGRRSWTCCRAKPLLALERLRRTRRSILDNVLSPASSSSGARRGGPSRRRRGTGAMGMMDVFAVMAQINEQLGAAPDLDAFLKVVAGFDELWNGQVVAELVDWKQTHDLFGGLHFPASDIPAQARHLYALNKVRLLYDRGQPTARLVVRSKQDLQTPLINTMPSDQHPSGYIVSNADDLLGLFDADHGVLVIGEGAKILGPNQHGQEILIVAEYLRLKQFNTIQVSQAVTQDYPDLQLTTGLEVIAGLLYVPLSAGGRDFIAFLRKGQPKQVRWAGRPYKDGEQSVLEPRASFRTWSETVAGRSRAWSDEHLETAGVLALVYGKFIEVWRQKENALQTTKLTNLLLSNASHEVRTPLNHIINYLELALNGSLDTETRENLSQSHAASKSLLFTINDLLDLTRLESGNATFFNEVFNLHRAIEDAVVLYRNEAVRRGLGFRLDVANSPRMVVGDARKIRTVVANLTANALKYTEHGYISVECRAFDEPMGLRTAENVAVEIIVSDTGCGIPAERLECIFREFEQVESTEQRTPTQGLGLGLAVVARIVEQLGGQLRVDSKINEGSRFSFLIPFSTEVEGTAPSSPSESRSAGGSHRESRDSSAAAREDQIDNLVEALSSHHLSIQKHGSPRPQDNDNASLHESDKMQMTGFNTPSLSITGDDVSVTSSQNFRAGSPARGPFLNEHSTAVVISRRATSRSSRSDSGYSAKLRLLIVEDNDINRMILAKRLSLDGHNVVNTTNGQEGVEMLESDWEFDCVLMDLQMPLLNGFEATQRIRQIEQERQVGLHRISHKLNGRIPIFAVSASLFEDQREELFKLGFDGWILKPIDFKRLKIILRGVVDAAQRGRDVYNPAGNWEVGGWLKAHEKVPGDVS*
>EED85559
MMQL*
>EED85560
MPRAEVGTPKYLANKMKAKGLQRLRWYCQVCQKQCRDENGFKCHAQSESHLRQMLVVGENAGRHIADFSSEFQHDFVQLLSRRFGTKRVKANTVYQEFIQDRNHLHMNATRWVTLTEFVKHLGRSGLARVDETEKGWFLAWIDNSPKALAKQEASMKKERLTMSDEQRERLLIAEQIERAQVIAGPSDSSPPPVEEGLKRDESSEKVVLSLAPKAAPPSAGGLKLNPLKPIANPLKLGVNPLKRPNVFKPAASTSPADAEKSNGNKREAPMTAAERLIFEEQQRKRRRMERETMS*
>EED85561
MQQITIDADWECGYDDDDVLGEMAEAWPGLERMLLVARGDLHARSYARSHSPSRATLRSLIPFATCCKDLKFLGIWFHADIRADHEAIEAAIAQASPNRPSPPLEILKVMDSTIEGPPERIAHFLSTLFPSLPIILANGADEHVERWREVEKLLPAARVRHR*
>EED85562
MRLFLRDRISAADLQEESLAIELSWTVQDTERLADILAEHGVDLLDVRFAEMVKKTHGHIIHGARFVAVSEGSPNNSLCRELRLISSRNTLTIAPSPHRSIPQIFGLAFNWGLQGTLCAQIYFYYTSFPKDTTTLKIFVGALFLAEWLQTSFTTINFLSDISWSDFESNELWELYRQVVPVVSGIIATAVQIFYAWRISVLSDCKTFRYFAALITLGFDVVTLVIGPQITASASLVLVWLSLAALVDIVIAILMSILLYRSQSHSASTAALKTSLIQYTITSGAITASVALVAFALYMTDVLASELDWRAAFEHVPYNSWAENMPTGLSICP*
>EED85563
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDNVGKPRQIVRPTIKPLIRRPFNPECAEKAKHDIEELALRAHLFKKQQLLDRISNPAPPLIDCIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARWLTNRHWRVIKRDLKRIGHVSFEDLSNRLPEICNELASLNITFKYEV*
>EED85564
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQTVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLLEWLDLIVLWCEHKGVATDKQRIVTALSKLQGPAHQYMKSYYMKMWEGKDLGTWKAFVAELAQIYGQHDDKEGAKKEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMRLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDLAEKSKSKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDGAKKAQGGSGNPAAKKAKKTRVIQVELMDSEDDTPPSTKAVSANTARIEEIANVEESTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED85565
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDNVGKPRQIVRPTIKPLIRRPFNPECAEKAKHDIEELALRAHLFKKQQLLDRISNPAPPLIDCIDMQAGPSYEYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARWLTNRHWRVIKRDLKRIGHVSFEDLSNRLPEICNELASLNITFKYEV*
>EED85566
MYYKKLAGGVQKDRKAVLFLSNYVTRFEQLASKAQLKDAEVNGTNHVENNYHTLHANFVKGLPKELYFALATRVARDRPNIIKAWYDEGTLIVIDTRDYGEPMDIDTAAITSTFASTSGGRKWEIGAILNKANWKLHRDGNLCFYCHIKGQSAKDCRKKAAAQQGGERPNQGGSRKDDFCTRIKTLSADKKWELAAGAGLYTGGDEGRLCALAHAQLVHAQHADAVLGAVDSDVSISGM*
>EED85567
MTVLLALSCLALAGQAFGAFPDCANGPLTTNTVCDTSATPLERATALISLFTLEEKINNTGNTAPGVPRLGLPAYQWWQEALHGVAESPGVIFAPSGEYSYATSFPQPILMGAAFDDALINHVATIVSTEARAFNNANRSGIDFWTPNINPFKDPRWGRGQETPGEDPFHLQSYVYNLITGLQGGLDPEYKRIVATCKHFAAYDLENWEGNVRYGFDALVSLQDLSEFYTRSFRTCARDANVGSFMCSYNAVNGVPSCANSYLLQDILRDHWGWTNEDQYITSDCDAIQNIYEPHYYTATRAETVADALNAG
>EED85568
MHWTLTVARLFVALANFKKGQDPPQIYTNMSQPTSIARSAALMLTLLISDLMFVSPVIVPRVWIAEVIFRQIYRLWIVWNHNLYVLILPIFTTIGYLVASVGDLRTLKHLQGDSIIRDPAMENWLTAQFAFTSTVNVYCSAGIAWKVWRATHSIAHATYKGSKLVRIVRIVIESAAVYTAYGLFYFGTYVARSNIQNFAIDMDSPVVGITFMMIIVRVGLGWADQPQPAADLLGRSTAEQTFDMQPFEINVTQVIQHTRDASSSSKMGSELV*
>EED85569
MTLTLITASLATVAVESMLYGVFLVLAASSMYLHLGRIASQQSNSFGSGSVWAYFTPVILGSLCVILTVTGHWILTVTRLFDAFVNFLDGQEPLLYYSDLSLTTEVVKTAFLIATLIISDILFSPVAPFLGSAELYSVAGPGVVYELTQLGTSTVFVARLSRWISADYAFTGVFFYLHEARITASLGAGSSRLRAHITPIFLGAVVVSCTTTVHWILTVTRLFDAFISYMGGAVPLLFYANLSEPSEVVKTAVLIATLITSDVLFVYRLWMVWGYNYYVIIIPSMAVLGLCVSGVGIVYTLSQLSVGNTIFVSKAAQWIAADYSFTFACVLFSVYGDTSLQSGNTYGGGNLMRVLATIVESAAIYTAWVVAFFISYEVTSNLQYTFVDTLCQVAGVAYMMINVRVSLGWAQTAHQSQGTSSAGGIASRRGPVDHSYVMRPVAVDITRVVQKEDDMGQPVKPRVSSDYNV*
>EED85570
MVEEWAQDLSPLVLAYRKALGAIRDEETELRIAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKCLAEEEARRVEEAAKRAKAAEDRRLEDERRRKDEEERRLEDEHRAQEAADEEMARIAAAEGLLDKGKGRARVDEEVAELSDDPSIKTPRTVERPFAMTEVDMAAVALEKRQSGQKCDRCAGYRSAPVKCVWVENAMTCERCAQFQQGCYFDKVSVLGKTKKMRGGGSTTKKRIRPASPGPSIADSSGSKKRRVDEPPRPLLRLPLDEASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED85571
MRHVAAYLLLQIGGNASPSAGDVKKVLGAVGIEADDERLEKLISELEGKDINELIAEGSSKLSSVPSGGAVAVSAGGAAGGAPAAAAAEEKKEEKKEEEKEESDDDMGFGLFD*
>EED85572
MSSSADQGRQAVIQDVRGSQSNGDPSALAYMAPESDSNYTREHSPVSPRNGLLPSSSSSSANPPERAYLPHRASTFSFPHNVDQRKQRSDDHPHARSEDFNHSTSQSYGDSSSSDQALARPQPFLTASRPSTPGSSSQHTSDYTGSSKTPPGSVHSDSSLYTDNAALSGNAQTASSSTHTSTSIASTPCAACGKAMQGAFVRALGTVYHLQCFKCMDCGTVVASKFFPIDGPDGKQHPLCERDYFRRLNLICAKCGMALRGSYITACRCNSAILKQFVEINRNMRDECWHPECYMINKGQCTYIDGDLWQFWNVKVVARRPALPSNSEEFEGEPQEPPWTEEERRETAISLKEKQIRMEQQVYRIWTVLSAFEESSAACISDMLRQVSNGQYLEAIRMAEKFILHVEVLFATIDDLEWHFARLKLKGMSHVREARMLCRKTVDLFTLLSHTQETGARRMGMTQELLALVTGLAHYLKILIRIALTGALKLEREHNVQEAITSFLDKLHMLAIQGGNPSAKRMMKRANGEVLALVDNGNTGTQGVTYGFKSLAPENAGESPFMGAPRDPALAKVNVVNPPSDLCAKCNQTVEEDCVRLGTYQRWHSHCLQCDTCGKVAAVPAQKEAVQARNLEDKEKEKEEKTPKLSTARRPPANVGYFVYDADSMKDTTTFGPVPTKVYCVEHGHGGCKGGFMAVARLEQYAFLLNVALRRLYVVLKKXGCRAVNPCQGQVIRPPFARNNTGVMIVDDSAPTSPTAAAVDEPTMPAIEDGITLADIPQIVEAAQAREQHRSLPRQSSIPFIAELSTLELAIVRHCAVVVLSHSPLQSQFDLDEILELVENKKNSFWKQLFKPGNDKKNVKKKGVFGVPLELLVEREGADSLLGASRTAVKIPSFVDDVVSAMRQMDLSVEGIFRRNGNIRRLKELTEAIDRDPSSVDLTQDNPVQLAALLKKFFRELPDPLLTYKLHRLFIASQSLPTEQERMRMLHMVSLILPKAHRETLEVLSVFLKWVASFAHLDTETGSKMDLQNLATVICPSILYSRGRDAVRDESFGAIRVVTALLENQDELYCVPQEFLSILQDQDYFVNSLDLPSKEFLKKCETYMRLKASGRTPLLTSPVSGSAPFNPSTPRPEDMRAQPPRSQTQPTGGQYGDRPAMANGVSSDSLIRNGQQQPRQQMSAPPLQHSPFSYPPPSSGMSAIHAPQPRAPNVQSTSDDWTPPERQPYIGQVPSRPSSMSNSYVPSRSSGEGSNPFAPPNGHSQQPAVRQRI*
>EED85573
MPTDVVGSALRAYWAIYRDRDELEQQIFIQERVLCDLRSRLNKLTPIARLPPDILAEVFLVRVAQCAATAPDAADADLSWLRLLLVCTHWRHIAISTPALWTRVVVGARAQWLQLQLLRAHEMPLTVLAVFTQGARPEEEDAKVLNLECIIQNELARVRILHLRAPVQIVRQAFMAIAIAHSAAHLTEFKIEYVPQEASIGDDRPILPFLLPDNMPTLQKLVLVEIPFMWMSLPSFVNLRHLEIRKDVRDGHLVMADFLNAVESLNLLEFLSLRFNISCYAQGTVLAMWQVPHTRVIILPYLRNLMLQASCADCSVLLNHMVFSRSPNISCVCLTDRGSEDFAAAIAAKLIYELVPLNTISLQSGLITRLLVFQGDAPLPQLPSNPIRALSGSAQLDLSLCMEGMPNSHMLWMALICRALPLAYLRRLEISSPQGCFGEVEWWLKVFGTMDEVTTLRICGPSCGVLPQMLRVPVHGRDDQPFMLPRLERLEVDLPPVPRELVNATIGRYASDLRASLTDRRALGMGLGQLAVLQRASSQVESDQHVFMQLLQPVVPTIFETDNSGALISVST*
>EED85574
MSLPSTTTSRHQLISALGPKAPSYWTALKEYLCARISRVEFDEQVKELLDTTHLRLPVQLHNSLIVSLFDTSAHLAPPTPPPDVPKPPARKRRRTLPYQGADTFDPTSLRSSRLKRWTVGLGRRERERVRHLEAAAVALDQRPQIHKDEIAAERGVQLVPERGEPPGSRLPLHLASSSRGFTLQHISDRINLICAQHNLGAPSKSVSSLMMLAFEVRCVNMFVASFTDVAEAQAKLKQIISQALSLTSTSHAITSIKTSTRISNNHVLSTSAFDTLFTVSPSVLPNKSAAAMRLALGDNECEEETSLKDRDEHIERHQLLALLSERSTVRDALRILR*
>EED85575
MLPTPAPTSPPSSPRPEVTAAAEDHPIVYPPPPPTRGSAPFQVLAALFDKLQGERRPEKRRRLLDSWFNKDRERAVYGLKEKNLAKIYIKLIPLNPRDPDAIRMLNWKRPTERNQSSGDFPSVLYEVISKRSSVVEGTLTIHELNDHLDEISKNMGHSTTSEEQRWIARIILKDMQISVKETTVFAVFHPDAHALFNTCSDIKKIAWELWDPKRKLNDEVHLSPLRRIEDTVKEMQGSNFIIEEKLDGERVQLHKRGNEYFYCSSSWATNRKGKDYTYLYGKHVGEGSLTPWIHKAFDARVDEIILDGEMLVWDPVSERNLPFGTLKTAALDRSKKERAPRPCFKIFDLLYLNGTPLLNKSLKFRKRNLRACLKEVPGRMEFAVEYEGRTADDVRTRMEDIMASRGEGLVIKHPNSQYVLSGRNSDWIKASCWTRGFNVKPEYMDSMGETVDVIVVAGNYGTGRRSGGVSTLVCAVVDDRRPADEVEPKYSTFVRIGTGLSYADYIWVRQKPWKTWDPKNPPSFIQVAKKGSEDKGDVYLEPQDSFLLKIKAAEITNSDLYHMGVTMRFPRAIQIREDLDTSDCTTATAVFESLRSDRKRKMSDTDELSDCVNPKKKQRRTTKKPVILLPQYQSLKLEDVEVESDLFEGMTFMVSSDPKSRTGEQDKKELLRMIRANGGKYVQMAKNNPDVLVVYGGTITPYDIKLIMNRDVCDIIKPQWIRESVTKSERMPLTKRYFFHATSRRMEEDEYVQDDGSLEELALTDQHEEPLETNDKGDATQEDPSIADWFKVEKSSNRSEIQHDDTDSETEPDSDNDDVNRDEDIADEDDISDWVNVDNEDSLKTEEDPVQDASESEPQVRMGETEEAREYDQELIFRHLCFYLDSPANAKKNSMSVKSKNQDELNKGFEKLTTLITEHGGRVVDLDEPKLTHVVVDKRDLSLSSSRLAWTKKHCLMKMASAWQLALFLVLTPAPTEFAP*
>EED85576
MIFNGGCYCGNVRYQLNLDSPDDARMSICHCRNCKSTLTREFCDSCGSGILEYGGNAGENTYVFYGSLDEPDKLPPKGEFFCKNRAEWMPEIPGLFHKREIKE*
>EED85577
MSHMPRRSRREDDPKMIGLWKVGRTIGKGSSGRVRIARHTKTGQYAAVKIVSKNALLSSRMSLHSLGDEAERILHSIEREIVIMKLIEHPNIMRLYDVWETSTELYLILEYVEGGELFDYLCNKGRLSTSEALGYFQQIITAVHYCHRFNIAHRDLKPENLLLDRNKNIKVADFGMAAWQNKSDLLQTACGSPHYAAPEVIMGRAYNGSSSDIWSCGIILYALLAGRLPFDDEDLPTLLEKVKLGKYTMPSDIDSRAKDLISKMLQKDVSKRITMQGILQHPFYTSQKPKKMDCDTPNLDDIARPLASKEDIDPDILANVRTLWHGVPDDEIIDSLTNDEQTWEKGVYHLLLRYRENHLENFDEEEEQLAARRSKRRCKKDDGRAEQPQSLPPRTGPPTPRRAARASETVESMNLAPGLCQMRQLSFLGSSLAISSQTTPTARVDTHRPQASTSAASSLAVPTARSPATPRATSPIALQVPEIQDERIQQFFHQIVEHLQVMQAAGSPQPPHGLHDSLMSPLNTAAPPPTPLTMDPRSPRDGRQLPGRRQDMSTSTDTFATEDSVCTNGTTRPLRIRPRTPQRGHAEEDKENTGHLPRLTIKTDFDGAYTKSPTRKPFTQSAHVDRRVQIIEPLTVERARLKKRRHLAASPASPASALSDSSFALPSTPKRRWFPQLFKFRPTTYHLLSVEESHRTLEACHQLLEAIGVSVALAHAPSSDPNSPVHSSETMTLKCWLDDGKGIKGARFRVEIQKPSGIQMMAGYSVLLSLVLERGAATTMKLVYNRLRREWNLDSAPTSSPMASRTPMLDDDERFVEVVYAQ*
>EED85578
MSLPQIQKAWRATAKGEPSKVLRLDDNVPVPKLNRGEVLIKVQAAALNPVAYKVIMKLIPNFILKKPYIPEHDLAGIVVDANDTEFQNGDEVFGFIPVSAAVKERKGALAQYASISASHIVRRPVNVTPTQAAGIPLAAMTAYQALFDVAHLKPEQHVFINGGSTAVGGFAIQIAKSIGCKVVASASGKNEGYVRSLGADEFVDYTTGPLHATLAANPPSQKYHVFLETVGLLDTSLYTSSEAYLAPGGIFVSTGPQPKGFDIAGLSRLAWKIFLQPRWLGGTKRTWKLIQVDNKHEDLVKVAEQVVDGKIKPLVDSVYNFDDALKAYERIMTGRATGKVVVKVDLETE*
>EED85579
MSESSALDPAFHIDLRIGGQSVNPNNSCKLSQCMPYEIGPLYERTTSTSRFSVQWEHYVPPAATVAARQQQCQSHNGSGRLQISVAADGRLGKRKADALDGGAHGGHASSSGKRDTIACTDYQTGAKLSDALSASLKAYYVSCTPSSPHPPHIQFHGAYTIIADPRIPQWKRVELVSNELRKVVRYPYSNKVCDRPMTTQSWTEFYQCDCSRGRALPPFSQPTTSTTPSPTSTSSSSAPLATTDTAPSSSSNPVKRTQSSLSHWIINTTKKSKAADPSDAGSGTPMNVDPLPGCGGKLHITAEEDNSHPLGPHIKGQKIVVMVEHPTNTAHI*
>EED85580
MSTLALAEATLEAALKYNMQDATSFRKQRMSHLACTSPLRIFATACRHGLEDVASATALALSKKAREPSVGDFADELYDLTAGQCMHLLQFCQNPEVIPESFCRVKMTQPRNGEDGMLSQAGDSVVTVEGPYLDAMGPDSVPGGPQLRNGGYIQLVSHAEIRAQLTRFILVVPEMIERLRVLENEPIQKDNDAGRIVACATFVSSSYPKTVGQSQCSFNFADLYSAAKKYGIASVPDLLEAVLRQHALEEPFATYFVARRFHLQDIATEAARRTLGLPVDNMSCVEMDDVPGQCLVPTSKPHSLNVAIVPPWTRILIANLRPLLHKHPSGGVVMDGHAFAKTVAELHCHSFVCVRARIWMALMLCLRLVKLSRVVSATLFLMFNIGNRAGERRRLDVSIGFSQIGLYLSDVEVRILETERETPSEGGSGPTSVTNHPFTKGSADAVLISSDMIEFRVHRVILSEASHFFDGLFALPQDASTQTPHKEAPVIHVSEHSSVLDPLLRYCYPMADPVLTSLDITIATLEAALKYDLTEATELLKAQLSTHVKAAPLRVYTIACRHRMEDLALVAAREVHCQEKPAEFHPDTRSITAGERYAWLLQFCHHPDIRPITAGEYARLLQFCREGSLPERQTFTSSSTTARVLSECGKDGEGSSVSILQSETETADVIISCLDGVDLRAHRAILELASPVLKRMLSDAPITSQSTIDHPANRSDIMSGTTTAADLTESHLTRGVFTNSRINLTQSSRIVSFLLQFCYPSSVHTPMMPFDIVNVYSAAQGYDMKKVLEALEAEMSVSRELEEDPLLVYFLACRCGFQSIARAAAKRTLGREMEDICRYQPEMELVAAPALYNLTKYHAECTEEVMGLTKSYNLLFNKDDKAVSRCAHFARLTRPCWLEQLVVLITPTLRKDITGATLKARFPALLEIISDTKVRCDRCKGWDVAVVFYRTCGTLADEVDKAISRVQLPWEQY*
>EED85581
MAEGNQHGKMTAYMADIEIEDADPEATLNQNELREAAAPFNKLSADVVLRSSDRPATAASGQQQYEDDSVPVVPMTEYSVVLDKLLRFCYPIRDPEFASLDELRPVIDAAKKYLMDEALQLLRTRLLAFASASPISTYAVAIRLGLEEEARHAARCSLEYAWDWDQIYVPELEDISAGAYHRLLEYHRRCGKLATGLVKNFRWIDSDAWVFLECSNCAAGLQQWYMLGDVLRTPRTWWMQYMERSGKLLENRPSAETIFSPGWTDQAVLDAMNCAVCKQKVVEHMRRFNEKFAAELDRVVSEVKLEIRM*
>EED85582
MPVPATLTSYLPVLLVSVTHSTAPDDTSFSTLPAGQVDYLSHEWREEDVWSSWRSMTRQKNAIANGMRLENASWRTWWKQRNKLKTISPETLNWLKDSDVTWLYGPLHIGSDWSPPPRGTDSLLKSQRQNSTDAIPSRNIAATSRLPTKPILKRRSISQLLSLPSSHWYDQYGSDEEHDDNDGRGGEDAKSQRPPLLHTKSDTHISWRGRAHRKDSPPRIIAEEMPGPSIEPPAVAVGALTSSDASHATSEHELSASTSASGESSASGASSDSGLKKKHISFNTFVEQCIAIEKPKSKRPPVGRRGSPVFSYDDGYEEDSEATYEDESYDSPSGFYAGSRSDNSDSDDDDDDVIEMRSSRSRASSVSSMHGAPKPVSTGNASSHSPHSHPHYRPPLMRKASTGGDRVTIAPIAPTILKSTGVGNNLRFIGAGRETSTSKEVDLVYAPPTHSNYSRPGTPDVRSPAAEDVYHHRESYFTIGTSPSPQGRSPQTSPQIPTVAALPPPSLSSSPPKYEHARTFYPRPSDLEDATMEDTFDFFDGPTEDVSSAWPAGDSHTRRTRGRDNLQDDFDGRPSGVVRYAEGGASSVQTGRSREDIPMSYSPGQSPPDAPMVVVNEVSGATEERTERSREGSPSFADPDSPTSPPTLYVFEKEAAYVKKCWCRQHLRAWIQCSQYSSNCEHQEDITYPRCYFVDFFSKQPIELSQFTHDQRSANTTTCRIPDSIGHICTQYGFPDGRVGGYLL*
>EED85583
MSRDLRARHRDDRAELRVSVAHRRRCRRASKAKSKCWETESKCRAGCECRKEVYRGPAGLGAYQRRVGVVVDNVFLEGIINEAKERKERERQTKAVPIPPPRSANPEPPASPVAGPLRPRPDTPVIFRKVDPDWTPNTTQWTWDSSWPRQKHLSGEEWKNLGRNARNEWFDEEEDDSVDWELYGDGEHLHNGVRAHFVPGIVPLRFFLY*
>EED85584
MRAHCSICQDSHLLQEFRFLPCGHGFCLPSLEHYVQQHTRKPCPICRVFFRLSDVLAVFIEGDPDAPRSQAAPASDAANDPPYPAAVLRQAAQKEQLTALQSDLRAAGTEKDALRQDAEHARCLASSAVDTADKARHELVGAREEAERLRRRVEAQGRQHREQLAAKAKEARGLWDTVLAHKDKEAKQKAKIAALRQQVGEHEATIGLLRSRAIAPARRARWRGSERSTPTPAFNPDEDLEILDAYPTQDNTPLTDTTSNSDLGFLDPDSSRPLPPLFPTPCSQPSFPAPATPQRPIFGTDWNLKPPEKRRRVVSADTRPSTSFPIAVDEKGRPKGAVQLGSRQKLKFG*
>EED85585
MVENRIWGAGSWEVKQRSWRPKGRTPPEARGGVWLWRRRSDEDQREGVCPLGLVAGQYAAMSLDARTESCQSMGQDPGKFGRAVPLAATNVVRGGIPDIWCMLQTAQGGLQTRMARKESSSVSGSTTSGSTNYTRSTDSRSDSRGRSVTRNGSYSDRERSGSRSSRGTNSPMGSLSPTGSALGLGAAHVRGRDRDRESRGMRMGSDEERGRERTGRPLTASVSPPNPLGSPRAQAAEVESPSRSSSLVDVQPDRSDVPSPPSSVSGSSTSTASIATLRQPLYENQITVPRTRVSLNTADLPSPIPEEDEQRSRHPTPANSPVTAFNPIVPSSGDAASSSVPEGRLPSPPVSLERAAKTGSTSPVPIPRFRDRVPRSAAEDQPGFVGRAVDIVSSARGFLGSIWNSNVILSNSLRRIEIRGTHVQALNIRLTLGRLDAMSSDSESDTDSVRTHSPPPTSGIVTATSPFDASDADLILCSSNRVDFRVHRAIMAVASRVFNDMLTLPQPANAVDDHPAVVDLTEDGSTLDTLLRICYPVLDPTIDSIDLARPVLDAALKYDIKVAIVYCKRALRRLAKVQPLRVYAIACLLGAEHTARLAAQQAISRWDQFKDQYVPEFEELSAGCYHRLLSYQRHGSDVGHPFSFSKGESSTYHAVSPSSPEQLHPVRHAPFPFDDLDAEVIMVSSDKVHFRVFKSILTLASQVFKAMLQATTPCESGSDGSKKYILDIDEDSNILNTLLQFCYPIGTPPAISPDALPTLRAALKYKLDRAIWYLRSQWQTLSAFDPLCAYLLAASAGWTEEASATAKSLLLVNISVLQGSTVAELETTSAGIYYRLLQYHKKCAQMTSQRVEMACNYTGLWSEGRNIHVEWCISCKVSSGRSDSLWLRNLYVFEKEAAYVKKCWCRQHLRAWIQCSQYSSNCEHQEDITYPRCYFVDFFSKQPIELSQFTHDQRSANTTTCRIPDSIGHICTQYGFPDGRVGGYLL*
>EED85586
MGKLRGGFDTSSKLFGGCGCFFDNSRSRRDATTIREWHTGTRGFALFLKKLPHRVARIKSIAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQPTASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPTPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIVLPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPATPAVPKSAEASGSGTSRAVAAPSSTSTSTAPPPTIPAAAPAPSSSPPTQSTSRPTTTSAPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPSL*
>EED85587
MSQNTNAPLMPPRGHSTAPTFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPRIPPGTSTQSPNPSISPSTLFDIFDGARRLLEARHGRPDASRVDPGTSSAFGEQ*
>EED85588
MTPPPTNESVVFCNNRGCTVWKSRGIQLKRCQGCKIKRYCRWADRNRTPCYNGLLAALDLPNRPQAQQETLLMVELGYSPGAQHLSDRFSTTKVMVTRWQKLEESEDAWIRQNLAKLAIKRAQLEAEVMSLPQWIVYGVGIVVLIVHFADPTARAGYMFLDLPYRIQNLDLLSRPVFSRTGWPYELIEELDGTGKGRRHVS*
>EED85589
MEEDPPLPEKLQDASPPFDNPSADTIVRSLDRVHFHVHSAILSEASSVLQSMRCESQTPGVQANTPPIIDLPEESWALDLLLRLCYPVADPVLNSLEEVEAILGAAVKYEMDQVVVLARRILVGFLESGADPLRIWAIAIRFLFEDDARAAANKVKSLLPAQKSPEELRHITAGDYYRLAQFHRAKGSVEDGFLFSKQPSLDEHPHPHPDSPPPPDRGPDAFFDHPYTDTICRSSDAIEYPVHKIMLSMASPVLRDMITKATDDGSPPDTAPHTRAIVLDLPEDSRILGTLLKMCYPVEDADISIGHATFADVAQAAQKYHMDRVTETIGRIVDRLADAGPLQAYLAVARSGVPSLMVRAADRTMSHASLQEEYTAELEGAPADLYYRLLKYYDTRGTAVNRVSAKLKLTAYWDKASAADAESAGALRQSCSCGGCMAWSGERKACMESVKSWATSRAKDAVDAVAKCPEAYSVPQGGTMLLRSVQKGFWCSSCEQITSHFAQVDDYVQDEYAGAVAGLGAAADTLLAGSNDNTERYRVITRWEMRRWFRAWRRGAFPTPTLIQTPIGIMNPSPSDAETSRLTTPDAPPPFNNPAADTILRSSDGVDFCVYSVILTEASPFFKGMFSLPQPSGGAEAETGNNFKDDGRPVVDTAEDSKVLNSLLRLCYPITEPVLEDLHDVELVLEAANKYEMAQATARLTRILRTFVDSNPLRVWAIATRLRLEADALYAAKKTLGLQLLDSFPPEMRDVSAGAYYRLLKYHREKGSADRVVTFSSPPIVENADGSTTDTIVTTRNFFDHSFADVVCRSSDGVDLPVHRIVLYMASPTLLGRVTEPSGRSSEGASAPAVLQLEERGSVLAALMKLCYPIDEQDVVYDNLHLLRALKDAAERYEMRRVVNAIQKSWERITRREPLRAYLLVAKAKLWDYAREAARRSLRRGGLEDEYIPEMENVAAEVYHRLLKYREACRAAVAEQNAEVDIEAWWHAAVKEEVAPAQNDVSDQAGTAAHADLPGQAQTSCPTDASVQAEEILQAEVNVENENEMHVEPDVQAEAEAQTEAGVQAEAEAGMQVEEQEPVLVEAPHQEAAQQGAEPENATSRPVEPEAGREEEDKCRCGKHGDVRPTRQKCLKCARQWISDKVQTALETVTEEPETWNELSRDVLVQSVEAGCWCSDCEQIARFFTRMDAYMYKTLREVVSAVRLSRCLADSSHDAYPNDDTRRMLNGNACPIDHARAMEERMTHNIAIDRQKSETARHILNANGSPVLRTDKRDSTSPLRIGSTTVNVSASRRSVTTSAPTICLRQPLLFPIDAICASLRAVKKE*
>EED85590
MSSGPLGQSSALSVCAQASIAATKTLYIGDQDLLWLDVDPSVSPSDDWFTENFPAPREGSPLANARGPVFGGSCVQETHTGYKHTAIAGIHSHIEIFIPRSYSPSLVYPSRTAHCWDVSAQDVHNMYEATDPWIAVGLGGPSSPVDIGSQGYKMSLGSMVTCYAQGTPYTVHTPAAATSYHRVPSTTGFSTGDLSCSGSPESPSSFLSPSPLDSSSSYSSCSPSSSYTSPYTPNSSNVGRELPARRSSVQKTTRKTPYSRKLPNSRRRTSRSSSSSSSTESLLPSGKRRLAPPRNKQATVDVAAFVDGGSAECPICSHVPAEGSPAALRRHLETHDCTLSTKEWVCCGVPEEMSGMYKMEEPVRRVLHKGLWMVGGCDGLFSRKDALRRHLKEHKKGCAGDVVYGDISGWFDKPFDVSSGC*
>EED85591
MSVTKQAASPFNRPTADVILRSCDEVIFRVHKLILSEASSVFETLFTLPQPPPQETEDVDGLPVIIPVMEAAIKYEVEVARELCAKTLVQPNFLKSDPFTVFAIAYRFRLSHETRLIAKSARRFSELPKDFPVARIETFPAKALWVLMEYRTECQRIMHRFGENNEGMADNELEGLRGPLYTPCYRCDPHGDCDTVNLDVYRHDLQGHLQDKFHNDDPDDPDSLVRSLVRVAAEQHPCRTLTWLLTGEVLRSVDGVDFRVHKAILAEATPVFEAMFGLPQPPAESFEAVDGVPILHFTEPSRTLDLLLRIIYPIPDPAFADSEDAGLVFEAARKYDMEEAMSITQKALLAFVDADPFVVYAIAYCLRLREVTCAAAKATLRFSAPPGTETPAVLWRLPAAPYHALLVYRSRADEFARRFAAEAAFWLEQEDPRILLTHHICLQRGSELGGHHVQNYVPNAPFDLQAYQADLAQLLAEKACGDSEVWQILFSNTRVRLAAHKDPCSKCRAEKFERLKACHQDVQQRFTARLSEVEIELDF*
>EED85592
MSLVTCPELKPASTLAFAIPLEAFTPWGGEDWSDVSGELRRGSQRDASGEQQPEVPQTMIKQEEVPTHLEDIGEPGYIIQMRQRVKTSTPPGAWSLHKDADEEATPREQRSCEARDTDTAPTTPTRLCTVIKPTGKSTSISHRAQARRAQLMPPPNPEREGDVMAAAPDATGLHSMELRNTTVTSWYGV*
>EED85593
MALICRHDIPIFLANVDTPGKQQKYAIALLEHLFTFLPPNATVAALYDIGCVVNRSLELYNILPVDIHQRLIFAISAMHAYSHQWACQIVYNPRLHEGLGLTDGEGVERLWSRLRKLIPITRSSAQSRRIWLIDRQAKVISLDLRDDLGHWIAQRLRHGVESREASSLEELVRVDAPAHLKKELDIVLSLQADLDTVNKAIETTRTVLENGEASAESHEVEALYSSLNITDSFPDFGNVSLEFVRLLLMACDLKINIRKRAVGSFFEWDQLDQAVGGQHNPLGTKIHQQTRKAIAKRTPALKTVIRKFNRYCKSLKELKQPEWTITLPLPLPTDLDALRDDASLLADVWIDPSQAQAPRWLEDVDIRKALGLSLAHHRFGKNQSNTPSILQRRKADNICRWYGSELAAAKLALATSSNHTRVEMVNSALGDPQVYAWPFVIETPLPYIIHQDDTDPYRRLEEEEPSYLEAEQHLVEDVFLDNASGDKGPPEDARSEASVMTITMTWETPIHVSIDDAPHFLQAMAPVLSQSLQSNVHLDVSDMSRLQNRTPPTRLPGSRRERVVSSKVSRGSSEHEKRLRKRLRTLGKFLRQLSKSKGSSRLYRRVNAVDEVSKLRGEGQSDAVASLDAGRTRLMSSELEGGNGSCIFGEKYDRFYTTQDATTIQEWHMGTRGFALFLKNLPHQEAHTKSIVRADAGDATLWRNAASTRFWEKDVWTIPIHYEDHWMLATIDIPRSRVAYFDSFAREHPWEGHIQDVMQLTACLLNIAADKGHRIVHAQRAWAVYPTTHNTYNCGVWILAIIAAVLRGYNMTGFEEADIGRFRIFLFTLARAVST*
>EED85594
MQWYDTLRHSIQHCFNAAIDAAHACLAPDPVDTLVDNHDAHALSTPPPVDALTRCARLLSTRCPACFGGAAFGRPFDEGADIHVALDATFSQRHSMHAGDSPHFYEPEFFIPKAQVDECGRRIIAARKKPPRPSCAPKVPAHIVDECEKSYEAAVTSWYGT*
>EED85595
MSAEIAEKLDGEVRLISDPRTETILVAKDWQQYIAGGLREGGYIGKGYSKFAFEGRLGTIPVAIFQMRPIGDPSDRDLNQRDMIAELRILALGQYFLDAFYRRVKAYHVKGLPGTFVGQVTSELPPPPASIADKDTRSLLYDMFLAAPLLETRDIEPGYKEVKYSGTDCAGQNTDTLGVAIDAFAHHTLVDSQGTLVFVDLQGLIKLSGKFVIYDPQIQSLEGSAGFYDKGKAGIDTFIKQHTCNGVCRALGLDKESKYPAAEGRGKTPVVGCSSVSVENIFLPRGQQGPLRHGFPSE*
>EED85596
MAKSEKRSIEREVIDEKMGREGRRRVSGAKLHRPENILPGHRGRLGRGNEQDCLLKMVAIPLRADVGALDMTSRSCSLRSAPPDCPVVERLLRQYHKRNICSRVTLSNIFRDKHGILISPTNIDRHRKKLNLRGSKVTTRSLSDVQKRQLVLDQMVKDPTRRQGPQIIKEGILFDTGLNLTRDYITSEMHIHDPEGFALRRPTARKVHREALVALGPHHEWSGDGHDKLSAIGFPIWGVRDKWSGKWLGLWVVLNNRRKDTIAFLYLRLIRELSGMPIQMTTDCGSKTTSLHGFANALRELVQWLWPMLIQCELDMLKECLNSHIVRCDLKKKLPSGTSLDIAYDLFACYGGDQCLQPVDCTVVDALMKDLGGEDLIWFCISVIDLLHPIGKLDLTLFAGADKLIEFIKSSTLKHEKEASTHRLNQGSQEMQGPSQKASFQTTAGINARINTLRLQAKSETMTVDITLYAYPPVTGAAAKKVQVPSLRRQFKASDIANNVLDEVVTWAQGVYESIPSAPKVMPEFTRSCITFAVNVDANTHHLIEPRLFVGSLSDLHTILKAEKRLSKKDITNGVLSLRVFLYEKVQPDDDDDVEFLPNSVMRSLRKCTKKRKALDQGTVFHDD*
>EED85597
MFLTQSDISITYSQDDAVLDGSFSLDPIDFLGVPRNYDYFDIPDLFLFEDLCPESTSEVIVSGASPTHMGALEATAAPPETDTMDSPQYIPEVDTHYSEPYFPLPSPGLSDAVCVPAYYPQDVHYAFDPVPSASPTVYGITRSLAELSLLDSGNITPYTPQLAVPITSPPTPFSSDSEVLTDSSSSESSSDDESSPSSSPSVYTTTTFRSASTAPLPSSSSRSPPRAHTRRNSPYTRDHPQRSSKKARSDSSSTPENIPRRTKPRNIQTNYSFHELEAMIAKGSRRCPVDECSFTPASGKRDDLVRHIKTHCEDKGEEWVCCGVPLSSARSRRVAGTCKPFEYGGQMRVGGCLLSFSRKDALGRHVRDSKNCVWGSG*
>EED85598
MRHIFTCLWPLLIAMGAFITFTPPPVVAMPPDVVASTTSEKPVLVNEALDIDFWERAAQLGNLARKYAESRISEVQSTYPHYIEEVGTIKDGISQMVSCATGFKALIKQTSLHTARDTSNSETVSDDISSEALVDDIVDKVDKILAELLEELSAAFPPPDHATHHAEREIQVSKILGDITEQHPDLVEILLITAVFMIIPEGWILRPVARLFGIGPYGPVKGSTAAWAQRTFYGAEVKAGSCGSEDWDVYSGNVAEGPEPSSMIEPLCASRVESRNTSLKS*
>EED85599
MSDPEDLLSESLQTLYDYTPVAHSSAGSLFTYRYPHNLPSHLSAKAAAPIELQTPDTQPANWALHASSIWMSSLFIADHLEDLGLDRHLHRAQGLRVPLRILELGAGAGLPSIVIARLYDRVQVVSSDYPDEELIRTLADNIQRNGVPGNCRVVPYAWGSDPSALFTPHGAESSEMSGFDVVIAADTLWNPDLHDVFTQTLCMTLRRSSDARIYLVAGLHTGRYTIQTFLRTLIGAGLEVEEATERDVGGSGRRAWNVERADGETEQDRRRWVIWMVLRWSSDRIA*
>EED85600
MEMVPTLTITPTRTGVTTIPTRMALRTTAMGREVLPTLPPAARPTPX
>EED85601
MPAPSNHDDGYTTTTSRFLSAGSTSAPRRSPSRQSLSINSRRSSASLRGSSLSQALDDDAANGRHSLAHELAVALMPEPSAGSKLLAEEFGIEYDEGAEGIDETPERDVVADAPGAALADEFDPDAPVVPEHDSPIDLHADPSVDPVFSSPAPPPKPRKQPEQDPMIILARDLEYTEKFLSQLRRLDLDHGASASQSTLEKLASDVIRRIDDSARDREGQVRELLEYEREFRKIAGEVGGNDALGQLEALEDFIGESSSESGASRDLDSIHEESLSGSTLANEWDADPDRERLGDEEDEEYDSAYSPTPVKSTFPPPPPINGPATPATTISHLAYLRTFTSSAVASLAVVSEHTQVNTAATTEAGRKIRALKNKLGGWRTEWDSAERSRLKIERWEAGIDLDGPSSPSPTQRPHSRRLDGRKLVQEQLQAFEKALNEANLKTQAIMAGAS*
>EED85602
MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGAKKRKKKTYNTPKKIKHKRKKVKMSILKYYKVESDGKIKRLRRECPSAECGAGIFMAFHQDRQYCGKCGLTYTFQPGSKPPVV*
>EED85603
MMSAARQVLTTENGSVKLKSRHT*
>EED85604
MPDLALPGQPPPPLFFPPFPNEEKMRRGHMNDEAPLGLLGETHSVGKPPTVQPKSVEMPPHMAGPGANPYRPDLRAPQQQFFDLDLDLNPDL
>EED85605
MSSSALTYRPTAAPAPTLRATALSHPRASNKLWGNLPPLKHAAAVRGTPASESIGLELSRCRLRWSRSGHSGMRRSYWAFLSNWYRTIGELVGSRCCCLGAFVEPRPQPQTTHPTRTNMSDTVKCANVQANGGSCTYPACNCAEPPKSKSWITFEAFAFAIRFVDITSCMKKTLGRGGGPKSAGR*
>EED85606
MDQCQSSYLRKTVDASKPAFKPRTVKKKAEGTEAYRDRASERRLGLDSDYAQVEALADDFERKNADNADRQAVEEQRRYLGGDSEHTVLVKGLDYALLEQARSRVAASTAAEDDATLEQAFLETSSSVPNKRTREEIVQELKAKRAKGEGSAEPAPQLPGADVPLEEAKKAGKFRPIGFKPVGAGAGEKARKKKAKKAGGKEGEDTQKKTVKREAPTAEAKDDEKLPVPESSPAEAGLSQPVAAPPRPLLPDPEPIDDDVDIFADAGEYTGIDLGDDDDESDGEVKKRPHDEDTDQSRDEDAEQPPPTGQWFATDDGDRAARASSSKSEAPPEPAHAKASPPQSFGPPPEALEDGEEEEDERPMRLQPLASSALPSIRDLLEMDSAAGKKDKWRAKKEKKKEKAPSGEGKVDKDKIDRDYKRLKAYTDKKAAAGGGAAKT*
>EED85607
MVDHAKLSDFFSRLASGSSIEYHSLAKEIGVGSRKMSSFKPSTPVASSVASEHIHRRVAPPARPTPKTDAARCDAAPAKATLTDKHQFTFKLMIHSLYTISEFAKMVTDVLTDSQSRFQPLPPSMRERKPSLSPLSLDTEAALRALKKRRVAAAQKHDTVATFLESPSPQTPPLSPTDSLFSENSSICSPMTPRSNRNDMALVSPSAAFDEEEVLDYVRGLVEERLTASKHALTDEAEKQSSSSLATSTRAKAAGEGVHGLPPPLRPSMKRRLSFD*
>EED85608
MGSLPQSAILDILQEEFSPPLDSSLVAAIIADYVSGDSPTHSTDQIQTCREILSQLATLAEKELRDEDALSEQLSNVQITSYSTTDDTHSLSGSFSPDSSQTNSSGTSDVSSQHSFSSPLGFLQAAFPHIATPKLKNVLSSLGDADTIDMESVVESILSSEYVRELEERGMDALDEADGLLDYGPDWSLVEGNKKPSPKTAKKTKKRGTTITLVDIRQKQHERPALSSSRIAPPDPWTQLSSVASYLSTLIPTHSASFFQSVFHSPEHTTPAKALRAALRSVVASAPGTSVLGPDSELTPEEAPSLFSMLDILSTIPEYANLDAEQRDQLTADVQLALRATRMQPDIALDIVRLILDLDNDSASGEHEWGVYHSPAPSSSPPMANGPIKAVTLPSGPPPVPPPPRPRSRSPANKARVAAPPMNAWKTIPQRQPSGPHPLAESIPAYRRKVRSGGNGLGKGGKGDVGELGGRLVSGVGGHRLRARELTEMRRDALREASRAWQRGNAKTRGGEVAFYYAERARELQQQARQEQMDATREMVQAKRSYSMHGDTVDLHGATVVEGVQIVKDILRDGNYSPSRPLRIITGRGTHSVNGVGVLAPAVKSALLGDGWAVGSFEGGLVVQLIVDIIYPRQLTLPDRVPNYYS*
>EED85609
MQSDDVIWSVINQQFCSYKVKTATQNFCRNEYNVTGLCNRQSCPLANSRYATVREKEGVLYLYVKTIERAHSPALPIDAELKYWPNFMTHKCKQRVTKITQYLIKMRRMRLREQPKLIGVKKKLDRREAVRERKALSAARLERAIEAELLERLKSKAYGDAPLNVNEEVWRAVLDREKGKGKEAIAESDELDMLDDETDEEDEEELENENEWDDREFVSDLSGDEDGLNTLSLHVSCSRTLQSGEDEDESGEDDDEESDSDEDDEQAEKTNLGKRKAAPKSQKPTRRKPEKKARRGGARVEVEYEEETVPLTKEALASW*
>EED85610
MAPKDEEDWSDSDEEVGSDDETAVQLGIPDGSIESPADLRDPMISRIGGHPTFLAAPEPPTEYALCGNCAQPMQLLVQVWCPVEQSPNDRVLYVWACPRGPCQKKDGSVRAWRSLRHNKKYAKKLAQKLAMQKAKEEAETKAAEEAARKSVPKANPFSMKSAGLSNPFDLGAQVFGGGAAASTEPPARAASSEADASSSSGEESDEHDEEDLATRMASATLDGSNWASLPAYEPLYLSTISEYLPPVKKVKVPAGEEYVEEDDGKKSKDTSWALEGYENSIEVDHAFERFTKRVGYEGEQCLRYELGGIPLPFSSDSVFDRLFPKPSAPPPPVTKSAFMVVPAQKRIYNASVLAHCRHCHAPRVFECQLMPNLINVLKTPAAGGATPQQSDEERRQEVLRVLKGERVADRVGMEWGTCMVFSCEKDCAEDGAPVSWREELVEVQWDD*
>EED85611
MSRLSLAQQLAELAEVAPAADRPVSDLDPEDVHAGAVSEDDSPIDNSAAREHYVDVAVTDPKYDGVRTTRRQLFEDVDAEAHSEPEASSPGGSLPSQSDSEGAPGSSQEEEEDDGEDEDESEPAQSDREEQPGRAHIPSDPPRASEMNRDQSIGENEEQHNGDLASALRKTREEDRQKGKAVARQIKRHPSCDQCGGICTDGNGLIGSCPNLVIPLQALWDTLLDARIQMQKVVTAANRLPSGAYLETLSSHPSARTALDGMFGEAAALADELFSLQEVLLTHNENVTPPARKRRRIDPDNSAVDALRGLSGDASALEASYHAHLVHTLTKWSAKVQAVAPGVLLAGRNAFNKDTRAAGVVPMVDEILRVDGSKLLGRTRTRRGKGVRMRAPDASEPEGDDAEDPEVFDDLDFYQQLLRDVIKTRGGDGGEQDWMAQQRERKAKRKLKVDTKASKGRKLRYEVHPKLQNFMVPVPVVHGAWHEEQIDGLFSSLLGAG*
>EED85612
MSGTSFRDSLIVILDTGRSSIRAGLGLHDLLKPPSIEILARVGLPKSLGTSSIDESAPDVDTQEGSSTSKPRSPPSVQNAKTTDYLVGTQLDDALAAGQDLAIYWPFATGDISDWVQAEALWKYCLFTALHLRRLQMESPVLLTLPSGFSRDAYERVCQLFFERLNVAAFGVLERPTAQFYAALTASSQLSGVIVDVDLEWTDITPIYDGFVLGGARTSVNVGMDDCKRYLAGLLRSNQTVMAALAEPGEGAPPPPADDVAQQAALEEFALQLWAEDHIKVLTEGEAGAAREAVELDANGDINIAAIVVAGREKAVIESGMRKKAARGNAAEQAKAREIEAKDLVEVQWRGKTVTVGKERHRFCEPLFDLAARGRKGKGKAKLPDLPLQVALGEAVSRAEVDQRSYMWQGLFVTGEITKHVRGLGAALQTRLNAYMVFSADQLNEVQPRVIRTLKIPEYFAEYREKGDGLASFLGASIVAKIAFNDSAGKNFVSKTDYASQGPRAVLGMSPSLL*
>EED85613
MVDTHPGSLRPRRHPRAQPPLRGRFRPRHPLRLGPQRQGPRHPLDTRLLRQGRPLPQRALLAHAPDQRKARRRRTLHDRRGGPREAQGRAHAVQARPRRRHGAGRRRGRGRLRRGLRGAAHDRHPLGRDLEAPAAPRRRAAARARARGGRRPRLRGGGARAGAPAAHAAGGLLPHVDPGLPDGARPRDVCADEPAGRLDGLPVRAVRAADSDSWHAGAGPLRRPVRQPVPRALCRVPLLPLARLGHQGRHQVLRRSALVQARPGLPPCRVRCRRAPRV*
>EED85614
MSDESFPPIFSPTRSNTHDPYGQLPWIRRIRSTKNTILSFEGRQLFPWFWPVNNRGERVTPDELNDHRLTHEFRGPGCLCASRIQAPDAFTEARIFCAESGVVTGQWVAACGRGECKYFVRLEPFYIKLGHPIRRYDRRPKTENPLHPVPTDTDNPDVPPLVSTEPNTPTGPRRTTRTRTLRRADAMNPLINAAVSRAERQARHHGNRPLVIVQGRGKTDVDRHDPFAIAPGNRPLAPEPMRAFGLLLKLDDFEDPGLTTGQFKSLFAKCQCGLVMTKSAYDYHDTYALTEQQRSDIEDEDVGDIAGQDTTLRVVQGLLTLLLRHQASWWYHDQGERELVRDNKLLGNFNLTVIPLVPTGVPQIDITFDIDADGRVKLVHDEFWLRGKRAKSTLSRPNATLAERAEQEQKLSSAVRTILECIGEDPGKESLLRTPERYTQALMCFYSLRMRCSLMVIIDLKDQKNSRAARTDPRWAGHSPDEIVSRLALFGSLRGVTALPLMEAQMRVVLHAFAHPHTLDGRQKAVGIIAQYEDLQARLGLRTSSKSRCGSTTTQCGLRSIFPGLFLRPCPRIGTNRTRKVVKAQGPNNIPELLLPSPNEVILRASEHEDHECCLFEDIHAQGDLRTLTPLVETGEA*
>EED85615
MIIPTLIVGRAATYMMTTLVVYEYCVTLETEIRLFWRRKATRSNVLFFFNRYVCLANRVTVLGVEALSQHAVTVYWFGVAVDNDVLCRTCYNVSISTPDNDTARVQTVPGLMDHECSLDYVYGLPGELEPYESGELLSKNTCKQILHIWYCEMINFVLSNVVAMYSLGTILLSRFMLDLRRTADSMDKTGGTMWASDMELSTMQPQDDLVFRNERSEGSAPDGLGIGWEDPSAYQRTRWMIPLPWSVPPFVVPVPEGSWLHNLGVRSLVFYEYCLTLHTEVQEYWDRELTTSSILFFVNRYASLANRVAVISAYILLAHGWSIVRVYALWAHNLNLFILILMLGLILPCTTLVGVLVLSAASYALMTCPPPQYFSTNPGAAPVVFQCGPETYTDSPLSSWSRRPSAATPPLSPVPRPASPLRALTIVNVNSRRNHDSARAFNILNELLVLLFTWKRTLHVYRSAARARIRADLSRLLLRDVSVLSAAACGVPSAVVGFNAFSLACNLAIGNTMNIADLSALAAILLSRFMLDLRHAVRDPARVCGPDNLDLNLNLDLNLDLDLSRNLNLDLDLDLDRSRSRSFGDMGAHPGDALGAGLVAAAPASEARAGPEKAAASTSEERVEGLAISYITLTGSPGSVTIYSNTPARPGSVCQEEAKLQTRQPPLPTVCPGDALICGKTRETCRSWMSRRHQHIKDCTRAGVAHTRVPQRTAVSPHVRARPGHLDRAEHVLAEASAALRARGVRARTVLPAEIAHSIMESPFNRNRVAVLAVEALSQHAVSDLLQYLLAEFTLYGRATRGYLL*
>EED85616
MRKLNGSEPLGIPLPLPVLVLVLVAEAEAEADVAEAEMEDILDMLGSSWVVALGAGRDHASGRGCEWANTNMGGQERRGGNTQATSTSTQSTALWLFILAISDLSFEIRMRANHHIPIRRPPVRSIS*
>EED85617
MADAGFFKGTSADQDRRFSDKELKLLKSMKFPSEFDKKAKPSESRRRRPSPTRSRTPPRRRRGISRDRSPDRDAERNNGRRGQVERSKTLSRSSSRGRVPDDDKRGKQANNRDNRDSVTSFANGGAREKGGELKIKGQADAGKKKSKWEEEREQSLPDQGELERRESELKERALRNKVVRTRKGSTNVAAGN*
>EED85618
MGTIFRVPPRWLFVRVETQGGIVGWGEGTLEGHTEAVEGAYQDLVRRFVGWDADKIQDIWQHAYRARFYRGGPVLMSALSGLDIALWDIKGKRLGVPVWQLLGGKVRDRLKVYGWIGGDKPSAVIEGAQARKEQGFTAVKMNGTGNWIDSPALLLETVARVSEVKSIGLDVGIDFHGRVHKGMAKQLARLLEPLQPLFIEEPLLPTQPLEITDLKHLVSTPIALGERLYGRNDFRPYLEARAIDIAQPDVAHCGGISELHRIATLVETYDVALAPHCPLGPIALAACMQVDIASPNFFIQELSLQMHYNEGADLLTYLVDPSVFAIKEGHVEALQGPGLGIEINERLVREYAEKYKQDQPWINAIWTGADGSLREW*
>EED85619
MHMERSASRCAGHRDSKYRSRGTPARRH*
>EED85620
MTTGPRPLEQLPVELWAMVIDHHRDDSRTLKRCIRVCRHWVPFARKHLFFGVVIKEKQILDAFTHLNEQRPAFVRYVRRLTMVRAAFTPHFGHLWLAVLAHFDKVAVLIARRWPNVHMTERTRLELRHHFPAVKVLRFEDLNMAGVDLLALARACPQLAEIHLKSVCLNMEPNQIPAPPHIAHPTTQDEHPHVAEGHITVLSMSAVPQQTGRLVFENALHTHLTHLQIGRPDGQSLPAYTLRLLRATKETLVELVLAISGTATSDLNGLPATFPWPSGEHVFLRRLKRIHIKTALLESQAPDAWSRVTPVTWVTHLLERMFEAGRPATLECITISLRTVDICDTAGHFSLQGLNGFLTELVGRPIPSIVLNICDSVEKKRWTVSILGPILRHMPILMRWEASVVIKYGQRWDAHAAFGGCLVGNVKQHQLEHSQSLRVDRRPVHIFMV*
>EED85621
MSVDQNVRLPCGRTARNTLAKVALYEHLGDTYGSPPTEQHAALYSRWAQGEWGIVVTGNVQVSPAHLSLSRDIVIPPQITPEALEPFKRLADAMHGLEPGSVDTTPRDAPKSLAIIQLSHAGRQSMNLLGGRKPFAPPLAPSAVPMRTKTNDSSLSFLTHMVDALMFTPPQPMTISDIDVTIEAFIRGAHVAAQSGLDGVQIHAAHGYLIAQFISPKTNLREDEYSAQKAPLHFLHRIVSTIRASDTIPRDFVVGIKLNSADYVDTGPEQQTKDIEELNAEREARALSHVQEISSWRMIDFIEISGGDYENPTFITGLKSSSPRQAIFNQFAHKAMGVVDIPPASSEPFLPSPPLILLTGGLKTPALLASALAKGHAHLLGLGKVGIIQPDLPRLLASGDQASLSIPPPEPDVTGLGAPLPASAPISARVERVLLALLLAVVQRIPAQPPKLLGATAAVAWYTVMMRRLAEGDPVPDYTIGGTASIIRLWFWVRPDSTVQSRSSLGSWFKMGLLGVTIGVIVGCLH*
>EED85622
MHPSHTLPLKLDAIAVAGFPQVEIGFPDLEAYAEQIFPGYIKLDEAGEGDLTKLVDVAGKVKGFCQELGLKVLVVHPFSGFEGYTDERKREQGLQRARAWFKVLNALDCQMLQVGSSDDPSSSSDYDVIARDLRCLADEAAAQDPPIQIAYEMWAWGCHVNTWEHTWEICKRVDRPNFGLCLDTFQICGKPRTYADPTSHNGLLQHIPGESALTTLSASLSALSRTIPPSKIFYFQISDGARRHSVDELRASAAKQGISPLYAWSNAWRPLPFQDEIEGREGNEAGAYCGYLPVVDVCDAVLKTGWRGPWSFEV
>EED85623
MSCESGLCAETWNLYIDQATKSEEEFLKACNMEMDTLLLFATLFSAVLTAFVIESYQSLQVDTGAVTVQLLQQLLASTQSNGTKAESETNLSDQPFRPTSSAVRVNSYWFAALVVSVSTAFLTILAKQWLFSLSRGLASTQEMMGRQQQYRHDNLRAWGLAPLLAALPILLHVSLLLFLVGLVEFLWPINKTVAIVSASLTSATVFFYAVTHILSLIYPTCPYRTSVTTVILSSINAFFREVLADWWSIKTVVGIRLYMLLRSYIESLSEDGREAYYYTIDALGYPGRWRRKNKVWWSQKLTKVFTPQYWEDKYISNNAELIDARALASMVERSARLDTGSKLLVEELAHFRGLVSHRDVLLEAGAIGLMVRHLRSQYSGSLVGLIEKEKEAIRHLTGALVRIVTEAEEHDTRTSVHVSGIPLPLDPAIASDVLYGDNGIRSLTVSGLCTPDASVSDNGDLVFFSHLLRLHLVVSSDRWYWSPIQTSIDDFHTRLRDPSRTSNLKDEDLIALVNTTIYIAMRPIRVEGDTARAWTEDRPQAQNSVRALITLGELMLHNPLMGHAARRQICWGIWRCHKQIPETYIQFGSLIPRIAKTANLGEHLADFLSSLHDHCADIGPPLQLAVLVLMEGLLYAPREGAEADLEDQDKLFNTLTRDFPTFLYVLHQQLCKTWPDELPIFVRLFHRIVTISVFLLFPPEECSERVRVNREHLRHALFRLLEQVARGPAATIEPPRIKSASQTSRTPSMEEKKAVEVVDTEVEPRCGTGDDHDTYTLVLDELSAEKLARTGFVAVLRAASLQTYSEPVKTILTMIADMACTSSQESAGGGYLDGTILAYLRDSADGVEELIRQLLSDDKYMEEAQSAIRAIARPDQDIQYSRLLNPGYKLRKDRRGPQFDLEPFPILPHIFVPGQHPEKDPPRFYYGWPPNSDTLLDYAYSHKLSGTEPEITASDDDELYKSSSEEEGEDKDKKKKDGEDDGKGQEGMKHEAEANRSACSSGKQIGNRARDSLTVEELASPEEQVTGTQQDSQLGVAKQPRKGDDGLAMFAAIEDILINLGLARMLLELEKEKLAGTYQAAGVHGSRSRVDDVGVGKGAKQGRHPCDYLVRTVPHMHHEFGIIISM*
>EED85624
MPHKRSKRSLREKQRSASSLNLPPTSTNAIEKEDIPKGAARILYANKIQEEYRDRKRKAQVDGAEPDSQGQGSRKKQRRSEADGDARKSGKVEMKIQPGESMMHFNRRVEDSMRGVVRTAMKHSSTVSRKSRKEEEEALRSAKSAGKKPQPARSQTPEALPATQDSHRASSREHGPKDFERLSTSAPKRLNDIVLAPPDLKKLPRGAKPKAPSTGAGEVASTLRQGALSMAQKAMLEEERVRVVKLYREMKKAKAGG*
>EED85625
MTRRGQMARRQHSTTRQVAHGYILSGLRAETWKLYIEQAIKQEEEYLKACNMEMDTMLLFATLFSGVLTAFVIESYPSLEADTGDVAVQLLQQILVTLQANSTAPPTETIHVDQFFQPDSSAVRVNSYWFAALVVSISTAFLTILAKQWLFSLSRGLASTEEMMGRQQQYRHDNLSAWRLAPILLALPVLLHISLLLFLIGLVEFLLPINKIVATVAGSLAAATILFYAITHIVSLVRPACPYRTSVTNVILACNDSFFREVMADWWSIKTVIEIRLPMFIRSWLRSLSAESLEAYDYTIGALGHPGLWRRKNKAWWSQKLSRIFTPEYWEDKYIASNAEEIDARALARMVKMFPRSEACPQLLVEDLVRFRGLVAHRDILLEAGAIGLVVRNLRSIYGGALGNLPAETREVVLRLAGALARMVTEAEEDDPRTPVRISGIPLPLDPAIASDVLLGDDGIRSLTASSLCVPDAPVRDHGNLTFFANMLRLHLVVSSDQWYWRPIKTSVEGFHNRILDRLEITNLGDEHLLTLVNTTIYIAMRPIQVESDSIRAWAEDRPQAQNSDRALEILTTIMLQNPGMGHAVRRQICWGIWRCHKQIPARGKYIQFGSLIPIVAKTEHLAEHLADFLASPKNHIIAIGSPVQRAVLALTEGLMRSSSKETVEVDQRDRDRLLFTLTNALPDFLDVLCLQYCEGEISSLISFFRQTATICVLILSAEGGDNAERPVLDKKRLLRSLCGLLVQVARGAGQPPTPTRRQSRTSLVQEKDSASHIQEMTAPAHTLPLTRTSSGASFTQENVTDPVHEVAVSNLDGMTFDSQPGSQTSDNDSLRRIAYGTACQIALLELSAKKIIQDVPQHKPAFEAVSTETLVKEGFLPVLHAAPSLSTYSEEIKTVLSIIATLSCNRDATVLDMEILKALRDPSNAMPDLLLRLNHIQGYKDCLDAALHNLVETLA*
>EED85626
MSSSGEVALPPIDGTVTVLPGFLDFHAEHNPNASCFIFPFPDISSDETRTISFSQFAEATHRIAHAFRPGRAGPEGAVVAVLVNCDTLLYHALLAGLVRAGLVPFPMSPRNSPPAVASMMERTRCRHIISQSTFAALTDAVCATLPYGDSVRVDNLPPLVDVFPSLAASVDPPAKSPGPYPSSGRISAPDDIVLYLHSSGSTGHPKPIPQTNKTLLHWCHLPILAECRKRAIRWGCMALPAFHTMGLSAQLLAPLLSGQQTSLYPPRAIFSAPPIVPTPQNTIETARKTQCTAIESVPAFLEAWAHNEEDVKFLASLEALIFAGGPLSTANGDKLVAGGVRLFACYGATEFGTFTCVFDDDVDEPSPVGKTRADYQWLQMPKESNPRWVPQSDGTFELQLLACPTHQPSIENLPNGEHGYSTSDLFEPHPTKPGLWKIVGRTDDVIVLGSGEKVVPIPQENHISALPWVAGTIMFGRGHQQVGILVEPQPGHEVHPGDEKSLIRFRNDIWKQVEEANADAPAFSRIFKEMIIAADPDRPFVRAAKGTTQRKKTLEIYSKEIDDXLSATYLRNRIIGALRESSDASVNAATQHIQSNLVFQYPTLSALAERLEKLVGHGAASTMSQSPSSQIDAMTGKYVAQLPPPPKKIRNASSHDAVVLLTGSTGSLGAHILALLLGDARVKRVYALNRGQSIAQRQLDAFESYELPGSLLQEPKLTLLSGDFAHEDLGLDHQVLKEISDSATHIIHNAWRVDFNLALASFESHIAAAVRLLALVPEAHYIFTSSVSIAGGWHAAGGRGPVPEACLRDSKVASATSGYGMSKYVVEEVLADARKSGFKTTSLRIGQISGASQTGAWNASDWVPSIVKSSIALGAFPELDGVVSWISMDTVANTALDVLFGSDSPELVNVVHPEPVTWREVANVISAELGDLPFTPLADWVKRLEAAAEGATSQDLQTIPGIKLLEYFRSLARLESDARESGVDEIEVGGLPIFQTSVAKQVSPTIAGLKPLGEKDALSWVNYWRKGKFLP*
>EED85627
MSSPTRHPEDLKRLRHSSISSSASAPPAKRMNSGLDIIESGLELVPDRLRAERHKELDEVVLNKVDRFFEKGELRLVELGILGESVVVNSDGILDPFWTATRLAEEMRENPALEDELQAAWKGGDFQRIRLLNLSEQAMAEAWQVKYVGDHHECLLRAIDKMTDPERVRGKIYWNYLPIVQSSGMGKSRLVDQVSTLIFTIPFNLRTTNEYPTPDETVRDEMLRLPPGSVSLYKGSAVQTEGFAHLASSPEHKEIAVTMYYLRARYAAILAGVVGVIHKEVERLTESGALSVKNDDSDLDFASEWRKHLLSVRSDLYARGVTEGLKLLETSLRSGELEGWRMTDAFSRACQDLCKVVPHREHQPWILFSFDEAHELSDMGFLSEDLKDPSSEVFRTPLDALLYVLDYVKDDHVFAVLLSTNSSLYKISTNSNLHKISPSRSVAASIRVVAEPNLNSQQSARQAPYVELPFDTWNGNAICVVGLNTLKSYSFLRLQMGRPDPGVCFILARWWTRVQDKPNMLNDIFFFAQAKLLCMSALHWNPLKPAGYSALAILSNRLILDIEPQREANRMLEDKLVASHMRVAYSAPSHLQYLYSSYPSEPPLVEAAAILWNTPGFDALSHLEKFLEDGLICKSDRGEAVCQFLFIRAYDECIHDDGIRKIGSEKARYHQFVPVVDLLRKLFSAEVAGKILSAPPSNDPNGSDLEAAFSTAWIRISHFARAGDSSILEPNLAGAIIARGAAWQCRDAQQDIAFLLECVHGDEDTPIEKMAVSRIAVQMMNTPWEQAVSVSSNVLYGSPNVPFNERPHMIIVLDLGVWGARSRAAPPAQASVLSTGAPSSSQTTAMFLSSPMKVKQDPINPARQTRSSPRPTSPRYWISVVGCSPQTFNKHIVPSRDRIKTLLTSQPLLAEHARQGRPYVEKLLQLKPYFVEGARGPCFTWKEIEKPLAAEVRREGRDEVQDWVEILVEGDEVLDEDEKISSLENDSDPN*
>EED85628
MRFAIDYLRRAGLLDEGGNPINLFGIASHLYYTEPGNLALKDSIKAQRDFISLMSHLFGRRYVPKSYATDENLRQLIKKSPSMVVLPPLSRDAEAVLMQHDGDILRVFTEYALAYTTQRSDDLGPDTVLPLSGRSHDALTSSETSFHTHLRRTATNVRVRSLFAANSGLGDTFRTVDELSTTARQGLYLNPYAIPSMSGFTSSATNKDSTALNAYLLDFFIHGQPAALRKANGIRDNDMWYLLEDFTLTLKAVRANISRLLKTASDSHAKRGLDGDLEGLDEDDVDSGYGTYASGDVDDETQKGADLQSLQRPPAVSDEDWRVFEVIYAATQEFETKFKAMWA*
>EED85629
MAIFRAYASSSEDEDDIEFVASASGHSSDDDRRVESEIDAGHSQSEEESEEEEEEVHQTDASQASESGPDGEEPAANINLRPKSSVQFDPAVKSPSRRRSVANDTTIIPRARELGVDSQKVHVMQTALFRVPEEEAALRTVGQPTAEDRRRSRLLLGLSRKHSRDSDGEGLRADPGQRVSFGQDIEPIPVRPSRKYARVESSASAVTGNEGLFADAGLALGRSFRVGWGPGGKLIHLGALCGPYTSKTTTANSSIVHKSVMPLVAIATDDASTRASNLLSHHLKNTPIEKDADGVPFADPSRHLSFKSCVAQFPATDRSFETSLFRLGHALFDPIDLRLADSVSPDVRNCVLSLRRKAALSRWLQEAIATSVDAELRDNPGADWTTTVFTLLTGNQVEKACEVAMDSGSVKLASLIAQYPGDDEFRGDLRTQLALWREQRIDAHIDEPTRKIYALLAGIVDTLEGSKGKGREHCPDVKIAQDLSWKRAFGLHLWFCEPLESTIAEVFESYNGQWTDDSSDRGKIFDAYELYIMAGLHSAAHDLAVLELAPDAVMRKDVELLKELLGRFKVHPVDGWHTRGKVLMDYAHAVTRLQELYQRTEESGVSDDEAVELEHLGRSVPKLIAMLPDVLRDRSDARHNAAVTEMTTQLTKHLDRVKPLAIATAYERFLLAVEVAVA*
>EED85630
MITRVENLKRKVRXLCVMRERLQHLAAVEDIPSVNEPEFTRWADTRLDRWLVDWALRTGKEKTARMIAEDRGIERLVDIDLFSDVCRIEEGLKRQSCSEALAWCSENKSSLRKLKNTLEFDLRLQEYIELARARKNEDAIAYSKKHLLPWQETHLAQIRQAAALLCFPPTTTCGPYKRLYDPARWTTLVQSFRLAVYNLSTLPTEPLLHLAVYAGLASLKLPACYDRHTKNTNCPVCDPNLGELAKEVPYSHHVNSTIVCRLSGKIMDADNMPMAFPNGYVYSKEALEEMALRDDGYVTCPRTGDRCLFSELRKVFIS*
>EED85631
MNLDPGAETARQNNFIHTLDYDLGSAPDPHGRLPAPSSSSFMNYHSQPPQMANMQLSMDTFQGLLNAAENAGQQGSSPQVQATPQALLEQQMRLQQLQQLQQLQNQIFQQQLELLSGGTQPSFTMSPGMDRHREQQQQQQQYPPTPASSTELRAQRNPEDFISPFILHSNPAAFAHLVQGPSGVHHQGQGQTLPDFLPAHMVPSAPHSAPANLVFASPADLPSPAELDFNNISPLTSPWLTPYTGQPASAPSAHDGPSSSAGSKRRMASSSGDESAVPAKPVRKRPSPAVRAPAPTKPRRATAGTARGTRSANSTPLFPAMATGGSGVHDIPNDTPSPIELPPMPPPAQLPAAANALQMSPISPGSGASAAGSASITPVTPASIMNLGRLGLSTSGPAKGDGGSKRRESISKARAAPKSTEKTSAVPLVSPSLKPIRPAGNTPTMEPSAFAQPVVQFRKSSHKAAEQKRRDSLKTSFDDLRLLLPPIPLPSEEGYPDEPILPGAMPPRGPPKGNAEGPNRGVSKLQLLRCGNDFIKVLKSRVDRRDDEIERLRKEIARLRLLVRPEDAEAEEPVDLEKDLDAIESAGGGLFTRAMREDRGMSAEGDDVDEEGGES*
>EED85632
MSLPFTDSSEGIMRRLSAADTVSSADRRAEILNALRAEYPIDRPPSRTVMDSALDADPSASASSLDLPSMMAGPDRIRRRSELSRIRTPAPAEAIELSTLSPIDEQNHGQSSAIQTMIAGLAGEPPLEKMVDVETAPTSYAPSLHYAGSEGQSSAAPNPPISPAQRAKYRLNSRIQFAALCYSFFLEGWNDGSTGPLLPRIQRNYGIGFALVSLLFVTNCVGFLSGAILNVHLNHKFGFGKVVVFGPCAGAVLQLVGYVMMAPGGPFGVMCVAFIFTGFGISLQNAQANGFVGSLREHARVKFGFLHASYGKRPSWLLTGCLGYIGGVGQAGSAVLPFITGLLSSRFGIESLQPFIVSMMSTMIVIWAIVPKVRRIE*
>EED85633
MTLTINTDMLIERPPSRAHPPSPVGPRPQKPRPVSAFVTTPRPSQDPPQFFSPNLQARPMFPTLQRVQTDSAASPGQGHSQSAFAQLQARELPPPPVRRGSAPPPIGWAGLSPGVPRSNYRASMAAPSPVIHAPMPVQAPATPMLPMITAPKVPDVKLQQTFDQYADMVNLQAASWNASHVDRPTGSAREPLDDRMDITEDRVASGTGRAGPCEAMDTASRTSVMNDKENCAVNGTSPKAHRHDNAHNAGGLGFGHSVPMAKEMGNLVFFNEPAHKDQHKDKKDRRSRPPALDLYGTPLLQKRATFSMIGSPSPMSPPASLIGSPVVGEFKGWFSNLFHWKVQSYLLYSVSDIHTTRNETLRLLDLFGIVWVLEENHGWHVIKCRTDDTQDGPAALHKQVRFRIEVSPTAGSQGYSQPGATPRLSQNTMSPQASSASRFKAERMNGHESVIGLVLEKGAVSTFKMIYHRLRSEWRLDTLQSPLVAMMGGGTPSIEQRFMS*
>EED85634
MTDRLAGAVALVQNARARGFSVHDTAYAALVRNLKRALDMTNLQLVQKLRETEARSPEHQESRLRVPRFNLYRPPAALQAMTQYPDRSSLAAALRILRHSCMFSSRYPLPSALATFIEAYRSAGQSRALHILRARSYKRAPATAMWALSEMLYYHRRNRGHMLLLTFIHHFHMIGVPQWAVWRSVRTMERAEKGGADSNYARYAVLYPPQPLGAKLFPSTHHTALVWHVTAQSMRSTQSLEELYQELLTQIAAARYAAAPAPALSTSPASPTPSTSTSSPNEPLTDVAEPQANSAEILTDSAHPPDDSLDARRASYPALIVPPMMYDSGHFNAFVLAFGQRSGPTRAAQVVADMYRHGIQPSVETLTMLIASFASIGDVGKLTTLLDRMEASADNGTRSGDVSSQVGVASSVQKMGPQLPPPNVVTYTAVIKSLMDAEKLEDALAIAQRLRTKLGYVLGTNHITDSVLNELAVRYVNPSQPVDHGTQVQGFTQFDLLESKATVELAPPPRLIEPPALAGCDPCAREVPLVLTSDCTGQVLPAQVAT*
>EED85635
MGVLGLTPFLIKICPEVIKTLPDRLRALRGKTVVIDGTLITQRLHFAPMPHKYRHVLGWYRIVKELRECDVHAICVFDGTQRSVAKEAEAKRRKSVRRTAAARSALETDRLKRLRKLGTLVQKLRGLKDSERQRLADILRTLVGTLADEPDTAEILFNDFKQPSATTEFNATLADSSHDDLSIVADEEEGMAEEESMDEEDADEGEAEAEDAIDQEDANHLRLENLSLEDRSPIKEPSEVIDSERQ*
>EED85636
MAFTPLAQGTYLVSRIWRAKRSGMVISRIP*
>EED85637
MSFSKPSCCSLGRAGKLHIVLTSAAVFSALRVYAIAAKNLYLGLLTLLLGLVNPGINIYTFTTFTFEPAPFPHAGCIYYVSSNTRFIHWMMGARAASLVNDIVVLIITVMHLTNTNTSRFSAGSELGRVLTMDNLPPQQFIAPVTTWIAV*
>EED85638
MRLAHLALSLDHYIVDYGPRVWHNIVYYIVGGLLLYQAPGVSEVNTFPRIDSGATMEKAPMEIWGRIFSFACIDDGYTGHSLSQVSRYFRVASNHYQLQSVALSGRDQIQRFADLLENTHPRCRSVRYLYVSESLARDDIARGQDLAQRYPHRLSPAEMESLSPEDLVRKYMLHECHSDDWICIMRKVISMRGLPQMKQQLAAERQQSLSATLLRILQLVSSNLQTLTMCMDKECPDAFFAVSFPRLTELHLVTTSDPTYSHINAELRKVHILPSLTHLHLTNCAELLEAFGNRVPCLAHLRLTDMGLLSSAILSTALSASSVTEGSMFPGLVQVIVQPEISFKKSRACCLAGMKGAVKSGRIDLLKVNPSRSKAYGHRSGIIVLMSKKGWLKETAGGEGYWEVKASEIDTLTFQQLGRIDMPYERHTHNDRVYGKRRRFNGSIQLPTITEPANCLWHIEKPQAVRHRKNTPTNAQLVLDDTSWTCDSHSPYTFHKYILRHLCMKTRIAVEEVPLEIWARIFCLACTDDGSTGCSLSQVSRYIRAASSEYRLQSIALAGIQQMRSFGDLLVNTRSDYHRVRYLNGIYIHPGVTVGNDPSAGIAVFSNEVFATNTMLQPEVVRVPESAILSARTSPLQEQVAFVPYGHEGHLALALTLYHELTMGEESEWYGYLQSLPTSVVPNALFWGHDDAGMGDHDGREARAWLDGTEVEKEFCDEHGVDTVPLFNRLDKPCTLQGFILAYSLCDIDVCPTCGSLDECPHDREDPSLATSQIGSSLSRSEVTCDMVTNRPVLPNSEIFNTYGHRLGNASLLARYGFALEGNEHDIVSWELSIHDLLRTDEPLPLSPDEFTLLFRKLAKLWARSLSSIAGDNSTLVYRPVVPDGEEPTYTGWQSHLCINSEAQVSVHLWLYAALRAVIAMKDEGPVQSGDSETWSVGEVVPLLGRAMDAQVGIEKFMNVTDETIDGEEMVVDIDVLVSRGLILEASTWTDSSTQPKRILGQIAATRISRLGKQPALSTADLGDYFDSLPTTREKTRLALAEVITERTILESCHAVWQDFLTVLVDDAE*
>EED85639
MSFKTSGLRVTHRSGAGRRVSGTISSDFSTTIIRELPVGQRSTDYTTRYTNSITTLDASRLGPSDVITLNETVTQVWDRTKLARRSKPTARGSWYKRVQAPNGRTRNGAFMEMRTGLPWLNNDISTASNQFPHKPKGWLYYYRPPSRPPTAGEVRFRLLPPTGEVDFASGTDLSLRSGHLPYAIRLLEIATSARYRTLANVLLRDQLISQDLLEHWKKQTEIRVIHRKSRQFLFGIEDPFIVDLSDRSFMLLTWLGEDLVRGPYLHNKWRDLRRHMSGPTYTVHVGKSCRGENMVLDLVTVYCRS*
>EED85640
MSFKTSGLRVTHRSGAGRRVSGTLSSGFSTTIIREFSAGQRSSHPIPHYANSITTLDASRLGSSDVITLSGTTTQVWDRTKLARRSKPTAKGSWWKRVQAPNGRARDGAFTEVNTGSPWLSNDISTASNQFPHKPKGWLYYYRPPSRPPTAGEVRFRLLPPTGELDFASGTDLSLRSGHLPYAIRLLEIATSARYRTLANVLLRDQLISQDLLEHWKKQTNIRVIHRKTPQFLFGIEDPFIINLSDVSFMASIWLGEDLVRGPWLHKKWQDQRRHMSGPTYTGQMLCRFERSTLPNHAQKRVVVLRVLEIIDPIRPIVPDYDGYFRPPVEGALLQRGRKPVSVNIDKINFKGPVNPLKVLCDWCHCQGRDVHEKQEVMVNAANLSTSEDVSMIVFGAGHEMM*
>EED85641
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKTHHPEAINATDRTALKAYLSTHHEYDKAVKAANEAINHHKCGKEAPGHLERECRTRQMKRHISAPPEEPAQRMGVVVDNMFLEGIINEAKERKERERQTKAVPIPPPRSANPKPQASPIVGSSHPGPNTPIVFHKVDPDWTPDTTQWTWDSSWPHQEHLSGEEWKNVGRNARNEWFDEQEDDGVDWELYGDGEHAANIVPIAMIVSMAKVDSPL*
>EED85642
MDDVPVEIWSRIFTWACTDNGTTGRSLSQVSRYIRDASSAVRLRSIALIGLEQTRRFADLLERTPPELRRVRCLCISHCDPPAPFQQPLYSSEEINLMPVEKLAEMFVLRQYDLANKDHIVWKLRTAEGRPALVSQLATARKGAFAAAISHILQLIGASLETLTLHMGAYYPQALHDVFLPRLSELSVVGSFLLSSTLLHSKTRKVQVLPSLTHLHLVDCPDFLAAFGGQVPRLTHLRMTGVTHLMSRACIPLSAALSVRRTLHSDEPLQDSAFPDIEQVIIQRPIHIKRPGGSRWATGLRELIESEKVVVLKADSGQPSYGFPEAQRDWLERNMGEDGCWKNLDWQDTSCFPFTPDVPANLLQSTHLVYPCRGQQRLSCPGVHDLDEQLCPDIALVQQLRAGASARGDYDESLHMAYLSMFPIVLGGGPATPAVRLIGMAGSERIAQSHEAQYCGRRSFTGRTCRQETVTIAKAFPASYKPVQGTTKHKESYGMTTNENEVG*
>EED85643
MALRGTLACLPMLHLDRWVQVYLYFENYSYFSATALCCYDYALTLDREVKYMWRSKQSFATLLFYTCRYSAILNTALELLTRMAWPSWQSDKLRIRSTFTNGAGHRHFGHYNHSVGYEHLSYSSQHAYENVCLEVVLIVVRIIAVRDNGSIYFPAHLTGSETGMIGARAASVVSDGLVLVLTCIKTWQPKGNAGALMMDIGTTIREILLKDTALCFGLLCVVNIIGIATGHLTQFIEIWTTWTAILTSVLLSHLALDLREVSAAEGSGNEMFSRTLRTLNFRHAEESSDHLTPSDENQAVSGSSVQHAEIPVIVLGERYSLHLMEYRCVDASITCFTKSLSCSSGHSELNTYPLLDNNLVQSLSISSNDETSRWPGIRINQYLSKPRTVISLLRLDGSGIPYNTQMHTGILHDKCQHLVDSRGHRFIRDSISIRTLTTGWQLLTVRQAEPRLLKVATYLNLMTAKSPNRDSSDIVDVAAQGLSSITQRPVYRRESRFALARVVNCIIRPVASRCFIGKLDYSLSREASPPFRRSYALGDIDVWSNCTPAAFLGSGCVLHLSGGSISPAPLISDVLQLWTNVFQALCWYDFFLTLDREIKFVWKAEQSLATILFYFYRYPGLLNLIIELSGRMSLHNWQTDARCGAVLHTQMALDLMILISSSTFQSLPLCLIDIFVDIKHNLVQYIFVMSATKLDAVKQFQGCTLDIIGDQHRYEFCSFSAQMILQAYVKFFPFEQASNRSRQL*
>EED85644
MSAALIAEIKQDYVENCLYFAATSLCCYDYCLTLQQEIDFIWSGRLTFANILFYCFRYPAILNTIFVVLGYVPWPGWQTSRSCTIIIRFEMAGDVLILTSSAFQNTGWLTAKLVFTAMRVYALSGRSKILVLTLGLVAPVISTYTFVVSYPILQVVTPTYQSCDIYTRYTVNKVHSNIVRWAWTLAGMIGTRVSSLLFDGLVMALTWVRIRRIAVPSSDAAASNSLQAVLIKDTAYVIRSNTSYNAADGGASLLKLYQHGRQLLDLREVTATFGESKTTSISQTVSGLKFVLSSTSPEDILTDEFLSSDSVTVESSMQDDLTVHITG*
>EED85645
MSALGDAEYLQQMWVLPCVRELLVFGSGTAVYTYELVITIDQQVRFFVRRRFSAAVVLYTSMHVSAIIFLLSWLFGWVLQDCHSVFIGNLLMLIMDAVLRLVFGVVSGFRVYAINGRKLLLPFVIAVLYVPDIVEWTASLQTYTKDPVIGCVISYNLSKDVEDKLTAFTQTCIIVSELLVVVAIWRVTYGIRKVTMMAQSRLTVTTLLIRDGSMYFVLLDIIVVYRTVRLSFNLQRRTAYTTTAQFFPWIGSITTTITTILISRMFLNLSSVYYPSTELMSATATRHPTDTMDFGSSERPTDAFSTDAEILSDVVLDGGIGTDGYVLELHGMTSSGKSYGPSESNETGHNSIFMRASFYAILVYSFKRNTGRGLVFRSPSMLARRVISLLICALCDTFVGKREQKRWLTICLFGACTRWFKLVAKWVRRSHGSVRSGSLSTVFCLLAPAFGVHVFPEMFSASSHTSVLYPLDLPQYDPSAHSHADHSLPDPRVHGVPHGMVHSFRVDPVLTISDGYALDVDADMDAGDTQPSNDAHIGTSADTNQRKAPGASVITPVPYGEGMVEVVWSLRSVNEDEPAKDEDDHDAMDKQQEPDIAQDPGGTQVVEQASTATTIVEEASPVSAPGDVPASTSEASNTSLLPPRMRGRVSEAHTERRESTRLRQKREAPTPPAPVPGREKRKAPSRDQNADPARRDGVCEEEGAEEGAEKDTEKERDRKRMRRAPLSSRKNTGASGSTDDGLDDTLSQESDIVRGGENAQESTNDARTHAYMHRVLEPTPTAPRPHSFAIHPAYPTREAGSSSPAHAGVSWQGRRIPRLLLRTPDTLNAGLGQPSLAPATTQPYIHGPQRSLSFPRPQSTPNMRIHHPSYGAPASSAGAHGTPEAQLQSVQVPGTRLFRLNPAIVRSPSGFGFSRPLPADAITPVPAQMRWDAQEPASSEAWPSSGPPGIGVTQRRFPTPSPGMIQGSAPAQSLDPVSPSEPIYARPQTLISTPTPRSMLSSSANIPTSSAGQMPVPIPVQTPMRPRLRIQTPVHGPAHTSPLPLHHPPQQGAQDPLQETLPAPVRRSVPTHTPAPHPHAMPPPMHVHTQPAWSMPMRTSSFGHPAAVPQYARPMPTPTPAPRTAGSDPGQPTGPCSVPPTPMPAIRPLPALTPEAGPVPLPCYWPPPGSVPYPYYPAHLAPPPPHYAAQQMAPRPGSEPGWESTFRALRQSGGRRAPPVRRRRQAVATVNAAVAEPRSEDERAGGENASQRDAEERVNSDAGRPGSAPSEGAYGNNIFVGSAQIEAAYHFDRHPEFGYMPAMAGYWPGLPIGADPKGVLHCPFCPRTFQLPNGLAIHLKWHWGDTRLEWRRGISKTGKTISRALEDAEARKRASEAREQEEAPELCGAQPSGAAIDSADEQDLDVVMQCPSLPANYPSVPLSANTYATSTSFVMPVIALATPRAGFGFPFASPVLRAGDAFTGPFLPPIQPVGDAFEFNFGEPAYDTHERDSSGSLFGGEDDLDWSPAVSIRGDRDREPSWSERLFGPAGGDYNENEDERNINMTPADEDRQPSPVGSVATDNDSGLSPLADFASLQLLPEH*
>EED85646
MATPAVAHNDLVPGTVHLVDVADEAQNAKHAADRKDIVLVPRPSADPEDPLNWSRRRKSLAIGLAFLYTGVGIGIPSTLHYSVLADITRDTGITTAQLVQGTGLNFLLTGWACLLWQPVALTYGRRGVYVISCLCMVPIMVWTAYTRTAGEWYANRILLGLFASPVESLPEVSVPDLFFAHERGTHMGMYAFILFGSNFVSPLIAGWFNDAYGWRWTMLFGAIISAVCAVILFFGLEETIYFRSTVEGQELEIADSRQSVEASLDSDEKDGKDTKMDDVTHNSVTTVPSFPPPRTYWQKLALFVRMPGRPSNKQMFTMMYRPLLIMLWFPCTDWAGFLYGINLSWYNVL
>EED85647
MAVAHRDLVPGTVHLVDVAGEVNSAKHAADRKDIVLVPRPSADPEDPLNWSRRRKLLAIGMVYWYTGIGIGIPTTLHYSVLADITRDTGITTAQLVQGTGLTFLLLGWSCLLWQPIALTYGRRGVYVISCLCTVPIMVWTAYTRTAGEWFANRILLGIFGSPVESLPEVSVPDLFFAHERGTHMGMYAFILFGSNFVAPLIAGWFNDAYGWRWTMIFGAIIAGVSAVILFFGLEDTIYFRSTVEGQELEIADSRQSVEASLDSDEKDGKDTKMDAVIHNSVTTVPSFPPPRTYWQKLALFVRMPGRPSNKQMFTMMYRPLLIMLWFPCTDWAGFLYGINLSWYNVLNGTASPVLSARGQRRSSDLRTIADKITIRLARRNKGIREPEQRLWPLLLSGTMATAGLITWGVGAYYGVSWVGLCFGLGMLTFGVVTGGSIAISYNVDCFKELGGESMISVIIIRNTLGFGFSYAITPWYTNQGLKNCFIAAGFVSLACTFSFLLMIVFGKNLRRFSREKYWEYVATSVVAVDH*
>EED85648
MTRPAARHDLIPGTVHLVDIGGGIHDAKHDSNHRDIVLVPRPSSDPEDPLNWPRRRKLLAISMVYLYAGIGLGISTALQYSVLADITRDTGITTAELVQGTGLMFLFLGWACLIWQPIALTYGRRGIYVLSCLFGVPLMVWTAYSTSAGEWYAHRILLGIVASAGESLPEVSVFDLFFAHERGAYMAFYVFTIFASNFVAPLLAGWFNDAYGWRWTMQFGAMVTGVTAVILFFFMEETIYFRKTVEGDELEASDARRSGEGAANVTFDEKDSKSADDEHIVNVTPSPHLPPRTYWQKLALFTRKPGRPSNKQMFKMMYRPLIMMYWFPCTDWAGFLYGINLSWYNVLNGTASPVLSAPPYNWSPALVGSAYTAPIIGAIFAAIWSGTIADRFTIYLARRNGGVREPEQRLWPLVVSGTMATAGLITWGVGAYYGISWVGLCFGLAMLTFGVVTGGSIAVSYNVDCFKELGGETTISVIVIRNTLGFGFSYAITPWYTNQGLKNCFIAAGFISLACTFTFLFMIVYGKRLRKFSRDKYWEYVATSAVGH*
>EED85649
MDLTPARRRRLRSA*
>EED85650
MEPQWTTLEESTSISQVGEPSSSKRAPQKRLPAAGKTLVNELYALHPYPPTELKETYLKRIRALPGCDYYTLDKLNLLLYSRRVRSGLAGNGGRQLDVHQPTRQTTSDDILYPSFRKQTGVVSKLEVLISEKPRANDTLIKIWAKRLDVEEDDVLTWVEAWRAEHEEATSSVEPARQSAPVAHLPTPGSSRSPEPLFVHIPLAAKQELSALLTPSEPFFEHGIPSKSSPTDELRRFIRSLPTVDVPDLEPPDFENASVEVINKWFDGHTERMSMFLRHVESGRYKRYGLKPSFLKTSRESTKQEETGVPSRFDQRIGPPSPNLVRIVRSDG*
>EED85651
MLKHTDLSWEKHNALDRFALELDDTLRALRTSVTIHVTEDDSDDSSELDLHDAINIAVNGAEVIPTRNLVSCSTSTPNQEYDSTKVATTEGVHLCKPGAFHEERTGNVRTGFKERWLSSTPHLARLEEGQAREPRERALRGSATPGKRTLRIGRGRSRTAKFHKALQDASRLHI*
>EED85652
MEESKLRSNTGEAWSRCAQVLKEHDDGQVRAWKEEIDTLLVFAGLFSGVLTAFNVQFYALLQPDATTAQTAMLLHISMQLGNSNLNSGEVAQASRSHATSQLEMLALSATGTSVPMSAVRINVLVFASLVCSLAAASMAILVKQWLAQYTYGLSGTSRDSARLRQYRDDGLLRWKVAKIIMLLPMLLQVALLLFFAGLLEFLWTLHETVALVSTVLVGMLVLFFAITLILPTISPDCPYQSAQAFGVFAMISIAKASLQIVCAFLRDRLDPWLLGSSMRAYWRAHTFQSLSKFIRDPTYYRWEDRERAHILNSTARLDERTLNTVDRVLADDAFLEGVISPCISDMAPGDALAVFKGAMARRAEYLQDGLATWHSNVGNRFMVTLSMMHVGLDMLVKIDEDDNPDRRRDVFRIVTSMWYSWQRPPVLQAATLARLRRLLEVLPSERFRWRDRYFLFLQIYETLNQAENPGDFSWEVTTALSSCLPSLQTASPEYKSNYLWCLFGVAQLLIKICVSWRISPSSDHPAIHTLAEIQEQVKSADWCSVPPLSRLRMLSMLTGSLSASAEKKIYIPPATFVQILADATINLEPPGESDQWITERASLEKALNTLRIAKRF*
>EED85653
MSSPAPSKFSFTIRRPTPESRATSSGGEDSDFKVPALPKRLLGANGGGSPLARSAAPSPKPIPRTYSERDSSDEEDEQEDELVTGFDQFGVQRLREKKKEPEGPLVIPALKNKDWRELARKRKQLYVPPSAAAQTGADGSVGGLGTRDTINSGPQLSGLQVAKRAKLVESNSVAVKTEEADVTATVVDGHVPDDVKKEEETEDQKALRALLASAQSDDSMGGDGAFITAIPAPSEDDAYRQDVEELPEPATLDDYERVPVSQFGAALLRGMGWTPGTAASKKQKGPIDPYLPEARPALLGIGAKEKEVFDDGSAGKGRKGPKGRPERKYVPVIKRERRPEDGDGERSGSREEERDRDRSRRRSPTPEKRSSRRPSRSPSSDRRRDRARVGRDAHFERDRDRRRDDRRDDDYSRKNRERDRDGDRRRDRSRDRYDSDRRRDKDRRY*
>EED85654
MPPHHRGWVYPPTRRELTLLLFALTVFVISYNLESSLRLAGVSRAKMSSSYLSSIGLGTQDPGLDPDGRRPQEWRDELENLIVGEWSWEEGKVAAVEHGHSGAAVSGAAIYNVESGSTVGRDAGKDDRGVGVTKGVSPSDQFLRWEDQVPRASALVHVPGAFAVVFLESYTILENLIMVNGTFFLVTNDRLSLPDLGVIASSPADRLQPPREEDWQILSTEEAKSKIGPYGGRIFGTSWLALDPSLAQDPYTLFSLFRTHSALAVSSTSSQKASDNNAAHSVPAPLRLMFPYVPTFSSPHIPPDGDDIKKHPPPREKSYNGLHPLMTKAALPATGIWYLEDWQDIIDMNAPWVFDRVVVADRGAAARGRDFWTRHWAPADSAIIDIVDLKRRQAEVEDNNPMWAAPFVGLPAPPSWWAPMRSALLSYLRLPDPHGSQPRKKAKATKTALTYVSMQEEPFGAGARLLDSDHDALVAGLHALQREGVLGEVSIVKGNGSVGVHGWEWADRMSAIAKSSIVLGPYGFQLADSMFMAPPAWSPPDGIIPSPTADSDQTPAPLLMEFFPPAWWNDRKFAGNSLPPVIQPEDVHALDQRLSINADAVVQAIREEVARRRVP*
>EED85655
MSGSGQFVGQVKLAMAMGRICQAWTASFTSAMSAYDTIQYLDTNLNVPHAPAARVIAFSPSKSIEWNLRSRLQIKRLGTFVLRHCVKTDGSWYHKNSGLQLPTSKTFAQARTYYFAGRQAEGSDETDRGRMASVANVVLQPLVIMTSIPLREGMVGHGEAGPGNIGRRQSSLYFVVTILGIGPGEVTDRNARLTDEAESVARRQGRSAEYCTMHDVPEWERSSDGEKTCCEGPARRRCPGTMGRIRKNSKMVHNADGLSDWGVAIQPSSVLWGPHFSSAMGSGQGDLFGGASMHETPDTFATWINMEGQQGESPLDRPAGLEWHDEQARGYERQVLYGSEGFGNEHNLNDSIDMHIPEDIQMQLIPGAWAPWATHKPVAEPSSVPGALGYPDDATGDGNAATVAHVSCENGQSVFHTIDPHLLYAEENQINLKYAESLDSDRSEEDGEEDLDEEECNDRNQASVFDGECIRYPYDEIHGCCVINQARPSMLDTSEACAGDEHGHEHDAVAVEAQQLTGSWSIAASPPLRVTRSQTHASSSGNSSSTMTNISQPGTRGRKRQVDNMDEDDSQKVAKKQRSGEANDDSLTKQKTKAKATETAKAKSKTKVVNTRSKVKGKVGRLPCLEPGCGKTFGRKGDRDRHFRRSCTERDPNDLEKPRCKHCKVQLSRGDSIGRHIKRGACRALKPPPSGSSKESGVSNNRNGGRRKSGDKGGHVGRRSVRA*
>EED85656
MWALDDVLRPGEAAASGTVDTPGVSPDTSIASSLTEEPTGSQSHNDPTASFDAEIAHSVSLEEYIVWDLGTDVGSPIEDTTPKSSSLMSDTDLWADCDGQVADDDIRGRYEDPYIPPDTGAPLLSDNPTPWACNAAVAGPSTFAGALGHAGDTTGDDNARPVTDRLRRDHVSLAIDPGLLHAEQGTTTKEYATVQDNDGWDEEDASESDYEEVAEDDRDDASIIHGADEPTTFGEDDEHTPATKGGPINLNDERSIEFHPTAHPVAGRVTRSQAALSHNASSRKPDDKGKQRAPPEPCNKESTQSSTTSGKRKEPSDPRDDKQDVPPRRNYTGGNIGTGDWRCLEPKCTRKYRREADMKRHWQWECVVRNPKLLKVVLCEYCGKRCGNIFMASELSCGVRPASSSKYREQGLRSIFERCGQADKNEDFVSRVGNGEDMKWGLYAVAITCAFQHGRQAAYSALLTPINRSRMTVDSLLDLSDITYKRTTISALEGLEAAKPYRKMLITTMREVCGREMTHGKQYLHKNEIYPRNRSSSTRFMYSGPNSTPARMPKAAGWAIDPW*
>EED85657
MANEAHTGSEVDHMEHSEPETTGCAATIASPDELSSAAPSYILAPTIEDANASNSPNNSEHDTPPTVGPSTAPQGQKRKSPDTDDDEDFPWQYEFPDDEDAYDQDNGENKEKGGDAIEEAEENGGFDPEERHIRDTATAGPDGRWECPAPNCGKLLSSLEIMVRHWKGCKKRPSYQSIPCLGCDKFFARGDAMRRHHRNPNACEGYVTEDEARPKRGRGGGGGRKRARRG*
>EED85658
MLIYIVNHAGGQETKHNTDSSTGHPTICSPRHAYLSLHSTISVPAVLAVMKGLVGPILHIDDMEFYNLMFLNPIDELLCSSPLHAVTASYKGFEYGVQKAALRAQRTEANGWAASRHKRAVNKALFGEYYEPSNNSTTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVHAEGSNPQIVAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENPQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEAPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKAEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILMKLPERQKNKKTVLSLGNYVTRFEQLASKAQLKDAEVNGVNRTENDYHTLHANFIKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKRELYEELTMEDF*
>EED85659
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNQLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRLPIAFNRSYRAASGRDTTNSSRVPSPTHTYPYPHPYRRLPSGAPRSHPLSSKQRRGALPSRLTGNPTLVGPRREVAGDADRLDTGYGTVRTYDAQDAEKKPLDTWSGRVVVDNVFLEGIINEAKERKERERQMKVIPIPPPCSANPEPPASPVAGPSRPRPDTPIVFRRVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQPLAACAVDTQSKSTVTGHSSPENQSSSAMWEADGTVPHEVSDTLGVPRDVVANLAELTRSQMNNNTLIDRDTQVALGLYMEGNDTWDLGTTMGAVMNDSGNMQGLSTTEEAESPTSPLSGKNTLINVDEEVSMSVAMEEGTVEEYGAGVVRQPSSLRNPGILTSNQDITLSMYQSSTASAYPGDGTPSAGPSRFPGALDYTLGWAQGDPIPSTTQSLYGSRDSVSRTIDPGLLWTEQDHIETKYTSLLDDEDEEGNEDLEECDDDEVYDDDTSILHAEDEDNAPLVSNEGDSAIVDDDGVSIEVAANLPPFARFLDRRTTRSQTRSPCENNGLTMPDASRGQKRRADEDGEDDDDDEKAVKRARKRKAKDTGQMKQKTKPKAKRTAKAKGKAKASSTKNKAKAGNTKSEGERFPCLCSCPKRDPDDLEKPPWPHCKEPLCRGDSVRRHIEEGACPALKQSPGESKESGASSDGNDGKRKGGDKGGRGGGKGGRA*
>EED85660
MQVEGHEIIIGGATIEESAGILGLGMDPAIHLDTNLFMPIWAPWPDDQSETLQVTPGLQMEVHAPERIGTNSWNEGGMLVATRGEVAEVAEWGIDSGQSSVNTPTHGYSHHIGHDASMANEAHTDSEVDPEVDHMEHSGPEATDYATNTTSLGEPSSAAPSYILPRIVDDANTTESLDNSEPATPPTVGEVISSRRIERSEWITWQPRMTRSMTNAAASSSAQASMSAAGPSTAPQGQKRKSLDTDDDEDLPGQHGFRDEEGAYEEEDEENEGEGGDAIEEAEEDGRFDPEEKHLRDTATAGPDGRWECPAPNCDKLLSSLEIMVRHWKGCKKRPDPQSIPCPGCGKLFARGDAMRRHHRNPNACEGYVADDEARPRPKRGRGSNGGRGSKGGGGGRKRARRG*
>EED85661
MIFNFVSLPRFLLGQGGGAVGVLAGADSKPQWVSMREGHILVHELQAMSCWACRLRATEDGDILAIPSACPGGGNGSMVAGTAKDRRAVSKTSKIMHNADGLCGFWGLAIPPSSVLLLTAKSHTSPVYLLDEAFQVTSLADELIIGGATMADVVEALGIPQDANDHQAGLLLHGSPFGDHDEQMAIGVSIQEYYIWDSTPSKDSCDDNHTPEWSTLEDQGLRQSPFGEHSASIDMDAELNVGLSMEEGTAGAFGGDMYVPPDVNMARSSSMSMPREYGAPVAGPSRFPGALGDSTDWHGGRANLSQTQTSCGDSVSLAIAPGLLYAEQGATTTEYEVTIAEDEGEDDLDAYDDGSDGNEDDAFILDTDDDDSMHLVSNEGESAILDDDVNIEATGDLPLVATPLDRPITHSHTHAFYGNSGLTLPDVSRGQKRRANDGSEDKANEKTVKKARKGKAKDTAQTKEKTKTKGKAKAKGKAKRKAKEKAGNAKIKVRGSGGQFPCLKPGCTKSFRRITDRNRHLRSSCSKEKTGDLEKPRCSHCNKQFSRGDAVKRHVDDGACPALKQPPGESKESGASSDGNDGKRKGGDKGGRGGGNGKGGRGGGRSGRGRGRGGHA*
>EED85662
MEKEYSPEPGWDTKMAADIDALAEGMERFNDVEANQYWETQGEGVAVSTAYQEVPTGADLLLDMETSTSSSATSTGATGCPSDTSEDEHGASDAHPHEDGTSISCAHAPGLRYAEQGANTSEYESDIIDHTSGHANRVDIESEVNNNANITDSEPGSADNASRSDSRDNSPPIALPTVDEDIFSGRIDRSEWATLPRRVTRSMTNTTTSTSTQASASIAGPSNVRRGQKRKSPDEDEDDEDSAIGDHGADEDQELEEDGDENTRPVARGPDGRWPCKEWQCSNTYTREHDMLRHWRSCKMRPAHLRASWICPDCGKSYSRRDAKGRHKQTACKGKDPAEGTGGGRGSEGGKKRGGGGGGRKRARSG*
>EED85663
MAHSRTHSVDTEMHELNQEADYLEEKTHIVPGSNGSDAKLQRHDNDPDAQAMVVASLRSQIQDLFSQVSQLNSKLVSSYDRVSDLEDELHVTSANLRSTTLKVSELELERSQHLSALSTGLLVEKDHVTTELNRLMEKATEEAAQRGQAESARAEIEKDLDDLSANLFNQANNMVAEARIAQARSERKAEETERALRQAEEVVGLLQEQMQALQGEKERAGRAVEEMRITMGKGKWVDRPHETMQSSRPRLLSSHAPYQEYLMFISHLRTIRPASQHPPAMSTLLPLPFLARLVTEDSDPTVRLDLAPSLNWLSRRSVISAIHSGQLTVEPMSTPVLLEELAPSTIPGAHHTQISCALCGTSIISPPQSDSPTAVAFPSTGGPVRPHGNSSWSSSLFKNSIVQTISAANHGVLTHSRSQSPAPPIISEPPAQVYIFRVAATSSGLPVSLPLSSQQNSAQNRPTIYPLCTSKWCLARLRTTCSMWAFVRTSMVEKVWEESPYVAPVRPSPAGTINGINGLDKHDAVNGVSEADRKPTVPPRRARMAIGALWGSVSRSLSSSGKELEAELPKAVPEKPLPKSPTTPLLPPPVHPSLSAPARTSTVPPTPPPLPKRNRGRDTKSKTIASPSDDADPSPTLDRKASQDVFTTPAEEPAAFVDLRVPSPTSVPLPPSSPPSPEPHASVQPPSTTHHMQEGTGSSRNGSPAPPPLPRRAAARPRPVSVIVPQEPAAPSETEANQPESVVNEPKENDGTLSVFGEVGEQAVTASEAEAKQDTNGAPQESEAPAAEAPADVAVHEEPAAPDGQSVEPASHEQEEEQSAVETKPEVPSATIAVPETADTTKVDEVLNEDADGSRSLLDTSSLNGLVDGVEGQSVQDRKNAADAVGLTDHHPAADAQVELLSGVHDELTETAADEPGLYVGDATWEERAWKELIKLREEMFWARIGGVR*
>EED85664
MYSTFPTTEHALLLLTLVAILYVYRSVVRRRSEDVHRLLTAPRDVASLWWGHELLAFEGEATEMYTRWASVCGPIFKIKAALFHPDIYIFQNVDDYVKSPAFRPPVANVLGKGLVWAEGDDHKNQRRILATAFSPEAVKGMSDDIAECSEKLESRLTNHVLSHGGGSTVNIVEHTSTCTLDIIGRVAFGYDFKAGQSTEAQQIRASWEGHVNSGIQFGAFIAMLVIRACPSVFLLPLPAIKAGGRIREIVSKLSMRLLRRGAFNDRGRDILSILMKNDGARAAKEERLTPQQVVDNISTFMMVGHETTAGSLNFTLLELARRPGLQRRLREEVRRKGRELTYEDVQRLELLDAVVKEGLRLYPASPQTERVALKDDVIPLSKPVRTSDGTSIISLRVAAGQVFHIPFTTMHVNPAVWGPDAAEFKPERWLVPGGVPPPSELPHGWSGLVTFCDGPRNCIGYRLAIYEFKVILATLVRSLEFRETTAEVHTRISPTLQPVTDGQGGLLPLYISLAA*
>EED85665
MLTFDEALNFSTILSALACLSAGVITLLLLLPYFIDQLQLREYPGALLAKFTSGWISWIISRNQWSETVDRLHVQHGSFVRLAPNHVSVSGPSAFEAIYGHPSSAAKAPFYDIFSAGGAANIFTTRDRAEHARKRRVEAHMFSPQSIRTLESTVSVHFHALVDQWDALCAHIQKAGSGGAEGIIGSVSWKVHESRHWSAQLIASSSTRID*
>EED85666
MAMILCPSGRLVLTHSIEQGTPLEKNTRFKKRAKQKSGTKKSSRGFTFRGLLSRIFLLCFRIFLLWFSYHTLTVCPQDEHLESHVCRGLSEYRRLVIGPYILPPIQYVLAHPSIAPYVERAKPYTERTVNIAKPIIQRTAREWHTRVVPQWKKRVVPLWRKHAVPQVRRLDAHIVPYRTHAIQEYERWVGPAVRQVSPYVEQTVYNLRQWQQKARPYVVLAAHKTYYGYQRAAPYARPVLEQIKLFLAQVVAILADRRRQFVDPHVRTIWERVKELSSGGPKTPAAVDVRDSITSLVFEATGEAASVASSLNSAQTPAPSTTLLASDIVETTALSVISDVISASESLLSPTGAVSPTSLSIHQAKESAAASGLSSSLEAAVSPIYSAASVPVSSLSYAASSFSEDAIPHASFVASSLAEIVSQPTAKTFSGGSSIASPTAGELLSSASTAITHASASVSASVAYASSVLAAGVSSAVDQVASAVTSATAPSVALSQMSENADIDLDEFYAELGLLDVLSETSSPSAELTSAPSVSVKAESEEEKAERQRIRDAQTADRRADIMARHDKWEAELAALIETNKKALRRALVASRKTAAAELKDSPEIRAEIEGLVEEAEKFLRGAEKYLQTLSSEGRTEQEKRAMWERVADKVEEKFTDRLGQTEAVVNGWYMPILDKELTEVRKVTQPVRDLADQAQTDIGMDYAYLDDVTYQDWQRYHDLLRRSDNFTNAAHAMQNGSDHAAPANPVLQEISDIQSEVQDVVIGFETRLRRVKRNGERVLGENSQQTEASMATDKTVSILPIEDKEKVQSPADINVPPVVIGRSKEEVVEALNRAADQDGQSISPPDATRAPSDPDNVARSLAEEVVVQSHADSLNREEL*
>EED85667
MSPAKWEQYSSDDMSPLLHAFADRHGSPTNGEKPDVSFDDIIEEMLPELLAVGGRIQSNLAEQDNIMVIFATGTIGAVSHPYGGVRSDGRNTGAVQPITIIASTFTSGTQNEAATVTPLATPRATSTVNPQVLDALYAIKTTPYEHSFLSRMGGFQPPRAPDPIAVDWETRSPWMDLMADVREHYSLMHPGREQPEETIAPITYVSLQPCHLDQIHDLLARTFWEGINVSDSLQYSPEKCTVVATYKQLVVGAALLSSPQETYITYLAVRAGWENAHIATYAPAPTPRG*
>EED85668
MTTLVDPVVSPSSSPSLSPTRSRRLSSRRGSVSASDPWGVHSPLNNNPLRSTSSRLTIVRVPPPTEGEQYPRRHGRHGSNASLSSNSSAGKPEGSRMSFAFSSFGTSPGSGGPHGSSPTSSPRIRPQSPTLSRRYSGSMNKLPPEQLLDVARQACNPRVTNGGSPILPAEKPSSVSFTPLPDAILLPFVERPFEVGQLMCTPPSAKLFSLLAQMFPESTRAFTGKDTPCKTSTCLRENPALSARQNTTITTVQRTTATAAQVPILITFAVDMPPQPGIFPLPHSTAHKTRAANNADGADLAYHHQPAAGPSKTHGPSAEEQRREKRKRELMSKLGKDMTDRMDIGRHYAEVISDLHSTAIQLSTRPETLPAYHLRLYPLSLERSALLAALVHQEGHSLDLVQTAYEEEREEVEEEWRKGRERVRERLLESIEERRRRAREEKDGEGAVTVAGTQYA*
>EED85669
MAGYFSNHSQSRFLANSNSTSSATQTTVAPTPSPAPRPRVPSSKHFSTSLSTSSADEKSPNKDKPSASASRNASPVVHPLRHTWVFWFRQQRGPGTKITNYEEGIKKVSAFSSVESFWSLWTHLHSPSALLPTTDYLLFHSGVRRPVWEDPLNLPGGKWIIRLRKGIADRLWEDLVLAVVGDQFAEWPEICGCTISVRQNEDIISVWNRHDSDAQVKEKVKETIWRVLNLPPTTVMEYKSNNDSMQDKSSFRVPASVSVDRTPQS*
>EED85670
MGIYAIVQDLNIPLIVQPQLFSALCFLSWTQCQYYGHRRSKLTCIGLYTICLGFLGGLQAGMIYAIRHSHYLRRTIVRTALEAHNDVLLTTPVNTYRPQYYEIYKHREVVGISVLFMTIDMLGGVCNDLSLAFKSQFNVIGGVSY
>EED85671
MSPAKCASTNIPGPRHENDLLYIKTDVHTGRTYHATDDIPVGSTVLRASTPYTYTIWKQFRNEVCAECWRYEGGRRTFLKQRDDIGVTNPAGGANRMIRNGTRVETIGAGLWFCDEGCQGAWIAREGMETITLFRVLEEARRKKEEGKKKPNPLEAAQITADIVDQAWTMVCDKEKNLKERKKWRNLQLDNFETDMARYVLLALIHLRRELEEAWIPSQNQQTQATDACIRRNLPTDGRHDLRFWGATWNDFTTLQHNELNHLRTYPKLLEGPDQDIQGVEGTPVGPADEGAHREEEQNHGARPGHHQGDGRRFGKTADNGDMLIDLGSAITIDNVRAIFSVDPGNSFGIWEVPLTEESECLGFAVYPRPSFFNHHCSPNVNKERYGRGLAFVTTRSVRAGEELCISYGHAEGMGWRQRQKELREGWFFECSCGKCIVDMSTVDEGT*
>EED85672
MSALRSESFGIRFQTFCVYTVISAHRATRGISAVGTGIQEALRLHRFETHTQKLHEVDANNLRDDCGGRITSFRTTLYDTYYSIGFRELKPSGYNDPGGQHGQWYRLDKEGASKHLRVTRQMINEIAVGSGNDSDKSAGFQFIQNTVPLGLLQMFCGFDDRGNRRVNYYDQVGYMGMRERAWSTDTDKSNSA*
>EED85673
MAPTKKSKAAKSTESISSRLALVVKSGKYYLGYKSALKQMRNGKAKLILIAGNCPPLRKSEIEYYAMLSKTSVHHFAGTNVALGTAAGKLFRVGVMTVTDPGDSDLLAVAEGAAA*
>EED85674
MSPSPSVRAVARIAFLASDALVSSEPPLPATSPFTASFATLASSALQPPRPVNRIPFGADPGTALLQSHQQNISATSLVSYVTLSHRQVLHHLLPHLAELSDTPTVLHVAIAPSDDLSDALVLRATVPLCIYSRSAQQAHDHALLASRIALVEGKAVLHIFHVGTDEEELSELDEGGIHPYLLAEKASPISPTSPNGHTNGFANGHANGTAKSKPDESAALYKAYESAAISTVAVLRRPLRAFVPRGSSTSHTVIFTLGPVPPSLEVEDVQWIDVSLLKPLPAAKIAAAVPASAKTVLVCEQVSRWSVKWTPLFLEVVSALQDDQREERPVVRSVALRDVSGLTVLDVQKLLLKAGEDAKERLSLGAPLSEKANGSAAAPEVQAPHVPKHESSYTTLLQTLFGERLEIANDPALVSQLGPVATSPEFTLGRIRGEDEAVSRLAVDVKELLGEPALPEDLHALLSEWPLLKGKNDSKGKGKSNAAEKEKELGDKIAATLELAAAKGLLTGAVAERVVRARGVFQQAAGLRHKSRWIIGSDAWAYDLGASGLHHAIASGLDVNVLLLDTTPYSARAADPARRKQDVGLYAMNHGDVYVASVAVYASYAQVLQALVEADRFAGPSVVLAYLPVFGLGDSHYWPRAEDAHYYNKAGRDLDARLAQLGAQRVVPLGLGDDQDADGPETGYKLWEPRIWKALGVDAVEVQEAEPEPITNEHIKVASQYLRGTIKEGLADGSTGALAPSDTQLTKFHGIYQQDDRDIRDERVAQGAEPAYSFMIRVRMPGGVCAPAQWLLMDRIADEHGNGTFKITTRQTFQYHGVIKGHLKSAIQDINRALLDTLAACGDVNRNVIVSAIPSLTKLHGQAYDFAKRVSEHLLPRTTAYHEIWLDKKLVAGDALKDVEPLYGEFYLPRKFKVAVAVPPTNDVDIFANDLGFIAIADDNGDLVGFNVSAGGGMGVTHGNKKTYPRLGDVIGYCTVEQGVEVAEKIMLVQRDNGNREDRKNARLKYTIDRMGLENFKAEVERRLGYKLQAARPFAFNRNVDDYGWHTGEDGKHHYTCFIENGRVQDEPGREFKTCLREIAKVHKGAFRMTANQHLLITDIATEDLPRIKALLAEYKLDNLNHTGLRLSSSACVAFPTCGLAMAESERYLPILIDKVEKICEENGLRNDSIVMRMTGCPNGCARPYVAEVAFVGKAPGTYAMLLGGGYHGQRLNKIYRETVTEPEILAILGPMIKHYALERLSGEHFGDFVIRAGYIAATTEGKAWYDRMGGEGAHRDTAA*
>EED85675
MVCFGAIGGLFALLLPPALMSHSETFAAASDTSFGSANEKQPAARRPTAVKIALRMLKEEGLVHAEASFCFDAFQFCVLVSDFANAKAWARRAWEVACVTAGPESAAARTFKMYWANPRAHRFAGLLPRGVLGGPDSS*
>EED85676
MPVYYIFLGLCAIGRAAPSMGRPTSAVAGFAMLPPSRRSFVADSLYVYENDLQFGVTSDGILLKGPPMRRDTDLDSLYFGTAMGECLQHFSPQPLERHASHLASSARKPGRRGYHDYVHSRPTRRFGDVANTMTSSVDLDHIGYLSRKRFFDATGRTGTCALDVVLSSSNAWVWKQQGSCMFAGNLDRPYHAPLNDWPVYSNSLHRANATWIEHIRVSDGKSAFTNTITESVAEAVTCTGNIHHLQSRIQERRREIHQNGYQHCGATVMSAKDSSAVFRPNLGARTSIQKATAGPFKIAA*
>EED85677
MSSPTRHPEDLKRSRHNSMSSSTSAPPAKRINSELDIKSGLELVPDRLRAERHKELDEVVLKQADTDLANGIFSLISLGESVVVNSDGELDPFWTSTHLMEEMRNNRALIDELQAAWKNREFRQIRLLKLLNKNRTTVIPRSDLSDQATAEAWQVKYVGDHHELFLRAIDAMTNPERVRGKIYWNYLPIVQSSGMGKSRLVDQVSTLIFTIPINLRTTKGYPGADESVRNALLMSKASAEQTEGFASLARSSEHKQVDSAMSDLQARYAAILAGVVGVIHKEVEKLTKSGTLSVRNDNSGQDFASKWRKHLLRVRSELYVRGVTEGLELLVTPPSPETDKLSDAAKMKDAFSRACQDLCKVVPHREHQPWILFSFDEAHELSDMGSLSEDLKDPSRDVVRTPLDALLNVLDYVKDDHVFAVFLSTNSGLHKISRPRDLAASLREIDEPNASSSNSARQAPYVELPFDTWNGKAIFSHGKDTLKSVCEPEYICRFGRPLWWTRVQDNLGMLNDIFLFAQTKLLSMSAADWKPLKPKGYTALAILSNRLILEIEPRREANRMLEDKLVASHMRVAYSGPSHLQYLRSSYPSEPPLVEAAAILWNTSGFDALSHLENYLEDGLIRKGDRGEVVCQFLFIRAYDECIHDESVRKIGSEKARYHQFVPVVDLLRKLFSAEVAEKILSAPPSNDPNGPKLEAAFSEAWIRISHFARAGDSSILEPNLAGAIIARGAAWQCRDAQQDIDFLLECVHGDKDTPIEKMAVSRIAIQIKNTLREQAVSVSSNVLYGSPNVPFNERPHMIIVLDLGAQGAHSIAAPPAQASVLSPGGAPGDSQITAMFLSPSMKVKRDPVIPACQTHSGLQSTSPRYWISVVGCSPQIFNICIVPNKDRFKALLASQPLLAEHVRQERPYIEKLLELKPYFVEGARGPCFTWREMEKPLAAEDQHEGGDELQDWVEALVERDEVFDGDEKTSSLENDFDSN*
>EED85678
MSSGDADEVASTKEESLPTMEDNTKAQNPLKKDPPRPQPEEISDASGLTAEEWKVYVDEANKALKEYVDLYNSQMDMLLLFATLFSTVVTAFVIVSYGSLQADTSETSLQVLQDILATLQSNGSVQNNQTATASVPFKPTPSAIRINSCWFVALVLSLCTAFLVILAKQWLLSITADFSVHMEQKGRQHQLRWKGIGDWELSLIMASLPILLHISLILFFVGLIEFLWSTNTTVAIPVICLTAATAVIYTVTHILSVLSPTCPYRSSATDLVVFLFSSLAREVTAEVMTAGVAMLKLALLMSRILGRPSRWRQALRNRSLWQAGMSSLALNTRSMENRHISQYSSLIDSQAIARMVGEFPPSADGTRNALLTHRITHYGCLLAHRSVLTDCGAVALLARQLRSILPADGQLQSSSYEEAVPVHFDIVLGLAEYSQNNIGESLKTTHLCDPGAVLPYENDTVIFANMLRLSMVVSSDEWYNSGLYQSASLFCQRLEDAATTASLDDTALQSFVNTVVYLSFFPVEDSSRPDNQSDVELKHDTMIMHGLTALVRHRPEMGMPVLRQICWGVWFCSQPRWRIGSGYLVPVMKTANHLVRPIVALLSSLHSNSSIPITVLTITEALLCPASKGTTEDVNDRSHLRTALVGAFPTFLETLEGEIQTKARNGSDLIQLASHAIRAYGRIVSASAVKNEASPHIDSDSGLYQKTTRVFTLIDYILEHFGEILPDNQSKTARDTFVVVYVIGQLQMAPQPGSDSASWIRVTASDRGQDDKAAPMQADEADQHETNEAHGLVEGGARNSLETDLPRRGSNAASPRDDDHKVQQDVASAPEQQASSPHKDDGAAEPEGTSEPRECSAIDLETISPENIADAFADSLRKSSTESSLPEDINSYLKTLVRMIAALPTMADSDHEFSGVLLECLRSPSRGLKKDLEQMLQPESQQSEYNAPIREALEVISNSGTLLESPKKS*
>EED85679
MTEYDFSPEAYEKYLATQTRVSNWVSDQVSRGPRYADPRVPPSNPPSVVPSTRSRPARSKTQPQLQPLHIPESRSPPRTSPPKSSSGSRRSHTSPPPPLPQPQKARYVSPSRDIRPRPAPARSRTLPMAHAQHPAHEASASRPHHAAPPPPQPIPYPPPITFPPAPMLNLPPAPPGHSAVYRAYPYDGSGRQIVLPPPRPGQTYVIVPPHRGAVQVVVTRRRCPLTHGLPLSRWEQHAQPDQQQQEGRAVPPAPPRLDQPDRGRVQLRARRPRAGGPAAAPPTTLDEPFLTAQSGQRPPAAPRGPGSALGRGFPLRLGIVARPGLRSFCPTLEVARIADIGAIAAAPIASIRALKNIAPCVRIALRKLGAHSPPEELWTVSPSSPAGYQLCRGDFELCSSNCRDPEGEVSPPGHEIGIAVADRGVRESCITAVDKLATNTTNTDASASTEVRAADSSGARLRLACLHLCAEIPQTTRDDTSSAWRRSRVSGTLPPYGALAATGLQQELIFERLEAPECTRCRRTWRTRAKHLHSSFALTIPGSTVTNAHSKAVPVVCTPASLDLAPRATPPTPYTEGPAEYERRVRTSGRAMCTATDPETAEYAYGPAERQALYSKTAKVAGASGRAVGAHVFQSYAPSAQSSEVTSFLYAQLSLLAERAELSSGLLALTLYQSASSAASSASLPHRGSSPGGTSEHADRHSGLDPETWKSYLEQASERQKQYVDQFNSQMDMLLLFAALFSAIVTAFVVVSYATLQPDLGQSTLQVLQEILVTLRSNESSSQDTQVPVSEPFTPAHSAIRVNMYWFIALVLSLCTAFLAILAKQWLLSLSGSLSADMETRGRQQQFRWDGVKDWQLSYIMAVLPLMLHISLALFFVGLVEFIWPVNTMIAIAVACLSGATVLFYVLTHILSILSPTCPYRTSTTDLVSCTFNMLAQEFLVNSMTAVIIVGLCSILALMSPFVAAALVVSWVRRHTDNDRPEHERALRTTLQDLRRLVRFIGGPSRWRRATQSIWTWQASPMLSLRSAERDYIGDNSTLIDAHALARMIGEFPRSEDSSALVKQLVDFGPLRERRSVFTRCGAVALLAQQLHSALAETTTVEPAQHAETLRISDALVQLLTEADADDRYTLLPAFDDVPVHHDLVLAIGTEYDYDVGAALGSVSQKQSIPPLALTTRIVSTYSCIARSHTIEGRDPNIPQLDIEHMTASLLGLAHHLFEHAGDIPSVDQSTMIHVTIGAVCAIGSVLQTCTTDGAQSSTAMFRSGQAGEPHTDSGVYLFEVPRPVAIEGVTSEHIADACAALLRSASYAPATDKTPRHQDILLSMIADLPTRFEKSASVTQLLAYLRDPARSVATSLQRILQCTREYDQGPIVAALTAVRSPVPETAVQS*
>EED85680
MCAVNLIKEGGRRYTQVKHNRGLYARREPYRWASLPRRCQQRPSRRITSQHRLSISVRAQSRTRPAVIARQGNPNNHATSSGRNALRCYQRYCGKVPWLSHRQIAAIRALSPKAIEHVLERAQHGGVPEQSSPPQDKLPHGAPSPTSAQIGHSVKDARKRPSHQKEEHKNPQGVRASRWCLVAVENAALNALLSYYLTTACLPAAQSAPRANEAAAKERERIRTLAQRAADTDPPSPTHADADIWYGQLRTSWLHSCPRAGDLGRTAGPVTAQRASGRADWLRGMRLRPGASATEPRCRQLGLEWAAGEDAFTPVFQLNWDLIGKRQIDAH*
>EED85681
MPSNTAPNPRPVPTSKMNPYVAQSDDDVECLALLKKVLSGYASEREAGPLLLDWLALTKIKPFTMLPLCLKPDIDAKPYPPLFMYGWHANDDKLLDYAVQNELVERWWVPPKSDQEYGRDGDNGDGEDEGDEGDEDEEDEDEEDEEDEEDEEDEEDEEDGGSTRTLSKWDDRKADRAASMLKAIAHILQQNQLEKYASNVKLYTTVYKALDPGSKVIITLFTNYSLDKVLPLETVKDIQRALGTSEVSCWYMSSRDCKWDEDSHKGN*
>EED85682
MHATIAQSYEEVECPPLLEKVLYDHMDNREDFIEISKIEPFTKLPLRLVPEKGSKLHPPLFMYGWYADRDKLLDYAALNGLTAAVDEKKSKKEKKGKGGRKGKEGKETANEDHSSTQQSHNAESTRTAPRRRKSSVHDREFMQKAIVDLLGKHQLGDYSNNVELHNTLYKALGHDSSVIITLFTNYSLDKELAFETVDAIQKALEAPEAHVRTELPLELQPEYIKGRPLQELEPFDVLPHELVPSPDHLLLKPPMFMYGWIPDEAKLLEYATEHDTVIFDSSVEEELLQYPPLPDEDENELEEERRDRERRKKMEDKYSSNAKEDKALSTFLNRYPNGSLIRTWNQTICVVLCEAGLEKYAEDIKIHSTPYAEVTRVISIYGLRQAPSDDVVDKIYEVLGGTNEPRRWYLSSMEWDWKW*
>EED85683
MQHRRGRREQTVQLPTSVARPDQVIQYPKLLSPKYWTKNINCKSLCGLEPFLILPNIFVPKDRARIEPPQFHYGWALNEAFLLKYAHDNHLEIEPESSLSDEGELFEHYSEEDEDKDPIREKKNGEDGEKGKDGEKGKDGEKDEAEAEHVCSSGKQIEDRSRDSLTVGDTASPEEQVTGTQQDGQLGDPSDSFTVIILAIDDIFTKLGLEQYTHLVKVGCTVIGGCSFVLSIYTNDDLLHSLPTDDDIKKMKEGLGGEGEARWYISNDRFVRSEETSTLATCCVSCEGLGRLTRDKEIAKGNSHETAYTTSLPRTQMNPPHSNERSLFEVLAGYGWAAACTRAAWGSSRVLWPQNLNKACWPEYLCSNVTMSSARDGMGRRRVYSPSGTVPEIYERSWDFSVNNMYGTNLARHGSETQ*
>EED85684
MKLNIANLATGEQKTFDFDDERKYRIFYDKKIAQEVPGDLLGDEWKGYIFRITGGNDKQGFPMKQGVLVPYRVSLLLADGHSCYRARRTGERKRKSVRGCIVGPDIAVLSLVIIKQGESEIPGLTENILPKRLGPKRATKIRKFFNLSKEDDVRKYVVRREVKSQKKENAKPYTKAPKIQRLVTPVRLQRRRHLHSLKRRRLERQKEQKTEFDVLIQKRVAEKKEKVAAVRASHKK*
>EED85685
MDNSVKVIAVQPCCEAQAEGQSERTATGDETHNGKARLTSKGSKLPLEERARDHTATIADNVDEEAGVASKTATAGIVVEHGGCGVYIADQSHEREAREVDAASHVFVDPGRAEVTSTVVGALIIEATSNEAVAVETVLSEIVIGRGHHEGNVVAADTSDEQEARGVGCGWTEKCLQYSGRAIRAAKLKAIEGDINMTSTFIGLVAGAVQQFSNPPLRSDIIAFTIFTLGIIFYMPPRRKGPMIAVIVCLVAQAWKLGTAVGVALLLLCSAKLAVVLRMLPGGTSVDNICKESNDRLDSTMAKNTCSNHSVTVSLVLETACH*
>EED85686
MNPFTAGGWGTSSGNPPSIFGALPSVPVSSSAPRSIQADSVQYKLTNFNTTVLNCTVVGPQNHVVYRVVTNSGAPSSTMWKDNESRNVGMVTWQPNATLELYKLQSTAPRVLARIARLQSSILLDMTPEAMQLGLLEPCVVATVIFTCGHNID*
>EED85687
MQHHRSNFDKYHPGYFGKVGMRRYHLTRNLQWSPIINVDKLWTLVPAEEKEGLTEDSEVVPVIDILRHGYAKILGNGQLPKLPFIVKARFVSAKAEHKIKEAGGVVKLIA*
>EED85688
MADNARSTYYTAPVGQPPPQFEYRPQPYPYPSYQQQQAYAQPAQAYPPTTYPSHDQGRYAYGNPSTARHPLPIPPPSPAPPRPPSAHPPGPQYQPSQQPMSYSPSPFDPRNAHAAAQRSPAAVPHARRPLPEPRSRPGDAHTSQPPPPRPSPSPGPRAPTHRPTVSVSSLPTVRPSLGTPTSPHSSPPKSTTSPHQYGLPRSFAQPNLARSPSPSKIDRNEVQQPSLSEIAPMGQKFVPHWRRALPTPGHPAGSPPVPLQPQQVERRSTVSGPVPPPIAQRQGNAIRPLPQSPAMPGAGHGRSSSSSVHPLPPLPSAAPQTPAQSNSPPRRLPQPNIPRAARPGSSSTINSGTDSTDSEDLASHVLLSRPQTRPPVNGQRNGRQSPQYGILDMPSRMQSSANGSAEAQSVTLRLASMSVQDDQHGQTRDDTVLPNPGEQSSRPPSRTHGYSQSVPNASSVVLPHPMPPMTRTYAQSGATRWPAGLPPLPKAPASNDGGGDDPSRRPGIFGRSTPTAGHRPPILDLSLDDAPPPSLRRSPSPARSAAQSAPRHEPPSPFDPYRNAPASRSTGGRPQPASIRTQALNGTSGGPVFSTRHELPSPSRSRAESPVSATTPSSAVSAASAFTLSAFPRPPSSTPPSSRSSSSPRDPQSAGSAVSPLSAVSPASANSTSSAFTLSSFPQPPSQVPSDHTFGRRIVGPSDQDRRDGGGFRQAIPKISFPASGDDDDSEESDSDFGPVISVSGPGDHEPSIPSISIGPVDDTPDGLPQFSLTDETTKHPEEHVTPLLRAIRKGPGLTCGGCGGAIVGRTVSAMGARWHPGCFRCCVCNELLEHLSSYEHEGRAYCGLDYHERFAPRCYHCKTVIVDERFITLDDPELGKRTYHDMHFFCAECGDPFLAPSASSRAPAGGQTFSGDGIFSGGEDDVGFTVYRGHPYCEACHVRLRLPKCKRCKKAIRDGKRAVEALGGKWCWECFVCASCERPFDNPAFFQRDGKPFCEHCFSIMIKNEM*
>EED85689
MPVPTRPPVRKALSIAVQYSSLKEYDLDLEGTHNDPRILSDLLVDVYKYNREDITILIDDEDKKHSWPTRKNIENAMKSLLVGAQPGDHFVFHFSGHGALVPNFDGTEKSGYDEVIWPVDIEYKGDESSIDNYIKDDEIHDLLVEHVPVGAHFMMVFDCCHSGTMADLPNTSEDRPPTPSSATSTGSIPSAASVKNTSTEDGLQHKTTKEMFTPSVPLAQVPEANKPAVADVALAKALREQRLTFIQMLTDTYLLIGHNPLETHHELLQAVTREIAKITAAINKHSRPADAAEFEVPRPELETQESIEDVYEERVDL*
>EED85690
MTVARNTVTKKAVSIGVEYRASCHRAMALGHLPGAHKDPQMLSSILQSRFGYKEENIKILSDDKNHNHDYPSAHNIYVVHIPSNYIIQRDAMNWLVDNAGPEDHLVFHFIFPVDYALDHEGAMTNYITDDEIHQLVEKVPKDTHFIMIFDCCHSGHIAELHHELHSDVHLHSLILPQKSSGQGGETSFIARNATLEPKVVKLEPHHFPNVECWEACRADQLALGDKNGGLFMRAFTQAIRSRALQDMKLARIISPEWFNLGTCGTSPARWL*
>EED85691
MRPPFVPVARPPVRKVLSTAIGYDKHESAGLKLPGTHKDPKILRELLKKHFHYKDQDFTILMDDGRHECPTRANIVRSFFREIRAMHELVKDARPEDHFILHFSGHGDQIPNLNGAEKDGYDEGRKLTSLFNFLESPHHPTVIFPVDINYTGPGDFDNYIMDDEIHDILVDHVPRGAHFVMIFDCCHSGTMADLPFSEEEDAWQSPADRRLAQSVRFHGSHAGGRPTRIVPESWSACRDAELAQCNGQGGLFIRAFTAALEAHDHATHEELLHAITQEMSRIIAKSYRKRHALIPEEMIRPQNPEYDCDIPIEQIRDTPVQL*
>EED85692
MSYSSNKCEAPAEWDVSLSKGLRPTTSVLTTRYQRRSRVDPVQTQIAAGLLNDTQEEGLRCLPKGWSSWIGPEGQRYFVFDSTTRVVTEDYLYSSDVQDKITFWINEFNNRLETSQICVPASAELFLALDDKSDSCLYYLVDYATCVEFWIEKVDAEDLDMMPAVSTSHLRLQLKEHFWTHVEQFPCHSLDKLLVNRAELIQVFLHARNDQLTSASSTFCYSAKQCADFLELLDAAESRLWAVVFYGAHWQAAMAPIRDEWIFCTFLGFAITMVDIMSFLLPGTWLPMALASTLLSVVAIVSAVSLLVKYQEAIKWEATDAAEYLAEAARETTGFQWTALVLSLPRASLLWALGLFSLQGFFWLLEATNSFVVGGVMVAICAIVLCSQASRLSFAWLNVPSGALLREDCAV*
>EED85693
MPLKMADVANLFVRLRRAQARAAAQHIDSTSGDWPLTHAALADDVAMSPRKVPLVQEDTFVCKDGVDVAKLLRLARAALYEEAQVIGANVLVDEQICLHRNDPRMFTVHIRYSASASRSQKRDPQQPIALDCARSIPGLMTILDRLEQ*
>EED85694
MGHEVADFARIPKKYALYCYDYCLTFDREVKFFWGRRPSLAATLFFTFRYAALLNTIPEIWTLCSRTLTKQHGTRVLVSTAIFAALRVYAIYRRSQLAFGVVLVSGLAAPAVVIVRNSICDYILSKVVFSLLPHFRVCTLGILGGTQSYEWREFRVAPFGQRATLREILLRDTALSFGWAMSRGGTCDF*
>EED85695
MSFDWPPSVRIGTTVILVGSDNSGAGTGGSGLYIVSQGSSQSCLNASSPASTPGSPAGGTYPTSTSGAGFNGHTNVGAIVGGVIGGVGGAVVLAVLLLLFLRQRKRASQTPKERPVDLLNDASEHDHDDGGLPQYYEPEPYVMPEPTVVSTAEGSSQAGSERRQTLLSMPSVLRPGTPNSLGIRSGTTGSRKSPAPPTLRAVSIVQHEDAGPLEPADQEEEPETMKLPPAYTNLRKQPPAQADVSA*
>EED85696
MSRDLRARHRDDRAELRVSVAHRRRCRRASKAKSKCWETESKCRAGLRGELAARCPAVRVNTRNALGKGYEQRGSYEVASTRRRSYSEAVDVSSTIVEVEGFVETVSTSKRSGRGFEDATTIREWHTGTRGFALFLKKLPHRVARIKSIGRVSVLGKTKKTRGGGSTTKKRIRPTSPGPSVAESSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDD*
>EED85697
MSLEFIPASRPKTPSPAASPRPPPSPTHTGSVSQAQTPHGPSYLRPGSRASRASTSSKFSGGRIPFGGAPGIAKAVATTAISEQAVATVSPSIGFADLPQESSLPSPEESPTEGGSPGSAVTASSRLASLATSWGVAFGRRTRLSVVEPTVASPADRPGTPDPISPSHVLENMRAGQRRPSGTSEGISEG*
>EED85698
MTYPNFTFPPGTALFPGWAALQQYHEDFAVHYNLTPYIRLNHTVVSANWHSHPPEGDWHVEVHTHLGGAEDEYVLRRTFDHLIVANGHNHFPCVPAWNGTEEWLANTPLGRPQREILHSIYYREPQKYAGQNVVIVGAGASGRDIALQVSPLARVQAYQSISDDKDTTPGARVIRKPRISHFTPDAIVFADGTTLSDIDTVLLGTGYENRVPFLSAPHASTLHTDPAPTVNSSTARALTNNLHYIFPLHKHIFSLAPELPPTALAFVGLPVLIANCPSDNAQGMLIAHALANASLLPPREDMLAEL
>EED85699
MLSLVRSLKAPAPAGLAHANLYSTVSGLTRRTWRTGSHPAPQSVHDDVRAADADAGADTGAAPPLRRTAHKLPTPHAHKAHRTTLKKAFPEGWAPPRRLSREAMDGLRAMHAADPEMFATPVLAERFRISPEAVRRILKSRWEPTREQRDLRARAAREGGVDPGAQDGGARAADGDREGGCPPPEGAQERPSDVYAELCNITTDRPSKLKSHASCDLIEWVDAMSLQKHALHEIYGCRPSTRIVVSFEPCSAASHASHALVPQKTRPFGGLVREAVVPIRTGRLGQHPARTVDRREVEAEDDERRDELQLHLREALPEARRVETNVDVRRERASASVYGSTKQLLSRCSAARRRAGIGYALPRLFQHAVLPAPPQPPLPVVSLAHRTPEENQACIPLGFLVTPPSLQVPAGSHLLPPNAMAQALIPQKTYEGGQGPTLLKSLCSFNIADCPALGISLDLLERFASLVSSQLVDADLPAFHDMDSEKVSIPGYESWGYQMRILTGYKTLTIGEAALKLTGLMKRACKELRVRRGEPALCRKIAFEDLFLVSLRRVSHASVQLTLPIVI*
>EED85700
MWTGPLAMAPNAITYQQEQICPPLPVLISVPPTDYRGFLPLRFNANIYNSLFIRCFIRKYSDELQRVGRSEFSSHVLILNGFHRIAVGMIVMYIAEGVLRGLYGDMIEWISLLT*
>EED85701
MTLSKEPFADTLVIDCPLEAHTHAERSSAVQYDEQVALGLTFEEDEWVFESSWRSLFDSTTLHSSTDEAIDENRRAATSSPIVSGYPWDDEYDVLYIPPDTHAPMLCDYPRHWVFDATLAGPSTFPGALGHPGGTAGGRNTPSSTESSYRIGDSVSYAIVPGLLHAEQGTTTIEHTTTLHDEELQDSGDKDNFEMGASLLHRDVFAFNEDKEHSASIEDGPPPANEDVETCASSSSKITSVKQNDKGKERAKPEPPNEHNAQSSKNSKKRKNPHDGHDVDQPIPPKKKHARRKAAKGNWECPEPGCTWTFTREWDMERHWEWDCSARDPSLLKVAICSYCDAQLSRPDALKRHLRDTCPVKKALEASLAERAGEEEEAGKAAEAVVEVAQVAPQSDCVFGTHQFHG*
>EED85702
MQVEGHEIIIGGATIQDSAGVLGLELDPAIHPDTSFFMPIWAPWPDGQTEGPESAPSLPIEDYTLGYGTGMYYEAITPGATSDDVEATGRDHDSNDPSNDDAAYGYNVSYANASGLQRAEQGAITIVYTGHRIGHDASLANEADAESEADSGVNDAEQSESEATGHTNNIATPAELSSAAPLYMLPSTIDNASTNQSLDDSEHDTPRTVGEVISSRRIERSEWITWQPRMTRSMTNAVASSSAQASMSAAGPSTAPQGQKRKSLDTDDDEDLPGQHGFRDEESAYEEEDEENEGEGGDAIEEAEEDSGFDVEERHLRDTATAGPDGRRECPAPNCGKLLSSLEIMVRHWKGCKKRPDPQSIPCPGCGKLFARGDAMRRHHRNPNACEGYVADDEARPRPKRGRGSNGGRGSKGGGGGRKRARRG*
>EED85703
MQYSNLSPSESSHCNVASSSDKHVEFYQVLTTPNDLNVAQGFRETLKCLTLDATKACGLAEVNPAPDHSILDVLSQRSRLLETLIIHGTIPPQHIYTSRPWPSGLRRVQLKVEYANASFLLPLSNLTQLETLDIQITSYTDPKELLCLSTSRIAFGSLQTLVFRGHFKLTATFLKVITSPKLQDVTLQGKVSGDSRYWDDCLTTLASRFASMRRMSINGASGIERLTRCVSLCDLVETISHLDKLVSIHLTLPYGAHWEEDALRTMAINCPKLEELKFWAYNLDMNILVHPQTMLDIISACPKLRVLELPKVDLEPSLLSQLQLPEAGDLESINLGYGPECEVKDVNMVAKFILRLCPKLCWVSMWETTSDESLPEEADEGWEGVVYLLMKWLDEKCDISDQDGIGHRTMNVIAITEPSQLQRLSSKQMRQRRSPTYDGVIESPACAYGKRMDPMSRVSKTVFRRPHFEHSARRRMDGQHKRAVNKALFGEYYEPVSHARPQTKRWGRTCQRKATSAKKIRKFYDSTHGVYEWEDKVKIDGDRSAESTPDGRFGVGNQSADRPSIVFFFVVWEADEIVSSGVVDMLGASRDIKTNFDELIGSYQYNDPDVDRDAQVALGLYVEENEAWDLGSRMDPISIDSDGTPGLSTTEEAWPIMLLLCHNNREISVDEEVATSIAMDEGTIEGDGAEFDITPSMHQSSMALAFPGDGTFSAGPSRFPGALDYTLSWAQGDPVPSMAQTTYGSRDSVSRTIDPGLLWTEQDHIATEYAISLDDEDAEGNEVLEEGDDDEVYDDDTSILHADSGDNDAPPVSNEDESAMFDDDVTIEAATNLPLFTPPLARPIMRSQTRASSDNNALAMPDASRGQKRRADEDGEDDDDNEKAVKRARKGKAKDTGQKKQKTKPKAKRTTKAKAKASSTKNKAEAGNTKSEEKRFPCLQADCDQTFGRQSESSRHFRCSCPKRDPDDLEKPPCPHCKEPLCRGDSVRRHIEEGACPVLKQSPGESKESGASSDGNYGKRKGGDKGGRGGGKRGRGGGKGGRGGARGGHA*
>EED85704
MWRVELTETAADASGGKTIDAVEQTGIWPHQGTQASIVAGSTMPSEIVPAARRHHPEMITSCRGRFRKHDEGLRLSSAIWAVDELGFTIPQATATNAAEETGAQMHSHPVEDRDAQIAAGVSIEEDHRWELGFSMASYPEEERRAEAPVGEDSASINMDAELTLGLSMEEGTAGAYGGSMYIPPDMDVWWPSGAMMHEEDDVPMAGPSRFPGALSYPVGRVGGSATSFTTQLLREDGAPVSTAIAPGLLYAEQGAITTEYAVSLDEDENEEDLDTCDDGADDNEDDTSILYTNEEDNTPLASNEDESAILDDDVNIEAAADLSLVAPPITRSHGRSACGNSTLMMPGASRGKKRRADEDGEDDEDDEKAVKKARKGKAKDTGQKKQKTKPKAKRTAKAKGKAKASSTKNKAKAGNTKSEEKRFPCLQAGCDQTFGRQSESSRHFRCSCPKRDPDDLEKPPCPHCKAPLCRGDSVRRHIEEGACPALKQSPGESKESGASSDGNYGKRKGGDKGGRGGGKHGRGGGKDGRGGGRGGRA*
>EED85705
MADEFRGKSNEQIINENAASLEAKSHYQNSASFNPAGETTTAMDSGVNESGVEGFPTTRGIEQVGEASSRRRRKSLDRAPIAACGKERSTAFREDAAEGGGLGGRKKTLASNVLFVHPPGT*
>EED85706
MASYPHILPMELVYETARFLQNSVLCLAETGTSGITPASHVRHLWLGPTSSSAEHDLSYASSAWPVTLIHRILAHCRELRALALINFAQHLVYRIEAMIPPTVEMVHLGPVHGHLDFRKLACAARLRTISSLDTYLSDWDVQDLVLAPHIRRIRRFYTGSIGQRIGFAFEQLPCVKKSTSLEEMQIIVCGETTEGARQELAMMAEEFMDNADARVELIAMARRPDGQMDGIGAFHDDWLAELGLCFRRTQVDPVENGRMTLR*
>EED85707
MNESWSSRPYFDTVPAAYYAQTPSLFLQGPLNAGAQITSIPLDTAPDNSSRGLDPSMAIQDLLNAPVDADAFSQSDCTGVSVSQLLEETTDAEEFAPVSGDASPVHNKISAPGFMPRGEPACIHHDTVPLRRSPLPSQSTSDSALDTFSTQEGHTLDGRRPSGSDQPVWAASASSYAGESNKHSVHRDDAQIVSKRLCRSPSATAQQIRISTPTSASTNMTGDTAVVIKREAQVITIPYDNGLGDRLSPVRVKFATDEQDFISVGSKFIDDLSRLANPGDPAFPELEGGSRKVSFRVIFRDIPPSETECKQKRIPGNVTRLQLARSAGKAVAAILQRPAIRSTSIKREEDAIEDDKERLGITERKSGSVKPECSYDTENVVLVSAEFVSRGSIDLVLGIYEKEHLHIHKQEPIELIIRWTTDIHKGLNTCLDIPQPDIDRFWHVQNNILSLALVFRARRTEDPRQFGRFLPASFYSSHFIMDYYGVYRLAQGIELLKPPCTAFHIFILNPKKSHLRYDVDKRGVKKISTHLLTQESWKKVQCFVNPRLANAAMSNSIHPIVP*
>EED85708
MRIVFAALLYYDYTLTLSMEYEQFWKQQKRLSLVAVLIVLNRYVSLLGEIPVIIFIFGNLDGEAFAAITQALVAVHFTGSLAKMLPGCVFPMTKEQYGFACMYHAARLTDTDRTELSASPWCTMLLFDTTIFLLTLVKSIRVIRLLGGSGSMFQVMLRDGTIYFGALVVVNMANILTYLVGGMSEVYKVTERRVSYRISSTLIARLMLNLRDPALNTVLLNTDMHHDEPTEDLDNMESVRFRSANAETRTRPGFRSPDARFGKGALRVHNGKFIDCGVFDAHPVKAQRATHLGDVQRDPEAGLFGLAEMFFECCHVACLPRALFVIQHTSTERGAHLGIATEIDADDPATGVFERDGVLHAFGRDDGIHAAIEAHDQGGLLCGEALDLREHRLEVVHARHSRRRAGRGAQLEVGGLGVAILGGDEEVLEDRAGGAREGRGRGGEGIDGGEEREEVVDLAEGDAGLEARDACVDELTVGGGGGEGVGVTCEGLEGGEGDGAVEVRVQVRLGQGAQEHKLGRREDGQCGGCKASDGVGHGNSGRRSSVDRALLHNRSIGPRIPLPSPALASHNDDDDDDYVPALPPDMLAARTAATPKPLPKKLIGPALPPSMAARAYQYVDDDDDDDDYGPAPPPSGTVVQEKDGVQEFLEKEEKRRKQIEASPIPYVYPSVLAECRISVAGRRFLRSKVS*
>EED85709
MANKFVDDNTYTNKTWSEVSGINLIELNKMEKEFLLGIDFGLYVDESTYESWLNLLQGLVMAKERELQNWRRSWRPTRSLHRSHPRHHSYALRSQLTAHRARSSSPRRMPSGAALAAPSQQVYYDSPQQLQQHVQYAVPSLQPGSKRTASDAFYPDAAAYARGQAQVPRRSIGLTLQIPELEYSSGQSSESSASPMESLQSFSKLSLGASPVDAGTGAPWVATVPGQHEVPQTLASAYHVDDRRQYAVPQHLYFYTLTCSPADADGSNARKGRLRFTYTRMLVLPPAVYCGFTESDTLRGLGLFMEVVAIGIARRLAKLGVHLERVDAAVVTIGHLSIASWTWMYHSPLGSLIMVAPLRGPHSPVAAYFGGRRRFCKQRSRAGRAYRFRFRDAMSHMQIRFFSAA*
>EED85710
MGNDGGSIPDRRDLVRTKAKAEQADKANQTRARWFFCALSKRPLQEPIISCALGKLYNKDSILEYLLDKSAYGDGEEICGHIRSLKDVKTLKLTHNTSKIVASDSTDLAAFVCPLNFKEMNGSQPFMYIATCGCVFSLAGYNAVSGNTSPSAKEGKLEAEGKEHDNGQNTKQLDVCPQCATKYDRSADVMTLNPGPEEEATMYEAMMRKRAAEPAKTKGKKRKAHADAVDGETPPTKKRVSPTPAMNPALAAASKAVATSLAREEIKRKANMSDAVRSLYASKDGPKKKETFMTMGTFTRVRLILCFSRDHH*
>EED85711
MSTSDLPIGPPSGTFLIKNVAFPTQFMDVPGDTSTPGNKVCGLHNDGTLAQYWTVTKEGDVYTLTCAGTSTNLDAPDASIGQAVVTDNNLQTFKIYGANGKYQILLTDSDLALVLATSIASNEQQLWYFEPQ*
>EED85712
MSADKARATQKATPTMTILPGSYRIENIGFQNYMDLAGGSSAQGTVVQGYTDAQNLNQLWTVEPVACISDNAWKIINAASGTYVVAPVHAVNQGIVGGMPPDTFTFIDNGGWYQIKLADEALVLYLANGDDATQVTLQVADGSSSNQFWYMHPVKSVVQKREADLLLNQRQNTTISRLEPLWDEAYFVNEDIGCPVTPRRINFGSLGRMYLVISFSTGPTYSSTATILVSTVIARVSAYTNLRPWLEQVTCDCSPRRMSIDWRIGLELTLMIDMRNERGATGYRFKLRYLTNRHCYYYKTAIMPSVWTWYTLSADMLYLSWIRRFDIGGNLQNVSIGNYVDLAGGSSAWGTRVEGWTDANSLNQLVRHNEHKRWQFEPVGDNTWKILNTDSGTYMLAPVHAINHGIVGGTPADVFTLIDSDGSYQIKLVDESLVLYLGSSVDYTQAADGGDENQFLYLHPVQD*
>EED85713
MPVQAGTYLIQNVATGNVMDLANGASAENTLVIGWEQLPPPWLDYQLVRSHDLSGTYLRAPNHADGFKQSTFDVIQNQEVVGGTPPDAFKFTDFDGSYQIGVTDEALALYLANGENDTPVILQVANNADNGQFWYMHEYTA*
>EED85714
MVIEQTGRGERSYDIFSRLLRERVIMLYGPIRDTDSALTVAQLLFLEAEETSKPIHLYINSPGGSVTAGLAIYDTYVSSPIHTYCVGQACSMGSLLLAAGEKGKRHALPHSTIMIHQPSGGASGQASDIVIHAKEILRVRQLLTGIYQRHCGKDGESVEAGVQRFETALERDYFMTAQEAREFGIVDSVLEKRPKLDTAA*
>EED85715
MPLEEVELFGPNDPSVPKELKSRLGKWRDTTPSSQFQQYGPINKYLGLKFNRDNHMIKPQALIRTPIDEVDDALLLNWGVEVDDPLPEGVDERERVTGFVYKSNTAGQQVYPDFVVCEYTDGEHDPVPSQVHERENVLKDKVRLVMEIATLPKGLTEMGLDRLRDKTLEQLQEYMRRVDDGARWVPGKAMGVALVGTEVAFLVPRKVKGEYEWKLDGNRWYSLFDDKFRTMITRAARRRSSAAQFQLCVAGDRRIEDGTKSSKAAESEIAKPPERLDPSYSERAGVRSARVGDARADGVVSGSSTETWAFQIREPQKRRQGQVRYAAQARRQFAARRPRAYVCADDTRAETNFNNVALRVT*
>EED85716
MSYLGILAFLILRGWTRRGLAARRGSASGEIRGRPRWAKRASADHGALGGDPGEGGRGWERSDVFGQLLCDPGGRARAWTRCGKTSPATESARRRDARHVRGAAAALCCDDTEGTALCSQREREDRVMLGDSGAGRARLLGARGLGDHLQTMDPFSGVSHFWDISQQPNTFSALPDDDFLAFLEKQFPTAVGGATPAPFDGLPDVVDPQSLTRLPLPNPSPPSSDSSPSPSSMNNEHSPSRRQSGVFNDSPTAEPEEAGLKRKASEESIAGEPSHKAQHTNGTSNNSNGHNKKGSISAANSRRKSSGNPTQDESRLLKRKEQNRAAQRAFRERKEKHVKDLEDKVAALEAKNQMTESENENLRDLLSRLQSENMMLKQQATFTFSMPRENAPNMANGTPASGSFNGGAPHFNFASPGAGPSRSPVSSPQSQSSLNFNSLISFDPNMMNVSDEPTATEGAMNLDFGFGQPHCQYKTIAANPMFMSFAEPSPYDSPSSGSNTSSNSNYLGNFDMTPFESWSPPSEAGLDQLFGGNFIGTQNGVDFNALLKSPPSSISPVSHASLRTPSSSSPSSSSSPGSGTTCGTPGDECPKTKEDLAKHIANQGNSAFACEVPIAPYLRKAVADETGGAMIMCKGSSFPPTEKSDKNVEVLTAWRSITSNPQFKVRPPLDVQSCGDAHASAFKQDLDINELCAEFTSKARCDGTKVVLEPQGVHHIIETLAAKRQQQAKQ*
>EED85717
MAQSAAEGKISFHALLLANEQRSAYGLRYNDYERYRKHCANRTHRLRSSLKTTHGKGREFKKLPPLKTDAIKEGHLQLLLFEAERAWVYSQELSAQAVQTEAHPKSAAAKGHDTPSTLRRHATSRLRRAVNWSTQLLSHCQALYTIGRLSPEDVVQVTVYTLIVNGRFLRHRYDYEDALAQLSVARSLLDALAAKAATSRDQALATAFADEIGPEISNRPRKEHVDARLFPAIVKLLDTVVQSLDQMRTLTIVDESPDLASAVDARLSFTKARRCRYLARSYAPQKRYAEALTLTQHANLHLREARSILSVIAETDPITAGARVFYTLTAADVDGLEGEVAADSLQYKKDWFAFNGGSLGTDSKTYKKPLFFDIALNYVELDMERLQERAGKKPVAAKVAPQAHRRRHRREPG*
>EED85718
MTIKPGNYRIQNVGTGTYVDLAGGSSAQDTKVQGYTNAENLNQLVRHNEHRYWQFEKVGDNKWKILSAASGTYILAPVHAINQAVVGGTPPDVFTFIDSDGSYQIKLVDESLVLYLGSSEDTTPVVLQAADGGDNSQFWYLHPVQA*
>EED85719
MSSRSATPASTPSLVNRRLASLLVVLEAPPTADATLDVVEEWAQDLSPLILAYRKALGAIRNEETEMRVAAAIKQLAERASESWVEWARGDWPELATAIDVEVERRAKEQKRLAEEEARRVEEAAKRAKAAEDRRLEDEWRRKDEEDRLRQAAEDEHRAQEAADEELARIAAAEGLLDKGKGRARVDEEVTELSDDPSVKTPRTLERPFVMTEVDMAAVALGKHQSGQKLFDANSSIV*
>EED85720
MPHPHSRRALAHICVYLPTTAAQQARLEPLLAPSEPFAIRTLAGRCCPVRAEIVFVPTSDDTGEDAWNDHGSDPGDDGGADEVFHDADDGGARAEEDGDVETLAGDAAFARPPRLDAAWEYIFKRREHVWVRMLSGAWAPGVVADIPAHIGPARNDFVECVYYPVHYTYCPGRGRAHKTERRFFAPLNGDMKPDTPHCCDVKRQSAAVRRKIPPTRFTQGVGSRQGVFCVDRQGQSERGRSQPAAFGEQSAHLGVVGLDVAVEGGEVSPRVVAERDGEEQTLLLRARGQIPTRIPHHASCITPRVGGRDVSGDIALAMRTLAVEWGWDRAADRVRQSGKEEERGDRPGASRLCGCSSRRPCPVSTRRPRFLPTPRRHSVAEGSRSSGTYAAEEGVSPSRSEASPELELEVASLEARLAGGGASFGWRRVTRDCLEVDESGGGGIVGRCMGKLWQGAVAGRQYGRTNGRG*
>EED85721
MNAYSQTTAGRSPACILDALFDNSLATPHEAHYPNLDGVPQHRAVATPLDFASPLSEPLPSSNTGSHPWSPPRGSSSTGRLPQTSTRQQSPVPAYPPSAFSLSPVMDSFAHMSLSSPKPAPSRVGSSRTSPGYSSAQDSDEDNGDGSDGEYTPRTPTRPRRYSPYDTGASPPTSPRRASRGNTSPVQSASGSTSSGRSRPRNQQTDVSSEQRKRGIDRRVCPVCDFKPASGRKPDLMRHIETHQSEDSHEKWVCCGVPVAKARSVTLAPGSKPYLHKGHKMIGGCLQGFSRKDALQRHLKNQSLPCAGTIEFAERMSEL*
>EED85722
MPGNVPRALLPPPRRCFLQLPSLPCLQARSNVLLLGHLSDSPKPVYRTGSSKTPRVKRTGPESYRKLKQARGVFGHKLNVVWKDTSFVSSPMATGDEKIRGPVMIWIGVRPDSLNGEDAFGISNDILDLLAKFDIDDVEVEYRESVYKRSAGPALLRSVSDFNATVDVRGPLTPALGLPIAASDRQDAQGTMTLYFAESVKKDWGQRNIGCIHFSPAIAFNVGPGGFTKDWGAFELDCDKFKDAFRGNCTEIPPHQFTSKMYPRDDGKPTFKYLDDRPLQLGDIITEERMRDPDTLNHNNEACLLVIKNGSATGVIIGRATGVSLFVRDDETGQESMAWAIYNYDNNSGAFSAPGDSGSIIVDGLGRIGGLLTGGTGKTETSDVTTPPSWRRCPPDSLHASYTVSQMKTVQDANGSTDGAYDFEDAARVKHIRIGVWDLYEERKDSLRSRIPGAATLVQYKEIVQCLPYIRLVLNDMLKIRNGLTLLWLYALVQLLLALIPAASLWYSVVQTAVEIRTVDKSFLLRVFLGHTVCTVVERLLSFAQEELQHPMKTRLQQYYQVHIAHARARLDVPTFGDAVVERQLDDVDPGYFPNAAWSTFDMMASALTITVQTTGQVTVLIRVLKAQPGGLLIAAIAIAHSGFRLANNRSGYLFRTGRKESLVETRTIVDCPLTVYSCLGCKH*
>EED85723
MAYHVTLNLPHDVRCLWGRRSIATLVSVMNWLVIAGDIIAFGPLPINTTSRCEDGGYASEILYIVTVAISPCKSYTYPEFLVVAALRVHAVSGGNWRLVLPVWLLGMVPVGTNIVGTWRITKFVPLNQDYQSGAQPNITYSDATSNAYVDLIDSGGFYALIELCRMVIISRTSIVVSDILVVGATWYYISHTSSVKTQLVREVWDARPNLTTVMFRDGTLYFLMSSILISRFLICIREAAERSTQAFSSQSLSFIDSQGDSNPQPWLSSAEFATDIANPSAGDNGRADAFSDLEDDLDPRDDAGEGRDGGIELEEYAASVCSVDAHTP*
>EED85724
MSSPLSEQLVEDFLESNFQSNCLAYAAAALLAYYVVLNLPYDVQYLWRRRSIATLLSAMNWLGIAGNLITYMPLATNTSPRCTAFGYANQMLYIVTVAISPLVAALRVHAVSGRNWYLVLPVWLLGIVPVGATIVGTSNMTRRLRLTRSIIVERDTRDLPYIPSTGMLVIISRTSVIVSDILVVGATWYYISHTSSVKTQLVRDVWDAKPNLTTVMFRDGTLYFLYSVISLLNIVELLVNIIGFNSPYRNNRSRI*
>EED85725
MALALREERDTGHQRAGKVDFFDYEALAQSVPHHRGSGGGVCGISEEREVRLQPEFAYRIRYGPVACRVALRATVAPPRSAVYVFHVDPRAHPRHTSVGVDAESLWSTTPAAAASKPAKACTTHVSYDTPSEKGARNVTALTSLGDKFAEKAEKERREVVRKAVGSAVKQVRALGEGVHGQTVFVDASADPRAADALYYGSTTFKLHTTVDVATLTGYTRDLLMLLADVLIGVSFQSDERRTGRSVHQRIHIRLWQELDRAGAREHDRFWRMPLDEYGPQVHSSNADLSNVRLWAAARLRLFVDGVEPGKDGATAGVRWAHLDIAWTMEQDKGLTGRPTCEKKWRVDSKTGELQDVCASTERTETAYAYSSSSISPSLPSPASSSRSEKVYTRLLSPAERLAMSAANSMLESRGSSRMQMRKRDIKMLDIL*
>EED85726
MTEDPQGTLWDTRFIPVYIAAISLVVLLLENLYQLQIAKRLCSNLIALGAPSLSSGSVVFDSDSAYSRELRAPPSLSRRFICLNAEYEDRTESVRQPASLEIALCGTYAYVSLLSLATLVARPKTSGRVTRYLVLILLSAWAVYAYRDIWPYATFDLTPLDASEGIYLWTKITFLTLAAVLVPLFSPRRIPSSSLLMHTGTPLTKQTASLASLVFFTWIDPIVFKAYRVPHLSVDELPPLADYDDSESLVNSYMKELDPLLARKKGQHVGWGLVKVFRNDFIVMGIMLTLHVIARFANPMGVRNLLAYLEGSSDTTVRPWVWVAWLFVGPIFTVMSISMYIFYGTRMLVRLEALVTQLVFSHALRMRVKSDVAEMPAPKASAASTVAPGGEGTSSTPGVQNSSIDSAKGKQRASSDALKQAQLGTGSQKSKNLVGKINNLITTDLQSLATGRDILRLFVMVPVQTLLCIWFLYSVLGWSAFVGMAVIVLLLPVPGSVAKMIRGVQVEKMKKLFGWERRITDQLTEKREVELKSVKRARFLQMISSSLTQVIPVLTMMATFLTYTIVMKQELTASKVFSSMTAKVSLDRLNEFLQTTELLDEFEEQQEAHASASMLKNDVPSDVIGFRDATFTWTAEDSLSSSTGPPGRNFTLRIKDELVFKQGRINLIIGPTGSGKTSLLMALLVIKQCGLERDLELFDAGDLTEVGEKGITLSGGQKARVTLARAVYSKAEILLLDDSGKLVVAEEIAIGHVGLKSMKLYFSNLGGKHYVMFWLLAPSILVFSEIIRNLQVWWLGYWARQYEERAAEEVSAPFYLSIYGLLLSTTVLLITAFNIFWIFATLRASRAIHKSLIASVFTATLRWLDKTPTSRVIARCTQDVDAGGYIGHIFMKAQLSVKREMSNARAPVLGHIGSAFSGLVSIRAFGAQQAYKKASWVCIRLEALSALFTASLAAYLVYASHDNASNIGFALNMAVGFSSLMLSWVRMLNETEVNGRVYEAWNAFTNTWKLNTSQHLPKTDNHQLTGQPVAISRSSNCLLDGPRILHGISFEVKSGERVGIVGRTGSGKSSLTLALLRAIITEGKVHYDGLATDSINLDALRSAITIIPQSSIKPELLGGTLRQNLDPFGQYDDAVMNNALRSAGLFSLQNDMTEGQITLDSPIASGGGNLSVGQKQILALARAILRQSKLLILDEDYETDAVIQASLRTELDKSVTILTVAHRLQTIMDSDRIMVLDAGRIVEFGKPSDLLSIEGGLLRALVDESGDKDKLYAMAVGDGPH*
>EED85727
MTMAVRLSKMDNGALNDAQSDAEPQLLNTPDSQISMDLSAPHEATQRQIEAQVTQCLAGSTIQPTPRSLQQGVPKGMSQLDQNQQSQKHNSPQQQDLWGGGIIRQLEQDLAHHNKQEGMLPEKTHRLQAKEYTEQINQFSSEYHDMCNLIDAVLADSMVSDTGDTNDEPASDYPTQNNPRQGPSSSQPSQPPMMPRQYLTILAGMNNMKPQFIPPEYESEYAAVFQELCGYASRVYPALELIICAYHDHIVKKIMAATMTALRQQELSEQKGSPHYLLQFESIRNITDTMRSVVQANPYSGMAQQVFPGSTSAVAST*
>EED85728
METSVELPQNTPQTSLMPHQQALAQMTDGSAQQTAEQSQRQTLPTLVPQAFAHAFENRTRRNNSAVDRLQLQFEGRPIDLHALRSEGNIAGGPEAVDRDDLWPVIGAKLDFIQFLATENEPEKSVPGVAVHLQNVYKKYLLAFNTAYLASWRKQQLNVRHADPTRPPQPMMDRNDLPAPSTASAQNGPILIGINDPHTLNTLMQYSQYPADALLRRGVAPNIVSVVETNLTTATPFTSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVCAEGSNPQIIAHQQLVSYYQRHPPAHPEDVFATLRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEIPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSRKAEDLRRFIQCVLSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEVFPEHGTRIKAHQILMRLPERQKNKKTALSLGNYVTRFEQLALKAQLKDAEVNCVNRTENDYHTLHANFVKGLPKELYVSLATRAARDRPSTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRNRYIPIRTNMSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGDSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEANPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKTPPDPIPFPPSSAAEHYHPGQLATQPWLDPEGKLQAMRIVLTLGCGKEAPGHLEQECGTRPMKRHVSAPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED85729
MPPMAFTGLVTKVGFMSKTATVTVSRWVTHPRTGKRLERSKKYLTHDEENQLRQGDTVLIRNCPPISARKRFTLERVLKSPETERDEMHARMAQAASSIAAQSSATMPAEQLATAN*
>EED85730
MGDLGTAFRWEAPFPDMRACLKEWTKKVLGEAVSLLNAPRRTAKYDVLSAWNALLHASSPRDLVSRSSIHSGRLYEAREDVRIEIERCTHTAPTFSGDGQVALIRISSGAQVHPLIATRWASTLKSARLKIVMCANSGYLEGQGMMNFACRVARCALQRSGQQGGVHDINIIAMLKDYAERVPGLREAMGDDFARGHKQASGGIVSISLFEQLWEIM
>EED85731
MPRRNRLHWHQSVASRPLPAVTRAFPSPSSA*
>EED85732
MVTCGHLVEIARLQLPRLSQSVHREECTQCFDNQDHPLGIDVCLTCFNGGCLGKERHHARTHVHKTGHGFSLNIKRKLKPSANRVDEEEPPAKMTKLAIVEDRDEDKYEFKTVLKCWKCEPENGLEIPDAMADPHENLWLCLTCGSLGCGRQQYGGLGGNGHGLQHYQETRHPISVKLGTITPEGNADIYCYICNDAKQDPDLAAHLTTFGINVQTQTKTEKSMTELQIEHNLKFDFSLTDESGKALEPVFGPGLTGLSNLGNSCYMASVLQTVFSLPVFRQRYYPSASTHWETCTETLPASCVDCQMHKLADGLLSGRYSHPRPTSSGASHPKETNPLAHDSPTPIFQEGVRPSGFKALIGKGHEEFSTMRQQDSEEFFTHLLTVLRRYAKKTGGMLNHDPTEVFAFGMEQRLECGECRRVRYRVDGTDVVSVPVPARETGKNAEGRTQYAEVALGECLDILTGTEALEYTCPGCQKRVIATKRSRFATFPQVLMVHAKKFQLVNWVPTKLDIPLNVSDTLQLDQSAPGLPQFNEAAMAQLEAMGFPTIRCQKALLATGNNDAEAAMEWLFAHMEDPDIDAPIQAAGSGSSAPEPSQEQVSMLSEMGFTHAQARKALRETGGDAERAVEWLFSHPDDNGEDAAPSGSSETPESSGTGGSAELPARYRLKAFISHKGPSVHSGHYVAHIRVEDAAVGGESWVLFNDEKVVKADEESVRELKKLAYLYVYERE*
>EED85733
MTKLDQRGDIAVAEIVVYIPILILSVILVIRNGFTRQAGWIYLVILSLIRIVGSCAAIASEYNTSSENLEITALSLESAGVSPLLLATLGFLRTICQDTLDRDPLIAKGLKLLPLAGTIALILAIVGGINSGTGSTQSSINQGLTLRHVGDIMFAVIFVLVVLLNGLCWANRGSIAYNRRVLLAGITGALPFVFVRVLYAVLSGFAPSLRGVNADGQVVYVPSTSPLNTFNSLTGSWVAYLVMGVVPEFATMLVYITVGTRVHLERDSADAAYMLGRPSIQSDRESQEALARFQPRFEQPPNAYYAK*
>EED85734
MVTATMLYTVALWGFLPILTSALVNDWSIACTSGYCFYDIDGPVTGTLYIAGDSNAISDITTAAGWTILDCDPSSTNQTIRAVCSTPSAGCDHLFQGGAVGTIVRLPENDHEDQTISSTVRRMFPRDDVPTVLALTVDTDFSAVNPQEKGSVAMSIHASYAGGTATGVRVGGIEKRVEIGTSAPALTIDAHGNLFNETLTCISTTVKDTSITCGISLGVDVQATLTVLYGIELNGTLIPPNTTDFSMYAGLDGDLDGTILVNSSAEAAFSTGLITLYQDTLPIFTIPDILTFGPSIQIDAEGTADLDVQFNAAVESRYTIDNATFVFNGPPNSTSGGSFGSSAPTVNITAVDASASASVTVKLVPTLLFGLTALADNIVGTINFELETFTTLDLTLGTESISGCGNSANKTSKGCVSVHSGVDVSADVDGQFFDLFQEQHDYPLYSKNFTVFQPLLAIHHLIELRMASGDVELCSVTAGHRNRLCIYPGWRMTMTGGFPYKRTVSAVLDENGPSRNLIDAPATK*
>EED85735
MARCNVVNSRLQTATVAVTVSLWDHVIRFGQEFELLWRQRKTVHTMLLMFDMYGVEGSMIYFAFARTHFPSLQWSEKTFVRYDYSIWEYTGRSASEALNCYASMFLMIYKALFDLHVIALLVVSTLGRPRRNDAEIVNNLKRDGFLAFLAVLGLRLIPFIQNMLENASQTFTSLLRTIWNAGLWVILGFLDEQTAQSQFIIKLPVSGESMNETLQKCVSRFDTERDHPAKPVSESGLSRALVAVCRVINRAVAKLPGGGEMIVSFQLRLDCRPLLEVSERLPYHLSDLTMATDIETLAGGYFIEVLLAIMLYGVAITQAYAYWWDYPNDSKRLRWTIVIPIFAAMYEYLIIDFNDIEKIQTIVCGVLNSAHLDTEFIRLCKSRMPTALSGFKLGSWKLYRDTKMTYHRPYDQVDPDVCFAGDCYYLHTITFLATLNARQRWRSRRRYGVHEIVSMELSPRVTSAQAQGNQASQIEILTMVSKVTDNMLYHEHGVPKPPVSGIVIEPSKNQILKDDD*
>EED85736
MSGPPSEQTIEELQYEQVDLLHLQNSVGLTSVPWCSFRLNCINYACVALLIYYVALNLPPDIRRLWGRRSIATLLSAINWFAIIGTIITNTPLPANTIQRASLAPSISLVVPDILVVVATWYYISRTSSMRTQLVHDMWTARPNLITVMFRHGTSYFLYVEKNTHSTISLPNVADLVAISSSDIAVDISYLTAVMSSILVSHFLICIREAAERSTQALSSRSLSFIDSQGNSVSRSWLSSIEFAADIANPSARGSGVNAFSDLEDDLDSRGEDDSGDASNDGVELEEYLASVRSVDARTS*
>EED85737
MCTSVWAKLLTILLAAMNWLAITGAIITVMPSLDDPVWNIPFTRSLSWFCASTVMGIVSSAISPLAALRVHALSGGTWYWVLPVWLLGMAPLGYNVAWLIIVKLESAGMNPVTFNTGVYAEFADFFDFNTLIDTYRMFVASTVSMVVSDILVVVATWYCISRTSSVRTQLVHDMWTAKPSLTTVMFRVDLAAYNSMLSPISKTTWIQEAKMMQETRAMME*
>EED85738
MCVLQSCLCGGIPRQFCHILMSVVMSRGSVVVSDILVVVTTWYYISRTSSVRTQLGRDMWAARPNLTTVMFRDGTMYFLIISLLNIVDLIMIVITVSSSFYVLDITGLTTAMSSILISRFLICISEAAKRSTHMFGSQSLSFDDSHGSVSTQTWLSSVEFAAENGHADAFPDLDDNSDNLDSECGEEEPENENGIRMDELTAGGQQSPPT*
>EED85739
MACHSSNWMYGQVVIINRASVIVSDILVVAATWYYISHTCPVRGQLICDVWAARPNLTTVMFRDGTLYFMMSSILISRFLICICEAAERSTLASSSQSLSFVESKGNSVPHRWLSSIEFAADIANSSAEGNHADVFLDLDDIYDSLDSGGEEESQVPEKDENGVEMDEYARGGQQRLTP*
>EED85740
MPRSSKKLEAGAEDTEIRSATTPERHIRLAVFSGLTWRGWRNVIAPGWEYMNRGEIFREASGSRKENTAQVCSPASIGGVAGLSAGESLHSEQSNPLVMSAHTSPASHIARVSLYKPHRYSSRRVNCVSVRLSELKKKPDWTTGWTNSDAPALTFDIETSVGEKVRKITHKKMPIYNVLSRPRKCKMEKRAVQGPRSPTDHNERLKPCALKKTWWQGTATRPASYKTYASDKEDGVLIKDQKQQILWHFAICVSRSTSHARTLAAKTLVSYTQVSTKVKREHRSGGAHTMHPTTETADQTTLYTWNGWFLWTNDERTLGAIGHGRPLDHHNIELLMDVMSDYRVCFHHSSFIQQKMSETLSAQTIEDLLEAFFQQACIDYATTALLTYYVALNLPHDLRRLWGRRSIATLLSAINWLAIIGTIFTSMPFLGGTAQVWDIHSLIVVAALRVHAMSRGNWHWVLPVWLLGMVPVGTNIWILTQETWLFIPQLLCMDMVDVYRNVLVDAPTRDVVTIVTRGSVVVSDTLVVAATWYYISRTSSVRTQLVRDMWAVRPTLTTVMFRDGTLYFL*
>EED85741
MVDIPGLRRSDRLKHSKKVDYNKSTVARYVHEPEHEPKPEPNHGKRKRDVTDDGDECALSREDTPVDATPKRRVKRKVCIKEDARQRAVKKSQNEGACIISGFKDKSIQHCHVLPRATKPHVLTPLEWWWGIEGGLNVDSSHNAAFLRADLHVLWDRGDIVVMPMPGVTKEYLRKWEDGGRHKVLEAIDENKIHEYWVTPHPDLVAGARPPPDNSPIRQEFSYRFDKVGIIRSHAKPHFMVLNAAMKFKEDKEIWVKALTAFCKRLNLEVNASGVVEDVLTLSGLWTTPPPRKAQLKMKQEAPKSSSGNDSNTRTNDPPTPQIGLNQDTSSKLNAHKPEGEPCVSNLKSDAAQLALTGSRCLLSLQDDKSIQCWHVVARSTKSDTCRLLAAWWGLVDFDINSHFNILLLRADIHCLWDKGHLMFVPEPQIIDDYLEQDIVPIDGGLTGILLTMLFSPSTNYLKSPMRPSIDTVSLLIATFS*
>EED85742
MSNNTTVHVSGMYGRCEEMINTLDPINARSGTPVLAYGRGTFGDSTVLKRSTHQDYQDCGNESSQFMWNILLVAATWYYLSRTSSVAEQLVRGVWAARPGLTTVLFCDGILYFVMMSISISHFLTCIREAAEYPIRGFSSQSLSFIDSQGNSGPRPWLSSLEFADIASASGGDNDAYALFDLEDDMDPRAEDNAIEESNDGIELEEFATAIHVVDKCMS*
>EED85743
MSGHNWRWVFPIWLFGMVPVGTNIVFATNVGVSKVMIITRGSVVVSDILVIVATWYYISRTSSVRTQLVRDIWTARPNLTTVMFRDVLKYSEYNKLDYSFEVSGDTSTPASYRMTSILISRFLICIREAAERSTQAFSSQSLSFVDSQGNSSPQPWLSSAEFVSDIINRSAEDSLVDAFPNLDDNNGDLNSGGGEEAQVPKEDEDGIEMGDYATGGQRSPSA*
>EED85744
MLFEIDDRSTMQTFTLNTSSRIEALEEVFDGVEILQWKKPTTPAVPKSAEASGSAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAKEIFQRSMKSPFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVSEHAIGERRGGPIAGTHSKCSLPHR*
>EED85745
MPKAKPFIVTAKHEPTGLLERIAIHNTHKFDDVGKPRRIVRPTIKPLIHRPFNPEHAEKAKHNIEELAFRAHLFKKQQLLDRISDPAPPLIDRIDMQAGPSYEYEPPKPLPDIHFQHTKILLRTSEYNEMFAATADRLELVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFKDLSSHLPDICNELASLNITFKYEV*
>EED85746
MAPYIPFVPTEAFAIATEEEWRNAIFQNVNVSDEQAALLQSVTANAAESTTGRVRDWIGRLTLEISRHYDGYLQSLLREVESLHITVQNQQALVDSYKRQVDALPASTGSGHSRQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCKHEGVAMDKQRIVTALSKLQGPAHQYMKSYYVKMREGKDLGTWKAFVAELAQIYGQRDDKEGAKEEITALFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMWLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGSVFKNSGSDNGGAVPMDIDSAEKSKLKGKGKGKARDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNADKRPKTQGDCHELVRRLAVF*
>EED85747
MSFWQLEMYGTLLVGHKPYSIMDKETEVYVIPFANDFQMLRHKLGRSDVGAAVISREHLVQPIFGPGPWSQLRLKVSRFQWSSADTLDVNLSATFCLPLTRQNSSGPFKCRPFSSPGQLPTYVPGPYQKAAPHPVPAPMLAFEIVLKDLEKDKDKDKIYTVFAYTAPLLAPRRQDHTVPWNEWGRRSTFWFPGRFEKASMHGYHVLYKQGTKTVLLDFTPSEVACRPSQYGHVQTPSVEITTTAAFVRIESDGLDYTEYILGENIIALKNTQDLRNEDDAFTVLFPAVKKNVQSSISYTSSAERHLIAVDSKLRQQLKLAESILSLSKGDRHLSSQIKCFGKTVRSSGYGIYFSVYISRLTSNSRNLTAKTIVGYTYVSKAVRFVTAVQIATEKRPTKFTPSAPFELLHDSVRAAITEQQHYRCASFGYVNAAVFIVNTAIFPLVAALRVHAVSGGNWRCVMLVWLLGMVPMGTNIWLLTQETWVVQPQLGCIDTVLTSDTIYNVYAYVTNRLITYTLVNVYRDVLVDIPASDVAVIITRVSAVVSDILVVAATWYYIRHTSSVREQLVHDVWSARPNLTTVMFRDGTLCFLLVGKYSFLGIVLIAIIAPSRYLTSLTLLSISLASTMSSILVSHFLICVREAAERSIQAFSTQSLSFINSQGNSSPSSWLSSKEFASDIVNPSTEGSHANMFADLEDELDPSSEDEVREGSNDGIELEEFTASVHSVDARTS*
>EED85748
MSSPAAVPDKETLKLLLPLRYDGKSVIECNRFISQLLIYWTINTALSSLELKIQVALSLLDGDARAWATPIFSQLASVQIGIQGATTPFADEKAFLQAFKARFGNLDDAAAAQVELTKLCSDKTMREKRTAAEVRRTVPGMGTWNYATST*
>EED85749
MASFALQFHMFSGYCSLDVNLMLFLLSSFYTAEYLDASNGLEQCLQIQMPYTLGRLCSETFKRKEDVCAIQVSALIRRNSSSLLGISILQVCLCGDLPVLHCDTIAANMNSLASTDFLMAHSYFTTQSSMHVPPFRETHMNSATKGTQLAIAEGRKLFAFPVDLTQWVPDGLKRHIEARFERVKLLAAALGFGMYMVILRLQLLTTSARFAPSLPYGFVCSIHHWRVTEQTSSIMPSSRVYVLLVKMGRKCMDGDSKLGTAVLSIAVYRAVNNRACLEAGKGKETVRAIKRVSFLWYEFLHEWSPQVHLHTAESIPTWDTSRYHPSLERPGSGNSFTF*
>EED85750
MALTLSCRAPFPSPRLVVASSQAATPANSTAKWDNVLMETQGRTCLEAAKDNETVRAIQDIWRCHLTGFTATDAASTLSISETVGSNTGAAFLAKTETSAFLMFAPVYLQNAHTFFSERPPARCARQAAVSAIYLTVYVLYLDAVVQLYHSTRGCTVDGHTGYQTRRAPDADVVERDRKGPWYRKTSSGFPPSGRWSYRTMLLLTNSSEHPVPCATIAACGTGLIAMRYIEPASLQWECHETCKGAP*
>EED85751
MSTNYKLTAARARKLRDSSIALDSLLSGNCFSLQSSVVFFSPIRLMPPSENCLPQAQQSGFYCQRWPPAPLPMRSTRLVAHHGAGMTQSMTLLEMIWLFTEVLREVQIGWREGKTEEGLVEGGPRIISTPRKLTPFWHPNTGQVAFVINVLDDKTDAIATFSLNTSKSPGQPGGRNKTNDLFKARFNQKV*
>EED85752
MPSTHIGPWETLSKALLEMLRLVAKVFGEVQIVAVAMMNVIDTATAVFVLNTSRAQGQSGERNMKQILPDGHCSCVVSYTWTGQLDADIPSFTPHLDKILVLFELSPQYSLILFPAVAHVDIYESNMDAFPSWKWHGVGVGEHKFRCGTGRSG*
>EED85753
MPSTRPGAHIGAGKTPSIALLEMFWLFAQVSGEVQIGWQEGETEGRVEWREIAFGINVLDDKADATAILCLNTSRAPGQSVSTTDQRDRITTLEKMINLFKDWTAQHRHSFPNTMLGQAKILVLFQLSPQCALILFPSVAHVNNDEVHMDAFLLREWRGVGVCKHTCAAAQRGADDVLELNTGRGYSAQTT*
>EED85754
MRCNANTIIFDRDVVLVPYREEHVAKYHEWMTSSELRELTASEPLTLDEEYEMQRKWQVDEDKLTFIIHSQEPSPEMKWDWDALRAMPMVGDVNLFLKESPEDEYFEAEAEIMIAEPTYRRHGLARAALELLLSYAAAPDAPAPLPVPCTRFVARIGAENAPSIALFEKLGFVVTKRVEVFGEVEMRWKEGRGEGEWRKGERVELRDVEGSVQD*
>EED85755
MTKLSKSFTCSLPGCCVWNLTARR*
>EED85756
MLAMGARPQVFSRLATYKVFEEDQTLLDVLCAFEHHDKFKAYKVRVEWILKEMTLHQPDEMRQRRRVVDTSMGDSIDPADAKKRAAKARQEAIMRQMKAQQASFVTNLEDLDADEDEDIGDTPEAPVSYGTCIVCQEELNNSKPFGSLGFLQPSRLLRRQPDSQGAYITEALSSPESLDRNSEQSSDTAFPPLEAMAKDQKARSFHNFDGFSAQYTRFGIHSSICSHMMHLECFTVYSGSIRQRHRAQATRNHPDNIHRKEFICPLCKSLGNVVLPVATTSMPTELNTLPFTDWTRAAGISILKSKADPVVDAMQLRNGSGEFVFWAAEDKYVPSYFRIPDRPADHMELHKMVDTVMVIGKNISQQSRHLRERPEPEPGERGAARNGLSWLHCR*
>EED85757
MARHARKKQKTEKPSDVKGTVRPLGSGSRLLDDATKDDEERRLESMLFGTAYIPGEVQADGVLVVSDEEDAPNKEYQNLLDTDLFFVDDAAGERSDADRDAHLDVRNDQSDDDASSRHSDAEGQSKDSSDAEDSPSESEDEPPTWSRRAGKGPAWEDPDDPTLQVSLAQDKRRRKLRDAASEDVVGGREYERRLRRQFEKINPTPDWAANARKGSGAKQAKRRRPASSSASSSDEEALPDLLTDTQGTLQRGKSKILAKGTLSIERLRDANLSAKAEGEIKVVQFHPSPQVPVLLTASSDRRLRLFNIDGHTNPHLQTVHVPSLPFTTALFHPGGGSVLLTGPRPFYYVYDLQSGAALRSPRGLWGTTFANSNAAAQDASMEICAFDPSGGVLAVAGRRGNVHLVDWRGGQGQVVGSVKMNAGVKSVWWGGASGTELMSLGEDSEVYVWNVGERRCVKRWKDDGGFGSHLMSGDKAGRYFATG*
>EED85758
MTIENGCCAAKTHQWYTSLLCTAASGSEQVRSDVLQLIYHDRTPHINKVMIYKVLAAARRGSPGEILVLSNLWAETPGLISPEDAVDVFLNHLNGDRVPQSMNNRFSDPANLAFTALLGLSKIGPFLGQRPALLARVAQAWMGIFKWSVYLFSGRIESADKSDPRRRASIDVISACWCAVGQYDSIREAMVSTPATIEIATRCWLEEDDGPIPSHVDAPVGTCVLGNLLKHATSAQLDRVLKMTGGKADEIAKLAISRLRGALTTRPVNATRLVIYLDFINSLSRVGTHPLRYALLGANVICPFFDKLDPDDLEMVLGVLGDILPRYCVYRSVIQTMDEPMRKIDGGAQKERVMRSIAKDVWFKFFKLECQAIGWIGGHKNACERIRQERMEGKPNRLSKSDNMFLHQIATCYARNNLPVLHEMAETDYSSTPRSHLVIRIDFTTQPVRFTLCPLAEYEVEPTPESIVVETQNETILAGVKENMGRYTLIESTVATGQTSQRILTATTGSFWEWDTDPYAERKDDFWEHLDFRAPVDEVAFDDLQDISQDIQFLRLDTGPLEERIHLPEAC*
>EED85759
MAICESLSEWEESPTCTMAKRKTQVDDAGSDNDDASERSLIDVDFDFFDPHPDVDYLALKRLAAQLFQVDAELLHTHDLADLILSQPLVGTTVKCDGRESDPYAVLTALNMHVHQNHPSIKALTEYVLAKSSRDASFHSTLQNLLGPAGLASQNHVGYVFSERLINMPVQVVPHMYRMLADEIQWALDENEPYNFTHFLIISRIYRLSAEEEAELQGPAPRTKRLKQAAAQASQSSAGGVYPFHPEDEVIQKIALNSLDFEFTRAQPREEGSFGLDMGGRMMLVSAARLRLRFHGYKLNGVILRAVENILLSTLIAIRDAINLSRPVNRLPAEVLLQIFDNVTVPSSSRNDTTHQSLVWPALFDFREPKRVVPLTHVCRRWRQIALASPMLWTTVDERSNIDIAATHLARSESAPLKVLVRSFTKPVARTIYSTSASRIRRLYHRSNYGTIVHSFLGFPANELEAIALEVRTSMGTLSSYVAEPLLFEGKAPRLKVLVLHKIPWLPANRLENLTHLSINENTFSLNGWLKFLSGAPKLQEIILRSLRVTPREEPDDSNRVALNNLRRLAIGNMDGSAASCLISHLILPPSLALYLFSIDTELRTDIAFMRTLPRLPFMDDISQLSLTVKIGPGMVMFTVIVAGASSALRIDWSKRLYSDAVYQLRAEWVRTLLSILDMSKVQEFWIDGPHSIADSIETLLVAMPSLKTIVLRSYDVLSRVYQVLMPPRPHCPNLATLQLLSPQTLSIDDLGVFARNLMRPLDLLVINEAALRLTGQHARDETLQNFPATRVEVCDQRSATKCMVLPAVWTNGTPAHGFWEEDPRLGGTRLDCLDNSFPHWSLLSFSSLFLRKSPNMTKTYIMNGLVEKMQSSDQDFRYMGLNDLLVAVKEDPNSLLGDEAVENKVLRQVLQLVQDKISEVKNQAVKCLGQLIKIIRENQMEYVVDRLIDFSSGKDEELRDISGLALKTITAELPPGGKIAAKACEKLTPKLLEQLSNSATPPDTLLETLSILSILITRFPAYVANPDLQPQPLQVLTPMLSHPRPAFLPTTRAVLFSELLSGSVIPGLGPSANVDNQRTTVQLVAAIARHTPHQIGPELNSIVPSIVKAVQREDEELQESCLQALEALVLRCPTEVTPFLSSIVSVGCQFIKYDPARPWSLISIVVALLLELSPKATYPEVESVLLTDIYDVAHSPLVAGAAFDSILAFFAALVEADMEVATHVVPNLAIAVEKAPKAEASQGNVARCIGQVVKAQRGVAAGTVAEFSKHLKPSSKARTSQIVLSLLVMGEVGRFMQLKSLRSDMSLQENVFSHVIEKFASEQEEIRTAAAFAAGNIAVGNLHHFLPVIVKMVENDAEKRLLSLHALKEVVTHCSHGQLETVADLLWVPLFEHSDNTEETTRNVAAACLGKLTTTHPSLYLPQLHDRIRDAKPASRATVISALRYTFTEASAEFDVLLNSVLMDFLALVADSDLTVRRLALSALNSAARLKPHLIRDQLQFILPNLYKETVVNPDLIRTVQMGPWKHKVDDGLEARKTAYETLYTLLDTCLAKIDLHEFLGRVLAGQSDDSDEVKVICHMMLFRLSQVAPTAVAQRLDEITPPLEKSMKGAVVTKDTVKQDIERAAELQMSTLRAVAALSKISQPGANPRFDAFVDQTRKSPEWGSEFKELVGA*
>EED85760
MAESHTLESLEDTALSRPSTPESVRRLKSLLQQLLRVTILDGRIFLGTFVGTDQQLNILLVNSEEYRIGPESVDGDPNGRFVGQLMVPWRLIKTVEASTNAGGTLRRVIDGYDDDSIVLLEPSLITRDEFHKHLRERTAALDFMQKAVAGRRAEWNTREDAMQYFKKRMPWKIWDPRVLNLFVSRPMASEMCPPRIKNAPGKSH*
>EED85761
MYLVTSFFIELTAAPALAIITGEVSACINLRPRLEPKGYGFKLRYPTKWALKTAIRRDYRGLAIANAQRLKQPCEENVWQFEPVDNKWRLLNAASGTYMLAPDHTINEGVVGGTPPDVFTFIDSDGSYQIQLVDEPLVLYLGDSTDGTQRGSNCRSSFRPPMAETTNSSGTCTRLKIEVPSRLQWAQLAQLMDSVVNCYCGVISWYMFHMKHFFLR*
>EED85762
MSVQPGNYRIQNVGAHTYMDMFSGWSAQGTRIAGWWDNDNLNQLWQFEPVGNDTWKLLNAASGTYALAPIHALNKGLVGGTPPDLFTFIDSDGSYQIKLADEPLVLYLANGLDDTQVTLQAADGGDDNQFWYLHPVAN*
>EED85763
MPRIICTGYEITDALRRYLEVGHGVDFDKDLAIAMEEDGELGEIDRNVDPQELAMDREAAIIMSYQDYFRSVRDSAPPEIRKALEIPYDYSKWAGHGLRVYMFMPTGAYTLEHYTSGALKSPPEEDRKVIQSFIDSMNSLLPDDVVREKAEFKIEDMRFEVHSPRAIHAPPYYRREREDLLPPRHLRAFIGNGNSKRCQNVPPADDFP*
>EED85764
MPADLGICRLPGTSSTVMKTKSIHNAMVRQQTKFDVRLFDASVLKSEAAIESAFRVLENYLDTSAHDIGPLLDEFIDVPGLIAFIMSSLKDRYAQKLIHSILEDFPSDHEVFAMSYAKHLLAQLSLFFIFESSIFIKDDTESLMTYRRTIELAHPVLKILASHWSRDEKLSRASRQERRDIALAPFRKLGVSIPNNTHETTELATQLLEEQKGILRYYLEILRYPHLRDNFKKAYISDLSHPVASVLPLDTSDSSQDMAIVSPEDHTDIPAASQHSSTTVTPMKAALYFDSVEGHHQDFKGSLTCEMVTRKLSTNKCCMIAIKVIGIYTHSQLGRYPWDAISRELGRRARECDQRHSMPLPSTHAQQITTAPAFSPSETDDYIPVLQSFDCPEAEEDILKNFLQNILEERDVSHVFYVSPREKEIIEHPDSCYVLGRSGTGKTMVILFKILGIERAWTSLKQTIPKPHQMFVTKSRFLAEKVKESFERYYASFVTDVTFDQRSRQVSGLDGLSCRAMINMEEEAQLENNLLERFGELEDRHFPLFITFDQLCSLLESEFDAALTPTRASAIPPTRKQSLVSYNVFLQSYWSHFPQALTKGLDPAMIFGEFMGVIKGSEEAWRSKAGYLDRVTYLHLSPRRHSMFASQREIVYDLFTIFLKRKKQRGDYNVADRAIAEHEDSWLTFNSKGIGHYLDYMLSILLSASHNPSPGRQPQLFQLSVNYRLHGGIVECARSVIDLVTVFWRDAIDLLDKEKGLIDGFKPQFINGEYDEIAYEQFLFGDLSINHGKSYRVWPPTVWVDPHRIVGSLTQMHSGILVRDTHSRDRLLARLGGLRVANVVRQAPLGPDTPLFGSRIPPGTSTQSPNSSISPSTLFDIFDGIEPRGMPSTTDTGPKWPYVSLHLRVRHDVTLPMDLMRATRSYHFWSPPRGDLRQEFAAFGFPKTSPVVTRSQAREAASRSATENLDSSSRTQSTPSPTIPGDFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDFSTPDLFERSSSSPEPEYPIPSTSNLVLPTPSSVRAHAQPPIASSSRLPVIPTSDLAPPPPLAPSNAASNSNLAPPAPTSPSTTTASSSSPAPTTPTNMSQNTTAPLMPPRGHSMAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDVAKSYNDWKADVRALYPGADDTRKWSLADMDQLIGERARIGIHNAADLGCYYRDFMAITKHLIAQHRLSTIEQSRAFLRGFQPALLTRLETRLHLKHPDHYADDPYTMAEIHAAATFILHGTSSTPTTAANQATASTSNTSTTVPPGMIKTEDISMIIESLSRTIATLIQPTTHATHNHAPAPRQQAAVHVHENSGVEQTCHYCGNRGCRVGTCEFAEIDIRDGKCKRNTEGKIILPNGSFCPRTIPGLTIRDRIYEWHRRNPAAPAAPTMLFEIDDRSTMQTFTLNTSSRIEALERELLQLRKRREVFDGVEILQRKKPTTPAVPKSAEASGSGTPKGVAAPPSTSTSTAPPSTIPAAAPASSSTPPTQSTSRSTTTSTPPAPPVHPFANARDATYAPPNVRNFATPPKPSNDKGKEPAYKTIVPVIQPKLAEEIFQRSMKSQFVTLTPEELLSIAPDVRNKYRDAVTPKRVSTEPVASAHIVEIGADEVTAVNQLSCSGATLEPGATIVPDPYETYLKHIPHGEHPAEFTVARDSNAIRSIIALVDNKEQIECIVDPGSQIVAMSEEVCLGLNLLFDPTIQLNMQSANGEVDRSLGLIRNVPFRIGEIVLYLQAHVIRNAAYDILLGRPFDVLTQSVVKNFADENQTITILCPNTGETVTIPTILSGVANRIF*
>EED85765
MIPEFERGFSVVRSWILDVLWFTTPYRPRTDKLGDFLTPLMRFTSLGFVFNVADIARHSVCVPSVSTSRPLQLRNTHGEYVVQDIARFYRNDGDAIVKGISFVRHLLMHKVEVDLWVLGDFFDDLCASLVIAMRLRQDFSLHGVCLPHSSLLRAARDFDGLRRCRTSAAVTAYIDAMTRLLQTLSQDDASAKAFASPSVELRIFKICSLVVFGINIRDNRLEIAILSKIRSHGFPDATAGITSRENVQKQLVKALRVFSTARGNSDEIVQLQCRDRLSSDSSPPRPPAYARVIIYDHLDELPSLLGPRAPETTPSRSGPASSMLAVPPSNRPGMESRSDQKNRGYGHIDGPVDLDADVIKAQEMRAGRIIINAWRRYRRHRETVTQPLYLMKMRYQEASEANNWPVGQQYRLVFRVFVPRLIVALECICMYAEEQRKSLKRRLGQAKHEALDAIHEKLAWTSTLTEEAERLQQLLAPDAIVHKESKLTRLRVYAREVEGLVQRLPLDSTGSWRVDVDLGVRGILAGEFGPRQTTKARPLLNTSDMYT*
>EED85766
MARPTKQFDIRVFDADTLRHEAAIESALSVLENFLADSAYSIDALIHDFLAIPGLTEFVISGTALRDRSAQTLIRVILDGFPSKAETFVTSCSKRLLERLSVFFTLETDILAEEDVNLLKSHRRYVELACPMVELLAVYWIADKASFIGPRREQGVELFEKLRLPVPTNTQEAVMLASQVLSHQKDILNYYLEILRYPHLKDRFKKTYVPEFRQGEALASPSEVLDIPVPELPDAASDPDKARQDDHYVQLRKAMLYFDSVQGFGALRILVSHNTDTELRLHAHSKKSNLFHKAIDILSIISISCQNSRARHSQHLPSEDERQVSCFVPDESDAYVPLVPTSPSFDEDEQLAKTRALCCILRGTTASEKTFLNNILANKDVSHVFYVSPKEKAVIEYPYSCYVLGRSGTG*
>EED85767
MQQVS*
>EED85768
MTTSVWSTPFRLVAALRVHVVSGRNWCLVFPVWFLGMVPVGTNIWGATRETFSILPQLGCMSIMSLPGATYSTYVDFADSVGFYALTELCRMVIISRTSVVVSDILVVGATWYYISYTSSVRTQLVREVWGARPNLTTVMFRDDLLIDTVALSDATGALDITHLTTASVKLHAPWFGMSSILISRFLVCIREAAERSTQVSSSQSLSFTDSQGDSNLQSWLSTIEFAADIANPSAGDDSHADAFSDLEDDLDPRDQDDASEGDDGTELEEYTVSVRSVDAHTP*
>EED85769
MARHVTALIEERAADHHGTREAYFLSYGVQAQSILHHGGSAGWQATDLPVRIHMQGGAFVRRSRASLNYISWLLASHDVDVYALYNGSVQYTEATEPTVQNFARNHSLGSSLAYWSFSLVFTARIDSFMSYAMDQTTLPSSSGRDAYSRLKHSVIPRDGIVQVLLYPHRDGRLLHDHAGERGADPPETTRKTKPMRSVSAAAEKSTLGLGTEGGGRTAYAGGDALWESGGQDDGADGRRAGDAAKVH*
>EED85770
MSGAERSIEEFLNLMQVKTSHPTYSMRLTFVLLTSFLANCLTCASTALLVYYVALNLPHDIRRLWGRRSIATLLSVMNWLAIAESSAYANQALFIVTTAIPPLVASLRVHAVSGRNWHLVLSVWLLGMVPVGTNIWSATQEIFLISPFFGCSGIAPFSEAISNMMVIITRTSVVVSDILVVGATWYYISHTSSVKTQLVREVWEARPNLTTVMFRDGTLYFLMSSILISRFLICIREAAERSTQAFSSQSLSFIHSQGDSNPQPWLSSAEFIADIANPAAGDDSHADAFPDLEDDLDPRGQDDASEGRDDGIELEEYAVSAQRGRFGVHYCLKTWVRSGRDTTGIGYRPELVCRICCAPVAPRRVARNVSVTGALRDASPITERGVAPSRSAIYVLPVDPRAPPRHTTVRVDAESLWSEIPAAAAPKPPKTGTTHVFYVTPSENGAHNVTALTSLGDKFAEKAEDERRKVVRQAVWTAVKQTKPPSPFHPNLKEGIPDKLSFQPLTEHEGWKTGAVYARAQNLARTAFVERIERELSGVPIVEIIVRDEAKKGMNAFLSVTKGTSEPAKLLEMKGVTFDTGRISLMPSAWMKLTRGDMGRAAAVCSAALAVAQLGLVYAMNGKSVDNTNAGARLVLAGADRGSRAAKGEAAADNSDSLWRKLGRAGAREQDRFWRMPLDDEYGPQIHSSNADLCNIGGRAAISCTDALFLKAFVDGVEPGKDGATAVVRWAHLDIAGTMETTRGYAYQDKGLTGCPTRALIEIVKATAP*
>EED85771
MAT*
>EED85772
MAILLTGGTGKTSVRIARLLQDAKIPFVLASRRGEAAAPSGMPAVKFDWLESSTFATPFQHKFSGGEGISAIYLVAPEAADLVPPMNAFVDYVVKEHGVIRFVLIAGSSTEPGGHRLGKVWQHLIDIGVEYCVLRPTWFMGTHHLTIKDEGKIFTACGDGKIPYISAADIAAVASRTLTDEKPHNTDYMIAAKLSSCLGREITHVNLTDEHRAQRLMSVGVPEYFAKRLASVEVAVANGSENRMNGVVERVTGRPPQTFDEFAQKCKSAWE*
>EED85773
MSLQLSVDDISSISKGQISLELAAALGMKSIPLSTYHSLAGLDTLANSYAHERRQSHGYPSEHGYLYTHDQSAFLPMPSAFTGSNIAMHNALTDTFVPSSLAQRAPSPPVHFPIRWPAADEHYTFPPSQPSISPTLAQGVHAIPPAPPVASHAHDVYQSHTPDARRSAAQPAWSVSGSLDPVTGIFQRSPDHPRLRTAQACEKCRIRKAKCSGEHPSCQRCRSRGLLCEYAPERRMRGPNKKKRGELSTAEHGSSTPDTDRRNSVASSSSSSEADHAYRFPPIAASSSPVSVSPVASVPSPPSALELLQPHSLPAEQPRVPSPLRFSTSATADASGPTIPYTSPPTRPVAGLVCGRRPRPPPLDLGDAAFPAFQGRLHPLTPQYLPPVIDDITPTYAARRASLPTYILEAHAQAEPRLGMDFNFDLDIGLSPFYSHSRSNSASDASGPAPLTPLELPQDMLGAHGRLTYPDDRRVRVEVSGDEHGVAEAESSGFDTYGDLSYHDDFHPTFGGSLEHDDTPLARKELYLATDPSPHDGPHSIQSHRES*
>EED85774
MFCIIFILFPLLAVERVLAHGFLSQVVIDGTRYSGNIPGKYEGASPIRMISTIDPVLGLTNSNLNCGQDAQLADIVASASPGSNITFQWTGGADGGEHWPHETGPLMTYMASCGSTSCAQFNGSSANWFKIDELGQMSNGSWYQLDIMNGDSYTITLPKSIKAGGYLIRHEIISLQQAVNEGGAEFYPSCTQVQIGGSGTDAPDITVTFPGGYNETGTYVPDIYDPGFQYVFPGPPISNLAAPGDGAMAAALSSAAPFAASPESVTIGGGGAFPSGTASASTSAASASAGTSSGSNGGAASPASVALRCRVKKPNASSTMLGAAGLTRRFGHAIMSSWRHST*
>EED85775
MSLESYEITNDDSSMRARCWSLLYSTRAVRSNKRRKSQMKLGFMCVGTIRGHDLNSRRRLLFWWVKASHGTIAVFPTLRSSNRMLKSVIVVSLLSLYGVLGLQSHSVWPKSVGQDLRVETDAALSFSKNVSSCPGYTLTTLTETSTGLTANLNLAGDACNAFGLDIANLSLHVNYDSNERLHVHIYDTANSQFTIPSSIIPLPSPPTEGAPVIPGDSSTALDGFPLVFEDQYLQLTSALPYGANIYGLGEVVASSGFRRDVGTDGGVGTIQTMWARDDADPIDQNMFAMTVRNHGAGFGPLNVHTLATNATHAGGYVDLDVHNMFGLMEEKTTHIAVKEILGIRPFLISRSTFPSSGKWSGHWLGDNWSLWTYLHYNIQGVLQFQLFQIPMVGADTCGFSGNTDEELCNRWMQLSAFMPFFRNHNQRGALSQEPYRWDSVANASRTAIATRYSLLPYWYTLFANASSYGTPVIHALFYEFPDEPELFAIDRQYMIGRDILVTPVLTPNVSTVDGILPGRGKTIWRDWYTHCVVNATIGGNTTLDAPLGHINVHVRGGSAILLHAQPAYTIWETRQGPYELLVSLSADGSAFGSAYIDDGESIPPTPNRTLTFSVTDGSFSITSSGDFNVAQKLEAVTVLGISKPTTVVVQGEQDGVTWEFIEGQQELRVQGLGWDLNNAASLFWG*
>EED85776
MTAYISPVADSTTVFKSDVFKGQVLFCTGGGSGICKAMTEAVMRHGADATIVGRKLDRLLASAEELSKATGRRCIAAQADVRDPKQLHEAVSKAIKEYGKIDFVICGAAGNFLAPISSLSENGFRTVLEIDTIGTYNTIKATLEHVRASKGSYIHVSATLHYNGTPYQVHVSAAKAAVDATSAVLAVEEGPNGVRSNVIAPGPIGGTEGVDRLMTKNQGGWSNIPVGRLGHVKDIANATIFLFSDAASFITGQVLPVDGGSEHLRGVNLPYPQAVIDPTSVKDLIKPRL*
>EED85777
MGSWLEVPHHSAWVVCGDVFCKRRINKEARCLAEMKGNEFELTPTLVRAVRPFVLDEAVLTEVTDDGNLNYNDRRLSLILSRSESNVDFSEKVTALMDERCIGGNALHATGRRSETPPDRLTPLAQEFQGCLTNARIVLVYYREKATARRGAKIMTDQAEPSIDKPEFSFAEGLSKVRVQTPIREYPAMREPQLLGEPCMPDAIEAFVDKEDIFSIQKSAPTARSTYKASGEGT*
>EED85778
MADYLHKQDNGALDGAQSDTEPQLLNVPDSQISMDLNVQSLHGSSDQSPMPLPSQQQNVVPYETFQHQIEDQIVELDSQMNGFSVQPTDGEDQKNQAGGAMSSSTSVAQDQQGIPQLDQQQPQSQQHDLPQRQGIPQTQPSTQQEQYSQLRSRAQVWAANIKQLEQDLALHNQQRGAMPEPTHQLKAEQYSDQIDRLKEDLHTMFDLIKEMATGHNNSQPGTSSSQSSQPPMIPDQVVNTLEGAPAPARLKVPSADQVKPYVGLEAGRYPTEAEIQQARLYKELLLEQLILPGIGHMKPQHIPPEKESEYAAFFQELCGYASRVYPAFELMICGYPENVVKKVIAATMTAFRQKELSEEKGSPYYLIGLENIQSLTNTMKAAAQAIPYRGMRARSEMILYYALSLLLPLPSHYYRPMDCSSPKDVAAMPTSMFLPAPVPTHTANDVYLVILILAISFVITIFLVYSAF*
>EED85779
MSAVSAADAENPLKGVEAFVFDVWGTVVDWRKGVAEHLRAFFDGKLDEDWDSFTAEWRENYYQQTFSIAAGGAGSINVDVMHRGILDKMLASPRWEHVAPHLDESARHELVLFWHRVPGWPDTVEGLYGMKKHAIIGTLSNGSIRTLVDMAKYAELPWDVLFSSELLGSYKPNPKMYLGALTHLNLQPHQCAMVAAHIYDLRAAATHGMKAVFVRRPREPNAPDDIRPKSEGGEVDVYVESFVEIANLLAEAKGAPA*
>EED85780
MERAAAAGLAEHGVSAPGTDDRQADTRDAADRRTGEQTDRGTEGQGNRWHLLIATGVDHIRKTLGGAKAASEDALGVYPKIVEEPRKCEDWTSVHRPAAGDIYPTTAQTAQTGDQTAATARTRAPSTDFFALQMNTSDLHEGLTPRRGEQQWTKRANRSRASLGDEQFISAVIDHQPYLQSRARLVMGYRMHPTS*
>EED85781
MSTSPTGLRLSSIVASSSRAQTGHPDRSRKITLATRPSDGLNTHLDPEAPLHLDLPHGTPALSPPAPDFLKLSSPIQVKREEISLQTLCQSLSLRRVRVKKESRSPSPRILLGPPRRQRSPPRQQSLTGRPPQPPPPPQCPPSPLAPIMPSPAAIPDKEMLKLLLPLRYDGKTVIECNRFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLALVQVGVQGATTPFANEAAFAAAFRARFGNLDDEAAAQVELAKLCTDKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFATWQAAEKRATEVEQILDISRARRPELNNFFSARGRGRGRARGGAPSTHAASASINAAIRKGNFPGTCFGSTPWRGWEMKECAKYEKLAANWYGP*
>EED85782
MFSSRIRSLEGLLNAYAGVICVFILEKCERSPVMCFTTCVYEQKARNPDAVTSMADTPGSIALEMIHAHDVSTSAWGTELSADACPSEIQSARDAVPSSASHYPFHLARHAEGSADIGTASLFAYDVTVHLRGIDKRRHLASMVDFASRSEEDTDKDELGEGRNDATKMAAAFFPALDDRAAHELNNYKVQPTLGTLAAQEGIFRNVRLTAHFHLLARSPGQKPRTEWTTREAEERAARVPSVATTVRTARGAFVHGRSDARKGKSRVVHTSRAVVIYYDRGLRPPATRAPELASSFLNACLNIIGNTSAGNNKSMFEDTMVLSPLSSMLASTAIFTPAKRPAASRVRTRKWEIMTHNNWEIMAHNKWEIMAHDFSSATIHVQRATKHEQDGIIEGWQDTQSRAFWEILRTRTWLVLAVDFATSHPVPHVPSRSGILSGERQWANARENRRPSPISQALFVFQNAVDEMTGLCNRVTLSIFALTLGQSCLELEYNGEHSMTGGDDEWRGEVTNIPSTASAEVRLLLSKAIIRAPLPMPSTRPGAHIGADKAPSMVLLEMFWLVAEVFGEVQIVSVVMMNVVDKTTTTAILGLNTSRAPRQSGERNMSVPTTGNRRAAVERIRPDGQHHYAQEDDSLVQDVSQLEDPGDAAVR*
>EED85783
MAAMNSSRYCRYTFIETGARAGEKQVIKKAWSEKKRKVGSEMEENQDVRSTTEGPEVAYSPNSIPSLLAIPVSPSPLRCRSPSRSKKASTSPSPAEGLTMSAANSMLESHRCGTVMPSSRMQMRKRETKMLDILQDARFGSVSKFLTP*
>EED85784
MTAIPAFVADKVNRKMDYITSNMRTNYNALRCHIPANIIFLRRDLRELWETNRLLMIPHPNHLEKLEHGIIYKYCVIVEDEHPPDSCTTMGQSITPSIMTAPCSYRSLGWHELNANLRLMMFRAGQKLSKRPLHYQHVLREVLPNKEVNHAYSIVWRYGSWTDPLSREMVADRRLWATGELSACPDGYYDWPEKQYCSPLLDDDTVRFPRPFRPIVSGIKRKRSGDTSIAITLETSGQWNRLRNLRTQRYSLIDRRKPEYVEPAFILFRLLTFGTQVLVPQKWWPLWLSLLVSCGGVESVLVIILCASNADCHLHQFSVLSVIDLVTGPADHLGTQNSDESFQIPSVNDAPIYTSILALSPCIKSDDFVIPGHEYDRPLRSVGLSTRILTLSYRAPFPGPRTTAMQDDALMETEDSVCLEAAKDKETVGDF*
>EED85785
MSARSATPASTSLVNRRLASLLVVLEAPPAADATLDVVEEWAQDLSPLVLAYRKALGAIRDEETELRIAAAVKQLAERASESWVEWARGDWPELATAIDAEVERRLEEQKRLAEEEARRVKEAAKRAKAAEDRRLEDERRRKDEEDRLRQAEDERRAQEAADEELARIAAAEGLLDKGKGRAIVDEEVTELSDDPSIVSVSSTALQY*
>EED85786
MARPAFSFFGIALVLPFVRLVLAANDWSTACLDGQCSYDLSGNVTGTLYITGNTSAISDLTAAAGWNILDCNSTSGAQDIRAVCTNSTAGCNSLFEGGAIGTIVRLPEDCGTMPFARVANIWDHENQTSSVPTRRSRFFRLLDTSSVKGITLDTNFSAIDPQQNGNVTFEFQGASIPSAEDSFQDSRRSFDIFSKFTKNVTEQVPPITIDKEETLFNQPVDCDTDVTIDGVSVTSCSLQVGMDVNANISAFYGVSVSGSVVPPKLDDLTLFTVATPSVELFQVGIPGLDITGILSIGPTFQVDAQGIVNVAADLNTAVGFTYNLNNTELFFPPAYGQSSTGDVSSGNAFLQLSTAPYTPANASLTAELVPSIAFGIDVLDGTAKATVSLDLNTFATLELSGEGNVTASTASGLAVGPFSGCFNVLAGVNITADADASLLDIFDKDDSITLFSKTFDLFSTCFK*
>EED85787
MYAFDVVFLITASVSTLVAALRVHAVSGRNWRWVLPVWLLGMVPVGINIDRDRPSRIRRRLGHTCDLRNMVLHWSYKLCKITIDDDGTYFMYSIISLLNTVDLTMNAINNTRFHSSTIDITILITAMSSILISRFLICIREAAERTTQEFSSPSFVDSQGKSSPQAWLSSAEFAADIDNPSAGDSNADAFSDLDDDLNSRGEDDAGEVESNEIEFEEYAASASSIDARSS*
>EED85788
MTEYGHVNTVLVNIRHPGLRVKYLRKTPPGSRDSRYGTCFAICVSRLMIHPYSEPTCERHALYIWKLWRHDQYPLVVIGRGGSRTGLLVSAQLLYTGIRTFRTSHDSVRATITDQQLYICGLKRNHGCVITGDTRTWVQKLSGLPPTAAELRLSQEFSDVSISISGSTFGCVSSAKSVYSHISKGLANDKAPIVSGQAMQFPFPSHSHPFMEVVSALRVHAVSGGNWRWVLPVWILGIVPVGTNTTSIGCTGLYTLVDIYRSVLVDAITPVVVVITTRASVVVSDILVVAATWYYIGRTSSVRTQLVRDVWVGRPNLTTVMFRDEKKYFSLDDGIYVMYSIVSLLNVFDLIMTTISISSSYIYVLDVTNLTTAMSIILISHFLICIREAAERSIQAFSSQSLSFVNSQGNPVPRRWLSSIEFAADIADPSAENSNAYAFSDLEDGLDSLRGEDNAVEESNDGIELEELAASVHSIDARIP*
>EED85789
MAALMLAEAACNWGAPLRAPPRPRPHAKKKLFSSGRQARLMSRICSTSVACLSASFRVVNVSSSILWYTREGMPLKYLSCSSRSPYPERSAGPLNSAENSAALYLCCCLIVEISEAGPEGGGKGRFVCEWGRGPLHSYLHRHELGEVGSGPGAGVSIEQAKCNLHLEFDGGQRRVDQPGRQRERTLLATRGMKDCLQTKATIVTVHWANFTRNECSSGLAAATAVKTIEEARRRGDVGQGLELRRGLRTTSWPKNAAECRGARSWEAFPATAPGRAGGSLEGSLKAVRLPQFF*
>EED85790
MAEGASRLERFDETIDLESFTHEGHGNESPQLCVAGGACCMHDSIDREPLNAAQALLCIIQSQAAPHSFHHLSTKCQECCRSNKLMKTGEWKSLQLPKLVGLTSMPWCSFQIDCIDYACVALLAYYVVLNLPHDVRHLWGRRSIATLLSVINWLAITASIITYMPFPNNTTQSLPSHSHSVLEVVAALRVHAYMEYNKVFAANAGVFQWELTQETWLFVPQHGCTGSASVSQATTYALVIITRGSVVVSDILVVAATWYYISHTSSIREQLVCGVWAARPNLTTVMFRDGTLYFMLSSILVSHFLICIREAAERSIVDSQNDSGTHRWLSSIEFAADIVNPSAGDSDADAFFDLEDDVDSRSEDSTVNGINDEIELHEYATANCSVDAFMS*
>EED85791
MSCVSTSDFLVCIRQAARRSRQGCITQSLSFIYSQGSSGVRYGHRQYAGDNQADFNSRGEEDETGEEDETGEEDEKKEDSGNDATYIEKYTIDGRQPPSLRSINATLTIWIRQSQRREHVQERADGGMDHAAEKIDPVRNLTC*
>EED85792
MSEALSEKVVEEIFELKQVKIIASTELRRTYLHAMRQCTPFPEPFSFYLEVVAALRVHAVTGRSWHWVLPVWLLGIVPIGTNIWRMTQETLAVVPQLGCMSSVSISEAINNMMDTRASVVVSDILVVSATWYYISHINSVRTQLVRDMWASRPNLTTVMFRDGTLYFLIISLLNVVDLVLTTITIGGVRSFYMTDITSLITVMSSILICRFLICIREAAERSTQALGSQSLSFVDSQGSPSPQPWLSSVEFASDIANHSAEDGLADAFPDLDDNGDHLDSGAGEDARIPEDENGIEMDKYASGGQQSPLA*
>EED85793
MSGSLSSKQSFDAEVESIGKQHASRSPSHPHSIFEVVAALRVHALSRGNWYWVLPVCLLGMVPVGTNIWFLTQETWTFIPQLGCTGIVSMPEALYVAVVITTRASVIVSDILVVAATWYYICRTSAVREHLARGVWAAKPGITTVMFRNGTLYFMMTSILISHFLICIREAAERSIQTFTSQSLSFIDSQGNSVPLPWLSSIEFAADIANSSARDRNGNAFGDLEDDLDLRGEDDARDADNDAIELEPLTSTGFGDQVHAISRAVLFIHVLFSMSHNSGMRCQTEFFESALHVICVSCSELPKSVNVGDIDNMRYACLNERQLQVYCAFVAGSRGPMARMDTLLVHLYLFCVSCMLFIWTAK*
>EED85794
MSIAMSRAFLAMSVIWTCLATALRSVSMQKPVLNISLLIDHVALNDEQRLWGRRSIATLLSAINWLAITGTIITFMLLQQNTTQVGSIYLIIHPTRCVSIGYVYVLVFLVNSAISPLVAALRVHAVSGRNWRWVLPVWLLGMVPVGTNIWLTTQETWLVIPQIGCMSSTSMFKAYSNMYTSVDVAPDVVAIITRVSVVVSDILVVAATWYYIIRTSSVRTQLVRDMWSAKPNLTTVMLRDGTLYFLIMSLLNIGDLVVSIITISICSCYILDITNVITAMSSILISRFLICIREAAERSTQAFGSQSLSFVDSQGSAPPQPWLSSVEFASDIANRSAEDGHADDFPDLDDNDDNLDSGAEEEAQIAEEEIEMDE*
>EED85795
MSASPSEQTIEDAWAKQVRIIAYSVFYKTHLCVMIYQAYGYTFQAVYMCSTAIPPLVAALRVHALSGGNWRWVLPVWLLGIMPVGIGIAWIIFEKLELMAAVGGSSSILYHVMAVASSVSAVVSDILVLVATWHYISRTSSVRKQLVHDMWTARPSITTVMFRDGTLYFVHSAISLPNMADCVATWASDNASNISYLNAAISSILISHFLICIREAAECSTRAFSSQSLSFIDSQGNSIPRPWLSSIEFAADIANPSAGDGDADVFSDFEDDLDSRGENDAVDGMKLEECAASLHL*
>EED85796
MPSENSRETGNGSFYAAHTNGGARDHTTLECVLRNVCVSYMSQSSELTKVWILQRRPTAKLAMHHEHAFDVAPSAGENGGGMGHQNTGNDLFEARTYPGRMSTLRHDSFPFGVGERSSLWADLSKYPIPLLVETYSKHQGKPTTASTHYKPPTISSSSDDTAAMPDVSQRGTSEVVSNGVRSLYRRGSKEEFVSFSEMETSTEARVEKPKCAAARADQECKERDNVAQILTRCRGVMRVPTRGVNLYMGYTDTLTEIIA*
>EED85797
MSMSEAVFNMMDIITRASVVISDILVVAATWYYTSRTSSVKAQLVRDMWTTRPNLTTVMFRDGTLYFLIISLLNIGDLIVTIITVSSSFYTLDITSLIPAMSNILISRFLICIREAAERSTQAFGSQSLSFVDSQGSASPQPWLSSVEFASDIANHSAEDGLADAFPDLDDNGDNLDSGAGEDARIPEDENGIEMDEYAAGGQQSPLV*
>EED85798
MDDGPLAAEPVHPTVGMVNIRSQVDNTPDASRAWEECANQVWAREEMLVKKWKDEISNLLTFAGLFSAVLTAFNVQYYVALQPQTQDPSVQAMLIALNMLAVRRGETDVGLLTLAAMDNSSSVTSTPFHVVSINTLWFSALLFSLSAASLAISVNQWLHHYVDHTTSRPHQSVQLWYFRHSMFDDWSVPLIISLLPVLLQTSLALFIAGLVQLLWTLNTIVATIVTALAALLLILSASTALIPAFAPSCPYKSQPALWCFRATSRMRRLLLIIVTRLVHGGHHARSSVSVVARQYLTKQCRERIDNWLKAQRCWLRASTWRDIDNMSMRLRRDGPDDALQMIECADSTLMDDAFLESVRHPQVSQLTKHLSSLIRATPVSTPNALEFRRHALEIFHKFGLHSPATLENRCELCSCISDSFLMQIVHPCLRQPDISMDAALALYYCICKHHIQRIYLTDLPNTNSFVAVQYSQTVADMGHLAADIFARLITETMPNDDDRERHQRRILGHIESLLNATMFPDPAVYGRLIGLLPAAGVSHVVRDRIAELISQYDGDILSGHARCSLTQKAFLQITSAALRQSVRLAIGGVSLNQIADELRGSLDIAAVSFASPETRTLVQNIKWSSNRQDHQAIIAMAQTFLDILHNITPAIFDDDHDRIAHQMRILALLQSLLDLHFGSCIGPANAVYARLVGLLHTARHPPEVQDQIVKIIWQFGFKYSIGTDDIRAIFALLSSPDRKCSVEQLTRLAFSALQHSAKLPLVQFPRVREDIRRGLGLLAEHLTSPEIGQEIAQASIWYEMHMLFKICVDIAYIPSARGLFTLEVVKPLEFCATRCCRLEPPTWFRDGISDCMKSICSISGHQMSLEMHAISTTKHTAALPPVPPFDPLRITVTQETETFNS*
>EED85799
MGPGSGIRNRARGKGKSAAPLVIQLRRQAAHGELRIERPRAQRKEAEDEPLYPFLALRRAAKAAAAASEADVVPPRTPAGTRRLEVEVVRTLSNMNGRHKALRRKTRHEIGEQEEHQFDEEAWRRCAWAEQESEHKGEREGTASVVPVQGYSVKVKVSASGASRSRRGWRKLLGLVESDIKRAPKSSVTKLKGVRVKPKSASKAMRSVTAAEVEHQAMVPQHNEERVPVDVAPAFPADEIEHPVAPVGTSLEHSTAAFVVEVEPVQAAMAVEGEPHERGLGLEDFAFDFGSCPGMPAELVAALNMPSHVFTVTEAQEMPATEGQITEATVMAVDAEILSPEPIRYTYSAFAVGMDTIHAEASSSAEEAMEDVYTTDDVLVEMASPVVCSTDPAPVDADSDMLHAEEQAMEMEMNGFESVGAPQALLAHIEMVAANPSANAGPAGGPVELISAAVDMAQPEEDIEMGPQEQPVMVEQDIEMGVQLVSVDAVFGAVAAALIAAEDGGGNIEQDIDAVLDHFGFVQECTVAHLDQEDASVGDITLVGGALDESHNTMSDQDWDTTFVEDAIASSSPKTEKQSELPAMPLPEVVVSGSDIGEQAVVAGLEDVSIPPTSSAPVISLQTLLDAITPHPALPDHLLEDPVPTAGPTFDMQSLYSTLSTNTTEGAEKTTNAGSFARATRSGKVYGGGISKAKKTVAQPTRKSTSSLSGGLLDNDAFRAVRNKSAAEQKARKDTRKRYKKISRKLARQDAESAEPKGSCGRFRLSAVNPKTGRREKMTPITTVKESTDDDLDVDVLCRMSSSLSLTASSHGRTGTQEATDCADDLLGAFASLGLSTDAPAASSTGGSALDDLCDLFNALA*
>EED85800
MSAAGNHIAEPADILVNDQAGPLPSKPAWDTHRAAVADVEMLQGEVSLCTQEVMMGMCIAEGAPMDVDTVVAPQVADAVRAEDDSNMRHRGDGEIDMDIDGIAAPHTLITPHLMFSRLGYTGLLYPANMHQQANPLLLSYPINSLQPLSSQPPSKFAVNSYATLPIFPVAGLAFNVHPYSTIPTSSAKSDGNRVGLCDSSLATASQGGVESFNMCTSYTDASFRRTRNGRVDWGGIMDANMTSIKTYRKTYSALVSAYLDGDTFKQIRARGTTTHNAREERRKRRKRILPNCALNPEESSRSRRRFAQKLQSAVYACAGRRAKAQRHKRTNKMVKHRAGDDLAELCLMLTRMSLMTSFDALL*
>EED85801
MSLSRGSSLVRREVGTAGARRRRPRRYKAAIVYLSPHVYQAAPGLDIATPVTNRDIRYYLFHPSENILSTDTAWHGGMDNTVDPGDPAYSLLESLGLHSVPIHADDVSHVSAPGLHIVEQETNNTEQIIHDEDLEHMLDLDLENAREEPDDIDHDGTPRDSVSAAITNQPPDLRQSLNDRSISVQHLVDPAAFESPKCQKPEAGFTKRPQFERHIRGCLLGKLNSCWVCAEERKVAFARTDALQRHFEAKHLWAPAPSRKG*
>EED85802
MQHCLWYAPTQVVEWDSSSSSNLPNSAAFMGICPPVFPEVYRQQAVDEHDALYTGHSLDAFMGNFEAVALPMADLEDVFLDVTVLINGMDLLKVFDDISSFNVGSWPGHSQAYSDTALTISEGPLTTVPFSHIDPYVLELMATGAGMGDIDGPSSRSTPSGVSSAQTSDLQTVGQTVCNTQYINDASGSEDATESGTPLFPESSTAPAYWIAQPRSSTKAKKESKEPKRPRAPAIKATRPQETSKGTLRCEICDRNEDTGSKDYAHQASLNRHMRTVHLDTSRWQCTLCDKSMTRNDALGRHLRRQHHMFKADARAVVANVAASKYATE*
>EED85803
MTIMYQAHALTDGIVPQPSAERVTSSKITVCDVLSSTHRALVVAEIMGNICLFVREADVKWLAILARTCRALRAPAIEILWGRLKNLIPIFRLLPSDAWTFDVDTWTSSRIYRLERDLVAEDFTVIAKYAPLVKIIGFRRGGERCPRYESDFLPIGPTQIMTQCSPQPLLFPALHTLDWPHTLSTRLQGPHAGYKGFWACAGLYISPKLKKVVIDFSILSSHDDPKLSTFMTRIGAECPQITEVDIDVTTWGNDRPLPSTIEWSSSLVCRLARLQVLRCGNIPLRGTALAHLGCLSTLKEVRVLLTRDTVDSWTSTSLKSRDQARFMTLRKLDIRTQDLTAFNDLANGKFMFPQVETFRIDCSSFPSASEVDAFFNALQDLFSPSRLQSLSMETRPWEDQWRNNTILSCGGTLRRDHLRKLLAFHKVQTIILQAQLEVDLDDDIAEEMSAAWPQIETLIIPPQCKLWSEGEIKATLRSLQSFATNCPRLIHLNIPFRADGANIHLLPPRTPRNHALERLDLKWVLIDEHVSEVATLLGKILPNLKIDTNISGDYEQYNRWLAVKNELKSTLEGPTEDEADTPSEP*
>EED85804
MSSPYSRALILGDNTSTNLFNSTTDSGIYPPLFLENIALSQLAAGERSPPYTSHSLIDFMESFEPVVRQRSVYEGLTPNAIVPMEMTHVGLGPAMNEGAGISMESDPFAHIDLSILEMITSGSRMDDLDGQLSRFTPTRQEVLSIQHINDASGSKDATGSETPLLAESSMAPAYWLAWPRASAKVNKARKTPQRPRAPAIKAMRTQVASKGPLRCEICDRNKDTGSEDYTHQASLNRHIRTAHLDSSRWQCTLCDKSMTRSDALGRHLKRQHRMSKVDAKEVVAHVAASKPVMINLDQDTIPHRKLVYQAIVVHGIATVSSTYNMGSGSGIRNRARGKGQSVAPLVIQLRRQAAHGELRIERPRAQRKEAEDEPLYPFLALRRAAKAAAAASEADVVPPRTPAGTRRLEVEVVRTLSTLNGRHKALRRKTRHEIGKQEEHQFDEQAWRRCAWDEQESEHTSERDGTASVVPVQGYSVKVKVSASGASRSRRGWRKLLGLVESDIKRVPKSSVTKLKGVRVKPKSASKAMRSVTAAEVEHQSMVRQHNEERVPVDVAPAFPADEIEHPVAPVGTSPEHSVTASVVEVEPVQVAMAVEGEPHERGLGLEDFAFDFSSCPGMPAELVAALNVPAHVFTVAEAQEMPATEGETAEATVMAVDAEILSPEPTRYTYSAFAVDMDTIHAEASSSAEEAMENVYTTDDMPVEMASPVVCSTDTVTVDEDSNMLHAEEEAVEMEMDGFESVAAPQALLAQIEMVAANPSTNAGLAGIPVELISAAIDMAQPKEDIEMEHQEQSLTVQEDIEMGVQLVSVDAVFGAVAAALIAAEDGGGNIEQDIDAVLDHFGFVQECTVAHLDQEDASVGDITLVGGALDEDHNATSDQDWDTTFVEDAIASSSPKTEKQSRLLAMPLPEVVVSGSDTGEQAVVAGLEHVSIPPTSSAPVISLQTLLDAIAPHPPLPDHLLEDPVPTAGPTFDMQSLYSTLSTNITEGAEKTTNAGSFARATRSGKVYGGGISKAKKTVAQPTRKCTSSLSSGLLDNDAFRAVRNKSAAEQKARKDTRKRYKKISRKLARQDAESAEPKGSCGRFRLSAVNPKTGRREKMTPITTIKESTDDDLDVDVLCRMSSSLSLTTSSHGRTGTQEATDSADDLLGGSALDDLCDLFMIYINVNLPHLKSRIHLRLSRLLILGPVSRSLDSISSTSRLGIYLSQSSRAMVFSLTCPNLGSLYFYFFDLGSFYGFESSRSLILGFISYSRLGLINISASISICQNSLFWVYALVFLRTGRPFLTTGLLHNNSEDYETT*
>EED85805
MLEW*
>EED85806
MLASALLSKGLIAILLGSLAEAENTTCAGNALDWYSDAVGETPCREYQVPKFSNTPPGDKCNDQLTDCCCNSIAFALSMLCMNCQQDTNGGNVNGIDAGSGAYYKYLDGCGSGTNQSLPVAIQTAVCNEGIKLDNFLYNLFWDIGACTYTREAAEQDQVSDDNNTITHCPDSSGSTTASLKIPTASTPALSPTLSSSTPVQSITESPDGVKPTSHTSSTTSSALVSSKASTSMRRIAALKLAVQGRSSGQQTGENGARLSLTQADSNDNPLDVDTAELVPYVTVTQSALPIDDGPTLTHLPSTRSRVTCPPSRGAPPTYYSREPSERAPPTYHSHES*
>EED85807
MGEDIIGVGGDGGRCGGGGGCGGPPLVELREELRLWHGVWREISSYFTWAGNDSLRKAGAGGDSARVPQGGSRCEGAARTKRMLGPSDRFVTWVVFRDQSGCPIGARLLLTTVDDSLRTVWDVDVDEVLGVECVNLALAGSHDEWGEDGEEPRLHVREDKYRSLKRRGAHHPTYGKGKSCRHGPGGRRYDASVSGK*
>EED85808
MDATTIYFTTLEQLEIAIQGISGDERYTSVCLHTDQLVLTSDSTDLPFAAATSRLGCEVAVKARTKAVEDTLRIFDVQDAVEVAGSHIPIVSSIEALDDAGLYLYDAALVRDEKVLVVWCDKATAIVEQYRRTEHCLRHFVSDCTCDSGRHSFDLDASVKEQVDDRYAGYDGYFDETVRKRLLDPPGPESKVPPAVDPTPSLGDLSVGTWVEYQSAPQSANRMHTGFLEQGETSAPTQATASASGDAGASHAIQDKEARIYSVVSPCPCTMGKAETSAKYDNASRDMVVSDSSADNDNEDVDSLVGRETDGLNAVSVSEAYATRRYGRTSQSRGGKHAPPTNSTCVGEGMGHRQSSHQTSQDTIGSQEPLPRITAAQKEKWRATDSSIKDENAGPSTVAPEVTRRSQPSRNAKRKRPCTDNNDRVDGFSDSRNKKQKTTRAAPPQQQKEFICPREKCKHKGFNRKSDFHRHLLGCFDIRQLVCPRCGTTWARKDARNRHVKETSCDRSQGPTGSGGGTSTQIGDGGEAGMESGQVSDATMHLRATQLDVSIEDLAYVLSFLTEFEMALLFRGNEDDDEGAG*
>EED85809
MTTPALLVALRTTSYKERHNLALQGRSPSVTWSRLDQNVIGYRYKEDMSQGPPATQAYPTQAYHMNPGDSLGYEDSKDSLIIEELLGWIRGSCVIQASSYSLPMQAGSAPDMHLNSRRHLTDHLYLEPDESWKQQTRKEIEPELWPLVEEARARCTHLSPYPPDSVAMKAMTMQYEQTMAYICNVAETTYRERLARERESRKSSPYAYMNEHDLAQYLRETRNVLDAILATPRFAERVSSSATSPEQAQGAAITVSPDLFGVRADTLMTSAAPLTADSVTWIWSPWGTAEHTARQGEVGEATPSISSGIHGDRDPGLSTSSGRRGKEHIAALTVPESELHLRKDGIPGTSAAPQWPPHGEQEHRGKSFAGSREAVEVASRSNWLRYQHRSAPSRESGQAVDDDPADLYKWRLLSTMRGPHRDQRSWAYHKIGESRERSTRVETNVSRADIDGEGSSQDVGTRRSRSVPGVPEDVNSTTRANFESTIDIEGEQGRRLGPDEKASRCLRQELRGKADRGAQREAEEVLGIKTNAEQMEDLMDKQRELRVKEKRLVGAKLALLSREQAMRAAQTMGDGQTNFRIRAEIIDRVDEIKGNTSQARYFVGFPGSNLLVSSPNGSPGRGGVKRRRQI*
>EED85810
MDVLRQFRTACETARTTEEGVIDRLEAYRADAGAEAARARRYKEERLVARAQEEGRQQGFQEGLARGRDIGYYEAREQFELNATTAQQCRFEFNLRSNICNAFRSCGKASITSEFVDFCKVHSCNGLADANDNVRKAVMPLKQDGMHQRLLVDLLAKLVVLVTFDGVAIYGKPTFLIGTYAGIYMPTFLPSFREISGTLTR*
>EED85811
MRLQQIARKDELVEDAVKHLIKSRRRSADDYNKKHARSMTEAFEPGMWVLVHETWLDNQHGNKGALRWAGPYVIQERHPSGSYAIRELDGVVLKEAVAASRLKLFYYRNDHQVMMSGLSSDWRDRFPPGLPSFLFTSSTTAIVDFARNDELEELSYTEDHPTGVTRRSRSNLRELLRTQKEVEPWW*
>EED85812
MALTLREDIDNISFAHTPGTHDVGQATYSERYATNTEGRRGIRYADSSQDSDASNALIVPSMCYATITLGSYSYRNLHVEARLRTDEGARTQQSNHGPTGIQPSRAAKGRECKGAGGVDQATPRAVKRKGDPIGGDEKRSRSSQGEASSSAQPRRDVVPRLSLPPTQHSTASSLPATTKAPRGQKPQEKKYRCTNCNKPKATFSAEYELNRHLEQCTNPGARPYKCWICPQKADGELVELDRHDALRRHSISKHPGERPPSKRDLN*
>EED85813
MDPDISWIAEVCDDFAEHIEGGEMSSRGQAFYAEPESDRPITCEELTAFEDGVAHNAAAYSICVDTNHVSTVLAPGLRMAGQDAHHNKYDARVDGEEDLSAQYHQADGTIASSTSSPLHAADGRINEEADMRGTEHDSASEGDVDIETNLWQSAKRIEPSQIGDAPAVRGALASRAIESTGHVSSPPRAASSSPHPGTSLTRAIPSTTFVLEDQGVHDCRSRQGAKRGMDNDDDDVNEVAHPKATTSANPTEEPNTKKTRTEPAEVPYVICPGCAGSFREDTLGRHWRDHCVMNRARVTRELLVCDVCWRMWKLGDVPLKDFSTDHSLRRHVVGKHTEEDWHVMQRKRSKTRRSHKRSNGASTRRVVSCVMAAFLLYLATVLARYLYFLWPFWPLAPVRE*
>EED85814
MEYLHLECFRDRYCPPEVDHPLVKTLPINDLGPELTSRLEASLTVSEGVHRAKSARLWESLLEAHRIPYLLLRVADMRISLGRRWTALPLYEELQTILNDPELGVWIGKMQRFSMDFDRDELRKYKANLSTYLPSQIWRPTIGTPLPFCKLKQKQIKKLRDRWSTRDPHILMDKFLNMYCLETNKIERAINGNYTDSTRLVQLGFYHQMEPTNLGNIILSTARDRADVLDILRDTHETLRDVFELLKSEHIHLTIDGILASGFSRMVTIQVADAASRSYCNMDGNGRLSRMLASIPLLKAGLPPICIIPEHRESYIKSLNTIRAERDGDFRPLMDALYEATRSSLDALEVSINKAV*
>EED85815
MTRGELIAFEDGLEYDGSTFTLCENTSNVSTAPAPGLHMADQNAINNEHDARADGEEDLLNEHDYEVPLMASSSSVLPSAAWRGEELDGATVLPTIDGSAGEDDVDVGTRDRGDANASILCATTTTSIEYGRSTLNSVVSSKHGATKRASGVPGLVEQGGMEQVGHSPRENVGLWMSVESKREC*
>EED85816
MQKEGGCERVLQAQHAERERDGVAAARGWSNSGLQEARGSSGALHLCTQTAMVPRSAPESVRALEEAWSATRTVLVANLPQPHTNGKRGQGEERAYRVSRRRRWYTSQARRSHMWYYQRKAQYSTSSWLLMLAASALPERHERWGGRENNGRVQVNVEGAMSARGQYKGLQNVRMPNIPVHMQHVIEIRLKAWIRHASSVTGAKQEAKIIPRILEEVTDRPDTQD*
>EED85817
MSARSATPASTPSLVNRRLAALLVVLEAPPTADAALDVVEEWAQDLSPLVLAYRKALGAIRDEETELRVAAAIKQLAGRASESWVEWARGDWPELAIAIDAEVERRVEEQKRLAEEEARRIEEAAKRAKAAEERRLEDERRRKEEEELRLEDERRAQEAADEELARIAAAEGLLSDPAPAGVDKGKGRARVDEEVTELSDDPSIKTPWTVERPFVMTEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRSFR*
>EED85818
MQAGPSYKYEPPKPLPDIHFQRTKILLRTSEYNEMFAATADRLEPVFARMEKEEGSLEPEVVAKVRRMGDGFDELYHGLEKKARRLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEV*
>EED85819
MHLPIESVSSFTHTPPCPLVCKTPLFGAKLHAQRCCVYDTVLLDSLCSEFDLVLYHPCPESFIFVTIIGYFMLRFPLLVIPFITAAQVQAHITYTGAATPITAVCDKDIRLDDFLYGLFWNDGSWRGLASNAQLYSTYTRETAEEDHAAHANNTFQHCPNSATTAASHSTNPSSSQTAHSSSTGAAGSGHHVDTDAVIGGVVGGAACVMRRTRSGKAAVYDERPLATTETTSLGAHPFTLQQTDLASNLRTPPAYEKHAPQRLEGSASEPPTSSGAGEQVGRTYSSTVPYTAMSGRLNESVFREYHHNIINSHIYRFVIPGWKVVEVQGLGCLCYFDQGQDT*
>EED85820
MTLFFLEGGVQFAPLRHPHTCVPQPSENQRGDLMRSGSRGNQNIIAYAQAAASTHENVLDLSERPRSLFEINCFSVQPCGSPVGKGPELSSRLEASLKVSEGVDCAKSARLWESLLEVYRIPYLLLRVADMRMTLKSRPTALTLYEELTSITVEEYKIGIPAFAMQIKELRDEWSSMGDPHILMDKFLNMHALATNKIEGNFDLNYSDTTRLIQVGFYHQMESTSLKHIITSTAMDRAEILSILRDTHETLRDVFELLKSEHIHLTVIGICKLHKTLMQTNRVRYFPEAPVGLKYIYLNIGVTRQVSRLNVTAPLNATAAFGEKGTAVQFCPYDEVELELNAFFYHVHELLQANIDPFAAAAWISHVFVSIHPFEDGNGRLSRILASIPLLKAGLPPLCIIHEHRETYIQSLNSIRANRDGDFRPLMEELYDATRRWAENTRIDHVGKGTNAREKYGRNQIKSESCLDFPVEKLGQDVALQLEASLKVESSNGVACAGSARLWEALLENYRVPYLLLRVADMRFALGSQVTALSLYEENFSEGQYWRSTVTANAPFPYGNLKETEFRRMYEMWGLVSKKANDADKFFNFHCIETTVIEGVVMFDAETRDDLIMYGLDEKAVPVEDSSIVAGAVRSCADARAILRDTRQAIDEIYTLAKCEPIMLTVETICRLHQVLMKTSRVLHFKDNNSDGPRLAYTNIGVTRQHSRVNVVVQDPTVMNQFCPFDQVDKELRVFCERFNDLVRQDDVDPFAAAAWISDVFVTIHPFEDGNERLSRLLALVPLIRKDLPLLRADRDGDYRQLMHFLYLVTVISVTTIKMMIGAKNASNKYVEKSTVDRETATFSTSSAKRMHYQVREGHLVRAAQTEADTHSAKKMIDVPIIACMHASILNFKRNIRYIIRPSTSPSKIFCMDVNGDDGKRGNFIPSTAGRRARAEQILPAQRNKQFNKPIQHDTRYQSMDNGTRPCKYFGSFGEVDGKDVESEEDIGWIADVCDDLAENMDSGTVATLKLLMLFRAQPYYLTEKIHHPMTREDLIASEDGLVYNTSIFTSCADTNSVSTALAPGLHMADQNATTNEYDAHTDGEEDLFNEQDREAGSTVAACPPAPRHTNNGMADKEMSSIKDDRTGEGYVDVGINVWPPARSPQPAQISDASGARDASVLCATTTQDHHSHSRQGLKHKRNDDADEDNDEMAHVKTTTSAEPTQERKVKKMRIEPTEVPHVTCPGCGGSFREDTFGRHWRDHCGMSPERENRQPLICDVCRRMWQPGNDRLRDFSTDHSLRRHVVRRHTEEDWHAMQRERGKKTRRSHKRSKGAAELPVDTLGKDVALQLEASLKVESSNGVACAGSARLWEALLEDHGRNPGKLDSSDSAFSIIGYSGTAMWKIVSKKESNADRFFNLHCIETTVIEGVVMFDESTRYDLIMDGLDEKAVPVDDSSIVAGTVRSCTDARAILRNTRQETRQHARVNVVVQNPTMMIQFCPFDQVDKELRVFCERFNDGNGHLSRLLASVPLIRKDMPLVCFGLEFRDTYHMHLNRLRANRDGDYSRLMRFLYLVTDVSLNLIKMMIDVAQNDSDGGLDPSPGTPSIQSTRCHHARILATYLAHADLAHTALGKMQATKSAPQNLRAVPMSTANRTAIPPTRSSCRRCVADNNYSNGISADYYIIHKCIEGCHQVQRHVSVETRSDKRRQYPCASGAFLELDKYYTYHNSLKENKATCVIEVTHREYWQNRDD*
>EED85821
MPEVFTSVSLVFMEDTVSQQERQSRTRVNEGTHPPDIKSDGFLSKGLDFDPITGEPRLEFDPTLEVETSVQSSPAITSNQVEEGCLLEPDPSPEGHQQLIHMSSSCEDTSNESFTHTPGPQNAGQGANNKEYTTHTEGDPHDHPEQDSDASNTLVVPSYARARAGPTQLSAGGVDRANPRAVKRQGDPIGGDEKRSRSSQGEASSSAQPRRNVVPRLSLPPTQNSTASSLPVTTKAPRDQKPQEKKYRCTNCNKLKATFSAEYELNRHLEQCTNPGARPYKCWICPQKANGELVGFDRHDALRRHFKSKHPEERPPSKRDLN*
>EED85822
MAAQGCHSATLGSTEMDTDIAWIAEVCDHFAEDMRRAGKRADGRTDEEGAMGSTKGDSISASYVDVETNMYPFARRAGLSQISDTWCLGIERHQVHWAWELTSDSRLFLGWLGHSTAVHTSDVLFATSWNLLHARCPFIDGCSRGATVDHVKAMTSAKSTQERKTKKTRTEPAEVPHVTCPGCAGKFREDTFRRHWRYHCGQSPERETRQPLVCDVCRRMWKPGDDPLRDFSTDHSLKRHVVGKHTEED*
>EED85823
MTRATLCFCFCVCFCFPFGALCGPSRHVKLSDQRRGLITAVANAPTAALGLISPASSLVTSPTANPPYSLLLQVSFLTLLICYGRRQRQHPVGHFLPAFRLPRTRLYVRRPSQQSSGRGGVGNIRRTSIDPNSPKTPNFNPEDDVTMNRGREPAQSGDKDRGGGSPHMRELCSVIRDNPRSGMCVLVSEGYSNDMLVLGRGSSLRFSHSFPVPHYALRECSDVSDSQALTTGRGGAGNIYSSSMARLISRVARPEDHPQTASLLADREAAEAEYERSVIRASEEAAKARKQSSGRGGAGNIARSQSKGPRSKSKSFSKARRSFSKSRRSKSQHTGRGGAGNIFRTRSRSESKNRSNSRGRTGLGQIWQRVRSKSRAPREHEAIALDRQLQDMTISEANTSRESMQVPQGSQAGASGDPPQSGQE*
>EED85824
MLCGLNATQAHIIAAAEQVLLVCGLWVTNSQGSSHPGAPYDLSDSDSQQSTSLHKPEAISVEGCISPLLSLRTVVAEAFSILSSESDARVLRERDIRHKNMTLEHREIQTTIRRPAARWRTAILRDCRPLCIRHGVLDSVAGRAHGTGARDDASRLSNRKPAQYDTSLRPPARSLEAGLATRRAPSPWAVKQRERNGLT*
>EED85825
MAYPLY*
>EED85826
MLAAPLGSELARSAARRNLREAERTASDGHSSYTNPSSLTSTPLSSQYMANTPIPFAFSQQLSDSQPVLSAASPLTSRLENSEPFEDLQHVPVSEMDELATEIQPEADEHLLEHQFSKGSLQMSGNSNPEHHNGYHPSRLRCLRGKHNLVNDLSLSAFKLSIFRQCQEMHMTCSYETDLRAPYRTGLLSSNNDGNSSDASDHASDSEARPHKKARRDRSARTLMEDEQIIILAAYTHFKLLICTRSPFPTAQEGDILAVDAWAAACNELGVPRDNVPLNLKIKSREQQVRSTLKTIARKYVVKQYHFDNNKSLSSIAIEANRVLVESLKVKCAFAHIDKDNLTTLCKNPIFADILEDAWFADAGSDGMRYPAYFGSLIPLVTVALLLTVVENAIDEWTSGQWRLVELRSPVYGPRYLKHLHSLHQWAEYSVQRSQAHICMQRELLQTVRLWASLKNKLTPAAQDDDLSDNDFAASERPE*
>EED85827
MHTRDENQNTYTSASACAAGAEPVGCQATRKERSDVSNGHGGAREQGRTGTARRLHASRPVRADGRRIKASSCGVSPPSNPSWVPRSCPSARLSSCLPAVVLRSDHTVQAGAYPTCQNAFDGVQCHRTMCRKRVDCCDGGSGSNSEACQRRILTCVTACGVAPRCVADTQSMVVVTAAATFTRHMSSTYDRRVVRMSAKRDKRVSKAGGARETLLWMKTAAAWSQSRTRSTGGRLDGETRWDKRPSQAGRSSARARRASVSSRGSRTAQELACGVETDTGTLAQHSRSTSSARETGDPHGRGQYHSGEQDGGAANARTHRAARCVADNVPSFTISMREPAREPMSPRGSSARDGVPQLQCARRRALPPHDASQTRHVLRKTEETHAGPTEELKHLPRLRRQRLRGHVRTPLKGPLRDEPRRRAPPAAGRPARHAAREGQADSPPAQDEGGRYSIKMVAVISRDLTRAARSPALRPRAGRTRIQRKRDELQQTQGRLAGPDEHHICDVPRRAADDAHARCPGSTNGDSSARDACPGRRGFIRGAASRAEDHQEATDAVALSGQTGRRTRGRGRGCLEGLMLQAGQCEGQSLCASARCAVTLEQAEKSRGHPQAEREERSTGRTTTHAQSRHERARSPLYGDEARIYGCRNASHDAGSVRNGVSGGVTHPSPAPYMGFSWHGGAQWLDAATEPARREQTAAVRRTEREHEHKRDTTGETRQQRITNQTRRRIGVRLRRITARRRHVKLSDQRPGLITAAANAPTAAFGLISPASSLVTSPTANPPYSLLLQVSFLALLICYGRRQRQHQVGHFLPAFRLPRTRLYVRRPSQQSSGRGGVGNIRRTSIDPNSPKTPNFNPEDDVTMNRGREPAXWGTGQDGYIPMRAYTARARPLFGVKRLAKRGRRPVQVAVVPELGRTITGRPIFMKVEIAQDGRLKLATSRARHMHLSILVTELPVGILGPDLASQIEASLKVKSSSAVACAASARLWEHVLEIHRIPYLLLRIADMRMTLGRKMTALSLYDEVLQEALQDPQLETWIRQTRYSVMLDTQRQLRAYKIGQGFSASQRWRSTIATDGAFPYCKLQRIQVENVRNLWQTIGNQDGAIDKLMNMHCIETNVIEGILRFDPSIHKSLVLHGFEDPAVSLKYTDIVGGAVSGDVNALAKADTVTLTVKTICDLHKILMQSSRVLYVKTPHGFQLSYTTIGETRQHSSVNVMVQNPFMKIQFCPFDQVEAELGAFCERFNHLLGRDDVDPFAAAAWISHIFVTIHPFEDGNGRLSRILASIPLLRRGLPPICIQAELKHVYYVRLNQIRSHTYSSGRIETETTAS*
>EED85828
MALSLYGEVVLSNVCEYLHMGALSCKKLYKIQSSRHGFGKRDIIYYWMRRDSIGQGFSASQRWRSITTADGAFPYCKLQRRQIENIRDLWQAISNIDGATEIQRSLVLHGFDNATGPVKYTGIVGGAVRNCAVALSILRDTRQVLDAVHTLVKADTVMLTAKAICDLHKTLMQTSRVLYVKTLHGSQLSYVTIGATRQRSCVNVMVQSASVKVQFCPFDQVEAELEAFCEKFNDLIGRDDVDPFATAAWVQHTFVSIHPFEDGNGRLSRILSSIPLLRMGLPPLCIQAEIKNIRANRDGDYQELMEFLWAGTKTSLEMVKLIADTQSSSPRIAVLVAVVAGTALGDVWHLSGFSPRRLGLGLLGGVRAGRGLRVGHFIVVLVAVITVLALEHEPIPFQGWSNAVMHLTKPQTK*
>EED85829
MSSDAFADLRAWLQGTTNTSTEEEAFLTASPAPHQEPHASDAPEGDEDLEGLFADFNWDLSSHVFPEPSSSKELTPGNAAIGISPVDTVLQPETSSGRGCTPAQRHGQVVEHPLQADYPSAVPFNYAPCGALLPAEGPWEAPVDSLQACYTNWLYQCYIAQPSHVALHSVTSDPIPGLRYAGQVRENTNYIDNANDNVVDTPAPRAPRSRRPRSGTRRDVIRLYVNRRSKPQEPAAYNYKCEHCDAWFSRSSDRRRHIRNGCANGEQKEWECPLCFKVYSRDDARGRHCRDLHARLSRRWIASNTSIWDSTNVRKTTW*
>EED85830
MSSDAFAWLRDWIQGTANTSTEEPFLAASHAHLQAPHDGSDAPKADEDLEGLFTGFDWDLSPRGSPEPPSSNLSPKNATIGINPMDTLLFPQPCSGQVYTSAHKHGKSLQHLLPHAEPSSAVSSGYSPHSALSSAVGPWAAAVNPYQAYHASWFYKYSTTSPSLVALHSVTNDPAPGLQYAGQRTRNNHYINYGNGDDDVDTRAPHTPRSRKPNSSTHRDAIRLCVNRRYKPKEPAAYNYGCKLCDAWFSRNSDRRRHMRTGCANGQQKEWQCPLCLKMYSRIDSRARHCQSLHDMSYKDAVALALRRTANTVTGASEGSHASPDED*
>EED85831
MTRAHTAVIIAKLPRLLTSCGRIAIEQLCILTGQWGKMAPHDRRHFIGRARAASWIGINFAESARDTGLSHWFRSLERSVCVETSSEDGTESIRRSQPSSHCTPQSNTQNTPWHWEIVVKTNPWVKGTGQAVGEAFHIKGATQAIFTYERKQNVKYGSPSSFKGCVLVGHINATALDAADQLLSTVPIVNGNHNWNCQNWVVLAISALENQGRAFFKLNKGRPVKQVDLLAKMVPLATAYDAVVQSEDEL*
>EED85832
MTVQTSVCESGMRIDDALYTMFWADGSCTSFRNQWSLDDITHGNGTFTHCGNDNEAPLSTPSTVAPGTRADTIRHASTSTDASSTTTTQAYASSGAPYAVHKVSIGAIVGSILGAGLALLAFCAVLRMRRQKTNTRAPYDRPSMMKQETVQLSPYSAPLVPLGTAVDSRVLTRPASKPRMELRSKEHVLSHANAASGSGSGLGSQAGMDATTMTPLYTEDEDNVAGDLHNGERVECALFTLGALEPVEDAGQVDTEEFPPPPAYRSSWGSS*
>EED85833
MHRVQGPSHSRKEERQSPGILMFRDEPKAYWAAFVSVMLGYGSPFAHKSSLPMKVRGAGPYLREIKDDMDPVMERIEHRTTAVQEQPSAWMIGDHWHLVHGNHRGAA*
>EED85834
MSSDAFAELRAWLQGTASTSTEESFLAASPPHRQEPHDGADAPKGDEDLEGLFANFNWDLSSYGLPEPLSFEDLSQKDATIGINPMDTLLQPQPCSVQAHTPTHGHGNFVENPLSQAEHPSAVPSGFAPYGALSSAIGPWAAPLDPYQAYYESWLYRWYTASPSHVALHSVTSDPTPGLQFTGQAVRNTDYINYGNGDDVIDAPAPRAPRRKKARSGTRKDAIRLFVARRSKLRKPTSHNYGCGHCDAWFTRIYDRQRHMQTGCANGEQKEWQCPLCFKMYSRIDSRARHCQSLHNIVTQCQCTSRNDAMIPSYISPEARQEPIDMTVGMNMNRYRTINAEEMYDDRDRVGSKGTNAQDYDYGMWAYIRPQRMIYHLSRELEKTVHIGTPKSIGRGTTIDSVAFRVIDTDDVITGIDGLETCASQVLVCRCLAESRHQSLRVIHECFGKLFATSQLLLIEPVFRATGAVYSVTCRCSEGEHLSCGPPAATQIAFVKYHSLEASSLVSWFIASSHVTGTLRYIARECMVDVRCLLWTEEQYNLLRLGSLPSDDSLFGDVAEIRVLRYPPGLWLAGTSATTSVAKVADQPCVPTGQWGKIAPRDGRCFIGQASEDGTESIRRSQLSSYGTPQSNTQDTAWHWEIVVKTNPWVKGTGQAVGEAFHIKGATQAIFTYERKQNVKYGSPSSFKGCVLVGHINATALDAADQLLSTVPIVNGNHNWNCQNWVVLAISALENQGRAFFKLNKGRPVKQVDLLAKMIPLATAYDAVVQSEDEL*
>EED85835
MPEGMMTSCLRQHLDRETGSPSQGLTFAKWANFHGISAPVMSGTCPILEKETSAKYAQTANRLISSRAFRCPKDQLMSVLQAVLFLVQVLVMSAGVTTTAGIPLRSAREYTSVYQTMRLSLSEPVALVLRDAVQLGIAHVALLTHIRIVESGAECNLSSQ*
>EED85836
MSNNSSTITSNNAVNPVPLGLPALAGSPLLQYDRADRCFVTTTRRTPIYPRGGSWVRAEGSNPQIIAHQQLVSYYQRHPPAHPEDVFAILRINVEPAQTTENLQSPVNEQPFELPDVQYVPIEAPELPPAPPAPTNAPVEVPMATFTQADIDQRIAVALAAYQSQQSTANRPLRLDIPAPEPFSGKVEDLRRFIQCILSYFVATNNTRLSDEAKIAFTVALMRKDLGKTWADAYYEKSAGGVQVYPDWAAFATALEEAFPKHGTRIKAHQILMKLSERQKNKKTVLSLGNYVTRFEQLASKAQLKDTEVNGVNCTENDYHTLHTNFVKGLPKELYVSLATRVARDRPNTMKAWYDEVRNADAAEQGALTVTDTRDYGEPMDIDAAAVAATFASTSGGRKWELGAVLNEADRKLHRDGNLCFYCHIKGHSAKDCRKKAAARQGGGRPNQGGSGKDDFRARIKTLSADEKWELYEELTMEDF*
>EED85837
MSSTLSFLDQFNAPSTEGGKRLSIYTPKHTHVGNSTLLTLLLSNPTDVFNKLKAHNPEATNATDRAALEAYLSARREYDEAVKAADEAIDHHKRLLRQQDDRVLTELIRLDNLKVAHRFQPLLPRNIRARHNKFIPRAIPNAYLPLPAPLPTSAFRRPPIPSPFLQATPRSTTIPADWQPNPGWTPKGSCRRCGSSRHWVRDCPDVRCAGCGKEAPGHLERECGTKPMKRHVSAPPEEPARRVGVVVDNVFLEEIINEAKEKKEKERQTKAVPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWMNVGRNARKEWFDEEEDGVDWELYGDGEQYIEHEVLSLPRPEKQGQSKACEMKCDRVATVATCLCSWWGRSEDGDTPRFLH*
>EED85838
MAIAKQEPQEPILPPAQKSKPANEKARNDALKSITATRRASAWQIHRWPLDKRVLSSRTRVHLPRTYLGRDGEDVRVMREGQDLNQFVHRHYFEELDEARQTEWINFVTPDGVVSRRHEYLGPDPRVAGYHLDVDGEVHIKWWDGFLQDQWMDRQKWRFEVKVDDEGKWVEIDD*
>EED85839
MTSIQPGAAESIQAIAGLGSSAPSVLVRIPATGPCADGSASWQIKYVLDAGARGVLVPLVCTAAQAASVVAAARFPQKRILLYPLEGVARCRIIHARAMRVEVNEVVGCPVAWGRVSACRGGGWWCNIIWGGGAIVSAGREAAGRLDVFEEERESVGVVEQYGVFIGSYDLSLAYGYPPPLPDPHPDVEVMIQDILRKARAEGKKSSVVFFLLMLCAFLQVFRCLGLRYRATGLEAQPASTGRIRHGYPQIYVTSDSGAMTQALAQSLAVAAGQAPSDKRFGY*
>EED85840
MTTINLLDLNHDILSLVLLLITPHDASQLAITSRRAYAVAMPRVIAHVSLGGAFHKPASSPANLQLRRFCSWMLEDPKQRLLHLHSLDVRRDAVRVIADAVHLHAATIWGLSALVTAYPPIVRALASCKQLNRVTLGGDVPPLSTLAQAFPTVRFLHFVGGADVSGPDSFAKDNATPDHAWPRLDHVDSGHPILDFACSIRRVDLRSPLTPDVETLENALEYIRRTEPIVLSCVVDVSVADEEFVARIPEVACSVRYLDIALHGCESLASVTAWMMRVGPLLATLPLIGLSLSSSTPTSFPSPFSSPAASPPSSPRPVFAELPDVDSLTPVVVPIVARAEPPTTGKVATRVATSISSLAYVGINTSGPIDLAEGGSPTEWFVATSQGGRSHKFPVNECQRVERLLQGLNRYDR*
>EED85841
MTRGQEPEAGLYYPDLYIGAQRWEKTFTGFDWPDEDVDDDFNKEALEENEISSLSEPLCGELLQIVGTRCRAVISGARTCDAGVVVEEAETYNAAELSTLRNISGPASKHQQFAPHQGSTTDLHRETVGSTELENGGRQKCIVRKIRRLTFARTMRTCPVFDVSIAGPEASQKSYISGSFLALQTIFALSSDLQLHRPTNFYPTHYIASVPSAMASDPFLEFCDKLEEMASTSTDRWSFSSPTLVEVAKVDEPLNAKDSPVVPDFQAIIQENDWDLPPLNLQELLDVPSFESGISGVGSTGTPSRSPASSSHIVEPIYQSTSFIEYPWPTYPAAAPYFSVPDVYQRPYIEGAIAPSMPMYWYPMGFNHGLAIHGPHVAPYGVTNAPMSGLPYVGQTTDYIDYTNNGYGYTATPAPDTRHPRSKKARTRFRQPHVVRKPRTWTPVARNYQCSDCHAWFSRSGVRDRHMTTGCTKGKQQEWQCPICLKMYSRTDSRGRHCHSQHGMSYTDAVEWAKERLSERDAGENEGSPAPADDH*
>EED85842
MHQVVSVAEIFLAICQTFYPIAVEVLWRCLENMIPIFRLLPADAWRFKEHSLPFPSNGTIRVYDLQRRLNASDFTAIMQYAPLVKQIGFRRGSRQYSQWLRYETDMIPSRALNALVKSLPAPTLFPSIHTLDWSFRSLHICRQDYDDERAETTWDNACLCIGPQLRKVVVDAMLYDDEDEVSEQSLTNFLTRIGNNCPQLTEIDIKVSPDISDLVFPVTEWVSSLKNRLARLRRLCCNEIPLTESALCHLGGSTTLTELNFALTASAAEYWIGAALQSSIQANFPVLRQLHIFTEDSTALLRFMEHTGFPQAETISVYSLESSDGSGITTLFNSVQLRLSPLKVTDLDIELGDSSEVSQDQEDILARECIVRPHHLHTLLAFRQLRRLHLCGNWSVDYDDALMEQLAVAWPQIEDLGLTSRSYPWGADEVQTSWRSLQSFATHCPRLEKLEIPFMASGYTGPPATTNSPAQPQLPKIDRLKVSWIIIDGPVSEAAAFLEEILPNLKMFDLGEYNDAEQKRRWYNVRKQYYPMFKDVRGEERQKLRERLLLNPLPDMPMPADCYW*
>EED85843
MSSLVLFVLPPMKRRAFLYGERFIDRIDFEELALKSFDTSLGPKLLPVGHTDKFDANGHPTIPLIYPPSACTSPLAHLHALLEKRTPRHRNGCLIWTAISPLTAPLKLIPIIPNFPFFFCAWRAWSHYRAFKASRYLQAFVAQGAILPQESAELDAIYQAYAPASLDAAPSSPDSDPSAPPSASLPHDQRASLSNATNTEGDARPRLLLTRAAVPALERLFALPPGSSFASDVYRALEQARLRLQAER*
>EED85844
MWRECVANEYISSHNNSERHTSDSEEDGSRRGLSEMRQNVAVIVKAEQAPARVRVGARRHDARLCRLSTATKSRRSLHSSGVTISLRVARFGVFPHTVDNIMSLAQSAKRGVRIIALPLATSAAPAHKASHHLTYYHFVTPPPHESNAQSWSSLITTKAARLWAELGNAPEGNWKRRAFLYGERFIDRIDFEELALKSFDTSLGPKLLPVGHTDKFDANGHPTIPLIYPPSACTSPLAHLHALLEKRTPRHRNGCLIWTAISPLTAPLKLIRAFPVSFRL*
>EED85845
MSSQFLYTSMYSNTRDAFDYSVFSVPAVFPGPTTDNIICPPHPGNMSHCHPASGDGNAQYAGLYNQMNCFEHSAPLVGIRETFVPIFPAAAGFEMGAGDGAATSHVVSSDSAQATVPPGLVMADGPGLSQYSEPDPFPHIDPYILDLIATEAGMGGLNRAPWLYTPPGQEINNTEQTNGASEDATESEGHTCLLAESSAARAYRLAQPRKSAKASKESWYIEIAFESPREKWDEPPAFAVDRSGPGLQHVFILFTPIKLRRDDIVLHLPDQQRFSDGFWRLETRGGLGTAT*
>EED85846
MPGHFMTMQELHVEFIDWEAPLAKTIGFSRGGENYPRYEFDVPPAGPMQIMTRCSPQPLLFLALRTLDWLHTFIIHDSGPYIACEDFWTCACLYIGPQLKKVAIDLTIPCPHVSGEDWNAELIKFMAQSAQRLLRWTLMPLDEEVLDLFSLPQNGPPRWPANLYGSSHSSTLKELCVLLTRDTWSSISLGSRVQARFATHRKLEIRTQDLTAFNDLANGKFMFPQVETFSIDCSSFPSASEVDAFFNALQDLFSPSRLQCLSMETSPWEDQWRSNTISSCGGTLRRDHLRKLLAFRRNHPPERLDLKWVLIDEHVSEVATLLGKLLPNLKIDTNISGDFKNELKSTLEGPTEDEADTPSEA*
>EED85847
MPLHFYSPQTPITDGNTVTYDMGLFQFPSFDPQPLPLRHLVGVQQSMRADETHESGYDLYSMQALGPVPQNIAIHETCLPLEYHHPGSHNFIQYLPHPPQNGTIHQADASYGTWILSHQRPEGYISIPPAPEVAAHAAIPFAMDPFAHIDPYILDQIAMGSGTSDIDGPRYTPSGVSSASTPDLQDVGQEIDNTLHINSASRSNNVTESLAYACALPTAETSGAPAYKFRRRRSSTKANSKTTSRRSRAPAVIATTPDEKTNGPIKCKVCGKKFSHRQNLNRHVRITHLGARKWDCVICSTKNSRHDALKRHLNNIHNLSDLEAKHIVTFVGEKINAAV*
>EED85848
MTSDAWMIPEVSEQTPPTSATATWGGARREPCARSLSSTYNMGPGSGIRNRARGKGKSAAPLVIQLRRQAAHGELRIERPRAQRKEAEDELLYPFLALRRAAKAAAAASEADVVPPRTPAGTRRLEVEVVRTLSTMNGRHKALRRKTRHEIGKQEEHQYDEQAWRRGACDEQEPEHTGEREGTASVVPVQGCSVKVKHTASGASRSRRGWRKLLGLVEGDMKRVPKSSVTKLKGVRVKPKSACKAMRSVTAAEVEHQSMVPQHNEERVPVDVALAFPADEIEHPVAPVGTLPEHSTAASVVEVEPVQAAMPVEGEPHERGLGLEDFAFDFGSCPGMPAELVAALNMPSHVFTVTEAQEVPATEGQIAEATVMAVDAEILSPEPTRDTYSAFAVGMDTIHAEASSGAEEAMADVYTTDDVLVEMASPVVCFTDTVTVDEDSDMLHAEEEAVEMEMDGFESVVAPQALFAQTEMIAANPVTNAGLAGVPVELISAAVDMAQPEEDIEMGHQEQPVMVEENIKMGVQLVSVDAVFGAVAAALIAAGDGGGNNEQDIDAVLDHFGFVQECTVAHLDQEDASVGDITLVGGALDEDHNATSDQDWDTTFVEDAITSSSPKTEKQSRLPAMPLPEVVVSGSDTGEQAVVAGLEDVSIPPTSSAPVISLKTLLDAIAPHPVLPDRLLEDPVPTAGPMFDMQSLYSTLPTNSTGGAEKTTNAGSFARATRSGKVYGGGISKAKKAVAQPTRKCTSSLSSGLLDNDAFRAVRNKSAAEQKARKDTRKRYKKISRKLARQDAESAEPKGGCGRFRLSAVNPKTGRREKMTPITTVKESTDDDLDVDVLCRMSSSLLLTASSHGRTSTQEATDCADDLLGAFASFGLSTDAPSASSTGGSALDDLCDLFNALV*
>EED85849
MWTGRLRIFSRPPRSWEGWRGAPLATDLVDIKAAIVYLSPHVYQAAPVLDIATPVTNIVIHMPGGRASLSTQRYYLFHPSEDILSTDTAWHGSMDNTVDPGDPAYFLLEPLGLYPVPIHTDDVSPMSAPGLHIVEQETNNTEQIIHDEDLEHMLDLDLENAREEPDDIDHDGTPRDSVSAAITNQPPDLRQSLNVLTPVSQSCEPPVNRGKRGLRGELSSLLLQKAAPSRTQPARAAKKPARNAKRSSDDVHDGDRGGTTSRTSKKIRLTMPPAPLA*
>EED85850
MKKSSVGTEGNWQALRGKSCQRRLRDVERLLLAGALSPWSCARIAYVRHEIAKAVAETRQSHLSAAFYERVKDLRHSCHRTPSPTHRALVVAEIVATICQFLQEDDGESLAALARTCRALRTPALEVLWGRLKNLIPIFRLLPPDAWAFHVDEITSRRVYRLERDLVPEDFTTMAKYTPLVKTIGFNRRGDGWPSYEYDVLPAGPTQIMAQCFPQPILFPALRTLDWPRTFCTATAHHLQNPHDACEDFWACACLCIGPKLKNVVIDVSVHCRHVLEKDWNAQLMNFMTRVGTECPQLAEMDVDVTTEWGSSGILPFATEWLSSLVCRIPRLEVLRCGTVPLDGTALAHLGCSSTLKELCVLLTRDTVDSYTAISLDSGVRARFAALRALDIWTKDLAVFNDLADGNVMFPQVEAFNIEFISCPSGSDVDAFFNVLHDHFSPSRLQRLTVNTDAWSDSWSSNTVFSRACALLPLHVRRLLAFHELRQVDFNARWSVNLNDGIAEAMSQAWPHVETLRLSPQRGHWEEDEVTSTLRSLHALATNCPRLVHLDIPFQADGANIHLLPPRIKQNHPLKRLGLQWVLIDEHVSEVAALLGEIVPNISINASCLRDDEQESRWLAVKSERTPALTGSTDAELDTPFNDWDW*
>EED85851
MFPLSSARSLLAACRPSLSLFSHGPIPLATPQPVFARFRSQLAPKRVKYLRRHKGVVPIPTGGSIKGTTLAFGDWGIRIKENGKRLTAKQLTAAEEVIKRKIKPIKGAKVFMRVFPDIPVCIKASNGNETRMGKGKGAFEFWATRVAPGRVIFEIGGTTVREEIAREALRLACDKLPTINEFITRSTPPRLGNLVLPAEEFAVQKCVPVTAA*
>EED85852
MSPRRNRSTSNRLHSPVVTLQQPARPTSGMMSDATYANNMKVLRRRDPSIVKIADAFSHVCVYHHNGSKWEKQGFEGIRAGVWSLNEHRQSYPPYGIYIMNRMGTDDYVRQIHPEDDMDVMGEYLMWRFYPKWTQMRIAMGLPYPVPPEQRAILDAAVLRQMTPEEVAMSQQAQRKEWRGPSTTIGLWMFQTDAREPLKYVMMRLFSYIKNGKPYPEEFHYGPGRLPPPNPHLRTASRASVTHNAAEAASRPSSVSQQPTSRSASRAQQHNITNSGMAPNATVSEVDKLFAKLLPSVPSTPVAAEVQSKPNGSTSSISVHDLFASMTGPQPVQQLQPPPAPPASRGLALLDSIFASASGSGSTFNASLMAPSTLLSSNPEDIEIVSPKPKSSALPHILSQDVISTLLGLGSDSRASSAAPSSVGSRRSGQRRYEGDNEFSEGEFASASESEYSASSTVLDADLDPAVLAAGSSSGLPLLAVQHSASMSGSSSRTVEGDVTPRAVARGIGPFSPPLQPHGSTPRAGGQQYLTPTSSTAHVTPIPESQTPNGSGTQPSATNADGTSSAIRPRTLVPFEADSDLWPYPRAPLDDRALEQGDADVVELDFTDTRALSDPALFSSRLKEKQSRVGGKKKTRKERAADREKERREIEDSWDDPVRGQMQTMSALQQPVASASAPTVNGTGKGKQAASKATNGDGSHGVNGLHAHAARDAIVSSMSSQLNQPLTDMPRNDFVRELLTLIHLWHDYLSRVG*
>EED85853
MDADIAIITPTPTGQGKRTRWIPADSRMVTLHPMKRIIPNRVTGGFHYADEEAAAGEKMADGASEYAGVTRVQDDTEENPLSDNNQDESDDDDDSDSGACRAQSLEATEPYRTVASIGNSTEATAIFSSQATVRVDSVVRTPGRPQLPRPTARTSCKPESHVDDKAMDTETETNSQADDEEIYDCTTTGDEDEYMDDYTGSDDDDGDEMDQRSEADTEMADDRTEIASQPSQASIIDASPAGQPHGPGELGPRQHKRQPMSARERCNAEDGVIMKICNPTKELRKILWRSIPGVPEQYMPFPEDHPPPEVAHPPVRATEYRDKNDTVCIDIFETSPEIRNKIREHLMAEIWPQNDDNAEDRDKENLEPPPTHRRRSS*
>EED85854
MTDTSFRGQPGSYACVADKFDASVPRVCPIQRTCGGRWAAAVKTAKVSVLSFLPIQSAYEANQVLVHGAGRGARGAEMGGSTARQTRGPWTRSAAARDTKRRSDPAWIRVPKAAIPPPHATECAAAGSRTSSCSDKVRPRRRVETLSDVSSWSTRRQPRVPAEGGRAGSSASAVCIKSELRPGIEGAYCYALGKGCKWNLLASYDTSTHQIILLRSRDPGVKVYGERKVSHDLEDCERLTAGGGSVEESNFASSTTHSRPKRTLPRGPARAQRFQRIWAMTTTRPALGVAGRKRREQIPSGRALDGDRRAAIGRAPAKGGTAERSIEANPDELDAKLELLSQLCLTESPRHSRKPSFVDEPHARDNPSKPSYVEEHWLNADYSWVSDAAHDSAVDLSTALADEEDGAHLYDPTFFSDPRTPTSETHSAFASHPRAYGEKDKANALLGRCPLGSGNVHRAKCGWGKADYPNSAHFGSEKDHLYTHWQMPLPEIPRPSLVRAGVPDAPPPSPRTASAPFFASRTPPRSPPRSPLQMAFSLSPPRTAPTTPSATPPTSPRISSSPPRSPKAHTFPRGPQRASQPDARPCPPDSRPQVPQRHATFPSISSTLENLGERTSPTEKRSGMYVADPSMAADGAPRMRSASASIGRSNGETIKPVLAGGSQSPQRKQRPTLPTLSTSSSSPQLRTFPGLASASTASSSYMSSESPVTLVGSPIVKAPAALEAFPSDSLDDEAAQPSSRWSLDSVASRPAPSSVRTPAEPTGTQPQASAGRKRDRLLSFITRGRSGSLGKAPALPNSPPPPPQDVLDIRCPEPSFEMVTPRPSLSVQSPLQAPSAISVAASSSSSSSAGSSRSSLPTPAEPMPPRLPPKFSDPFASAPDTEPFILRRSVSHRYSGAPSLLPPFELPPRSEDADYDRPPTPSSPPLEPTLPPPSPGTPSPSFYLPPRQDSSFLSSLGIKRVKRRQKKLVISNVQPTWRDDNPNEPEQERRERVCRVHGQVYIKDVGRVNLSWHYLN*
>EED85855
MPEALRESGAEHHRVKPKLDVLAGSPASHHSCTSFVSSRCHFQIRRPSHRALLRATSIHSAPLQSRCAYTRVMPRRRPQPTHNMEPALSVASFPTRADIPPSHVTQSPTTGLVPWKVTYIRRTARPVKPPLPTSSSKSGADRMPFPSFSSVVSRRRLFSTSKPTSNQDSDASASSSRSTASTSTSISISQSVSQISEAPSRDAYRSHGLTSRSTFSVRSSPPANQTGKQPAGRPSAHLATGDHGPPPRAERGRSLDLSPYRDMRVEDMDRANSSTESIMIFIHPTPSHSSLSLPFPPLSPTSSVSVDVAPKTAPPPVVAPAAPKKPKKTKRPSTADPHRARSPRRDSIPSYPYFITPMAFSSISPHVAAMPVVYLPAPASPTSDKASSHKQSQSAAASTARPPTAPPESVAPPGAAASPAAPSSPKMSFKRMSSKGRLFRRATVQAPEAPDDGLCRVYDLTTLPVVSPPPAVPAEEPKPLEAAETVTQNPNPNPDSNSAAPPDSDAPTTRPRNAVSVSDDRRVEVAVATLRMRKDVREERGLDQVIPKLRMLRGR*
>EED85856
MQFKLAAVLFALASLLGASSASVSRTPVKSRLKSLVGKNPPVTWVMCVLAEECDSRGPGRLNPEWQPILHISNQVVLYNPTSHALSIRTTPNTSLAPTTTEKGYVSQALKLVPTVRDLWSPAVLSAILFPPVPMSYTVDAVGAAAPELPVQSSLDLKENMSDDAHNSKQYLADCASRPVPRKRISTRRKIVVDYTAGTLSVTHVDRTRDALGAFKPRNAVERGAYGVEVVGRRLQEEKVLVGMKIVEKLLKQDRNAYVLFERDD*
>EED85857
MPYTVDAVGAAAPELPVESSLDLKENMSDDAHNSKQVPRKRTSTRREIVGSYMAIAAAAFGLFSDGCDVLGQLLIGVLCDRVGRKAGVVITTSLIVIGAILATAAHGSHGSVVGLFWFLTVARGTIGVGTGGEYPASSTSASEAANELTVKQRGRIFLAVTNGLLSFGTPFAVAVFLIVLEAAGENHLETVWRVCFAIGIVFPLLVFYFHHVPYRLAFKRYWKALIGTAGTWFIYDFVFFPNTIFSGLIISEIIHDGSIKSTAEWQLFLGTIVLPGTWLGIYLCNPLGRRYTMMLGFTGYIVFGLITGCGYDKITKIVPLFIFCYGMLHAMGSLVGISIMILYHLKLTLVQGPGNLNGLVSAEGTFYGISAAVGKAGAAIGTEAFTPIQDNLGKKYTPRLFDAWTFIVAAICGVLGVVLTYFFVPEMTNIDFADEDEKFLLYLADNGWEGVVGDGDSAFSADEVVSVEEKEA*
>EED85858
MLCGYDGYQLLQNRAFQQVQPNDASSLYPWAPVDGAQLTVVADPVPVSNALPNSLEFVVPSDSSGSVGFSNTGYWGIKIDSAWTYNASLYYRFPSASAFSGILTLGLNSSSGTILASNSTTISGAQTTGSRCSSRCTRQQAQSDNNNTFFVTVDGVPPTYKDQPNGMRMIFSETLAAMKPAFFRFPGGNNLEGQTFATRWQWNATVGPLLDRPGRVGDWGYVNTDGLGLYEYLIWFEDVGMEPFMAVWAGYSLDGESIAEGDLEPYIQQAIDQINFVIGDPATSAPAALRAELGHPEPFTLHYVEVGNEDFFSSTYTYRWPAFVNALQAEFPDLNYIATAYPFNPPIYPTPLQYDVHVYQTPEWFVENAFYYDSFERNGTKYFEVIILSQGEYAAISTNPNDLYGTPADGRLLYPTMQSSTGEAAFMTGLERNSDIVFAAAYAPLLQLVNDSQWLFSLNVGDEYLPSTLPAVNGTLHWTVSRSSASGDVFIKISNTVSTDADITFALPFSSVASTGTLQVLSGGQNDSNTPVTPDLVSPGNSTITTGPTFDYTAPGYSVSVITVHTA*
>EED85859
MLGETISNGRLKLVQRLGEGAYGVVYRAVETEPSSSSSSNTDIQEYAVKVLAKAPESSRRWLFQQREILGHKVVSENPNVVTLHRVIEKKGYVYLVTDYCPGGDMFSAIMERRMFCGRDDLIKKVFVQILDAVHSCHEKGIFHRDLKPDNIFCMDKDASEIALGDFGLSTSSTKSRSFGCGSAYYLSPECIGKEFDFRPYSPQTGDVWALGIILCNMIVGRNPWHYATTDDQCFLRFMANPEYLPSVLPISEAANQIFRRIFTFDPSSRITIPELRELILEADTF
>EED85860
MLPVQRLLALLILAQCAITASLSPRVVHESRSSLPTGWTPARRADPATRLPLRIGLAQSNLDNLDAYVLDVSHPESPNYGKHWTPAQVAQAFRPSRDAVDAVREWLMEEGMPPEGVKVHTGGGWVQVDVTVEEAERLLSTEYYVYQFGDGEGRSSEHVACKEKYHLPEHVSKHVELVVPTLHFDVHVKRERVAEKRSGSKNMGQSRSGIVNPKFIGEIETALTELADCDKQITPDCLRALYDFVYLPVATDRNTIGIVEYTPEAYLDQDMDWFFGNYSPSQVGQRPALVSIDGGYDQTLFQGFSVNGEADLDLQYAMALVGATQNVTLYQAGDLYEGASFNNFLDALDGSYCTYEGGDNPEYDSVYPDPYGGYTGKENCGTAPLSNIISTSYGATEASYGAAYTQRQCAEYGKLGLMGVTILYSSGDTGVAGNGLCLNADGTESSSGTRFDPGFPGSCPYVTSVGATQIQAGSTVWDPETACGQYIYSGGGFSNVFSTPAWQASAVDDYLAKYPPPYASDVFNASGRGYPDISANGLNYSVVVDGTLQLVAGTSCSSPVAAAILSAVNDARLAIGKSTIGFINPTIYTPPFMAAFNDITTGNNPGCGTDGFAAAPGWDPVTGLGTPNFPKLLALWLALP*
>EED85861
MASDPFLDFCDQLKEMSSASTDEWSFFSPAPVEPAQVNEPQNPEYSPAVPNLDIISGITEDLRFPKSQGAPSAGSGCSEMNPIEMLLESPAGNYPSQTPAAQEPVSVMSYAWPTHQAAAPYYGIPYIEQPRYLEQAVTPATLMHWYTMGYNTGVALHGPHVAPYGVTSAPMTGLPYVGQTTDYNDYINGGLGSTTAHAANTPRPRKKVRTRFRQSHVVRKPRTWTPVALNYQCSHCHAWFSRSGVRDRHMTTGCTKGKQQECQCPICLKMYSRTDSRGRHCHSQHGMSYQDAVEWAEERLSDRDASVDEGSPAQPNDY*
>EED85862
MNMVDVQHAPEIEYNEMPHGLLNMPEWAKKLALFVAMDVANDDLCGGLSSAKFPSTRAEILVIEMSWRGELGAGFIGAQDMFVHSAGLRDSLEVEKAAQGFEFWGLSRENPKEQNVWTSRYKGETVGTKETNATQMMTRLRYEHVREHDAVEYVAAGGGYWHLRETFAKRKKFA*
>EED85863
MSSPAAAPNKETLKLLLPLRYDGKTVIECNWFLSQLRIYWLVNTSLTTIELKVQVALSLLNGDACAWATPYFAQLASVQVAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFATWQAAEKRATEVEQILDISRARRPELNNFFSARGRGRGGARGARVPTFRVPQL*
>EED85864
MDSEILAFLGEHSYMEEHLDHKPSLPCFPQELTDLVIDCLHGDLTALRACPGPTVLTHYVREMRISDSLFRTADRKADWVNKQVPALMSSLTTLENVLMQDVRYVEWGVRPLFHTVTKLAVNRIEFKTPIDLTRFLGHFPSLTTLLIENLTVVERRADPTKRGPRPPLDTLRIASGNAQEFLLNWFLYQPPEMLRLKNLAYTVERWRVAAPLVSLQALGRSVKDLTIIYAYESPHYLLKNDPLLSHLTSIQTLTFDLATGYGTFYGAPSIPYLLRSVVAGSVASLRFRFSLDNRHPALDECLEQVGRIRLPELGRQIDILETLADLAEVTVEIRSNEWFRFVQVGSRDQWVALEMEQRRLTNRATHRPRPKSTEGGAESDAEGQKSDSEWGRVARQRVEADYDKTKDTWRKAQATVERALPSLLHQNLLKVLPIEYESPASSPTQTRRANVVFPREFDIASHLIADYQDIDIYG*
>EED85865
MSDASTSYQIDLHNYLHLVGISIFYYDYVLTFSTEYIRVWRGPKPTGSWLFYVNRYLTFFGDIAVNVGNFYNFKTAKSCDDYALYRQILLIGAQVVVCIILCLRIYALYNRSKAILVGVVGTGATLMALAVFAVTGQSSSTALDSGCHLGESRITGIRELHDLSSLGRPDVNFNQPGVAVAWEALFLYDVLIFSLTMYKTWKNRAVYAISDARPNLVTLMMRDGALYFASVSVTMMSRLMLNLHESASANPTLVTDPSSSGQSTTLLFTSIIIGTNPQTERADIEGVEFDQDSMIPDSVEMQDMRPGDRHRDRTALHRPHDILPHTSDDSTNTHS*
>EED85866
MFARTRARAPSSVQPSGNVASDFEPAFEVATADTRKHRLYKQARALAVRLSIIDDDDVAFPRAQDTVNNGSQIDGHGNTHVTNTPESLSVRRQGSVGTHRATGPKCVICTNHIYGDEFRAPCGDFYHRECIVALFEAAVQDKSLVPLRCCGRRIILASVQQFLSPALVELYHAKDKELGTLKRVYCANPSCRLFLCAHVRGAFWRWLPHRMKYKCCPDCGTVTCTRLDRQDPPPYLGVGGEVPPPYWAHLRPPPYPDESVLLLLINARQSPTSVARQPPQRNVTARAAACPSLPRTSVARQPPQRNVMACAAAAHPPRPRACAALQPLQRNVMARAAATQELPGAVNCTSSWTYVAGTLKRFAPRHQKSLADSELPVLATKEVLIPQMNKRTARVE*
>EED85867
MPSALRDVFAVKDVEFSTTCRVYCAKPSCSRFLGARRKDSFAPVLSCPSPGCGTHTCSRCRGAVHPGRGHRCEHDSGQKAVLELASQKGWARCPACDQMIELRSGCYHMTCVCAVQFCYLCKSLWKTCHCPR
>EED85868
MSSPAPPPNKEMLKLLLPLRYDGKTVVECNRFVSQLLIYWAVNTTLFTVELKVQVALSLLDGDTQAWATPIFAQLAAVQIGTQEATTPFTDEAAFLTAFKARFGNLDAAAAAQVELTKLCADKTVCEKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSHVYRKIELETFATWQAADKRATKVEQILDVSRA*
>EED85869
MAQSHMLFECWLAIWRLKHLVWVRMKSRRRPHNVFLHFRNFECVPILNDNATLMQGEVGGSLVRLSVPNQLSSTYNMGSGSGIRNRARGKGKSVAPLVIQLRRQAAHGELRIERPRAQRKEAEDEPLYPFLALRGAAKAAAAASEADALPPRTPAGTRRLDVEVVRTLSTMNGRHKALRRKTRYEIGKQEEHQFDEQAWRRCAWDEQESEHAGEREGTASVVPVQGCSVKIKHSASGASRSRRGWRKLLGLVESDIKRVPKSSVTKLKGVRVKPKSASKAMRSVTAAEVEHQAMVPQHNEERVPVDVALAFPADEIEHPVAPVGTSLEHSTAAFVVEVEPVQAAMPVEGEPHEQGQGLEDFAFDFSSCPGMPAELVAALNVPSHVFTVAEAQEMPATEGQIAEATVMAVDAEILSPEPTRYTYSAFAVDMDTIHAEASSSAEEAMENVYTTDDVLVEMASPVVCSTDPAPADADSDMLHAEEQVMEMEMNGFESVGAPQALLAHIEMVAANPSANAGPAGGPVELISAAVDMAQPEEDIEMGHQEQPVMVEEDIEMGVQLVSVDAVFGAVAAALIAAEDGGGNIEQDIDAVLDHFGFVQECTVAHLDQEDASVGDITLVGGALDEDHSATTDQDWDTTFVEDAIASSSPKTEKQSELPAMPLPEVVVSGSDIGEQAVVAGLEDVSIPPTSSAPVISLQTLLDAIAPHPALPDHLLEDPVPTAGPTFDMQSLYSTLSTNTTEGAEKTTNAGSFARATRSGKVYGGGISKAKKTVAQPTRKCTSSLSSGLLDNDAFRAVRNKSAAEQKARKDTRKRYKKISRKLARQDAESAEAKGSCGRFRLSAVNPKTGRREKMTPITTVKESTDDDLDVDVLCRMSSSLSLTTSSHGRTGTQEATDSADDLLGAFASLGLSTDAPAASSTGGSALDDLCDLFNALA*
>EED85870
MPLHFYSPQTPITDRNTVTHDMGLLHPQPLPLRHLVGVQQSMRADETHESGYDLYSMQALGPAPQNIAIHETCLPLGYHHPGSHNPIQYLLHPPQNGTIHQADASYGKWIFSHDRPEGYISIPLVAPDVAAHAAIPIAMDPFAHIDPYILDQIAMGSGTSDIDGPRYTPSGVSGASTPGLQDVGQDIDNTIHINSASRSNNVTESLAYACALPTAETSGAPAYKFRRRRSSTKANSKTTSRRSRAPAVIATTPDETTDGPIKCKVCGKKFSHRQNLNRHVRITHLGARKWDCVICSTKNSRHDALKRHLNNIHNLSDLEAKHIVTFVGEKINAAV*
>EED85871
MSSQFLYTSMYSNTRDAFDYSVFVPAVFPGPTADNIICPPHPGNMSHCHPASSDGNAQYARLYNQINCFEHSAPLVGIRETFMPIFPAAAGLEMGSGDGAATFHVVSSDSAQATVPPGLVMADGPGLSQYSEPDPFPHIDPYILDLIATEAGMGGLNTAPWLYTHPGQEINNTEQTNGVSEDATESEEHACLLAESSAAPAYRLAQPRKSAKCTLCDKSMIRSDALGRHLKKQHRMSDVRAKAVVAHVAASKYLTG*
>EED85872
MRRNKRLREGGARQQDARLCRLSELPLSLDVLCIVAAFQPACELCALAFSLTPSDNIMSLAQSAKRGVRIIALPLATSAAPPHKASHHLTYYHFVTPPPRESNAQSWSSSITTKAAHLWAGLGKAPEGNWKRRAFLYGERFIDRLDFEELALKSFDTSLGPKLLPIGHTDKFDANGHPTIRLIYPPSACTSPLAHLHALLEKRTPRHRNGCLIWTAISPLTAPLKLIPIIPNFPFFFCAWRAWSHYRAFKASRYLQAFVAQGAILPQESAELDAIYQAYAPASLDAAPSSPDSDPSAPPSASLPHDQRASLSNATNTEGDARPRLLLTRAAVPALERLFALPPGSSFASDVYRALEQARLRLQAER*
>EED85873
MHQVVSVAEIFLMICQFVHDDDDYPSLAVLARSCRTFYPIAVEVLWRCLENIIPIFRLLPADAWRFKEHSLPFPSNGTIRVYDLQRRLNASDFTAIMQYAPLVKQIGFRRGSRQYSQWLRYETDMIPSRALNALVKSLPAPTLFPSIHTLDWSFRSLHICRQDYDDERAETTWDNACLCIGPQLRKVVVDAMLYDDEDEVSEQSLTNFLTRIGNNCPQLTEIDIKVSPDISDLVFPVTEWVSSLKNRLARLRRLCCNEIPLTESALCHLGGSTTLTELNFALTASAAEYWIGAALQSSIQANFPVLRQLHIFTEDSTALLRFMEHTGFPQAETISVYSLESSDGSGITTLFNSVQLRLSPLKVTDLDIELGDSSEVSQDQEDILARECIVRPHHLHTLLAFRQLRRLHLCGNWSVDYDDALMEQLAVAWPQIEDLGLTSRSYPWGADEVQTSWRSLQSFATHCPRLEKLEIPFMASGYTGPPATTNSPAQPQLPKIDRLKVSWIIIDGPVSEAAAFLEEILPNLKMFDLGVYNDAEQKRRWYNVRKQYYPMFKDYAFPKFVALLYIGGMENNGARHLGVKCTGSSEVVRGSHMK*
>EED85874
MTRGQEPEAGLYYPDLYIRAQRWEKTFTGFDWPDEDVDDDFNKEALEENEISSLSMDAIMQNLSVGSCCRSWGPAAARSYLAPEPVGHTTAHVQQLTDAVGFKYPRYGVGKFCGKTSIQTTHGGPPLRAYETNTLYLSVSEIYNAAELPTLRNIPGPASKHQQFAPHQGKLVSSRGHRGTHHERACNAFLHLMPDRGIYWARKRRKTGVFALDNTLKRYHLRTQQRVVLAPQTNQFLPPSAMASDPFLEFCDKLKEMESASTDEWAFFPQIPAELAEINECQNGEGSHDVPDPGAIVWSTDCDLPPLDSQELLDIPSFESGTTGVGSTGTPSRSPATSSHFVKPIYQPASFIRYPWPTYPAAAPYFGVPDVYQRPYTEGAIAPSMPMYWYPMGYNHGLAIHGPHVAPYGVSNAPMSGLPYVGQTTDDTDYTNNGYGYNASPAPDTHRSRSKKARTRFRQSHVVRKPRTWTPVARNYQCSDCHAWFSRGGVRDRHMTTGCTKGKQQEWQCPVCLKMYSRIDSRGRHCHSQHGMSYTDAVEWAKERLSERDAGGNEGSPAPADDH*
>EED85875
MLASALRFTGLIAILVGSLAEAENTTCAGNALNWYSDAVGETPCREYQVPTFSNTAPGDKCNDQLTVSKTPTGVMSTESTRTMVHTTSILTAAVLAQMNLFLWQYRRQSVMKGSNLTTSSTICFGTPAPVHTQEKLQDRISIIVNFYRIYSNIIVHFVIAYTRYTRAVNNREPRWVFKQRFIHYYEQQSQHINGSRRQRIAALQIAVQGRSSELHTAFVIRYHHATSTIGRAHLDIYAVDEISCYSPTVRETTASDVSQL*
>EED85876
MPRVIAHVSLGGAFHKPASSPANLQLRRFCSWMLEDPKQRLLHLHSLDIRRDAVRVRVDGIWTVDKQSAFPTVRFLHFFGGADVSGPDSFAKDNATPEHAWPRLDHVDSGHPILDFACSIRRVDLRSPLTPDAETLENALEYIRRTEPIVLSCVVDVSVADEEFVARIPEVACSVRYLDIALHGCESLASVTAWMMRVGPLLATLPLIGLSLSSSTPTSFPSPFSSPAASPPSSPRPVFAELPDVDSLTPVVVPIVARAEPPTTGKVATRVATSISSLAYVGINTSGPIDLAEGGSPTEWFLATSQGGRSHKLPVNECQRVEWLLQGLNRYDR*
>EED85877
MPFPITCNAVGDIVTVVQLALGIYQALNDSRGSSADFQDVSSEIRRLSRDLSQVQSVIQHADVPKDFANTISKDIASCQDIIDDFQERIAPFGRALGPRSVPRGWALRAARKLDWRLRRKDEAIEFRKTLDRYRLTVATHLISLVLVTVQQGQDETREGRDEAREERTAVQAKLNEVWVFLQNITPAVGFSSQNGIMFTDVLGRRMILPMMLCMEWQQFDATVKVMFGSGCNGHELMGSRYVARGAYEVTRTLPNGREETITPHKWATAVKIGSELAMGIIFEQRVPIADRGKKICPRCHEDNSNSADDSVLCYLTLKQNKCDGAPEERHLDCRDDHLPHVEANPAETAFFLKIRLLSPPQAVLNAPLPQGYYSRLISSKDTFNSATATLVDIPFMCFLAG*
>EED85878
MSQQIELPVSSSKRILGSKAIFTYERKQNVKYGSPSSFKGCVLVGHINATALDAADQLLSTVPIVNGNHNWNCQNWVVLAISALENQGRAFFKLNKGRPVKQVDLLAKMIPLATAYDAVVQSEDEL*
>EED85879
MSSDAFAELRAWLQGTASTGTEESFLAASPPHRQEPHDGANAPKGDEDLEGLFANFNWDLSSYELPEPLSFEDLSQKDATIGINPMDTLLQPQPCSVQAHTPTHGHGQFVEYPLPQAEHPSAVSSAFAPYGALSSAIGPWAAPLDPYQAYYESWLYRWYTASPSHVALHSVTSDPTPGLQYAGQAQGITHYINYGNGDDVTNAPVPRAPRRKKARSGTHKDAIRLFVARRSKLKKPTSYNYECGHCDAWFTRIYDRQRHMQTGCANGEQKEWQCPLCFKMYSRIDSRARHCQSLHNMSYNDAFALAQQRMANTVASASESSHASSDED*
>EED85880
MSSQELPVDTLRPDLASQIEASLKVKSSHEVACAASARLWERSLESHSCKKLYKMQSWRHGSDKRDILYCWMRRDSESLLLVRLYKIGQGFSASQRWRSITTADGAFPYCKLQRRQIEEIRDLWQAISNMDGAAGMFINMHCIETNILEGTFQFDLSMQKSLILHGFDNAVGPVKYSGIVGGAVRNRAAALSILRDTRQACDAVHSLVKADTVTLTVKAICDLHKTLMQTSQVLYVKTLHGSQLSYVTIGATRQRSCVNVMVQSASVKVQFCPFDQVEAELEAFCEKFNDLIGRDDMDPFATAAWVQHTFVSIHPFEDGNGRLSRILSSIPLLRMGLPPLCIQAEIKNIRANRDGDYRELMEFLWAGTKTSLEMVKLIAETESDNTAEHPAIEDCEVGA*
>EED85881
MSASTLLAVSLLTVTIDDELTVGILPDEVPSFRPNTPGDNCDDQYALHELSLSRVSPFLLSCQWDVGSNDTNGIDAGNGAYYMYRFSDTDGQYCGPGTNQSRPDSLPTAIQTAVCNTDIRLDDFLYVLFWNTGACSYTREWAQQDQATNANNTFQHCPSTSSSAGISSTTALATTSSTSSSPASFSKSQGSGTSHEVDVGAITGGAVGGVVALVALGLGAWLVQRRRSSRERGTFEVDEPSDIVTAQDAAWLEQHPVTPFAVRKTTDNETPRAHEKHALLRHTDSASSLGSENGSSNAAPIAPTSSSKVMFDEHEPAREEDAGRIDGSGLPLPPAYRSEWQSE*
>EED85882
MFWADGSWNYTSFRNQWSLDDITHGNGTFTHCGNNSEAPLSTPSSVAPGTRADTIRHASTSTAALSTTTTQAYASSGAPYTVHKVNIGAIVGSIVGAGLALLAFCAVLRMRRQKTNTRTPYDRPSMMKRETVPLSPYSAPLVPLGTAEDSRVPTQPASKARMGLQSNEHVLSHAVTASGSGSQAGMDATMMTPLYTEDEDNVAGDWHNGERVECALFTLGALEPVEDAGQVDTEEFPPPPAYRSSWGSS*
>EED85883
MGVQGVTTPFRNEAAFTAAFTARFGNLDDAAAAQVELAKLCADKSVREKRTAAEFSALFKGPADRSGYGDLELRDKYLSGIPSRVYRKIELETFTTWRAAEKRAIEVEQILDISRARRPELNNFFSARGRGRGGARGGAPTTHAASASINAAVG
>EED85884
MPDPFQVALDNSPVPVVTRSQAREAASRSAAENLDSSSRTHSTPSPTIPGNFDRDEEDEIDQELQDDFDEEPIPSTAEERTSSPELLGLTTSDYDTSTPDLFERSGSSPEPEDPFPSTSNLVLPTPSSVRAHAQPPIASSSRLLVIPTSDLAPPPPLAPSNAASNSNLAPPAPTIPSTTTTSSSSPAPTTTTNMSQTTNTPLMPPRGHSTAPSFDPSEVRSLRRYFQDLEALFTRCQITDEAAKKQWAVRYPSIDVADLWETIESFIDPTYEHSILAPTIQESGRWRTWISSLENALVSGFTTRQT*
>EED85885
MSQQIELPVYVAQYSNTQNTPYHWEIVVKTNPWIKGTGQAVGEAFHVKGATQAIFTYERKQNVKYGSPSSFKGCVLVGHINATALDAADQLLSTVPIVNGNHNWNCQNWVVLAISALENQGRAFFKLNKGRPVKQVDLLAKMIPLATAYDAVVQSEDELTLALACRLTSVRPLRPTEVNILFVQVMSPTDTYEITLGSNESPVSRLPKLAEDGSNWVLFKAQFKATVSSKGLLRFLEGRDKIPIEPTAPGVDSDADEKEA*
>EED85886
MSSQELPVDTLGPDLASQIEASLKVVERGGMRCICKTVGTYKKLYKIQSWRHGFDKRDILYCWMRRDSESLLLVRLYKIAQGFSASQRWRSITTADGAFPYCKLQRRQIEDIRDLWQAISNMDGAAGMFINMHCTETNILEGTFQFDLSMQKSLILHGFDNAVGPVKYSGIVGGAVRSRTVALSILRDTRQVHRHERLLAMLRLLIPYTLKQTSQALYVKTLHGSQLSYVTIGATRQRSCVNVMVQSASVKVQFCPFDQVEAELGAFCEKFNVGSAALFSYFQDLIGRYDVDPFATAAWVQHTFVSIHPFEDGNGRLSRILSSIPLLRMGLPPLCIQAEIKNIRANRDGDYRELMEFLWTGTKTSLDMVQLIAETESSSPRIAVLVAVVAGTARANPHSYNNPTLRKSSDLMRGGCVPSFLYLNQNALRKDAGQYELEMDPSDVWHLSGFSPRRLGLGLLGGVRAGRGLRVGHFIVVLVAVITALALEHEPIPFQGWSNAVMHLTKPQTK*
>EED85887
MVAGEYVWQLRESQGEISGNSRARMIFKRYWSQVVVRLRVVLEGWPHEEKIAFADLSLLKTAQLEILLARWTSGTLFFRRINEAEFAEMRAAREAQIAAGEINEEAARKERKDRGQRWYRTNPETRTKTKRSKGPFRSSEIVENSDVE*
>EED85888
MVAGEYVWQLRESQGEISGNSRARMIFKRYWSQVVVRLRVVLEGWPHEEKIAFADLSLLKTAQLEILLARWTSGTLFFRRINEAEFAEMRAAREAQIAAGEIKEEAARKERKDRGQRWYRTNPETRTKTKRSKGPFRSSEIVENSDVE*
>EED85889
MVAGEYVWQLRESQGEISGNSRARMIFKRYWSQVVVRLRVVLEGWPHEEKIAFADLSLLKTAQLEILLARWTSGTLFFRRINEAEFAEMRAAREAQIAAGEIKEEAARKERKDRGQRWYRTNPETRTKTKRSKGPFRSSEIVENSDVE*
>EED85890
MAICQFCNHTFKSNSAVRQHQRCYKKRNTWWKALWDERKAQNRNLGSAPIDPGATATYGDSDGAIDVEMSMESPRMNVQTGEKSAGLTYGEAKLPFQAIHDEQVLQGADIFGPFESEEEWDLAKWLIKNVGHTQAEKFLKLPIIRNRVDPSFHNKDAFFSAIDALPGGVDWQCQDICLTGDIPNQDGELPSENLELWFRDPLECIRELISNPTFKDDLHYAPERRFVDPEEGIQVTDEMWTGQWWWDIQHKLPSGATIAPVILSSDKTRLSQFRGDKSAWPVYLTIGNIAKDVRRKVSSHATVLIGYLPVAKLDCFSDKTRPVAKYQLFHHCMKAILESVAKAGHTGEAMTCADSLIRSVWPIVAAYVADYPEQCLVACCMENRCPMCKVPPTRRGTHESHNKRDMQETLTLLVTHAHGADNPQTKAHFKDLGLRRIYPPFWHNLPFADVFQWFTPDLLHQLHKGVFKDHLVKWCTSLVGADELDARFRTMSNISGLRHFSHGISMVSQWTGSEHKEMEKVFLGLVMGAVDQQIICAVRAVIDFIYLASLQSHTSHTLSLLRQALDDFHTHKDAFIELGARTQGHFNIPKIHAMEHYVAMIEKFGSADGFNTESPERLHIDYAKDAYRASNRKDYLLQMVVWLRRQEATDRFTIYLDWCRNRGSSPEVHSAHVTPEHEADDAAEAITAVNMAVQAGSSQLRAAALLVRYNVPTRHPATLRGIPAADIIERQGASQFLPSIQAFLRLRNIAYTPRLFDGFDIYPRLALFLPPIAGAGHGHHKNVVRATPPIPAHERTAAQPARLDFALVRTAEINQRTAGTPLEGLRVAHVRVIFALAHHYPLHTDQPLVYVEWFTPFGRVDASSGLHVVSPSSRMHRPYGEVITIDRIVRNCHLLPSFGKAVDSRWTVQTVTEESLQMTGTKGAVVLQLSAPGAGRERSVVPSRAHRFPGRLPHGDGDGSRAEEGTLSATHRAAACVGGTAVLLATVVLTPPMRITSLARRGCAAGVLGKRSAQPLLYPPAYSRTPFAPHRGACHKHLRAAPPPRATSLHNARRGPASNVMIARLPHFLRAPPSPNDACPPPPDRHYRTLCLDPRSDGPIPRADANERGMIFQVRTAAYDGHAVSDGTYPRKYADDSTARTFADIRTTRRSYVLLICRVNVAAAVTTTIDCCGYARLGKTGTSTYRVRDTSRGDTARRHTLLVTVRGGEPVTGVNFQLPRTWFAGMRIKYPSQSRTHIGKTGIATYHVCDTSCGDTARLWLRTTAGKHDDSRADGHERGTQDGLDGGETPQLDAFILRPSARTGLLACSRRAVPPTGSAPAAQAEADVLKLEILLARWTSGTLFFRRINEAEFAEMRAAREAQIAAGEIKEEAARKERKDRGQRWYRTNPETRTKTKRSKGPFRSSEIVENSDVE*
>EED85891
MVAGEYVWQLRESQGEISGNSRARMIFKRYWSQVVVRLRVVLEGWPHEEKIAFADLSLLKTAQLEILLARWTSGTLFFRRINEAEFAEMRAAREAQIAAGEINEEAARKERKDRGQRWYRTNPETRTKTKRSKGPFRSSEIVENSDVE*
>EED85892
MTSWQQNDAQYRSMDNNTQPYQHLVPLGEVTVEREQDIAWIAEVCDDLAGSMSSEIMARISRMICFQVAYILRAQPYRFTEDVHHPMAREQLIAFEDGLEYDASILTLCADTSNVSTAPAPGLHMADQNTDNNEYDARADGEEDLLNEHDYEVPLMASSSSVLPSAAWRGEELDGATVLPTINGSAGEDYVDVGTRVWPSARPSQISDASAARGARATTSIEHSRSPLPLPGPSSTPTTTSTTILMEEEQESNSCPGKGIKRGRDDDSDEENNDMAHVEVTTSVEPTQERKVKKIRIEPTEVPHVTCPGCGGSFREDTFGRHWRDHCGMSPERENRQPLICDVCRRMWQPGNNRLRDFSTDHSLRRHVVRRHTEEDWHAMQRERGKKTRRSHKRSKGASR*
>EED85893
MYCDQYSICIEGNRLHADADGTPKHGLLVRACLALGSGYSSFILTTLLPTESLKYFDISRYLVPKTLACGDVIHAVYGLAGAGTPPIALESLLTQQWSPALPSSLLSVSISASFITNFDAPRLARIRLALHGEDMEAMVLEGGRNTGMPIGRGVWGRNG*
>EED85894
MQKEGGCERVLQAQHAERERDGVAAARGWNNSGLQEARGSSGALHLCTQTAMVPRSALESVRALEEAWSATRTVLVACLPQPHTNGKRGQGEERAYRVSRRRRWYTSQARRSHMWYYQRKAQYPTSSWLLMLAASALPERHKRWGGRENNGTVQVNVEGAMSTRGQYKGLQNVRMPNIPVHMQHGIEIRLKAWIRHASSVTGAKQEAKIIPRNLEEVTDRPDTQD*
>EED85895
MDPNSRER*
>EED85896
MSRDLRARHRDDRAELRVSVAHRRRCRRASKAKSKCWETESKCRAGSRFWEKIHGCQQEHSEVYQLGDEEVECLCRDLGSSDRGESESWGCSTDLTEAMLVRAQHADASSGAVDSDVSISGM*
>EED85897
MAAQGCHSATLGSTEMDPDIAWIAEVCDHFAEHMRGAGERADGRTDEEGAMGSTKGDSISAGYVDVETNMYPFARRAEPSQISDTWCLGIERHQVHWACELTSDSRLFLGWLGHSTAVHTSDVLFATSWNLLHARCPFIDGCSRGATVDHVKAMTSAKSTQERKTKKTRTETAEVPHVTCPGCAGKFREDTFRRHWRYHCGQSPERETRQPLVCDVCRRMWKPGDDPLRDFSTDHSLRRHVVGQHTEEDWHVMQRKRGKKTRRSHMN*
>EED85898
MGNTTSQQERLSRTGRNEGTHLPRIRSDDFLSEGLLYECGFDVHNSLDFDPITGEPRLEFDPSPEVGMSMQSSLALPLSQVEEGHLPEPDPLPEGRRRSIDTTSSREDTSNISFAHGPGPQNVGQDTSNKEYTTCTEGGSRVHREQDGDAANARIVPTMCRGQHSFDLAPTITPTWKPVWERTRPQGYNGPPTVHPEPSSSPNQATGPTRIKPSRAAKGEGARARAEPTQLSAGGVDQATPRVVKRKGDPIGGDEKRSRSSQGEASSSAQPRRDVVPRLSLPPTQNSTASSLPATTKAPRGQKPQEKKYRCTNCNMPKATFSAEYELNRHLEQCTNPGARPYKCWICPQKADGELVGFDRHDALRRHFKFKHPGERPPSKRDLN*
>EED85899
MSTSTVGKPTSHENVLDLSERPRSLFETLPINDLGPELSSRLEASLKVSEGVDCAKSARLWESLLEVYRIPYLLLRVADMRMTLKSRPTALTLYEELTSITVEEYKIGIPAFAASQRWQSTIETPFPHCKLQQMQIKELRDEWSSMGDPHILMDKFLNMHALATNKIEGNVDLNYSDTTRLIQVGFYHQMEPTSLKHIITSTAMDRAEILSILRDTHETLRDVFELLKSEHIHLTVNGICKLHETLMQTNRVRYFPEAPVGLKYIYLNIGVTRQVSRLNVTAPLNATAAFGEKGTAVQEFPVEKLGQDVALQLEASLKVEPSDGVACAASARLWEALLENYRVPYLLLRVADMRFALGSQVTALSLYEEYWRSTVTANAPFPCGNLKETEFRRMYEMWGLVSKKANDADKFFNFHCIETTVIEGVVMFDAETRDDLIMYGLDEKAVPVEDSSIVAGAVRGCADARAILRDTRQAIDEIYTLAKCEPIMLTVETICRLHQVLMKTSRVLHFKDNNSDGPRLAYTNIGVTRQHSRVNVVVQNPIVMIQFCPFDQVDKELRVFCERFNDLVRQDDVDPFAAAAWISDVFVTIHPFEDGNGRLSRLLASVPLIRKDLPLLRADRDGDYRQLMHFLYLVTVISVTTIKMMIGANNASNKICQWPIVIRFSTSSAKRMHYQVWEGHLVRAAQTEADTHSAKKMIDVPIIACMHASILNFKRNIRYSIRPSTSPSKIFCMDVNGDDGKRGNFIPSTAGRRARAEQILPAQRNEQFNKPISKQAHISSYRYIYMSTMTSWQQHDTQYRSMDNGTRPCKYFGSFGEVDGKDVESEEDIGWIADVCDDLAENMDSGTVATLKLLMLFRAQPYYLTENIHHPMTREDLIASEDGLVYNTSIFTSCADTDSVSTALAPGLHMADQNATTNEYDAHTDGEEDLFNEQDREAGTTVSACPPAPRHTTNGMADKEMSSIKDDRTGEGYVDVRTNVWPPARSPQPAQISDASGARDASVLCATTTQDHHSHSRQGLKHKRMLEQRCERCGARLSTGVAMPVRDNRVRGLRPPVTRAPELASSFLTARVSAFQQRNDDADEDNDEMAHVKTTTSAEPTQERKVKKIRIEPTEVPHVTCPGCGGSFREDTFGRHWRDHCGMSPERENRQPLICDVCRRMWQPGNDRLRDFSTDHSLRRHVVRRHTEEDWHAMQRERGKKTRRSHKRSKGAAELPVDTLGKDVALQLEASLKVESSNGVACAASARLWEALLEDHGQVTAHNLYEERRNPGKLDSSDSAFSIIGYSGTAMWKTVSKKASNADRFFNLHCIETTVIEGVVMFDESTRYDLIMDGLDEKAVPVDDSSIVAGTVRSCTDARAILRDTRQETRQHARVNVVVQNPIVMIQFCPFDQVDKELRVFCERFNDLVRQDDVDPFAAAAWISDVFVTIHPFEASNALLPLLDTSLTRLFGCLRANRDGDYSRLMRFLYLVTDVSLTLIKMMIDIAQNDSDGGLDPSPGSSQHSVDTILSREDTSNIFCARGPGSQSTRQNASNKEYTTETEGGSHVHREQDGNTANALIMPPMCRGQRSFGPAPIATSTWEPAWEQIRPRRYNAQPSARSRPPSELLYSREPEKPWA*
>EED85900
MGRRSNSITYLFISVSIQQPGSSVRSVREIGLFACTTQNEKAWLDELAHGIQDDDSHFGSPWIGIFPDDSAGPSQSDGEKQEMDPDISWIAEVCDDFAEHIEGGEMAFYAEPESDRPITREELIAFEDGLAHNAAAYSICVDTNHVSTVLAPGLRMAGQDAHHNKYDARVDGEEDLSAQYHQADGTIASSTSSPLHAADGRINEEDDMRGTEHDSASEGDVDIETNLWRSAKRIEPSQIDDAPAVRGALASKAIESTGHVSSPPRAASISNGFDARQPSTQAETSSPHPGTSLTRAIPSTTFVLKDQEVHDCRSRQGAKRGRDDDDDDVNEVAQPKATASANPTEEPNTKKTRAESAEVPHVTCPGCVGSFREDTLGRHWRDHCVMNPARGTREPLVCDVCWRMWKLGDVPLRDFSTDHSLRRHVVGKHTGEDWHVMQRKRGKKTRRSHKRSKGAST*
>EED85901
MALTLREDIDNISFAHTPGTHDVGQATYNEKYATNTEGRRGVRHADSIQDIDASNALIVPSMCYATTTLGSYSYRNLHVEARLGTDEGARTQQSNHGIQPSRAAKGRECKGAGGVDQATPRAVKRKGDPIGGDEKRSRSSQGELPAQTSSSTQPRRDVVSPRSRPPTRSSTASSLPATTKAPRDQKPQEKKYRCTNCNKPKAVASESIEILQTS*
>EED85902
MTEVDMAAVALEKRQSGQKCDRCAGYRSAPVECVWVENATTCERCAQFQQGCYFDKVSVLGKTKKTRGGGSTTKKRIRPASPGPSIADSSGSKKRRVDEPPRPLLRRPLDGASRLGLEQDDLDALDLDDESRGIIRVIREERAHIARRRALLHDMDLDLQKMEKAALAKGGIGFVRGAVDDE*
>EED85903
MARETGKELEGCGSAIDKADLVESRESAQGVYKGWLCTEQWIWLHDAYVCEIDSIGEDLRESFRAGVVVIDHGESACSCAICSQRAQLRDRVDPMGVHECAERGDLPWSVAEITPEYDFGYESRDSISIAIMTRQHESERVAGREEREQVVEDIVVEGAEQVERGGAYRSVRRRLQTFPCPYANGVSSKRITTGNQIRYAGKCTDSIAASPLQGKAQPCTAGSQPKSFLAGFGGHGLHPTLVSVGFMHHPYLEPDENWKQQTRKEIERELWPLVEEARARCTHLSQYSPDSVAMRVMTKQYEQTMTYICNMAETTYRERLARERESRKSSPYAYMNEHDLTQYLRETRNVLDAMLATPRFAERVSSSVTSPEQAQEAAITGWHRDPVAFATNIRSAPPRERGQAVDDDPADLYKWRLLSTMRGPHRDQRSWAYHTIGESRKRSTQVEANVSRADIDGEGSSQDVGMRRSRNVPGVPEDVSSTTSANLGSTIDFEGEQGRRVRLDEKASHRLRQELGGKADRGAYREVEEVLDMKTDAEQMEDLMDKQRELRVKVKRLKGEKLTLLSREQAIRAAQTIGDGETKFRISAKIIDRVSEIKGNSGQARYLVGLLDSNLLVSGPNGSPGRGGVKRNEGRSNPVSSQMQMQNGLRWHFNQSEI*
>EED85904
MDATTIYFTTLEQLEIAIQGISGDERYTSVCLHTDQLVLTSDSTDLPFAAATSRLGCEVAVKARTKAVEDTLRIFDVQDAVEVAGSHIPIVSSIEALDDAGLYLYDAALVRDEKVLVVWCDKATAIVEQYRRTEHCLRHFVSDCTCDSGRHSFDLDASVKEQVDDRYAGYDGYFDETVRKRLLDPLGPESKVPPAVDPAPSLGDLSVGSWVEYQSAPQSANRMHAGFLEQGKTSAPTQATASASGDAGASHAIQDKEAHIYSVVSPCPRTMSKAETSGKYDNASRDMVVSDSSTDNDNEDVDSLVGRETDGLNAVSVSEAYATRRYGRTSQSRGGKHAPPTNSTRVGEGMGHRQSSHQAWQDTIGSQEPLPRITAAQKEKWRATDSSIKDENAGPSTVAPEVTRRSQPSRNAKRKRPCTDNNDRVDGFSDSRNKKQKTTRAAPPQQQKEFVCPREKCEHKGFNRKSDFHRHLLGCFDIRQLVCSRCGTTWARKDARNRHVKETSCDRSQGPTGNGGGTSTQIGDGGGAGMESGQVSDATMHLRATQLGVSIEDLAYVLSFLTEFEMALLFRGNEDDDEGAD*
>EED85905
MVSDPFPEFCDKLKDMVSTNTDEWSFISSVPAETTEVDEPQNAEDSSNVPDLEAIVQETDWDLPPIEPQEIFSFESINSETNGMGTPLRSPEGISSHQEPAQEPEHFMGYPWLTHPASEPYLGLAYAHQPHYLDQSNTTTTSMYWYLMGLNHNPAPHMPYIAPYGVTSAYMSGLPYVGQNIFHTNYVNSGFGGITAPAADMPHPRSKKARTRFRQSHVIRKPRTWTPVARNYQCNNCHEWFSRSGVRDRHITTGCTKGKQKEWQCPICLKMYSRTDSRGRHCHSQHNMSYRDAVEWVKERMTSRDPSENEGSPAPPDDY*
>EED85906
MSLMRKPSCGSLLWNSGELSVIHERDKTGHPTDKFGLGGSKIVEDMGTSASYRVKWDDSDCNVSAIKGYGDDVVFVKHVHKCKNDVIQVDLALLLKLLKRYVDLDKALATCEPRKPFHVNMESVDDLVDLRDMDYQLMMLAMSHFRSPMDTVDMEQEHRRPGLSSQDIFGLLRRVKPRIKNTVDLVSKRRNRSQASCAKDKLTHLQEPPKGTMI*
>EED85907
MLASALLFTGLIAILLCSLAEAENTTCAGNALNWYSDAVGETPCREYQVPTFSNTAPGDKCNDELTAVVHTTSIVTTAVLAQINLCNEGIKLDNFLYNLFWNTGAWFYIIVNFYRIYSNIIVHFVIAYTRSVNNREPRRVFKQRFIHCYEQQSQHINGSRRCGWWYPGFYSNHSLRVVSLSQKTDRGFEDRRPRAVVRATNRAVNYTRADRISRYTITFLQADSNDNPLDVDTAELVPYVTVTQSALQIGDGPTLTHLPSTRSRVTCPPSRGAPPTYYSSEPSGRAPPTYHSHES*
>EED85908
MLEWWHKPRPTYPG*
>EED85909
MAIAKQEPQESLLPPGPKSKPANEKARKDALKSITATRRASAWQIHRWPLDKRVLLSRTRVHLPRTYLGRDGEDVRVVREGQDLNQFVHRHYFEELDEARKSEWINFVTPDGVVSRRHEYLGPDPRVAGYHLDVDGEVHIKWWDGFLQDQWMDRQKWRFEVKVDDEGKWVEIDD*
>EED85910
MSSPYFYAPMPGDTATNYLLLSNAETDKRIYPHFVLENVAYRQQATGSASYNGHGLAKSMDSFEPEVRQRSVHEDLSSNGIAPVEAACGSHSVQTPHNMPTIEMFSWPAHSQSYVKTSPTINEGACTTLGPDPFAHIDLSILEMIASGSGINDCDEEPSRFTSTGQEVCNTQHINSISEDATESEGHVGVSLLAESTAPAYRLAQPRAPARGRKARKTPRRPRAPAVKATRPQATSKGTLRCEMCDRDKDTGSEDYAHQASLNRHIRTSHLDRSRWQCTLCDKSMVRSDALGRHLKRQHHMPEADAKAVVAHAIVIPWIATVSSTYNMGPGSGIRNRARGKGKSVAPLVIQLRRQAAHGELRIERPRAQRKEAEDEPLYPFLALRGAAKAAAAASEADALPPRTPAGTRRLEVEVVRTLSTMNGRHKALRRKTRHEIGRQEEHQYDEQAWRRCAWDEQESEQTDEREGTGSVVPVQGCSVKVKHSASGASRSRRGWRKLLGLVEGDMKRVPKSSVTKLKGVRVKPKSASKAMRSVTAAEVEHQSMVPQHNEERVPVDVAPAFPADEIEHPVAPVGTSLEHSTAASVVEVEPVQAAMAVEGEPHERGLRLEDFAFDFGSCPGMPAELVAALNMPSHVFTVTEAQEVPATEGQITEATVMAVDAEILSPEPTRDTYDTFVVDMDTIHAEASSSAEEAMEDVYTTDDVLVEMASPVVCSTDPAPVDEDSDMLHAEEEAVEMEMDGFESVAAPQALLAQIEMSTQIAANPSTNAGPAGGPVALISAAIDMAQPEEDIEMGHQEQPVMVEENIKMGVQLVSVDAVFGAVAAALIAAEDGGGNIEQDIDAVLDHFGFVQECTVAHLDQEDASVGDITLVGGALDEDHNATSDQDWDTTFVEDAIASSSPKTEKQSRLPAMPLPEVVVSGSDLGEQVVVAGLEHVSIPPTSSAPVISLQTLLDVIAPHPELPDRLLEGPVQTAGPTFDMQSLYSTLPTNTTEDAEKTTNAGSFSRATRSGKVYGGGISKAKKTVAQPARKCTSSLSSGLLDNDAFRAVRNKSAAEQKARKDTRKRYKKISRKLARQDAESAEPKGGCGRFRLSAVNPKTGRREKMTPITTIKESTDDDLDVDVLCRMSSSLSLTTSPHGRTGTQEATDCADDLLGAFASFGLSTDAPVASSTGGSALDDLCDLFNALV*
>EED85911
MCTTYECKYRTWPSWSSTHRALVVAEIMVVALRRMDVRCRHMDLKPYLSPVHQKLERDLVAEDFKVIERYAPLVKIIGFRRGGKGSPRYESDVLHTGPTKIMTQCSPQPLLFPALHTLDWPHTLSTRFQGPHAGYKGFWACAGLYISPKFKKIVIDFSILPSHVPQSLRTLHFRCSSALKELCVLLTRDTVDSWTSMSLKSRGQARFVTLRKLDIRTQDLTAFNDLANGKFMFPQVETFSIDCSSFPSASEVDAFINALQDLFSPSRLQCLSMETSPWEDQWRSNTISSCGGTLRRDHLRKLLAFRKVQTIILQAQLEVDLDDDIAEEMSAAWPQIETLSISPQCKLWNKDEITATLRSLQSFTTNCPRLIHLDIPFRADGANIHLLSPRTPQNRALERLDLKWVLIDEHVSEVATLLGKILPNLKIDMNISGNYEQFNRWLAVKNELKSTLKGPTEDEADTPSEA*
>EED85912
MQHYFSYNPTRVVEGTPSASPHLPDPAASIDICTPLFPEDTMYFQTVRGPYTHRGFYSFMSGCEAVVPTMVEHQNFLNNMTVPMETLHGMDSVEMSNDISRINMGSWPGPSRGHPRTDVAIAGEPLALDSDPFSHIDSYILELMAKNSGISYDDGLSSHSTLSGVSSAQMPDLHIVGQNTYNTKHINSISEDATESEGHAGVSVLAESSTGPAYWLARPRAPTKAKKERKEPQRPRAPAIKAARSQVMSKGTLRCEMCDRDKDTGSEDYAHQASLNRHIRTSHLDRSRWQCTLCDKSMVRSDALGRHLKRQHHMPEADAKAVVAHVAASKYLTD*
>EED85913
MHSPHENTPIRTVKPRLLAIIVARQCGVNSLDIRILRQDLLSSSEKSGRLVRGAAGHVNMKPQSSICPPHVYQAAPGLDIATPVTNIDIRYYLFHPSENILSTDTAWHGGMDNTVDPGDPAYSLLESLGLHSVPIHADDVSHVSAPGLHIVEQETNNNAQIIQDEDLEHMLDLDLENAREEPDDIDHDGTPRDSVSAAITNRPSDLRQSLNDRSISVQQLVDPAAFECPKCKKPEADFTKRPQFERHIRGCLLGKLNSCWVCAEERKIAFARTDALQRHFEAKHPWAPVPSRKG*
>EED85914
MASDPFLEFCEKLKEMASTSTDQWSSLSSDPAEVTEVAGPRTAEGSLDIPDLQAIVQETDWDLPPFEPQEVPSFGNGSSTFSHIGTPFRSPEGSSSIQEPAPFMGYPWLADPATEPYLNLPYDHQPHYIGQWDATATQMHWYSMGLNHGLAFNAPHVASYDVTSAPIPGLPYVGQEIQSIDYTNSGYGYGYTATPAPDTRRPRSKKARTRFRQSHVVRKPRTWTPAARNYQCSDCHEWFSRSGVRDRHMTTGCTKGKQQEWQCPICLKMYSRTDSRGRHCHSQHNMSYRDAVEWVKERMTNRDASENEGSPAPPDDY*
>EED85915
MRVTLTLALALAMFGLAKREARGLGDSFLQERSGFADNAGAVVEEANSWMLQALQKKEHHSNDLIVSETDNAAELPTLRNVSGPASKHQESAPHQGARTVGAPDRCSPEARAANWPEKDVTLHEVFRREWHTME*
>EED85916
MGAAELARARASSIAAVKILQIGRWRKYTTLTFADIPLDVFYESCLLNIPEDDEYIFWRFSARYCKGCRKQLTIDYHQVPHELKRRLPPGATTIWSQPRLFCIENNPSEIAHVWSGVGPDDAGRKIVREYLSELVKQADTSATACEAWYKSKRASERYEQCSESARGRNANKKGQKTPRGVAPIRKRRGCLRRMLEIDTDIFEERCLAPNLRSSVLWEFGVRYCYDCRASIMLWLGGYELFFNTIPDPRIPQRNLFHIPQVEQICQNWSALALTETLRGAFLETVADIVRVTATHAKLCRDWQEYRVRSRAQEIEDIKRARSQLIEIKLRALGWDRMVEQFKAQKKWNKLPHMHTRKALTESGWERICPDIVGELQKASLAERKGVLERRLMLLKKYMRTYSANMRSPASECRPRFLDFALLPAVRAVIESPSSDIVDTATFQAMHRQIAALIGGLDTAIRVAFQTKATHTFVVPFGANALVLAMALFACTACKKRLYYPHVIAHQCHQPHLSSVYRLGRENNLYRDVARKVDGATSVWSADGFEIADHRRTAQLLQACGKDPQTTTVEEMDRSDIRICFVAPAGGSSRQIMTWRAADDWTNGEGRLASRQEVCLAQDAESRTHASSRAECEAQPYWCCALCTSQPLFRLKEYDIVKTHLYEHHHILLPSDDDIYLHPDGRPMTPKCVTLPVDTEPQA*
>EED85917
MSKFVLALCALVVLHGAAALPVANNGLARRVPVGGEVYIVSDDLFDKRTGGEAYITNPDFDKRTGGEAYTTNSELNEKRTGGEAYIVSGDDAILREPYEDIRCGVDELALLRMLHEISLVGRGSRGSDVHIVTLGVFEKPTGDEADVSTNEGPRSTLIVWEALTHECGKSSMLDKMMRSLAPVAGHDCRRNSARQVAQAQWIMDLRLVNVVVGDSSLHIVQRKGIHCLFQRTAINIVMLFVTRRQMVYARSACHCFATRASEFTHFLNYRRESKHLKARGVTVMAALVLTIRILMIHRAPPWTYEWIYHIVVTKRRIPFDSFNTMSSPYTNSQLHSASRPRTLRGKRGGLKEFLNVPLDIGFEQRLEENVDDPKIIFNMARRETQCGGTAGLSALSQRTPNCLKKNAKIVLWKFSVRYCGPCREALTVPGTHSKWLRVSSQPLWEHREDWLCGIKRFYMPVLYHIPELRRVELEYGRIVGDVNALQRFSERQTTQVKLIDEYAKKMEAWEKERDTQRAQVLNEIRSRPDLCCYLWKCHSLMYKAKPLSELGKVFLFSDWQKIYDMVKSEADRRKTVSTSRLPSVPFASSLSDDFYASLNDTTFDISDWLASEYDTCAQGLSSSSVANVVDNDVSSYLQNTAASDFKVLLRVLADTLVSQASNLDTFPDVVRPSLADLALTQHVRDMICSGEDEVADIQTMRDCVPSAVAYWIRSVRHRLQDILWSGMGTADLDLAMAFFECTGCMALLSYPDVVAHSCTRSPRSYSIFPAKRSQLDEYEEAVYAVDDAAAWSSDCLRIASSHSRIRHVIEVCGYYPDTAIRRHLDAGDVKLAYNLPEVPEDVRIVMMEHERKLSGLQPLHWKSSQAQPEATAKKGDEDDSTLVGYWEQQYWCCSLCHDPDGYCRDVHAIKEHIQKRYLLSQSWHRVY*
>EED85918
MPSSTPALSAEVLIAQKKAEIAAKIAAMKKGASAASAAAASTPSPAPPAAGTPPSVSDDLARRVAEAKRRVAEAQSKLAVKDNPYMSMPQTGKKNRPPEPAQQGAGLKMAAHPLLLDNTPTAPQSKKDRYKPMQPKFASIKANVRNVPTPPPAPTPVIPIESKANPYASAASAAQDSGFEGAPKERVGRNFRFNPKGKYVQIANQVRQEAQLEQLKQRIAESARKAGLDTEFETLEKNIRREPPPAAEWWDAALLPNKTYEDLELGMSALNIRNANSPITIYVQHPIPIPAPGDKNKLTMKPLKLTKKEQKKMRKQRRQAELQDKRDRIRMGLIPPDAPKVRLANLMKVLTSDAVQDPTRVEARVRREVAMRRHQHEKMNAERKLTDDQRKEKVETKKAEEEKKGIYGAVFKVKTLSDPAHRFKVRKNAEQMSLTGVCIFNPAFSMVYVEGATKFLRQYKRLMLHRIAWTEAARERGGEDVEIDEGEEGEGSTSQSRAKVAVNGDNGEEGAVSLEDNQCWLIWEGQLRDRAFSNFKPKSCPTDGAAKEVLGQKLAGYWDQAKNWKPEEEELF*
>EED85919
MNLHLFQQGATKEARRLVLNIIGSQKQAPTVHEIFKLAVQQESGGSPSKPESSSQAAAPGHPPQPRYPDHAIRSMSYLKGVVLPSLVQTKDIEKIHTLQELTPEEQAQRLQTLSRSARKQAASLSTAVDVWRWQLRAHKPTPPKPKVEIVYGKDVGVGVDWSHLNKRRQRSRALSVTRDVRWLRKLDRAREEGLKAETAEGETKADV*
>EED85920
MSHDRKTSYKVPPAAVINPLTSQEHRRQKRRAERFDSTRQLDFFADLTLGPSDEEADEDDSAKHEPEIVHEGVSSFASMLPSSGLSETTFSSTAAAQTQSSSETKDISMKEKKRGKKRKGKARQDAQGPKNKNLGRWADKCMYAELLEMIEDAEIIMPIHDGIPEDIETGWVAVTPVPAGKRCLAVTHHTSGIAGIAPNLTLRSRVLGKPLMKPFPSPLPPQTVLDCILDENWRENGILHVLDVLKWKGQDVGECETPFRFWWRDTRLSELTPFPPPPSAADFHAQDAPKSSSESSRYQFPHPNTLLPIPYHTNTTLSHLANALIPMTRAPRCVSVSIPSADQHDAPAMDLDAAPAPLIQLQTVPAEIKSDGLLLYVAQATYEPGTSPLSSWVPLQGYATSADARNPGNERIDNAAESPLVVFERLVKRRLSIGASESSPEVSMEENM*
>EED85921
MGSPTHNESIMNVASAAATPMTRPKATPENRDDTLMLQLAPPRTTAQHTPQTAPSTSTGSHSTVKAPMRWAHGVRFNGPLDEEDKHFIRQLEKIEASKANRVAFARARSTMERGAALGTLNAEQAAGWKSAAARQRQFQEETTRRARREKERLTKVFSTYNYKWMQLESLAPCKPFSFEFVNFPWPVLEPVVTREDITEERVREFILHPLWMPGQSNAAKLELALEKWTKKIPRIAFEPEETYSIIESQDDVVHILSHMTL*
>EED85922
MPGTYX
>EED85923
MTDVLTIAIRRMARDLPLQSPRETMMLGFTGYVVFGLITGCGYDKITKNVPLFIFFYGMLHAMGSLGPGNLNGLVSAESFPTPIRGTFYGISAAVGKAGAAIGTEAFTPIQDNLGKKYTPRLFDAWTFIVAAICGVLGVVLTYFFVPEMTNIDFADEDEKFLLYLADNGWEGVVGDGDSAFSADEVVSVEEKEAMQFKLVAVLFALAFLLGASAVSVPPDATEIVSEALDECVLVHLGFQGDAPCHTVAVTLGCNYAKPGLIVTPLSCLVGPRGPGCCVFCVIAAGLEELRQRPILEECSIVYPPAASWHESLWLDFNTSPFAAAAMFDEIDPPSPSGALVSLAPLDPEWQPILHISDQVVLYNPTSHALSIRTTPNASLARRASRHCPYCHRTIPAEMHAAQDVDVDDVEHGKFEDDEFTWRPRSRASNYFQLLETANETMSRPATPQRTIPAHEDSSRSTDSSNGGRAFRADNMAEGYFKAFFREEGRLGMGANGTVYLCQHVLDGNSLGHFAVKKIAVGQSHSYLLNILREVRLLEQLHHPNIVTYHHAWMETCQFSTFGPHVPTLHVLMQWAEGGSLDDFIDARLGRRAPTHLPHLHLATTTAPGSSGASSPGLAADTNTLPSPSAYSRSARIRAFRALQRAPPGERERLRRELGLDGGGKSGGPADWKAVHLLSAEEVRGLFGDIVSGIAFLHDKSILHLDLKPGNVLLTWDEGRLIPRAMLSDFGTSQDMLKSRMRSGNTGTLEYSSPESLPSPTGQLKQVTSKADMWSVGMILHKLLFFRLPYQHASDSARAHGAGQSGDATDAADADADALEREVQTYAGFRPSSALATAFQSRRLPEAYLMLLEGLLNINPSTRPTAERVLAAVREGRLDPLSAEASDRPGSSGSLIPMPLRRVFDATALLASSISPSPSPDLHAWVSSQEPDAAPLAAREPSAAEKRAREPSAAPTPVPAHARALPFGLSTNGVWACTLSVGGRQAHVRIPRVVWLRTVKSCVLVAKVLSVSRICVGTGTRTGLGLDAHANPLVAALVLALAVVDTWFETLMPTLVLGLLHVVAVAYGAARGC*
>EED85924
MHRLVSDHPNVLTVHDAFEDERFYYLVMDFCSGGDLFSMIADDHAFDRNDDLVRRVFVQILDAVEACHKRHVFHRDLKPENILCSKDGSAVYLADFGLATDRRFSCTFGCGSQHYMSPGKSPAFRVGVKGYSTPHNDIWSLGIILANMLSGCSPWELASTVDPCFAEFLRDEHHFEVMMPISRPAGALLQHMLALNPFHRPPISAIRRAVLEMETFFMS
>EED85925
MSLPTAQTFILHFWLVAGGEVHPRCQELQLPLTATVEDLLPRLITEERLRGNKAPVYLVVVNLSSSVPPKPPSPPIPDEYADEVHNKPGAMAMGYVELLKRRLATTGRGSPPEAVNSENYYLNQENDEKCIINGHYAQGSPLPIALPIELFHPMFAKFKTKRIDDTTSLPPYFLRDVAKFMNEVSQISTEESERACRTRNSLSAILNHSVVKFVNLNGTSADHIIANTNLPSVDREKLMLACFCPTFLIALGGSWINIFRAIYTIAAIVEDFTGYVSLASSAPSNDKAKITEIARILWALREALDELNKWYEQLPVPDNEYQRYFPLATLCKLQDDEMLSWTYEGTLKHQEGGAEDLFCPIFLVTDISNVNRKLVVKFVEAYGKDAHELLAAHNLAPKMIYYSDVWPAQGISGRLKMVVMVHRLCADGAAVPRPVYDDVQRALKLLHDTGMVHGDIRHPNIVVADVVPAARGNVEAEDQAEGEGYVEVEGQGEGEVDDMCARTRIIEFDRAGKLGEVRYPPGLSGMITWAKGVNDCELIKPEHDIFMLGKLLKKSRNW*
>EED85926
MAATTSMQTLQARLDSFKKSKRTKQSLSRSTSANSLKWPHPSSYRATPQTLADAGFYYDPSLEDRDNVICFMCEKELSDWDADDDPFEIHWDKCRSTCPWAAARCGLALDVDERGNFHFTDPTRFPTSKTMEKARLETFTAQQIWPHDSVKGHGASSKKMAKAGFVFTPQFAGDDTATCLYCNLSLSGWDEDDDPQYVLLNHGHEEHVKREKKSGSSCAFFMAPGTRSTKPPPSKAVSKPPTLIESDDELAGPPPQETVPRHTRTKSGASRASSVPAKTPASRRSTRGTSKAPTSRANTGSEVEDTDAGASESDAGKRVSKAKRRAKAQINVIEEEEEAEEVPIVPEKPKRGRPPKAKKAVPPPKESAAEETNMEPVPAPAKNMHTRTRSRTNLESESEPPVPSSSKPTHPRTKSSAKSKTAIAPAEKIDEEAEDAKPAPAPKSSRQKAKPVEEQFEPASEAPPAAKLPAKGSKVSRSKFKRVLESNPEPEPMHEEPPRAASSQHKVIRESSSTRKGKARAASVSSVSDDAGYATAEPPGDVGVTEAMAVDPTSEGTAPPDTPMDDLSEQSSSNKDLVPLPTHGAQAVGSKTNKPSKRIIDANGDVVMANTSARGAKDESTASGRSTPLEAGSVRVTKPNRVSPTANSDASRSSRGSRSKEKMTIVEIPSDGEDTQTGNIPAQTKHRSPVAPTVRDGAERQQPSAPARVSSGSHKAKQKLQVEIVLPLSKTKKAKREIPLPKAEDPTPINQEDMELPSAPDAMAEDQGTIATHLTSPSSPRVSPVISTGAITHIAAVNGREHSPAEESEGTEVLDSPDSFTPLMAMLSMTKLTSLTEEEASMTVEQYIKREIERQYKQLKVDGEQQLAQLREKAAATRKAIEAL*
>EED85927
MKCGGIRPAQDDDSAVSAGTVIEAGVPTILHSWSPQFHTCDVAHREARDHWRQIQLCPRAYKVTTMGTCSLPGTHSRPLPACASIFDPTSGASFSHDPLYTGILQHLQQAHVIPVDGSDTGILVLAYTWLVGGLELHVFVTRIFSHLDALNRPYTNPVHIGRSGVLCQIVARVLLVTQAVNDVQEEILSVYI*
>EED85928
MPFQNFYYDAQTHSGYENFATHGMEPAQHTSIDPRSLPPGNLSSVRQSVHPDSAAESSYDLYSMQAFGMAVPPTTINDAYLPPGYYHPMPHSIIRHIPHPTQNIATHQAGISYDAQIRIHYGSDVHIEAPQAAPQVASNTEIPFTMDPFVHIDPCILDLIAAGLGIDDLSGPSSRYTPSRVSSAPTPGLQVVGQEIDNTSHINGASGSNNATAETSAAPPYKLRRRRSSTKANSKTTSRRSRAPAVIATTPDETTDGPIKCKVCGKKFSHRQNLNRHVRITHLGARKWDCVICSTKNSRHDALKRHLNNIHNLSDLEAKHIVTLVKSEGLWLYRRYPTNIVSSTYNMGPGSGIRNRARGKGKSVAPLVIQLRRQAVHGELRIERPRAQRNEAGDEPLYPFLALCRAAKAAAAASEVDVLPPRTPAGTRRLEVEVVRTLSSMNGRHKALRRKTRHEFGKQEEHQYDEEAWQRYGWAEQESEHKGEREKTASSSTTVKMIPGQGCSVKIKHSASGPSRSRRGWRKLLGLVEGNTKRVPKSSATKLKSVRMKPKSASKAIRSVTAAEVKHQPVVPQANEERVPLNLTTPAFTADKIEHPVAPVCTSPEHHTAASAVEVQPAQVAMPVEGEPREWGVRMEDFAFDFDSCPGISADVLATLNEPAHVFTVAEAQETPATGGQIAEVTVMAVDAEILSPEPARDTYDAFVVDMGTIHAEASSIAEEEMEEVCATDDVLVEMASPVVDSTDTVAVDEDSDMLHAEEQAVEMEMDEFESVAAPQALLAQIEIVCDTNEIAANPSTNAGLAGVPVELISAAIDMAQPEEDIEMGHQEQSVMVEEDIEMGVQLVSVDAVFGAVTAALIAAGDGGDSIEQDIDAVLDHFGFVQECTVAHLDQEDASVGDITLVGGALDENHNTMSDQDWDTTFVEDAVASSSPNKEKENGLPAMPLLELAVSGSDIGKQAVVAGVEDVSNPPTSFTPVINLQTLLDAIAPHPALPDRLLEDPVPSTKPMFDMQSLYSTLPTNSTEGAEKTTNTGSFARATRSGKVYGGGISKANKTVAQPTRKSMSSLSSRLLDNDAFRAVRNKSAAEQKARKDTRKRYKKISRKLARQDAESAEPKGGCGRFLLSAVNPKTGRREKMMPITTVKESTDDDLDLDVLCRMSSSLSLTTSSQGRTGTQEVTDSADDLLGAFASFGLSTDAPSASSTGGSALDDLCDLFNALV*
>EED85929
MSYHSLRTSMHDDTRDAFDYSVLFGPTADNIVYPLPYSSSKSLEDIRETCLSEIILPAASGFEMGAGVVLGGASEFNLVSSNPTYAIGHRGHIMANGRGWIQHPEPDPFPHIDPFILDLIATGSGIDDSDETSWRYTPPGQELSNTKQTNGASEDATESDGHTETPLLAESSPDLAYRLAKPRSPAKARKTKKIPQRPRAPAIKATRPQVASKGPLRCEICRRNKDTRSEYAHQASLNRHIRTAHLDSSRWQCTLCDKSMIRSDALGRHLKKQHHMSDARAKAVVAQIAASKYLTG*
>EED85930
MSLAQRAKRGVRIIALPLATSAAPAHKASHHLTYYHFFTPPPRESEARSWSNLIITKVAHLRAGLGKAPEGNWKTSSKRRAFLYGERFIDRLDFEELALKSFDTSLGPKLLPLGHTDKLDANGHPTRVPRHWRTCMPCLRNALPRHWNGCLVWIAISPLAAPLKLIPISPRFPFFFCAWRAWSHYRAFKASQYLQASIAQGAILSQESAELDTTYQVYAPASLDPAPSCLGADPSSMPSASPLCDQRPTLSNATTMERDARPRLLITRAAVPALERLFALPPGSSFASDVYRALEQARLRLQAKL*
>EED85931
MSSSSSSPKRSTDGPALPVEIWDIVLDCFVGARHHQLLKISLVCRHWRNRCSPYLVRHIVFNIEATCSASIGQDVHVRDVVIEHGDWRTGDFHPDVFYHLHTLLKKIPSLRVISHLRTPEGPAIEYLRIDFIIDVHLSNGAVVSEGPECKGCALHPMPTRPLTGPGVLDDIMASLKTVSVMCWIVPHGTFEICVPRSTTLNLIRNESGDYIDGPELGFCDTPLLFALLVIAAAIPKTLPERAGDHYPAAISVNSHICVFVPCAIGDRPFRAHLRLLSPDLVPPSPLPSLTVTSMPFASTLRPQCSIAFLISAQSARPWVTCPPEIRVVGLPTAFREVQEDYRETNGHLI*
>EED85932
MTIPRSRTQVQRLERSSLRDKITSPPRNAVSDMHDISGDALLCRDESTTNIAIVNDSRVAANMEEGVQQINIQSSDAAINGDTMAALPFPQGIDIPSFPSAIGYFPIYIPLPQPSQPSQPSQPSQPSGMPDIPFGLTEDITRGAQDTTYSEAPPQDWSTTPASKNYPEDDTHSADAMTVSQMQASGVSITETHAMTEPTRESDHDIAQPGELLELSLVCQHWWSRCRPYLVRNIVFNSRVDVLREHRTRRRDWSGPRCVTIRGAENTRSLSHLGFVGALFGPRWPNVRHVVVEHGDWWAGDFHQDIFLHLHILMENIGHLGLRDVTIPSGAILRGLVSQVHMRFLEDDEEYESPSDLTLALAQVRLLPAVFGRVQSGSMEKDVICVAPTGAGKTLTFWMPLLFREDGIQIVVTPLNILGTQSVAELELRQQHLNCSSIWLTYLNVINPELLMKEGGGFERLWKNQDFASRIISIIWDEAHCVSLWSAFRTEYRDAYRLRYLLPHPFFNFFLFAGSNPRPLYYLPDMDTPLSTVRLD*
>EED85933
MYDERPASVPEYSDATSGDEPSIEAEYWCDTCGPLPRMWYHPADLGLDTSQEWAQTYIPYPFECAGDAARRIREALEHQANDPAGRRFVMTSVQSQTRALPLLQLQDSAIPDCNTSEDLSHVQDEQDVFTSLRLDNCDAMSHPFNAEEGGTDITEPMALAAGTNSLVGSLVGSRVDVSELAVARKDGVDFQGSMSRFSKHTSMRTLDLTVNSELMLNSSSSFSNYATEPSADNAFPSSSREIMGGWSQMYSSPPRYPEDAVQRTRSTRENVPFYQDPSSADTIATVDDFFNVHPAEAHIAQGIPQIKPWQAPAMSEFPSGFTGDTIRGTTQYATYSEASPQYGFLAPTWGPSLAGTLETRLPIHPHSDPAASNASSSTSRPAPTWTPNHWRWLEFRFPFTGPALPVEVWDMVLDCLVGAEPWELRRLSLVRKHWCTRCRPYLVRNIVFNNRGDVLREHRTRRRDWAGPRYVTIVGTENTRSLSHLGFVAALFGPRWPNVRHVVIEHGNWRTGDFHQDVFHHLHTLLRYIQFVRIHDVTFPSGAILRGLASQLRFVGDDNRYPMVALAQVRFEDASMPPTSLSWVRNRHRPGNGDYAVVTYQLDDLDATSLDVIAYWLLAAPDKDHELFVETALSFGNLHDTAPRIIPLLKAVGNRVDRLIVHVDRSLARHLSLPQCPSLLAYDQRIYELDLRIHVQESMSYGWLLRAVSRIPTPEGPRIQYLDLHFNIDVHLSHGNIVHKGPECEGCALHPTLPRSLSGPGVLDDIMASLKTVCDVLDRVLRKSGEFYFWVKIEICCCAQFDPDIWKPRLRPWFPKIRKSYALFSGIYYNRSGKSIDYGPGLGLWCMHCDEPLWTDFAGVAQRFIQVYGTQQSTPLALNCTGTQSVAQIAQACCQAGQLRHSVTTFPPGDNMQDGRGGDEGLATLHKTTVIVIFVENQLSWWIYAAEVSSSRSPKASWFRTDPRDRIDDGGTEALHRSKCYLGLGTRQPVEAIWASRRKPWRCRRMHARAAEWLHRACTRDDNLLERERREEMDGPQGTTGWETLSSWTTLDATAHNNMRSDDTDCLLERAGQSMPSSRRRGACSIQWTCEAAVFMNMPYLETSIVRDSIFLVLGMVLAASPTTLGFASGYFSERPCEPSGPFKVKSLLERKDLFRWRMYHIPDEGITHRVTKSAGEAVGTLLMFGEGCVALQCSPSSIDANADPVRRCVNAKLMYTAHPGAGATCARTGIGDNAVISSTTSNAERAVDVSDVLLMLVSSLRGCACNAEVTGALLECNPQRRVYLTYLAMTDRKAVTYVLPFMLTHQPNAAHDEAHDQDQRALPASKRVKDQTAVLHQPRMSDDGLRFDHTIKFAERSDEEMSVAAAHSTTVQTRELGQNIVVLTATSSPTLPRSERAPRDTSSSSIGDFMSNTEAALSDASSLASSQARILPAPRHPDFTASDAWVSSPSPASAWHPEDWQELEFTFPFTGPALPVEIWDMVLDCLVGAEHWELLRLSLVRKHWCTRCRPYLVRNIVFNNRGDVLRECRTRRRNWRGPRCVTIKGAENTRSLSHLGFIAALFGPRWTNVRDVVIEHGDWRTGDFHQDVFDYLHILLEEIEVLRVHDVTFPSGAILRSLVSHTRFLKLGYNETSRTLSLAQIHVQDSISHGWLPRVVSSLVTSERSLTNLNDLSIDFVIDVHLSHGNIVPKGLECEGCALRPELPLSLSEPGVLDDIMAKLKTVCDVLDCDFVNNPRIIPPPFRLSTGSMVPEVKDFWSAE*
>EED85934
MPLQEYQVVGRHLPTESDPTPKIYRMRIFAPNEVVAKSRFWYFLRQLKKVKKASGEIIGVNVIHEKKPLKVKNFGIWLRYDSRSGTHNMYKEFRELSRADAVKALYQDMAARHRARFRSIHILRVVEIEKTEDIRRPYIRQLLTPKLKFPLPHRVSKVRSTFVAHRPATF*
>EED85935
MAACQGQVHAFDSQDLVDVYLPDGPETIIIISPVFLNQSLVQVKREEISLQTLHQSLSLKRVRVKKESRSPSLRILLGPPCQQRLPPHQQSLTYDVSKGDAGSSCTGLALKD*
>EED85936
MWYQLRKSDSLPFLRLKPNGQYFESARPRRRISAPQLLSEAQKAQLVRIDHKSTAVKQVRISPIGPRAGASAQRGVLFDDSGDVARWRTSRSSKTIRRRNRLAAVYSVQDIVATTTTDSKLEPFLRELVDMLEQGSASPAPSLPLHDSTQPTTSSAYEDTDVATMDEKENRFVSNTMTPRTLRRKQRIGIPRQHSSRSMRKRSAASLCKGSSKCLDATRAPVTTPIVLSSSPNPSSSPSPSPQPRPKPQAAHIPLGHPQRPLYSAIRKNMARPSIPDTIIPKMDLPSLHAQVCELARETCSLDVPFGRTFDLAPATAAGCGWTWGSAGEAGIDMRAELARCRSADSVGDFAYDGKRGGAVKEKVRSLGKGLRGLLLGRA*
>EED85937
MAACGLTMKSGFQILVIPDDSPLLRPPASGACPVSRLAPETLIEILALCLFDVEPLEFCGKMSDEWEQRFDANAFVASMMLVCRHWRRIILGAATLWSRMMVSERTPPDRLPPHLERSRRAPITIFLYECRRDILPVLNAHLWRTKELHLWFYNDINSDVLTALSAPAPVLRNLSVYAWNESVDAVALPPMFSGHAPSLRQLHLSPYCLRPLDRFAGLTHLRLANQADNPAWTISVLLDTLEAMPNLELLDMFAVLMGPLPVDDPKRLVVLPKLQLCNITYHDWDVVSLLLSHIEIPERARLIMEEFMGGHGDSIGMAFPADVSHLKNMSRITKIQLRHLHHTISLAGFSEDGESSFLYDACLSGWKFDAPTLRSLSRFLNVRNLTEVWVDISPGRGIKGPKKLNTVELWTSVFAEMRNLRLVSVSKRFSGAIIKALTPKVSVTSATSMYAPNLETLIIYNDVDISAGLLQTLARRRHALGHPLQHLHVAMRPYIDALTALLRLRGVYIAQLMMTGSMAIYSLHTYLDYYFCPPEVGTELYNVLIVPTKATDNPGIDLAVKATTKRHELPSRKHQASGGEDQEAVNALSRRGNVRSGSDNTAWDTNMSSEDHARGAWACSIRARARSLRGQPQTGRLRGQREIGEGGDDERAASVPKHIHMVLAIEEANGFDAIEIVLSASVLDGTATVMFSYGRCCVYQQKTRAGIGGVMVEMYMSLDLIVQTKRRHEVNSPSPLTATYQALDEVTMAELQYASRVVSDIQMHRESSNLMSDEYYKQVHQLGLAKKLEQLQKANRPLGYEPSECHVTAAEPWPLKKVAISILYPEDKDNSTTAEVVRELVARFKAHVAAGLAKQPL*
>EED85938
MQPTREFVEFEVDDKENPRRWNTWFKGFIVVWICFNAFTITCYASTYLDAVPYVQELFHVGSTVAKLGFTFYAGACGIGPLFLAPLCELYGRKWVYVGSALGWTALLWFGFTTYTSVPYMVPIVASGFYGFGFLAVSLSTFYYTIDAYTTYAASAFAAQAMIRSVATSVFVLFGTQMFSGLGPRWATFILAMIGVVEIALPIVFMRKGEALRKASGFASLEAEHVNASSDEEKQSVDDEKQVWVEGQTA*
>EED85939
MRGVLYTTLEYQRTSLTPNPSRGHVCAPLVVSGPHRGGAVPLATANTDVQDLGEEVAWKAQSESKTGIRALSTHCGETEIDTRTSISHHTIEPPYYTGPNTSRRTTHLRPSFYFHALSLTGYAADCQMSSQLCRRAYTLPPRAVRGLVLPCARVPDEFHFRGRGKGDERDGRARRGITAGREWAGRSRTARDGRVVRGRGRRKTVHVRSGSSSQGGGASREMEVGRKDVMAATGDENETDSGKRRPGGGKKYLMRALGCKYSDVCERSPADGSLLRQGARREARRQRVLAGLSRLADFTCRPEAGAMECLRWATIVQ*
>EED85940
MPPLDGSLALPELYEWHAEHSPDHPLFVFAKEDGSVRKLCWPEVLRAVYTGVKIIRDRAHWQPGMTKAPVVAILSNSDSVPYATTTMAIMRANCTAFLISSRNSPAAVAHLLNKVGAKHLLVGDEPSMHGLCKESLDILKTQYSEATVPETSSMLGFEELYLPVSQSPSREDVPYEYKGAEEPAVILHSSGSTAFPKPITLTTRCLLERVSSISWSERDLAGHIISVHAMPMFHAMGMIFAAYTASDGIEKMNKFAPQHSRLFKEMILVTRSTKPFSYTAKHSIRRSAIIQDYEDEIADLYDTVNASAQSSIQPPTDWALPATTEYVRTVVGKVMTHAVADSVDVFQHGCDSLQATYIRNIILRALRETTKIDTRRIGDSFVYDHPTISSLAAFASSVAQGTHDSATARTTASARIMSMRAMLAKYAADFPARPQMLLPSQPERDAVFVTGTTGSLGCHLLALLVADPKVGRVYAFNRPAKTQTHLCERQKSALVDRGLDAGIVDSEKVMLLEGSLTAEHWGLEKSAYEEISSNIEPSHRLTDLHSAWRVDFVTKLESFEDNVADSPGDVVFTEGPIKSDLAAGTGYAESKWVSEQILYTAASKTSLNALVVRVGQVCGGLDGAWNAHEWFPTLVQSALRLGCFPDDDKGVNWVPAEIAAGAIVDFSHHASNTTRTVHLVHPRPVPWHILASAVASELAVPLVPYSEWLAKLEQAYQVDKRDGLGNTEQDNNRDLRTLQLLPFFRGVAGKLDIARMAMGMPALSIEQAVARSPTLADHTIRQLGGEDVKRWLAYWRKVGLL*
>EED85941
MASSSAFTRGLPLAGPGRLSRTFSVAKLHTLAACEQTDAASPSSHRPTRAELEARLAHAQVRAQARGTTKPTRRGIGLGLPAELFAPQSCIIAAKTVTAHDLTPALALESTELETATFSPELALARLPSYQFHGRRSNAPPPSSDVSSGSVDSPTGTPQHSPIPVRKPRQPRHSYAPPASSFGAPPTKHGLIGLGIMISSTAPQQAFPGLRRPPTRIDIPRISGLLSPGLVVSPRELVQRNFPITPGAPLRREFAMQRAIPRTPGAPRIGTLPSDEGIPMFHLPAGLITPIGLGILIDPLGSPSSESEPESYFEA*
>EED85942
MPDILPTGSSTFHLHNVLVPSEFGAAAIGTALQALNKSPVKQCVTDMDQAGSPNLSSTLESVQIPDLAHDAKAEGTIVAVEVIDNGNGNGNAVPLDDVPPIAVNAEASVSDIKRRPGRPKKQPAPAEQPRVKRPVGRPRKDGLPAGSVGPRRPTRPRKRPPGTFAAQQSISAPFPYAVRRFFQPQFNFPPPESMQTQWRASVPPMASLQRPPPAPRPVSHMSIPIDPSLDRDNWPELSRTRPDIFLHTLVMTLQAPNLVSSGGPTVEEAFKSHLVSLTPNKNAPSIPTLYSILKTFWLPSSPVYFSLTSSASTTRTPSDHRFFYWDPHTLVFNGIACPACSAPLMNRGRIGTGPIKVYDLHKPFFIIGCEYQCKSPVCVAAAGTEGRRFASTDLSILRALPPKLRDEFPALLIHGVPDLGSGPEIWNWQGMGVSIALWNMVHASLRTGSRKETILEIIQAVQQGVPEDYAPFPPMPLPQQLEQPIRGEEEEEEEEDTQEAAQVEGDLEYPKDKTTDEFNEAWHANSGAVEVAAGGVPGEAGPSTVAAAALGEPNGNAGDQPAPPPPPFAQFGQPAPPPMYQPYGYIPYPYLQAPGEANAPNPNVLKRTFSIVDGTPDPEVGMPLHKRVRHCCKCGSNECKGKGGRSFCQNPCQDCGKVDCKGRNNLPSQSIYIGKVGQKPAAGVGSGADTSTSGRCWATEDREEATGIADRRDKYRDIGVNYMAAVNSTEISEG*
>EED85943
MVQELSIVSVNLATVPMESFFYGIITVLFVASTYLLLQRDREQRNTSVSSKPIWRTPMFIATVFMYLMLTGHWILTIIRLFEAFIDFQGGTEPIIAYADLSRLTEVVKTAFLVAIVLTSDAMIIYRLWVVWSYNHWVVIFPVLSWCGLVACGTGVCWQFAVYTLGDDVFKSSAGRWITSDCVFSFSTNVYCSVLIAYRVWRTRISSKSYGGASVMGALAIIIESAALASSWNLIFFITYQVKSNIQFTTCDLWASFCGVSFMLINLRVSLGWAQKAGGQHTSTIPRPTQQRSMAGDSGYAMRPLAVNITRVVNQEDDYGIKKQELSSEGSVLPV*
>EED85944
MPQVRRYVAELHSAYLFKSAPVKDVRESVQEREVAGLQSFFLVVNPRDATDEGFLGGTTMGREFWRGHRGCGAAGARAFQAQCMRSADGSMRNPAVGTSTDAPAVINIASQKKGPASSLKAEVYASIRNAIRAASGIRNAEMKWKDHYKLDIYGIRLEGWPHDVPKDNPSTLSVVQNKEVLKALQSGRMSFVRIAGSSGQTTPSSGSQQSPEISDDFSWACGYPDEATHSPQDHAMPSTNSGYSSMPMPSATAWGEAHGNIGCHNDPEPLPDSRGSSSPNKRRRTNDDAG*
>EED85945
MAPPAHIAQWLKRTYPKPQVDPDWLEGCYSWIEEEHHLDPTTQMDEIIHHVDLQLLQSDLTDSMLAGTGFPPNIAALPDTTIRGPVLVQIISIAEVGHSAFTLQNVRQARLEREDMAVTAQPLSKLWNIAASQSSFSGRRPSVLRVTKPQTLKHCKTVTSHEVSGYVWGLYFCHWGEVIAKDSNFSKPDDPAQEEPEPRREYAPAHALQQHAPAARANAAPPSTRQPQAPAASTRSPIRSPLNELSEPPAPPIAGPSGTHHDDDEGQPRRRKVPSRTQRSPSPDPPPRTMRSQYFVSGSDSGTGSRSHTHNPSADIARERLFSPHRQPPVVVPDSDEEDLFAGAPTNAVGKVGPSRKAGDTDNSSPRMPSSDFDFAFNWDDAFQEKEFIEQVERLENAERAKRGGTPQPTVTTSSSATIVELTQGRPSAAVTQRFTTSSNAHASSSSSGDRARSTTLGMGSGPAPSADRRTVDMGIIDIDDSDEDDKENAHGEVGPT*
>EED85946
MYTAAVIVLGVGVTYAAVPLYRMFCAATGFAGTPQVGMGKFEPERLVPVENAKRIKVHFNADTSDALPWTFTPQQKFVSVLPGETSLAFYKAKNNSKQDIIGIATYNVTPDRIAPYFGKVECFCFEEQKLLAGEEVDMPLLFFIDKDVLDDPSCRNLDDVVLSYTFFRARRNAQGHLEPDAPDDIVQASQGFAEYEMAPKVEDRKSE*
>EED85947
MLTWPQGPTNVAVKNIAEKLAKVRFFNFKVLVSTDFHLGWHEHLYQRLNANIIRSDEFRIAEKQLNGCPVVLCTLSMLSNPRVQGFMHVVSINTLVVDEASQIAIQDYVPPLSIYSTIQKMCFIGDNKQYFISKTIYNNELASYKEHPIPSQVMATTFIHVQDATEKKFQTSYVNAEESKAVMKIAEKLEMENKSYRIITPYDAQRNYLEKEMKAFGLRWENKCFNVDSFQGNEDDFIIISLVRTKDLGFLTDLRRTNVMLTRCKRGMYICTSWEFIQVIAKETLVGKMAIEFGDDAWIGMGQLEAGEF*
>EED85948
MEFQGAIPRTVHWTLEQCREFCGGAFLTHGLVTAELGDLDLCCCGVVEIAKTALERHEEILDVGKGRHSPLYSDLNSCELGKDWGGPAAGGMEDGPGVEAVVVAVRQVDFGGNKCVSSLTAAMMVEALEETRWEGDVHQRLEPRRGPRTTSWPN*
>EED85949
MSIWEATQGDAAHAGGIRNGVPDRRVTVGESDEETCVSDGALRLSDDETCVSEDETEDEEEETEDSEDDEDEFDETGDRYTVLWLAGMVTAIRYPEVILEFGLGYVPHQAIAEAKEIHRRLLRGILLRETNIVVVPTKCNALFMHKHSSGENRLRLSYSQLRYTA*
>EED85950
MACSWFATPKGPQQTLIRTSCMLTLACCYLMWAVTYLAQVFPL
>EED85951
MWRWCLRTVQNAGGYAVDIATSPRPYLFATSSFWIRLYGTNSKSSQTYDGPLLSVYNGARRGPLNQAMEMETQRAWQATSYRPAMRTRAVSEHPGALTHLLTDRTLRYGGGRRPEPHGVTPAPSSEERLHNDVLDAGRRAGPSSNASSADRLRELLFHANHTVKSDEPFGINRIRNLYLSANARGQLPSFKPGDFQALVCLVGSLSVSTSRRPYVSVYSHPLVTRMSAHSLRPHWGLLRRIVKDKSSLGYRLNVSDHYWLMRAHIAELQTADSGKWAHLHPDVHVHYLEALLVNGNEEFIEMAICKACDLLLWYHDCPPQLLAVFWKVLLRTDQDIRPEMKRNILQVVQRRLCRRLSIQASLSSHSPTSSRPADSTRSASQASVVEVLITSLERALFTRSKSSTSPLLDWAQSVLCPLFPPTSDDGSLRTQWTYLILLALARGLSPSSPNLGVPTAQLDTPRMAAADWQAVCVLATLGRTIGSGSDMRTASLSDEAVHEVSRILNTLWSNWISAVREVERHSHPVAAVAISAEFFRLAGYLRNRGLCQDIAQFCTSYMLWSGHLEGDDSDTRPAVLRLAQEFLTSSLRCRVPTDLALSTVMDNISDPTLLSEVVSTTLSSWSHIDAKAAHALRLLAFQLNIGVTSESLTDLNDALAEEGFVKLALDSLSEGHFTPKQHLRILTSILSVLVRGGHHFRKAFFSPAVGDAMLKQFTFKPPPHALRSTLQNVLPLMPRFGQSSTAVAVLKSVTITRPGYFSGRAFVLLLEALLRHRQFRLAVTVYELAIKSRQLDSPSSGRALILHLSRVGARSLALKLAQSVETQSAAVSFARKLRYQEASPVPMLTCRVPRFLERHVKPRHFVHRAVGVLIRARRMRAAKRMYERLRDAQSESSRTAIGNHILYSSLLAKSRRNARRVRKGLDTLNQLTKKFGFVPDRVTVNVLLVGLMRWTSVVDREKLRVLFDHMVRSGYPTGGLHFRSVVPFGTAAPTTQMLNIPEVHSSLSYTKHVRPLYKMFIKAFHRRGDKVAVGVVIKILKAVEAEAVDQSEQRELARAEGIRRKSARNSRR*
>EED85952
MGWHIWTISIAQSNRHRGPSIFDDIGLNLIPQSASDVSWIYSELFGRYRHWVMCGGLNPSCDVIPPDLEVPQDPPDPQDSFSVLGKGDHSSFLHVAVILTDVPMAYECIRLGTMVNRQDAKGRTPLLFTLVLILDAMWTFWGSQEGLDTLPGRFRFPSLPPDLMIQTSNPPRTRLVSSLRARPDNGNSSTCFSSMAQILPPQGSPNLVSLFSDFEVQEHLTELLAAHVNPKRPERLCPCFSGKTLARCHAARAQPYPKGFICRCGSGKPYGKCCLKRSALLSEGWSDEKQKLVVGGVWLSRATFDSRNIDPELCRVLDAFSDYVRRRRPDEAFIRARAAAELRHVGDIIDTLSREGLGDVAFIAVMKKLSPYIVMPYENTLSKVGCENAAKAWNDSVDLYIETRPASIDVRPRIEIEKVAKVANDGGPLYKRCEASGCLAVEGVDGAKMKICTGCKRLDLVLNPVRPMRQDPMRVHAPRPAASRTAVSNELYLDRSLLPLELLFRILASAIQHRDACLEVLHASIRVLDLSTRDRLDLLRVCCRLTSMLKLPNDLLSLGSKLLQTEVATLHIAFDSPQQFLEHHCLPEPEASALTTPVDVPEVPQVQVAVPVRLIIRLSYYITVVDTALYCVTQNTDVDVHGMYPATPNLTNPYASTSVITRTMAVRACIFLQRVRPYENTLPKVGCEKAAKAWNDSVDLYIETIPKSIDARPRIEIEKVAKVANDGGPLYKRCEATGCMAAEGVDGAKMKKCTGCKRILYCGSACQAADWSQHKAKCKNGSHPLQMLPSQAEFRAQLDGLLRESLKKIQKMQNMEEAFRGDVSAAAGISLAFTVHAIGVQQGFTSGADGVGGKS*
>EED85953
MSLWVDKHRPRTLDDLHYHESLSARLRALAALGDFPHMLFYGPSGAGKKTRIACTLRELYGKGAEKLKIDQRVFMTPSRRKLDVNVVQSNFHIEITPSEVGNYDRVVIQELLKEIAQTQQVDLSAKQRFKVVVINEADSLSRDAQAALRRTMEKYMTNLRIILCANSTSRLIAPIKSRCLLVRVAAPTTEEV
>EED85954
MADMNSAMRSWFSVNLGLDESKWATGIRLSLPGPWMLVGVVTFLILSAIASVVLARLALRRAFSLVQHTGLLPETTSLSALGIICVLSVLRDSSLLVSLVWLGVHVSVSGTRGSGALKSWLGCLVLWAAVVSVGGVSAIGLVNASRRQSTQQRGLGRLVFWICGLISVFWVNAMVRYSWSRSGGRHWLASVTLDPWMHLAWSPSELVRPAHGWLWGQLPRVAGYSKDTSRTTRAAIVRVVTLVEAYGLVKLGVAGYMPCTSTSVFWVTQYSAVSTTVIYCGLWWCDIVIRERCAPRSDVLPSPPSSTVLSDDESDWDSDLDLWSSDSENGFSDSDSDDDGFFTARPRTPTLRVGWTYTSGTSTGVVSAEASGSGLSVVDKLDAVMCKSALDTTANRTDADVNDETSGAQSDIAAMMADTQAMVLEELLRTVEGDVERGNVRLEELGAEAEEVSGQLEHALQATADAEECQTVSCREIEVTTGRVQELEASISMLDRTGKDAEEQFQREKAAVEALMDEVRRDAGVAARGLESEVECARAESEAKDQLAMSLEERIGQVGREHQDMLQHLQCERQLVEDEVKLVREEVAAKGCAVDRKGVAVEQAQAENAQIKREHDAEVMERSDVVKQLEVEVGAKTSELKVGRGTLESIEEEIGTFKAHCSGLEQTQLQTQADKEAIEAALQVVKQEVEAKEYSLRELALEVSRVTRSCNELTERLDAEESSLELQRTKAHFAEETRKDKISQLEAKLAVSVARTEQLVADLTTEQALLENEDIELTQEQVGLEQRTAELKAQGAELETRASGVQDDIVALEDTHCSVKDEVAVLKEQTLILADETRRAEELFEGTLEDDRCVLTELEEEEAELKAALGEVHASEQRRIEALREQLPQLYEQYISAGRG*
>EED85955
MATGMVVDFLNIVHLSESHKSMVLPQHAFRIPFDMSPLHEFEEAVAIIGVTGTVAAIARKIAKHYATSKTLNEITQAIEAIEEFMRDITPAERATIQIGQADFFQQAEDTIETARSFVNQATLQLQEAGRYNIEWLTFEQSTTEAIRLGDTEGGLAASGLYQNIRRALCAQLDKLAALLRSLVAEPDGPNTLPDGFELSNLNSEAAQDSEAAQDSEAAQDSEAAQGVEAAQGVEAVQSVEAAQGAEAAQGAEAAQDLETISEVNVEAVGEAKAAGELKATGDAEVVSNIEGAGDH*
>EED85956
MIRTSRPPSVHSTTDPGDPLSLILRPPTSETERDRVLRLQREADAKRISDSIDEELKLDRERYKKSKQDVKLLLLGQAESGKSTLQKQFQLIYNPTSLEEERLSWRVVIYYNIVRPVLRILESLDLFAEADSDDDTTTEKTQGNQAPRPPPSPSPSMDQQISLLRLRLSPLTALDSQLADRLSGGVAVSGSGKGSVFVRSGWQSRTFGLSFGKARERANSGRGRFSFTEVEKDKLVDEAATIIHSCQDDIRELWQHPAVKRLRDNRKLRLDEWAEYFLNNIERVSQSSYAPTIDDILHARIQTMGVAEHIFEVPLHGRPVTWHLYDVGGARGQRHTWIPYFDDATAIIFLAPVSAFDQYLAEDPRVNRVDDSLQLFKQICSNPLLKNAHLVLFLNKADVLASKLAKGVKINKYITSYGERPNEVESVVSYFKAHFTQVHTKNNENKRVLYTHLTSVVDTKAMQSIISNVRDSIFRDYLKSAALV*
>EED85957
MSSVTIDVPPGTSHIVLKFQSEEAEQLRRRLRAAEEWSKDCNSSLSAEVARPTRESANAQTLIQQWEGSFTQTSQLPQNEVEMKPTRMKSKKGNWREAQDNKLTGSHNEVSAVIPAMRCYLGNYERVSAVAMTKEEFDSLPTQVREGVMKASSDPKHQSETRNLYKTGQILPRKINFRRALLDAGHVCGLLFGTPLNQHEVQKCSPWIVPNIQNGELGRRTVGKASNEKLTAEIARIRTQLESVSALDSGLQPVQTTGGLCPSANPQDGPVDDAQITFCLERNPKEYLKAAKVLRDDVAWGELPSSGFLVRPTRIRTKKGRWNKVQNKKLLRDRMEFVAYNGFEWKYLGTFTSANTDSEELSREAFFPIRRLLKPRIAMIRSPRSEDYAETYCSMEEGKGGKTLECEIGYSVIFFFREIVELKIFDRGHNLDEEAAKDIAQKQLDYERRVHQEYSDYPESDTTQFACGPRDTERLDFVLKRRVLCSALQDSPREETELDSS*
>EED85958
MIVLRLRYYGHWTQLERSWNFGNFLYAINKCTLTDFSLTFPLKADFFLEGVTTLIVQMYFIYCIWKATICNLTDHMIMWLVLYMIHRGIVVALVQLLEFATARDLILESQFLGTLNASPFELIWLAFHYPGSKLYVNSLFAFRIDPADTLETMYYLVSIKEDKQDTVRIHTLCRFKSRQLRQPKRAKKTKAHEHRLLDTVEPIRLRTMIADAVYDSKDNNDGPPSQNLQTGGMEHSGARGRALSIFVKTYSSPFASSQTSAPLPTPAVLWVEDVTHHEDLLRNEAISPSLAFSYARLSVLSVGFQYSFGKAAATDEEPFLWWLLQPKCAQHLSRERRVEIRDLVQRVIDLLSSPEVAIDDRHGPKLYARFLQGLLATPMARAPGTLFARQSLSPPPATSSAASSPKPDTPPLASALLQLQQAQIVAGAPQYAAQPTTTSDLDIPGFFSPPLFYDSELLQSMQSITDWPDMVLSGFNWMGSMQQTNFNTNMRYDQPMVSFTHSASQVSIKAREAFVNIGDGLV*
>EED85959
MALWGLALIEAERDVRSYVWKNISRGTDNPTSRFCGGVAYILLPSLRIQDSAKHRDYVAKSEQMAKGIEDEIKGCRHLLDALEKELVDMKYIAITQATADYTGYHGLADWAQKTLENHKDLIEALYQVSFVGAGLTYSTIFSATRGNIGLMCYAFALFNCGFTIPMVSIALLKWAASRPREALFASPKIWSSLLNVFLYVSGTAIAVAICLLNMTIVFLQFREGSDGVRTDSPAQFDVVPRPAGIFALVCITIPSIMTLLALALHYCANGWDTLFSALIGSYKQESGQGFDDYILR*
>EED85960
MNEQSARSELSGAIATVLVQSSDTIDSVKRRIIDTDSTPTLHLKFRGHFLEGDQTLADCGVHDEDIVVIALRLCGGAPPLPCPMERIMPDSEGLVPQKKDKNKVFRMLFPGQLQIYIRTVGDQSIAVTLSMDEKVLVLKQQIEEKLGIPADDQRLLFSGQELEDQRSLADYELQTDNTVHLSTRSTPARQGSARTTLQRLRRPAPSNEEVQIFVKNLNGKTMAIMVSPADTVKSLLEKVEVKTGIPPSQQRLLYGGKQLVPDMILADYNIQKESTLHLVLRLRGGGTSA*
>EED85961
MSSRRHPRSFDFTFKEYSTRVP*
>EED85962
MAYMVYGTVPGFRPNLGLDESEWAMGNGSVGASHQLLRFRSLSLGSLGEVPAPLWGCADLGDAGLYGPSGPWLLAQVVYFLVILCVVSKVLARLGLRRATTLVLRAGLLSGTTSLSVLGMICVPFVVLDWLLLVSLVWVDVHVSVSGTRGSGTSNPWVCSLVFWFLVFCVGGASTIGLVETSRSQSMQQRGLGRLVFWMCGPVSVFWMNAMLRYSWSRSIGRRRSASGSLDPWMHLALSPSELVRLGREGLWQQLARVAGYGKKTTFIARLVVLWVVNLTNIFWLVALFDGGLIPWGAVYIPWYMGYGFASSGVVYTGLWAGEKSVRRCCATRSDLAPSASYSTSSDDESGWSSESDWSCGSESEDEDNFNSLRTSTPHKGKRPVSVGWTYASGTSEGAVGAEASGSGLSYVDKLDAVVHQSMVNKAADATAVDDGSIAVHAPSTAVVVDARVTKLEAALAAAHRQHERNKAVIEERELRNRKLVEQLPAIRQAAAQAEEKASAARQDGKEKSRRVQELKAHIAVIKAAQKEAAQGSHKEKAALEASLDILRRKSEDRVRALKATVECARKASGDKSRELEELEASLKQAESERDSTRERLQREKEALQTEAKLAREELASKEHAEQQLDVVIERLHEEIARTRRERDTEIAGLLDQEKALKADLDDKSSQLEITRAALRSAEEDRKKYKALCADLEQSVSQDRADKEALEVALREAKAELEAIATARRAKAVEVLGLMRSCKELAEQMDAVKGSAEFQRMEAHFAQQAFEEKVKILEAKLAASTGQTEQLNADLKKVRELRSKNAVCKQEINAAKEHNAELERRNAELEAYAACLEEKRTRLQELDTGVKAEKAKLEIQRAQLDTDKRKAEEDFSAKELEEQLPQMMDDIVAAQHEELQRDEEDVLVKRADSALEDLCDDADMEAADDSDALSSEEYDISATMVFNFTADDVETSEPEEGDSVELEDVVLDDTGAGSGSTKQFKKKPRKLNDPYCAGGPEVGYEETREDFRVAIISGEVHDRTPGSL*
>EED85963
MSPPLGSPYKTLNDSIRQDSVQLAGVLVILAIVLAGGIWLCIHFYTERPTAQRDRLNADSIPSEATQVPSMNPSTYVDHSVRARSETRAQRNMSRARLPDRAPATRGAIRVPAAVNGHPTTEDAVSCVPAPSPTTSLRPVFESIPRPQSVSFARPITGSTSFSGPRTSHRHGAYRTSTYSIASSASALDEVHMRTVRQEFCPTLPDELALTASERVAVVRTCADGWCIVGRDSHGRPGDIELGAAPLWAFAAPAEGVVPVRPQRTSSLGIHVTLDTPGGLCFSWTNATS*
>EED85964
MTLLEAHSFHLRSLRIRVLTNSSVHVVQCGLHRFGPAPILKLMVLENMHLDRELASIFTESSWPVQTYEDLAPFQGIAPQLERIVLRAIKLSWSNATVMQSLRRLYLESLSERLRPSLAQFSQLLHDTPNLATLALRNTGPKRGDMLRPSTTQDDAGPGSSLSSISVVDLVIQSHDPDVPTSVSGNQSSGLQIRSSGWTLMRLSVAGLSGEQLHRIVKTRMDVGLPIAQLFIGAHDEVNARERQWLQEHVPFFMPLEEQRKDGAARAISKTRMPKFFRYFRSIAVEIQGSESRTKGMSEQQKWVAKLSWVSEECRVYLGQSSALIRRHLIDATRISDGKLVCIKRVETGDQASRIATMLSSPPLLDDPANHCVPVLDLFQDVNDAAVSYMVMLFLRFIDDPPFETMDANVLLPKGFHPVRDIFLPDGITPASAPSRKDMPIRFYYIDFGISVYIPPDTHPKLAVGPDGRVREVPELSADIPYDPFKVDIFIIVNLFRRNFYDVFSNVGFSLPLIESMTRRRPKSSPTAEEALSHWQRIHERISYVHRAWRPRTRKDEWALKVVFDTYSLFRGDMLLHALLEQRCDGAILFAMSPPSALLEAPCENRSLVSRGYYELDRVYGTWICEDVWSSIYGEAKGCVQGTGNFIECTSEKGITAARNHLQYCPFPPDGKWMLQAASRGFMTTLNYPSQPHTTTPETXETYYVDLEYTPDPVEFFMFVFTDVSDFLLGLVKDVVLPSSISKDDETLGCYHSFYEFMAELKLVPSVLESVDACGQQSPVLSSPSMPSNVVEDIQVSPSPVEDDVPTTVPTATSPTPPTAPSPSPLLPAFSLSSLPAIKSPVAQPSKQPSKSWAWAIDLYLRIRSSQDIQDSEPSQSASPDPTRYRPMPYFKDGTLQEGIVDTHVGWNEPLVFPDAAEENDAVPRSVARDRLYDVMNSGVARKTSGANVEPVHGPRTRRRKRRRKGDAPNVTESSGATADSEAPTTSASAPKAAQPVAREPLRQRPVIARAGLATIRGVLAPSPRRPRASVVAPVAPPPPPSRITSIVVHNAAPSSKPGLLLPRCPILPPTDDPWKPLIRPEESPSPSKLPTIRVRMPLRPPHEAPNISRGPAMTVEQSLFNVPVRVVSGKRGKSKKVSQLMVFHDALDSLQGPPNESVTPAAIQ*
>EED85965
MLIPIQLILTGLLALLAALHGPDLFDVHGIDVLIDGVVTGVAVARDRTDYLVRTAVDSAVSSAFQLLDALPRATLQDCGRVDVIYVPILVDNMSIDLDNMVCPAVWDVFMTPDIVPPAASAPSSPLIEGRDTSLSAQLVEVVNIPSTLPWTAQPVSTIDVSTMPSCITLFRLIAVLLITPVVAVAFLIKHRVTSKVRKQLNVEAGPRASPFNPAIPDTPKPSKPHTTTLETPETPEGYYVDIEYTPDPVEFFMFVFTDVTDFLLGLVKNVVLPSSISEEDETLGCFNSFYEIMAELKLVPSVLESIDACGQQSPVLSSPSMHSNLVEDIQVSSSPIVQDDIPTTVPETTFLAPPAALSPSPLLPAFSLSPLPGSKSPIAQPSTRSSKSWAWAIDLYLSIRASQKLQDGELVQFASPDPTRYRGMPYFKNGILQEGIVDTHVGWNEPLVFPDTAEETDAVPRSVARERLYDVMNSGVARKTSGTSVKPMHKPRTRRRKRRRKGDAPDIAESSATEADNEAPTASVSASEPVSRVPLRQRPVIARAGLAAIRGVLAPSPRRPRALFIAPLSPVAPPPPPPRITSIVVHNASPSSKPGLLPPRSPILPPSDDPWKLLIRSNESPAPSSLSSKLPTARVRTLPPPSRQTPNTSRGPAMTVEQSLFNVPVRVVSGKRGKGKQVSQPMLFHDVLDSLQDPPEDSVAPAAM*
>EED85966
MSSVAIDVPPGTVQIVLKFQSEEIEQLRRRLRAAEDCNASLAAEVARLTRESASAQTLIQQWEGSFTKISQLPQNEVESNSLLPICSPERSINKLVKALVPVFGSVKHVFVNNEAVWESPYDFGYLVKPTRMRSKKGNWREAQDNKLTGSHNELSAIVSAMRCYLGTYERVSAVVMTKGEFDSLPTQVREAVIQASSHPNHRSETRRLYETGQILPRKINLRRVGFNHAFAQALLDAAHVCGLLFGTSLNQHEVQKCSPWIILNIQNGELDRLRRRLEAAENINALGSGLQPVQTTGGFFSSASPQDGPVDDAPITFCLERNPKEYLKAAKVSCGAMTIVKLRDDVVWGEFPFSGFLVRPTRIRTKKGNWNKVQNKKLLRDKMEFVAYNGFEWKYLGTFASANADSEELSREAFIALPDQTREQLIRLSGHKKHRTEVKPMYESGEMVGMKFSFRRIGFNHALGEMLRGVGQCCISSISSRDSAPEGQGRALDCSMEEGKGGKTLECEIGYSVIFFFREVVELEIFDRGHNLDEEAAKDIAQKQLDYERRVHQEYSDYPESDTTQFACGPRDTERLDFVLKRRVLRSALRDSPREDIELYSS*
>EED85967
MAMWFSCRPHRQYYRNLSQSLYGITCAQTLYYMRRYSLDRIWLKLFLKGASFHPDVDSCIHGYILGASWYVINKSTLTNFSLTCPLKADFFLEGVTILIVQMYFIYCIWKVVEHRQYQLLLTMTVDLRSEKNSNIAVAIQNAAEENRVSQFLGTLHASPFELIWLAFHYPGSKLYVNSLFALLNMRDYFKSDRLGGYVGDNVLLSINQGGQAGYRQNSYAMQVQVETTTTAETH*
>EED85968
MKSIILVALAAFASGVAGLTINTPSDVSECIPTLLTWSGGTGPYYLSIEPGNDPSGAPLQQYGPLDGTSFTWPTNITSSQQVSLTIKDSTGATNQCAPFNINAGSSSCIGASASASSGASSASTASSASGASSSAAATTAASGSSAAASSTAPASSASASGSSSASSTTSASGAANTGGALAVAANMFAVGAAGAALVAFLA*
>EED85969
MASLNAFEIPVKSVTLYQSSAAEVLRVFSVDLKAWTGDLARVLDVVYAVASGAQTAVQPASESLRGLVAQKRALEDEKDAQQHRLELLSSYSRSLTVAQVPPSDVVSLFDDIIEVRRVVMSTIRQIEEQIVDIERAIDDERVPRPHIGATTGHATVVIAASQECSVEMQLTYLVTDVSWKPFYELYVTTENGQPAKSVTLHRRAYIVQRTGEDWRDAALTLCTSPAPAHCMSNIPDVGERKIVVVENSSSEPSDPPVKSAFTGPSGFFQPAPATQSRFSTQQSMFPQINSAPVAPLGLSASQRMAAPPAPLPEAAFNHSSLLTGHHGCGGILFGNHASQKXSIRAIRFPLHHPFERDHVQDTRQSAEQAATGDASAATTVKALGTSVHSETLDVPADGAPSVPSDGLPHAVSLSVATLKAEFAWVCVPDARAAAYVECRMTNDGAAAVRLLAGPVSAYIDDEFVAKGELKDVPSGGSFACSLGADNAVEVSYSRTVRQGPAQPEPPRFGAFGTVAGPERTHCTDLTTVVNRHMFAIALLVRHAVPATSDLRLTVSADSVLVTLSGGDDGHGEKNKYWEHRYEVEAGRSVVCSFGWEVSAPAGITWKEEDE*
>EED85970
MSRRRSNKSAKVPNVKLRPARPEERATSSNLSTRKSWLAGLDLDADEASQDSIVDICPRPFRGVNMCATGISDKTTLFKQALELGAVCLSDLTDRVTHLLAVEPGSAKYRCALENKITIMHPSWITESHEIWLRGDDVDLQESIERHRLPVFSGVVLTCSGIEDVARRTEINRLITRNGGTLDASGEEGEDGRPVTDKMRYAEKFNQRGEANIQIVWEEWFWDCLTFRGRFSEEPYKVSNPPPKRKKLPEATPPPPSSSPAPEAPDAQEDEPKRPPPPDLLAATTNDDEEIASARRVPAEMLQIWGSLLGSRGFEVSNGRLVRSPSKSQSANNGARTGGQREPDASPLKNKIARAATMGKLDGAGVGAVPVSALSKFKRTHSFAPAVKEASTSRQPFQRAPTAGPSSSFLGQRKTGQDVDALHPSSAVVHVEVEEGKPQVASSNRTSTLFTGKKFRTLGEARGPSVKAALEECGGRVLSEGDDDEADFIIVRLVSGSTFYRKETDEAERKKYRTECWLERCIYEERVCSLEENVVFRPLKIVLPVPGAELIVLSFSGLDQSEACWVTRLMRAIGAHVAPNFSRRSTHLLCPSAMGPKAEKAREWCIPIVDMAWIAAIAKHGQIPREESQLSPLPGMPQTEHKPEPEEAMDVDVPKVDHKGKGKETDVDVTMVDITNDPEAAHEPDSESTFGQPTVLLNGPARQTLPRSTPPPPSLKRSSTLEPSSSNGSAPPFGRVLVKNSRASSMAAVDESKPIERIPSSESPSPLKMPEVTEKNPTPPVKITTEGTKALHETITSLLGKRPSEEEDAAQGRSSRTGKRARPPSRQKSTSEPADPEVLPVYAPPAPLDPNVLGDDDLDMLADGPAGVSVRVMYEDPKQYDEKKKLMRMLSSQKVDLSEKLDAPQPKGTKKGRGSKRKGGRAAGA*
>EED85971
MPSFFHPHRKPAGNGNATRKHSGHSLRLSAIFHSRHSTGDSEVICDSPASAGTNIVFCEVQSSAPLPIFQSSPQNDRAFSNTDTESTDPVPATEAEDCVVAEAMSAVTVVMNNHPRLNTGSQSLTLDFAAAALKAGQDVRSTLSSSQNTNTSHNEVVQKVHDFFDHIPVFVKVLEEVAKIHPFIHVGVLTFKAFYILEMKRRSNEEKVVAVLVEVKDMLDVLVHLKKVDPGALDGEGVTIEARMKILLEATAKEIKQCANVCDAYSKTKTVVKVVKGPLWEGTFINYVKLFAKRRDEFKFMLSTYIAVKVNEIDQKMDNLTRSVAEKTDVIINLLQNFIPAEQQAMRDKVERKGGASAVLHDEAVLHELITTEAQSGPAGNNPKQAAGRIRDSIEEVKRQLDDPAVVIERNLQSFERKFEMQQRQIMEEMEKVIHRESDRVIESVRSGPHDKIVDKGWRGNAKARNLVLAIRDFYHERAEDKHRADGPHESPQDPWALPWIAVKRLQPIVEAFDDDASGYVTIAKVNNFTKSRPADWSLLRWLAFWAVGWQSSVTAYRDKIHEQFSAMFALKTSVHTANRRIVERYLDQVWQPIILLTSSFQSYTTTGKMHSRFKDYIEAEETRVKRNLEAARYHIDDLTTLSLVTGPGRIEQYLFQLIYLIIKRDLDVMQLCRTRVISERELEDSIRSLWLVMAAVDDRHKELEETFRHQNLDPGQQFKITYCKLFDHYHNPSSLFSRKGLGDIGHSKSHHHNITDVPGIDADAVLNHSHQDTDDWEVSLDRAAYDMDDVPHVDCTEASESLKPALGTWRCHMYTTDDRLIAPMFLLSIRVSPTDLGKFAASGVIPGSSMFANFKLEGAYELQEGGRPGYSFVMECSAPFESKRFNGCVSEDGMTFSGTWGPSWKVVGHFVFSRLPPEIMRYRPSPIDLQRNRTKALWRFALTATMGEVVRKKWSWDFFRQRRDFRRRYLGLLFRTSSGRPLDADEEQELLDIKRVLTPSDARFYQSLCDMRHQTACHHGVTCDSCGGVISGARVVCLDCDTHEKSFSTIDLCDDQRCMAAQVGLDRRSDLPTPHLPSHNVLKTRTMIHLRDFGKVERQALAALQRATASFAEVEEQKHELITHRLAGDRGQTRNINSGEQVCTACKGALIRPCWYCIECEKQATDKEESLEHRLDFWESKLIVMDSRIDEQGSEMNSRLVQLEMRLSKVDNRLAQVDERLVHMERLLEAVASRLD*
>EED85972
MASGSLQSVLSDRNEIHKSCKTLESVVNVLNDYCEAANAILTLEKKLAKALREAAGVKCVAEIPANALNISAVMFETLYDVDSRFIKLADKECDNISSEVKKWFKKLAFAPTVGQLGQWRAFCEGSWAGPVPKGPHNSDLQEVQIDSAQRAATPSMQDEPRELGLPTSYNTAREDAPSRASEQEVGISSRAITPSGEQATPQYFPDPREQSLQERPPEASPRELPDRKSHSTASLASLASFPAPPTHFPLPPVTLRESRHSEKATNEPSEEKRRVSSDVSFPRNTESPAPLVEDSGQREEAVTSRMASLDNAHTVAAPAGSTQTNEEVSMRTDKNDHSGVQSPLPVTVQPTERPSGAITGPPSTVSATSTAGGASDIGESEGHSNSDGAQGHRSDTVKTSTPPTVERSDTGKSNAGPSSPPRKEVPRLPTSVSHLANKYESTVPTQAPTSPRLTPTSPFYDRRRLSVDISRQTSQQPVAEPALPATRQAPAASPNDVMVDNIALRRRRLEELEDLELREQELELRIKEREIARRSKELEFERARLYNAQSPDSGYGSDSSPGSIMNRLAQRPYGDRSPPSPVVPRPRHPSHSYSSGNLQPPTMRPSSSQTSSQPSSPLYQPNDHAPYCGCETCSASKYRMRDSSPSARDARPLQPPLTLRPEKPKGWIRRLSMPVMGNAFSLDSKKNLSSAGIAGGPGVRSSLALADEDGPQHGYNWQAARHLRDQVVQKDEEALRQRETQTPHVEWKDAQMGWGSLREGSMQTDCTEGVREQDVQFGPVDYQVQTAREDDLHHLEAQIEQADHLCGRDVQAQQEELPNGGDQSENNWYQDGQPSQTDTSKLNLTSTPAMAFQSSIPPFSRFRAIHPQYWFHCQPSFSCPITAADTVEPCAVEAKEVAKILLDLLLSDPQQVQGEQNVQMIDDDLSNRRSRLGQTNQANMNASSREGTDPISTTHIYQSMPPQSWQGTTGPYGRRLRNGQV*
>EED85973
MLRRAVEDNSTGSDSDYTNSWISWFLSSKGNEYFCEVEEDYILDRFNLTGLNTEVQHYSQALDLITDNLDDDIQDEIRSTLDVQARLLYGLIHARWIVTARGLAKMLEKYKRADFGRCPRVLCQSQPLLPVGLTDVPYEKSVKLYCGRCEDIYSPKSSRHGSIDGAYFGTSFPHLLFLVYPNLIPPKSGPVDFPALRAGGEAEGSRRSRRARDEQEPPSDIVGEGFNTTSVALKAERYRPRIFGFQVNEIAKLQRWQEAVRDKQVARLDLLDEHGLM*
>EED85974
MRIHTNILHTYRGIRARHLSSTGPIIPVPLQYDKLVPSNGNETDRPLVIMHGLFGMKRNWLSLSKAFLRDLNTPVYCLDLRNHGTSPHARPMTYSAMAADVLHFCREHSLTNVSLLGHSMGGKVAMAFALSPDCPRDLLSHLIVADMAPSKGALSPEFNGYVEALRKIEDAKITSRKDAQHILTPYEQDPMTRAFLLTNLKPSDHHHPHKPLEFQIPLQIIGDSIPELGSFPYEPGERVWGGPTLFIKGTHSKYINNRNIPIAKQFFPHMVLEKLEAGHWGMSVFFAPGSELTRAPIVHAEKYVNNCIAVCIR*
>EED85975
MFNPVRLARRITSTKYASYTATCARSSQRSEAFSHMKPAADQEESKAKIDGGTTSAKSGAAFLGFAYDLPRVEPRDRDVEPQRAVPLEPSQPQQATPSELPQPQQATAPEPSQPRQATLLELSQLQQAAPLEPLLSFNLPPLPELPDKPKYPCPYLTDAEITTYLVPLYKRGWTVQSSNKAARQGHPSVAPALVKRFIFLKERGYANLQFTEDIERFQKDEDVSGVPHPPKVRPGITLRDVRLAILAERAFEAHLVRGKGDPRAMRADTRPAMCPLTMQDVEERRLSYDAIRHRQGCPVCGSKKHLKGECPKQYEVKPRRLCVHCGEMHWDFQCPQEKKELESDAGPSSAI*
>EED85976
MSSYTLCASSASLKPYGRSAKGLQQPALIPLSVAQQCRDITHRSLDLLQEPAEKPRLSGSFDVGCELIPLNVARRPHVTFALTVLYLSFETGWPTDGRRGRARACKTQDDYQDVSCSDCKSGSMTVAEGDVHAVVATSSVSTRTRRLRGDRARYVCEGLALLVEWCKEAVHDEEAYAARETAALSLHASSRLLDMRTSELILAHLPILAPHLRGLEISGFSLEVNITTCGNSYPVDLGDFCQTLQDQCSHLLLVNINIALLYSNSTKVLPSTALQPLYAFHNLETLSIDSRYNIAINDNDMMSMALAWPRLRILSFLHIRSQPRPFVPAVTLQGLVPLVENCPDLEDVILDIDASNTEVSVDARPGGGSSNSLITRLRLGPSSVAGEPVKMAAFLSDLFPHLKNIDCQVEGSGSSAWAEAERHLLTFTAARVWALKYAGLDWLLDDP*
>EED85977
MGVRYNAEKKKIGNYFSTPIYSFRCKCHLCDGWFEIQTDPKNTRYVVTSGARQKDEEWDPEENGGFAIHSAVFLDTDPNEGPVDPLAALEKSTDAQNYMNQVQVPRLEALQNVSDHYGSDPYSLSRIVRKRFREEKKVEKAKQESDERLKSSYGLPEVLALTEETEDTRADARERWQLERQALRSREDAKRRKL
>EED85978
MSKSIVSWQNSPALRR*
>EED85979
MQAGPSYEYEPPKPLPDIHFQRTKILLHTSEYNEMFAATADRLEPVFAQMEKEEGNLELEVVAKVRRMSDGFDELYHGLEKKARWLTNRHWRVIKRDLKRIGHVSFEDLSSRLPEICNELASLNITFKYEVNGPIYPFVLTEAFAITTEEEWHNAVFQNVNVSDEQAALLQTITANAAELTTGQVRDWIGRLTLEISRHYDGYLQSLLREVESLHIMVQNQQALVNSYKRQVDALPALTGSGHSHQPKIGEPPAFKGSEDKTKLEEWLDLIVLWCEHEGVATDKQRIVMALLKLQGPAHHYMKSYYVKMQEGKDLGTWKAFVAELAQIYRQRDDKEGAKKEITMLFINKDLASKDFVKYAERFRTLGRLTEYDDSLLIDKLREVIPRDMWLVLAGKDESTLPKDWTLFLDILLNINKIVNPEKARGLVFKNSGSDNGGAVPMDINSAEKSKSKGKGKGKAKDAEAASTEAKKYCVICKSKTHNTDDCYKLAKNVDKRPKTQGDGAKKAQGGSGNPAVKKAKKTRVIQVELTDSEDDTPPSMKAVSANTARIEKIANVEKSTLAGKDEPQLSAKTEPTAATSDFWKKYM*
>EED85980
MSSPAAVPDKETLKLLLPLRYDGKTVIECNWFLSQLRIYWLINTSLTTIELKVQVALSLLDGDARAWATPYFAQLVSVQIGVQGATTPFANEAAFAAALRARFGNLDGSLWQAAEKRATEVEQILDISRARRPELNNFFSARGRGRGGARGGAPSSHTASASINAAVGKGNFPGTCFGCGKQGYRRFECPNFWPCPALGLGSRIDRPMA*
>EED85981
MLTEISASTSTTPTPDPSPSPASTDTNADSPAEGSPSAPQHVVPSRSTSCPDGVKPKRKRARVSAEQLVHLEAIFVVDKCPTAARRKEICAQLGMTERQTQIWFQNRRAKAKLQARQKAFAAPEASTSEATDICPELDMHDRIHEAGPVMFIPCTELVIGSWKRIATTAGKHDLLAYVHDTTGFKMDIPLYSIVGLNVTSADRGVARVVFTLSCPPLFFTDASPPSASSETPRKQWRRCPDWTEDAQATAVLQHVVTGPHLDLTAALQKFNLLDPGGTSMTHSTPPPPSLRRPQYQNSAVISMAPLPTMHQNSILYQPLSYEGPSSLLAPPEGATASLQHLAAEYTSHPSTSYSSYHVGAGTTSAPPSFASPLPVRAGGPLDWINNVKSPTQSARRVSPFPGPSSALPSLSPRDFEQQSDTATGITSAYGSAGGRAGLPISPPMYPPSSNGVTTGSETPPWDTSFLSLPPAPGSGRSPQTQVSSSQNVPAGLPMTFYGGVQSDSAPWIFYR*
>EED85982
MSAPPEPRKVRPWALARVGGTAVSRLKSLPSMASFMSVTESVERSSPNGSMLSLPTSDTVKSDDVRDDPKTVEELRAKLDEANAALAQKNETVTTLLDRAGKAESALSAAQAEIAQQKSLLDTSEEAKAKALEECTSLRERLAALEEDRSHDQSALEVVRDELEATRKAAERKDAQFEAERDARLQVEEELKRTRADKRDVEVALALSQEKINAQTEELHAMAARADTLTTQMATVQAESAEWSTRARELQAELATTTQGREEAQRELIDSLERLHELEERLASLAAEKEAAARETEKKEADLQTTERALELVERELDEVHAENTEQARRLEELRVDLEKALDEHEATRAEAVARAQEHLRTLTETEQLHQGKQDMLREELTKATSELEAREAKFNADAVALKSEHDRLMQEAVQQAKVLQHFVYEIGFLTPHLQMDAAISFQNEMSVVRADMEATEERLRAAHHAAIEQVQAEHNAALEEQAKSFQVRVAEQEATLRLMQAELARSETALQSSTFDVQSLRARLDSATERATSIAQMKDEEIQRLRRDLASTHDAYAALSEDLQDTVRRHAQEVQALQAAHVQEGTHPVVRLPFNLRSTMMTWTAAGARAETLAPPAIAKIATPALFAWDMAYVSIKHMYIAVPRWTVQPSAAGASYLLPGI*
>EED85983
MSSSPSSTLAATSTTPPAPVGSSSSISQITHRPASAIGIAVGAAILGISLLASLGWCYYRYKRGRRKTERGAASDRDKLDDALGIASRLSTTQHVVPSPIHDPRSHPPLLGYVGSEVSTTPATVAPSVYSAHPVLASLDIPKQTASQPRDLREELARRMRGIEEHVAELRRQESSGTVSREGSDPELDASAIWRVPENSTLSRVRVPGPIKAVRFRPNRRSTHSGTETESPWNFWALLHFCKQILIAVEGKISTHTLLMPVINTLALAVNATKLNIVSFLNANVPECGQVPISWSGGIRTISPFYDYCDSDKKDLQPPIIYVDIGVSTDPYEMYGPLNGTSYIWDAGITDGNQVHLAVMDSTTAIVTTPSFTILPGNGYCESFSTLISVTSTTASAIMTSSQGSPVILPSASGSGSSRIPFRSATAQISSFAGSASGAFGASTVASSSTAGARCNESGAKSPTLGILHREELSLQMRSREKQMIDLQCRGSQNALDAAGEMLDVIEIEKGRGEENGHDSLRQKVEFLRIEVERLRIAASALDSPPPSYGASGRRQDEILQLETKREKAVPSSIGGFMAHSVFKFVRTPYISRIVIAETIVLSAGLFGIFMQLGNVNQIINYGIMLSVVTPILSGIVSTEVQLFYGWRIWILSSKKLLALSLVIVLLALGQLVSSIVSSLSISGASPFGNLVYSSGEGSIVPIVMWYSLSALVDMIIAITMFVMLNKRKRGEEHTDALVTRLIKHVVGSGIATASIAVLTLILYIVDIQTPNDMVGYADCPYACTALISLNNRDLVRRGQSDGVRPYGRRKQSTLEKRSLMERTTAGLRRLVPAVRREPYVIQPYMLDVRETDMSNETQTPEEMLDSQKSGQSSSSEEEVVDLEYA*
>EED85984
MLLQSMRLIRRLYRPSKPSSCVLTGRHVSIDFLPDEILEAIFLFGCDESRCNVCNQEPTEDVPRLRRYLERSRTRPIDLSIALPVAARAISLDSLSDVMDKLLLHLLRWRELHVESPFEDVIRLILTKLAQPALFAVALEVLDVRRPIAKMLVPGSQPLPALRSFAVASAAWTLSDLGPLALSSPLLTHLSIRSSYAFVAHAPLHFPALKTLQVGDCYQNDTILRQLHAPNLEELHIEEMEIIRDETAGRYVDALRDGNSMNGRFPKLRSLKLHISEDSSPLILGRRLGPFLTLFPSIKHLILDGCHVSHFLKGLADLHSEVFAAETLLPNLEHIALGSEYGTYVMDNAHSAEYIQDVITLVKARHAAGVPLKRFSCLIARRAATAGKRRTFLRDKHTITLFHGLAVIRDR*
>EED85985
MVRYAAAATATNPEKTSRARGEYLRTHFKNMREVAAALTGLKLTKAYTYLSDVKEHKQIIPFRRFAGGVGRASQAKQFKATQGRWPEKSVRFILRLLKNAESNADAKNLELEDLYIKNIVVQQAPKTRRRTYRAHGRINPYQGHPCHVEIILSATDSEVERSKDKDVATTSLSGLNRRQVARRRIEAARTTA*
>EED85986
MLSTTARVRRPLTLVARSLSTETVSAGSQLPPTPLPSKHPKPASRSLLGPTPRPAHRPARRQALAHLPPSFGRNQLLPVADSTRALLESIVAQFNAPIRYAFAYGSGVFEQDGYGQNEKPMLDFMFAVTHTAHWHSINMNQFPGHYPLHARDISPGVWFNTHVPVDGVTIKYGVTTVDNMCSDLLNWRTLYLAGRMHKPVRIIKDDARVRLTQQVNLTSAVRTALLTLPETFTQRELFARIAALSYTGDVRMALPGENRSKVDNIVARQQAQFHELYHRLVVALPGVHWPAHMETIQQDTSAHARAAHLRKLPSNLLKGVTAHYAGGMPPKEADESVYWTKLAGDEKLPQVIDREIYSLVRHSSTVQSAKGLVSAGLVKSVRYSAEKVGKWWRSRGASAGSS*
>EED85987
MSLRQRVPFKFSDDGTEDDHILDEQEQEELIEKLRQQSDAATRQYTALVQIVIALSCLLHIIYLIKRDKESPLYALLSYDSPSEAIPLSTLFATLHILLHLNLGLLLLPSAHSAIRFLSSLPPSLGRFTPLPVPVPHAITLITPALAPVLALLLMREWPEIVWWSITGGLTWFVYNVRRWVIENEEEIRELEVAQDTRFLAGIYDIPEERQN*
>EED85988
MTLGRLDIDGVYRMRQSTHVHLRDSASRYRHWQDWTFGKVDNIENPKKIILWDKVVQKLAAGVGSGAETAAGGRSEIGYQKRIGAELVAVCHCLRKPIATG*
>EED85989
MSYEPSDPRRFTLENKAGRADEAGAFVSGSGKDKDKDKDAPGNRPTSGSFSRRSLSSVMGGLSSLSLRSGAGNGGSGAGDEKERGRSKDKSKPDRPGAGVRSSSFAGTSGDAQDAAGGRTRSQSPFRLRRARMRDPSPAVEALSVSDAESDSEAPRIRPRNAFSLSATSDDESGEETEDDEGSEESWSDDDQFDQVTERNTERNALIPADLADQDVVDVPDPLGEGVNVVVPPEPYFPSTLNHSAHNTRRRKSTRPDPLPLDTSRPIFQRDRCTITLIHGDPGGMLEETGRRSRRYVLASDLSDESRYALEWGIGTVLRDGDEMLIVTVIENENKIDPLIPNPADRAAKLRSQQERQALAYILVRQATSLLQRTRLHVTISCQAWHAKNSRHMLLDIVDFVQPSMLVVGSRGLGKLKGILLGSTSHYLIQKCSVPVMVARRRLKRPPRRSAHLAPHRARVSLAQAAGIDRMAPKVDQDVQEMRTQIERDDEDRRNGAQTDGEDDPDTEVEGEPAHQLGTKVRGE*
>EED85990
MSGLSDNPSPICLTYKLVDDLELKLDVHAPRSGQPAQGAVVPAVIYFHGGGLTVGNRRSWFPVWLSNRLTTAGCAFVCVDYRLIPPATGHETLEDVKDAIRYVASNLNAELSKPEQGLGRISNFQIDCEAIAVAGTSAGGLCVYLAAMHATPRPRAVLSMYGMGGNFLTPHYLSPKNEVFFRGRELLNPDDFACYLHPGCHILHPVSDSPLAYHPQSSTTPGYPANPRMLLTRLYLQLGTFLDYYTGCHEPSLSAALREPIALLPADSVTTVDASQSQDEGATLQLKSAIPKRHSPLFPQLAASHADWPPTILVHGSVDSAVPADESRHLHASLQRAGIEARLIIIAGEEHSFDYREGAEQRYGSPDGYFDQIVEFLIAHLRQNC*
>EED85991
MPGRHYYSKFIPPSLSMSELICQKLDNDATDLLIDKWLERPHRPDAYYDWFQRIRERRHVVNANARKEQILLWNKGGFVPENIFTRTVDTGRLIPLDRTWVTHVYHHKNMKIFAQLPELMLLRGMHDRGCDEIYVIVTDLKRPEMDEVTEYFNFHMHLTHLLDGQRRTPCFPQLDLTLRAIMHEKRPPFIVLFSHQTMSYSQILFANSLFVPSKEVYHDFPSGCPNPGCTDNCCELIRFPKKGLETASVLCHKRKVRYRYGRRVKAKEMCNWIDCHVCFSDDMAQSGHLCDGGSEGSVVGSSEGSEGSIVEEPSAPRILGLVCSRCKLVKYCSPEHQRMDWEEHRRVCTKPVDA*
>EED85992
MYDQGFDGQWGAGAGDAPAPPEAPTEPQPQVNQPQTQLPSAEAQHIHALLEAVVAIGAGQQAFMDNQTRYGLALEALTHHLDNTTLGSLQSNSQLRSCGVKMRDPCMFNGRSTEVVFFLRKIRAYIDLQQVSTDRQKAMLLSMYLKDGSPITWFNAIERTSAYLLNDWKQLQEAFTARFQDPNLVKSSLMAIKNLKQTGAAADYANKFQEHLVHLDLSMFTQITYFDRGLKPSLKLMLVNTPRPATLDGWIATIVEADNWLHEYEHEQKSLTKAAVRRPEDRARKSRMTTTPSEEEQRLE*
>EED85993
MRRPLPQLSKPASATSTMRQRPR*
>EED85994
MTLCTASAAGVPSARVVLFKQLDPRGFVFYTNYTSRKSQELRANAHAALAFYWREVHKQVRVVGRVEQVARAESDAYFRSRPVGSRLGAWASRQSAVVADGEVQARVDELKRRFEADENDPTANVPLPEFWGGWRVVPE
>EED85995
MTLCTASAAGVPSARVVLFKQLDPRGFVFYTNYTSRKSQELRANAHAALAFYWREVHKQVRVVGRVEQVARAESDAYFRRRPVGSRLGAWASRQSAVVADGEVQARVDELKRRFEADENDPTANVPLPEFWGGWRVVPE
>EED85996
MFSITSKAAFTFPGDNRTIKPDIAKSGRLACDRCRNMQKPEPCGISRLRALPAPRVKPAGLREGFFQGFESNEYATAPERSTSLTFWDDNAPRLDTFNAWIHVLPAREMWKRKAARAAAPKLLPRGTAASQLSAALRSAQRRWGYARFIVCDQLSQIAFPEWKFITPLHRADCRNVTKTERTRQMGQTLSYVGYVYVVRDSGAKLARADVHFLGREKTFGENRQHTDSTAKLSSIF*
>EED85997
MSYFHPNRALLNPKFEGYKLSPLDQEQVVSHHALQYKPSQTNVSGRSHVTFQEVQSRISHNHLAVSSQNGRLIYFDEEQRVISVGLDKVLSPDVASPQREYPSAAFLDTTSLFVSDGHGYLYALQLSDGGPALLQGVYELKIPPAYGSSEGTVPFRVHQAVIVDPQTALVILSAKHYTGGTVSAESNGSTEKHSRHTVEFDIWAARFPLPLPPAQERPLALDVLWHRRGADVPAYTAYDATRQLFKLVGSSAYHSINASPAPSYEPSADELAPIPRAGENVDSSQPPKPPPYSWTQTSDSVTVAIPLPSSTRTEDIKIAFTPRALTMLVRPDPTTETETGPGRPPRFDMRTLWDGIQPSTSMWTWDRAAERRFGILTLHLDKAHEGTRWSQVFAAAPVRASSEASDGADDNGEIPETLDPSELWAIREALEKYTAALRDGQDTSGLGLGNGVPSLAKDEVDDELDANVGRTVCVTWVGAHGSQLPNTQIGDAPIHLLSTPLPGTSPMQPPSLVVKNGLDGVLYSLDEAKGPEDQPTWKHTSTYSALSFVLASKQDARFTHHVSSTAVLAFESGSKDLNGNVYIYRGASPKDKWAKQAIIQVGGRTAGPLLGVAAIRVEDEKIVIACLCEDELVILRDVL*
>EED85998
MNSSGAAPNHVGAACGSQYILLDFVVAQGYGCIQRLRTGPQGLDYPSFPTSGEETWGRLAATRTSITIISDPTELQTASAHGVLLNKGVRREAVKHVALDGEELSGTLNDKTIETCSAVRSDSAARGGVSKSWQRHLGHWTCSTLPKCPISVSVAQVGLR*
>EED85999
MSTTASSSAVRRPTTKRAYHTWHSKATEQPRSHFEDFLLEIGASSQAQHLCCMPRQVGTDRPLRGHRRMTTSVETRRQSSDNARELSPNKGSRVPMRGRRRELIAISTASYAGHRTPHPLMLSALEVGGATSLLKVEASSAVNFQTYDAVDSYFSVDISHSSLRSLLGPDAHDEQQLPLPRRDVYDDALFLPRFVFHCSLIGGTGDSQKSLASPSGNSTELGRPRACFEIQGPSPVRDLQKTRLELPELFSMRRNVVFKGMQAEGKRGIKAQAHSRRLCQISQQQPPSSPMSTTVTCVSEVPTLRLIAVDPLKSNNTAADTRRTPPAPNAVRVDLPGDNHAWAALLRGALQKAGVTAGADGSVLRSDAVVGYTRLRTGGREGRAFRRQRVASSVDLQGRRTRDTYRPGVPPALSTVLPLPKPAPAVLHTSQDDLELLGSFTPTSSPRRSLRSLLAAEQPRTYPSQIPPHPSDSGAYDAASNGDQAPSRAWPPSRHLSSLPSICRTPSSYSGSDYFSSVPLSAGPPTPARETSPPPTLNHKALHPVLESLEDASKISVQTRCANCTQKGANFPSCPRCGEMWCSRECRLQSSGGKKHTCRKAQ*
>EED86000
MKAVVGEEALSPEDKLALEFLDKFERGFVGQGAYESRTIFESLDLAWSLLRIFPKEQLNRINPKIIAEFYGRKAKKPTDDVQTN
>EED86001
MSSSLSSATSTQASSVSSSTPSQTLGSENSGSGSSSPSSSLYLFTFLATLFLLLFVSAAIVLRSFILRRRFRRRVEEALAAGILLTPTAGAPGSRKRLEKPRLWDASLLPAHREEWAKVMPVAARLLNVATARTGNSDSPSVPAEPQLTPTLRQTALGVLQRPFARRRSDAADDPLAQTSQVEITVLVSMPNPHRPMYSVDAANRPASTKGKGRSSSSYWDEEEDGVPDVVLGLARVQCKGLASPLEQ*
>EED86002
MQLTFTDPNTVALAVEEPKEKKQRSMWGLTRTLIHNAIVGMTEGFTVPLYLVGVGYRAALEEDPRGPGDGRSGQRLNMKLGFSHPVFVSVPDHIKAEVPAP
>EED86003
MSLLTVVRLHWHKDYLFQKRLALQLPIDSFRFDFRGNHETPGPWRLGNFNDDVLDIHVVVEYLTKELGYVVDLLVGHSRGSVTGMMWLCQYPAQSATVRGYANVSGRYRLYPYRVDPTPQKLYDQMRKPENWKQIEAKGYYELTATVARKPFYARVTVQDHDQFASGDSSIVWEKFPQSIDVLTMHGLKDTVVPPSALLSYDAFIYAQALGARSPGTHNLCYVEDADHNFTGVRLQVKHLGIVLISLPVQIADQVVATVLEWYAMLEHKELKTGIWHTGVKPKL*
>EED86004
MYLVNVAADRKCSCETVNQFFVVSGTLVFITSNGWDFQYLQACSGRRWLPTLAILFLGLVPVTVEIYHAAAQSNAFVVFLVVGQPLCAFTNSISQSLVNKVKRNAMKRVIHSPLAIILLKTGTLNFTLFLFLNVAQLATINVQQAAYVSSLVAPITLITIWRFLILMSLRQLRHRQNGNENTEIQGTYPQSTSIEEPDSSFLNNKGAPLEFAANYEESINYRLQRYYGTLTSGVCRRVDRSVAHERNKDQLVHQLLAGWELRCTAMSLLFIISRYIALVYVVAAVLVDSRWETDQVYAVSGRCWLPTLAVATLGVVPMGVAILDGIEEGHPFTMSLGSVSACGLTSSLSLKVYNKMRREPLMRTMQAPLPTLLVKAGVVQFMYIPIFFR*
>EED86005
MLTVTSNPEVRAGTDARIREAERSLSYFEDTLRELQSRKLMQAQRDDQSRSGSPGPGQGGASYGQRAGRSSDNNRAPSSPLPDGSRRGAQSPQSPDLRKSGGSLPDDDTPGASKPKMYTKLDLIKADTPHTTAKISSMLHELEFKLQVEMQLKKGIDKMAKLFQADGDKRSRADAESKKIESERKIHLLQTALKRYKNLHILDDALEEEDTGAVGPGVEGERKENLRSKNLSGKLQVTLKGARELEHAPRYRFSSAKQCETYVSFKVEGTERDRSHPSKTDRWMEDFEITIEKANEVEITVYDKQVGESYPIPIGLLWIKISDLVDAQRKQKVLMESGQGGWVTAGAMNGDGTAMGSHGNDMNAPVGFGPNSSIQPSFSVPSMSQSEGIDAWFVVEPAGALALRLNFVKENVRKRPLDAPGGLGRQGALRKRRDEVHEMNGHKFVQRQFYQIILCAFCNEFLLNAVGYQCEDCRYTCHKKCYEKVVTKCISKSNTGDDDAEKINHRIPHRFEPITNIGANWCCHCGYMLPLGRKNARRCTECGITCHANCAHLVPDFCGMSMETANQLLRDWRDINKARGGKTTTQSRQTTQYTQLPRSPMPPEPPLDQLTGDMDRMKVAEPPLPQKDVSYGRPQMQTPPPESLDQRMAQPPSAAYPAQPPRQATAGRTPGYPSEPIQPGRPGPGGYEAQDAYGLNRLNSLPPTPVQRAPARQRKVGLDDFNFLAVLGKGNFGKVMLAEEKKTGSLYAIKVLKKEFIIDNDEVESTRSEKRVFLAAARERHPFLLGLHSCFQTETRVYFVMEYVSGGDLMLHIQRKQFSLRQAKFYASEVLLALEYFHANGIIYRDLKLDNILLTTDGHVKVADYGLCKEDMPYGSTTSTFCGTPEFMAPEILLEQRYGRAVDWWAFGVLMYEMLLGQSPFRGDDEDEIFDAILEDEPLYPITMPRDAVSVLQKLLTRDPKRRLGSGEADAEEIKRHPFFKDVNWDDVHNKRIQPPYFPTINGTADTSNFDEEFTREQPTLTPVHTQLSTRDQAEFNGFSWVASWADV*
>EED86006
MCRDVRDARRIAVDASDEVHVTYWALCLRAPFAVLPPPTDDLSPKAKSLVPHPNANPPDPSGEPNRDPQGSSQRRLLSGQYGDQLAIAKERLRGWSERTASQLRQRIDQYTASLAVTFSQLGKEINKVTGYGEIEVLKQRVVQQEARIEAVRRAAREAKEAYDRAVLQRASSQREVNDLLQRKSSWNDEDVIRFTSLVRQDHLHEQEESRAKIGATQAEDSVEREFSELMRVILNRYHEEQAWSDKIRSASTYGSLAVMGVNMLVFLLAIVFIEPWKRRRLAQTFERKVEEMSAQNAELLESKAEALAKRFAGQDRLMSQIMETVHYNSQVPEADQMAASQTVVTLRDRTEQVPLWMNLKSNQDVAWAMAASATAAGLIGWLARTYMG*
>EED86007
MSLPTKHLNVEMHGRYLSEMCLQRWPNPDLPRNS*
>EED86008
MLPWAGLWSFSACSRYSFGRSFTLEKHAGHSCSV*
>EED86009
MPGKVAQVARQAERRREPIGCLFLLMEQGDLRYQLPFRDPFERSHMKTRDDSTVPNGDIHPQELIPAVDTELATLPLLPEQGQENVAPSASKKRTRSAHARCERDLNSRITHRAYPPSLPSVSDANPSIVYGHRGVMETDGGGECSLSSSAAGPMRAWISGSSGEGMSMSDATTRAGGGTEAPWM*
>EED86010
MIAQLAKFAALCAAALSVQATPISFVPADEGNIFWSPDITSPGLLSVWTVGANETVTWDPSKVPQSNINDTGLLLLGYQENGSENLDISTPLATGFPISAGQVSLTVPNVTERHDYIVVLFGDSGNASPIFTIKQ*
>EED86011
MTASSDDGDIEEGLGYGDDGAVDGRTPLDKTIDRIGMGWYQWTLLSLCGFGWLADNMWIQAIAIVLPRVQQHFSVSDAYIGTLSSSMFAGMMFGAVGWGACSDLIGRKAAFNATLFLTSVFGVLASFANTFVLLCVALFFLGSAVGGSMPTDGTLLLEHMPNGKQYLVTALSVFFSFGSVLSAVVGLLVIPGHSCPPAPAPCDVSSQNSGWKYLLSALGIITLSMFLARIVFFRLHESPRYLVHAGRQQEALESLQLIARFNGDDLDLGLEDVQDHAPAAVLGDGISASPTRITHDAESAFGNPDQDSSALVRRSGAPLANRAAHPTVAPWVSREDQSEDVYDIVNVRGEVKALLEAAASGAQACVGFRGPDRDGAVAGVDAHDVAGVGGVVRYVTGIHNVQRVSTQFVGCRHIRCRRLSRRYRAFFRALCLNLLGAYLIESPLGRRWSLAGSTFVTAFFCVIFVWVEQSWAVRASTVGIGLSATAMWAVLYGWTPEMFGTKVRGSACGIASALSRIGGMIAPMLGGALLSINNSVPVYASIVIFVLAGLCVLLLHEEKKERSAGRALMH*
>EED86012
MGVTVEVIRPGDGTNFPRKGDKVTIHYVGTLLDGRKFDSSRDRGQPFETEIGVGKVIKGWDEGVPQLSLGEKAVLTATPDFAYGARGFPPVIPPNSTLKFEVELLKIN*
>EED86013
MSPQGHPLITHNVIIDPAIASWTSTRQYFHLLQEYIKPITAPVCFFTTHNLNSSSSTHPHRLHNYNLFNTFSIMNAFGNPPAPGFDDSGNYVGQHGNSDWQNQSGQGFQDQGFQGQGGQNFGNQRGYDQGSQGQQGFQGDVQGQEWTAGRGDNYGNTGTPGNTSDANTYGAGGNNFEGAGRQGDQNYQSQGGYDQNNWDNQQTGAAPGGRAGKPSVGQRIKGDLEEVTGKVTRNQGMVQRGQEEKSGW*
>EED86014
MRIVSTLGCGKEAPGHLERECGTRPMKRHVSTPPEEPARRVGVVVDNVFLEGIINEAKERKEKERQTKAIPIPPPRSANPEPPTSPVAGPSRPRPDTPVVFRKVDPDWTPDTTQWTWDSSWPNQKHLSGEEWMNVGRNARKEWFDEEEDDGVDWELYGDGEQ*
>EED86015
MNPGTANSQSMEQSRCLPANTIRAIEEPSMIVGLVHSEVTLIHGGLPPAQEGSTNGLAGLAEVEEPTVVTSWDADEDLVPPDVPLAFVIQPEHEPTTGSRYMLRSLPKKGRDVDTPSSLPAHMTSPVPGKYECINFDTCGKEYGRWGSLREHVKKSDHERCHEFEAYAHRSTKILYRLPETGEVVDKPYLLSVFLPQKDLPSEEDAVGSGALRELKQRIFEWMEGSNRYTFLAIVWPHYILSPVAVRQYTIEPALKQALDLRGVHIHDAYEESVPPFPQPSPQTKEYSQAGTKLD*
>EED86016
MSRLAFAVIRPRGGPLGLHGTAPLSLWSRDIACSRARPPLRSDSWRAGRAIRSLNTRSFQTEVVSERVGIDPALVVPRPNAAPKPEPSAQVVSRPFGITERYFLDIRGPHGYSDPFITISIDTSSSTARISNEQVVLTWAAIRLRHTLLASRVQSTPQGPSFVYLPPLTKAHALRQARMNIDFEEESDRATWLGALHNRWWGNALDDVLDIRSEIYKLAWLEEAGHEPGGERRYAFGIQTTHFAVDGLGMCDVAGQFTELLADPGRAEAELDEYFANPKPRLPDAYENLLPEPDAAFPEEKQKALEAYKALMASGNDPSVTGILPDGNSKDENVEPNIIRYAWSEEQSRAIVAACKKHHVTVTQLACAALVVAAAEARPGRDIQNPIFKHHLPIDLWPRARSDPRGIAVRMAHYPMFIRAPSLAGLNTKEGLHAAILEVAKQCKDGHAGVVNSPYFWHIIRHYLLDCQRMIDSLAADASILDKIPFFPLFSSMGDLNKLVPGTLRAIPPPETTVSAAFHPDSRSEGEIRVPDVTVNLKVAPALAIVHVWTFNKKMTIQFKHNKAWATPEVVDPYLERIVEILTSFSES*
>EED86017
MSRLAFAVIRPRGGPLGLHGTAPLSLWSRDIACSRARPPLRSDSWRAGRAIRSLNTRSFQTEVVSERVGIDPALVVPRPNAAPKPEPSAQVVSRPFGITERYFLDIRGPHGYSDPFITISIDTSSSTARISNEQVVLTWAAIRLRHTLLASRVQSTPQGPSFVYLPPLTKAHALRQARMNIDFEEDSDRATWLGALHNRWWGNALDDVLDIRSEIYKLAWLEEAGHEPGGERRYAFGIQTTHFAVDGLGMCDVAGQFTELLADPGRAEAELDEYFANPKPRLPDAYENLLPEPDAAFPEEKQKALEAYKALMASGNDPSVTGILPDGNSKDENVEPNIIRYAWSEEQSRAIVAAFLRRSSRPLRHRLEARRAVVWVGEGPPRPVAASWSPFPTRSQVHAWGREMLQSWHLTSRKEEAEGFAYWCSGWREHTGAGNVMRAETCRNGKERRQRMGGERAHQTQPLIAH*
>EED86018
MSSSLVHHRDFLYGPISVDDSASDEYSTTTDSEPQTPDLEPFSPTPTPPRPVQSADWFLSDGNVYLRVNGACDGLAHLVARPDIIYKVHGYFLQRDSIIFCDILCGRRGEDGKSEDAAIFVPDVAEHEMDCLLSFLYHGMYKCTTLVDDWAALLAISSRYLFDKIRLRAISELEAQHARISPVRRIVLAAKHDVREWLRPAYVELCMRNDPLSPWEAEQLGLYNAVMLAKAREVVLLRRVTILEAALGSGAGCPCGTEVCERARLREVQKRAPEKGDFHQFVTGVVSELFSL*
>EED86019
MSFALPWYPDRDFIEPDDSTESQSPAAEPTSPVEEEAKSEPIIPSAEYFFEDPSCSFVWLLYSDDGWIKRPATVYKVHQYFFVRDSEVFRDMFTCPTGEQTEEGRTETTAILLPGVSHHEIECLLSFLYKGMYDHTKRVGDWIALLSIASRYMLDGIRSRAIRELELQHSSIVPVERIVLAVKHDIPQWLKPAYSELCLRDRALSSHEAGSLGLPTAIRLAEAREKMLLKRIARLNQPREVTKSATAEEEKQLAEHVVEEIFGL*
>EED86020
MARSSFAAYLKPSTWALEPEPSTFAPNSRWSNKDMDPVPIRSRTWSTVNYVAYWISDATNAAVWELASSMLATGLSWRQALPAIAVGHCIISVVMVLNGTIGARLHVAFPVLNRSSFGFWFSYFSVISRVLLSLFWFGIQTYTGSECVYQMLKAIWPSLARLPNHLPEKSNITTDYVLFLFPFMLISPQRIRWLFMAKAIIVPVAWLAMLIWAFVKVPVNSSGGLFNQHSTLSGSDMSWAWLSALNSALGIYSTLAVNIPDFTSTLWDPLKLINQWDNRAAAFFASFASVLATLGTNIAANSLSAANDMTVLFPRYINIRRGQMLCALLGGWALCPWEILASAEGFLSFINGYTVFLGPFAGIMVTDYWLLHKCRVDVPSMYRPHGRYRYMYGFNWRAVLAILCSVPPLFPGWINSINTDINPGRVARLFNFAWIYGFTVASTVYFVTSSLFPARETYIPEAILPDDAEDASQTSDAEDDKKSVQAEVKEILA*
>EED86021
MPRELVKRVKDNHSCDLRARTPYTKRRSGQTDSDTVLHSNKFCWYIPPELRDEVIDQLHADRNALKACGLTCRAWLPRSRYHLFRSITLDPGHIGDAFRRLIHSSPIIATYVKDVEILGNSGTRSPWDSNPFISWPTLKQAPRGRGDTAAVETAAWLQRVLPSSTPSLQKVVSLKLTALTLSGSVTVALSPHFSCVTELVLDGCRGVAFADVVGLLNSLPRLKTLRLLSAQWLPGHSSFTETSQQSVIRLRRLEISRKIDVAPLVSWMLSANVHVEMVSLSCSLSGPKSVSAIRDLLHATGSTLEYLTIGFEDTRDTTDILQATHFDMTDSVRLRRLHICCSSVEHSLMLSSIRPSLSWIVILLSAAHAPHLEEITFAIRLADLRVLNLEGLDVVLSHTRFRSLRIVKFEVELHRDAPQAFNRDSVCDRMQAMHAKDVLRFEVCHSSRRTRRCY*
>EED86022
MAEGSKSDRPFGEATRISERCDSLHKPSPDDRCVVDRLPTELLVHTFYLGLVDALAAFLDVLSGIHREQDTSTGPELPFEVIVSHVCRRWREVALTTPALWAQVAITSSTMHSKTQAYLARAKTVAVDITIDLKSPSTDVSALVSVSRGTKKQIAKMVFEHWLLLSPSISHWRTFALRTANSLVMHAFLIALHCCSPAPMLENLVLADKPAFVSHLHRHEWPLCINPFFLNAPKLSKAILSGVYFNWPQTGFAHALTTLELSNHQPGIRPSYQDLARILRESPSLKALTLKFSGPTGGPADWGVDTYLDIPEHVPETCRSMLWSQSVARLTLDQIDEEYAAELIDRLALPSLTRLEIDIVSGDCTGVLEALIRPRPPDNKSLLAGLTDLRLKQFRGGDARVIVEAYGAMPNLTTFWFGLDICSPLWLSLLSHKDVLPRLRNLVPIAVTGWQLRALISKRQEWGAPLWTVVMAGSPELGEEDRRWLTLNTHIFMVMPIPESAGIPVSAVGVDVADEDDWTDGNSDDGSDEYWEDNW*
>EED86023
MSTLAGLGLPNWRNLFLFRCRGRSPPAQAHDVPVIERLSAIYATKQKPEQVQLGSCHLRHTHLVFPHRQIRNARAPQEQASSPGQSAGQNISWRPTSPSPDGRCPCDKLPVELLAHIFYLGLVYLPDASEEGRSGPCPQYNAHTVKALPSEIVVSHVCSRWRNIALNTPKLWTQINLSRLIIYNKVGSYLERSQNSPVDISLRLSLFGPNDLRSPVYGKSAISSYIVQVVHGVWQLISEHIPHWRSFILETINPQVMEAFIGLFNGCSPAPMLERLELVDRSEIRSFYKTRKLLRVNIFSGETPKISTIAMSGVYFDWPQTSRTQNLISLTLSHHEFGTGPRYQVLARILRGSPNLKTLTLSDFTPAGDPDEEALELTSEGSPDVPPSCAAMLWSQSLAELAIERMAPELATELVGRLALPNLTRLKIDLPECYDCTDILTTLTHHMPPNDKSLLAGLTELRLKQFCGGTQEAIAAAYKAMPNLETFWFDHNETSPLWLSLLSGHNVTPHLRNLVCAGVSSPRLRTLLSKRQEWGVPLCNVTMIGASEPEVEERKWLELNTHTFVFQKDDFYQDSETDTDFTDDDREGIIFGEECDS*
>EED86024
MSALRALSKHTRSLSCTSMTSPRPAVRAFHSPFKVLSQSQSQSPLTAPPAPTANVYEKQLDHSPDPQVSSAGMRTYVVSEPDPSNTPYEVPSGAYPTSAPYQNYTAAEAPTPEGTRPASTSSSFAHPIADAAPQNPSGVMESSAIRHGEAPGEMHQRGGSSGGLGLMDKAGTKSGQGSLADRNPQPDGAVAEKYSKLGVDNAWKARK*
>EED86025
MTPLRLSAIRPTVRRTGSGNAASTRRSEVLTAAGKFAYRGRVIYKYEHDRAAKPASRSPTLNLAICLGLCVCLSGADVPCERHHILLFDTVERVRSRPSSDSRASECLSGGLEMEEYVCAGKGAYTQLPPNERLQVVSRREKRWPFLVTRPTQLSAGTVYDLRLLAINILWARWVGESQYFSWLTANE*
>EED86026
MAPPFTQPHIETLPRRVRVLFAGQYIVDTKKAKLVWLKPNYPTFFFDSADVPQKYLSQRSTSDELQQYDIVVGSRKAEAAATEYLGGDLKGLITIAFSSMDAWFEEDEQVFVHPKDPYKRVDVLQSSRHVRVEVNGVELANTTKPRLLFETGLPVRTYIPKTDCRVDLLKPSQLTTECPYKGIANYYNVSISSGETFENIVWWYRVPQPECVDIKGFVAFYDEKVDVWVDGELQPRPRSPWS*
>EED86027
MIRDAKSWFFHRRSKPPPSFINNSGLITIARYFPSSISWHTVENAALGLQDDSGSDDFLSSDSDTDDSDPEDPNEEEGGEEHLAAGESDEEDEVEDEVDESDADEPPVSLTEALKDPLYTISLEPEIKACVSCPGRLLKNPTMEEVHRSSNAHVRRYKKFRQAAGERSLDTDVRDILKEISAGPEKQNTDKLSKRAMKRTMQQKKQASVKSKRQKQKELKAKGIARKQEKATAKAESTPVSEPSTAEPQKKRKRDDGDSVKDASPSEIPARKSKLAQRLKSAEMAETTQADGPAKLSRRYGSLCRTCKKRNAGGYSKNLVEEFRKRREAQTKARKRPRKQQSS*
>EED86028
MYAGAKMIKERMRAEGAFDNNTVIALLASSDAIPYAITEMAIIRANFVVFPLSTRNSPAAIAHLLNKVGVKHILASKEQSITDLVRDALEILRTEYLPVTIPTVSSTFTFEELFSSPSNGLVTSEELPHNSREPDDPALILHSSGSTAFPKPIVWTNRRLIEVATYPWHFGQDLTGLVSSLHTLPIFHGLAFVNLLWAASTGNILAAFEPRQPPLVPTPDLVFRALQASNCDLVWAVPSFVEYTKTWAHNDEYVEWLASRKGVTFGGGPLNKEIGDMLVSRGVNIYNAYGSTEAGMLSVVYEASSYWFALLSSVTGCQVLPNARLNQKRPGGANSGKNPVHPGLSVLFDEPGLNSISAPPVYFKIHDYISIQMLPMGDNTYELAVVANNVHRPAVINTKVDGDDAYATSDLVMPHPTKSGYWKVLGRTDDQIMHSTGEKASLALTNPVPLEDILKKDPYVSNCIMFGRGRFQAGVLVQPKSEFSLDPSDDTRVAEFRNKIWPSVEKMNAFAPQHSRIFKEMILIANPSKPFSYTPKGTVRRAPVIKDYEEEIDALYDAVDNSAQSATEPPVHWDDKSANSFTRAVVEKLITVPLKDEDDIFQHGCDSLQATWIRNTILRALHDSAKIDTRKVNSNFVYDHPTISRMASFVLALALGTTDGEDRQSVSHADAMRAMVAKYSKDFPIHRGSAAANMESGKVVLITGTTGGLGCYALKELVADPKVARVYAFNRPAKHGQTLYERQKSALADRGLDASIVDSEKVVLLEGDLSAANFGLTEKVYQEGRNTAWRVDFSISLASFESNVYGVHLDSDDDLVEAPINPDVAIGSGYTESKWVSEEILYNAAANTPLTPVVVRVGQICGALEGSWNAHEWFPSIVQSAPKLGCFPDDERGVVWVPLDVAAQAMVDFMDTPPHIKVVHLNHPRPVSWHSLAVHVAQAFSVPLVPYHEWLAKLEQAALDVSQAESAGSDSRKALQDLHAIQLLPFYRGLAANFNVGRLSVGMFQLDVSQAVAASPTLSDPNVSQLGAEHVKNWLAYWRKVGLLAGQ*
>EED86029
MEPANDVSHTELSVTFQPPLFLERRGWVFEILRREGVHNVLDIGCGEGDLISCLCNPAPWLLEPPASVLKSLATSATVQREEIECSKLQAAVAHESYLHPTKVMGLDISATDLAYAIQGTAPRPQSTDSLSVSSIRWEPFEVEIWQGGLQSINPEFVDVECIVSTEVIEHLPENVLQDFAPIMLGAYHPKLLLITTPSYTFNARFTAPNAPASARSGFLDPTGRTNRIFRHHDHKFEWTIEEFTKWCEQVADEWGYEVEVGGVGKPLEKDEWGRDEELGCASQVAAFRRREGADYAAGRAQRLQRVASLQDVESRPQHVLLSAHVHEANEKARQPVSLQEIGDLTKARMIYYRDAVMRLRELWFDDEIAMACGGWIEVFVRAVEGHPDLRLLTTDTEGLTDWEIEVVGEARHDEKVLWRNDVRYEDSDGSTLSSAWTTELESPVPEHPVMGDLEGVKSHWEDSSKEDMVFGDKISPQWPTSTLLPDNWGADEARSWGPAADWGEDVTIPAVDTDAVW*
>EED86030
MPTISSGKVLVTGANGFVATWVVYSLLEHGYSVRASVRSEGKGAHLCKIFASYGDKFELAIVPDITSPGAFDEAVKGVDAIEHTASPVHLNADDPDEFIVPAVKGTIGVLESALAHGTSVKRVVYTSSCVSILRIPEQPTVFSEKDWNEQAVEDVKANGRNATGLSKYSASKVLAERAAWEFVEKNKARIAWDLVVVNPPYVFGPTLNEVDKPESLHSSMDEWFKAVCGGIYNTAPWGSDGGPDYIDVRDLALAHVLAIQKEGAGGERLIISSGPWKWQDFTIAGRKIGAVIPAGNTSYDPAKATHLIMYDASKAPKVLGIKYRSIDETTRDILEDYKARGWVKFD*
>EED86031
MGATRAQKELYFAKLKDLVAKYPSIFVVNVDNVGSNQMHQIRVALRGKGIVVMGKNTMVRRALRSILSEYPQFERLLPHVRGNIGFVFTSGDLKEIRDIITANKVAAPARAGALAPKDVFIPAGNTGMEPGKTSFFQALGIPTKIARGTIEIVSDVQVVFAGTRVGPSEATLLNMLNISPFTYGMTVVHIFDQGNVFSPEVLDISEKEILDRFLSGVQTIAAISLALKYPTLVSVMHSLVNSYKNLLAVSIATEYTFEGSEKIKEILANPEAFAAVAAAAAAPSGDAAPAAEEAPAAKEEDEEESEGDMGFGLFD*
>EED86032
MPLGDEAPTQEGTSPRSIRVVQGRQR*
>EED86033
MLIPIQLILTSLLALLAALHGPDLFDVRGIDALIDGVVTGVVVARDRTDYLVRTAVDSAVSSAFQLLDALPRATLRDCARVDVIYVPVLVGNVSMDLDDMVCPAVWGVFMTPDIVLPAASTPSSPLIKGRDTSLFAPLVDVVNTSQPLPWTFITTQPPSTVDVFTMPSTHPLEGITLFGLIAVLLLTPVVAVAFLVKHRVTSKLRKQLNVEAGPGASPFNPAIPDTPKPSTPHTTTSETPETLEGYYVDLEYTPDPVEFFMFVFTDVSDFLLGLVKDVVLPSSISEEDEKLGYFNSFYEFMAELKLVPSVLESVDACGQQSQVLSSPSMPSNVVEDIQVSPSPVEDDAHMIVPPVTSPAPPTAPLPSPLLPGFSLSSLPAIKSPVAQPSKQPSKSWAWAIDLYLRIRSSQKMQDSEPAQSASPDPTRYRDIPYFKNGELKEGIVDTHVGWNEPLAFPDAAEENDPVPRSVARDQLYDVMNSGVARKTSGANVEPMHNPRTRRRKRRRKGDAPDVAQSSGPTADSKAPTAFTSAPEPAQPVAREPLRQRPVIACAGLAAILGVLAPSPRRPRASVVAPVSPVAPPPPPPRITSIVVHNASPSSKPGLLPPRSPILPPSDDPWKPLIRSNESSSSSKLPAARVRMLPPTSSNAPSISRGPSMTVEQSLLNVPVRVVSGKRGKGQQVSRSMVFHEALASLQEPPEESDTPTAIQ*
>EED86034
MRQT*
>EED86035
M*
>EED86036
MSLNAQFNSTIGCGFVGSTLGTFLYGITCAQVMYYVRWYPMDHTRLKLLVALLWCVLDCHPSTTLSSTPYSGYWTQLELSQVLWSSHLWKVFPHVFVPTHALMNFGQGVRDTSFIAYGEIPTTPDYDYATVYSILFKILMRLSQGAKFVDRGFHPAHRGSFSAITDHIITRLVLYTIQRGIVVTTLHARPFKLFWLVLYFSGSKLNVNSLLALFNIRDHLKSHKPTDYMGDNVLLGINQGGRAERHQNIYALQVLGDGHISQMWSDRQRAEIYLNHTFK*
>EED86037
MTAWPGAVADAGTVAALATGAVSLIAGGGLYAILRRYSSSKRLDHIRTTLSDMTVFINGINEDERRLVEERLGRPGFIENTKTTIQNVGMFVPQISLMLQDAGTYAQYGPARPESWNPLKSQICAHMEEISELRQDLMNTTEAVRKEAREAVAEHSSNHFPSNWRKSAPVPPWNSQTNSVSPTLSYHALPEGEVSNGVMNPEVPTSLPAAATVALARSPRRAPPLRVRLVGAQHTVSPLSTPTTSRVDIQPDDVIRTPED*
>EED86038
MTNPATLLNNTFGAYFIGCIISSTRTSGLRLRQRLPFSGEVSWVENVTHHEDFLRNVAIFPAFMVVSTECQAHDFWLSGLLERAIWPRSRVRRTTAWRGMDPHVCEANTGDQQSHFRTGLLKLAFSYARLSVLPVGFQYSFGKAAATDEEPFLWRCLWAATDTVKAVVEDIAIPSQKIYLRHGPEAQCVFVTFASAFLVKLLQPKYAQHLSRERRVEIRDLVQRVTDLLSSPEVAIDDRHGPKLYARFLQGLLATPMARVASARALKTFIGTVLAIAGAWHALCAPIAVSSAGDVERRLESETGHASTTICITSAPASSNRGWGATSMQSITDWPDMVLPGFNWMGSMQQADFNTNIRYDQPMVGFTHSG*
>EED86039
MSSVAIDVPPGTIQIVLKFQSEEAEQLRRRLRAAEGWSKDCNASLAAEVARLTREYMNARALIQQWEGSLTQTSQLPQNEVEDSSPLPICSAERPIHVIVKTMPLVSKPAKRVFVHNEAVWESPYEFGYVVKPTRIISKKGKWREIKDKKLKGSHNELFAIVSAMRCYLGTYERVSAVVMTKEEFDSLSRQVREAVIQASSHPNHRSETRRLYETGQILPRKINLRRALLDAARARDGGSSAPVVLSTTEIILNIQNGELDRLRRRLEAAEASNEELTAEIARIRMQLQNVNALGSGLQPVQTTGSLFPSANPQYGPVDDAPITFCLERNPKEYLKAAKVSCGAMTIVKLRDDVVWGEFPSSGFLVRPTRIRTKKGNWNKVQNKKLLRDKMEFVAYNGFQWKYLGTFASANTDSEELSREAFIALPDQTREQLIRLSGHKKHRTEVKPMYESGEMVGMKFSFRRIGFNHALGEMLRGVGQRVSYKYIIGWYMVHAYAERRAASPSQELNSAANSMVRGSGFRERWIVDSKSAKKSSTRCRHNFDEEAAKDIAQKQLDYERRVHQEYLDYPEHDAAQAHAGVETQKD*
>EED86040
MRVGAGCTERHSSELLALHFYASSLSLHSLAHTPSVTENLHTAIIIPNIPILTVSMACILDTSDTERVPASPDANTYYTYSASELTELDSVATIDDENTSNHDILQQIIASFYKPIPTTTSSRDLRLGGYLAPVHRPQPLPPITPRLSPRGLDDEVESPGPQTPQDIPEELKNSGCLLASVHSWSVQLQKQDEAPDYDHYFPFRIDGPNALGLDIGHELSNESDLEPKSSVFRGLNERYRPRWEEHQAQRAGKPTHL*
>EED86041
MTDLATLLNNTAGAYFIGCIINSICTSGLRLRPRSRFSEDVAHHEDLLRNEAITPKSWKRITLEKLVWALGGFIVTVVQQATNNNIIDLDNENEWPARTAVLAAAIADVYITLTMCRVLYRKKSVIRGTRELIMELIFYITSRGILTTLNMRNRFRERHYHDGNILISINLECQEMPPDPHAEREIELENISYPR*
>EED86042
MFDFTLSLQHHHHPAPSRNMLIPIQLIFTGLLALLAALHGPDLFDVRGIDVLIDGVVTGVVVARDRTDYLVRTVVDSAVSSTFRLFDTPPPTALRDCGRVDVIYVPVLVGNVSMDLDDMVCPAVWDVFMAPDIVLPAASAPSRPLTEDRVTSLSAQLVEVVNIPWTLPWTTQPVSTIDVSTMPSPLEGVTLFGLIAILLIMPVAAVAFLMKHRVVSKVRKQLNVEAGPRASPFSPAIPYTPKVAANPSMPHTTTLETPETPEGYYVDIEYTPDPVEFFMFVFTDVTDFLLGLVKDVVLPSSISKDDETLGCFNSFYEFMAELKLVPSVLESVDACGQQSPVLLSPSTPSNPVEDIQVSSSPIVQDDIPTTVPETTFLSPPAALSPSPLLPAFSLSPLPGSKSPIAQPSTRSSKSWAWAIDLYLSIRASQKLQDGELVQFASPDPTRYRPIPYFKNGELKEGIVDTHVGWNEPLVFPDAAEENDPVTRSVARDRLYDVMNNGVARKTSGASGEPMHNPRTRRRKRRRKGDGPGVAESSGATADSDAPTASASAPKAAQPVAREPLRQRPVIARAGLAAVRGVLAPPPRRPRVPAVAPVSPVSPVAPPPPPPRITSIVVHNASPSTKPGLLPPRSPILPPSDDHWKPLIRSNESSSSSSSSSKLPAARVRMLPPPSSDAPRISRGPSMTVEQSLFNVPVRVISGKRGKGKQVSRSMVFHEALDSLQESHEESDTPTAIQ*
>EED86043
MSPLDTELNNTLGCSFIGILFGILLYGLTSAQTLYYFQRYPIDGVRLKLFVVTLWLLDTSRTILGSWCNWTWVITNHANLLALFKIPRCGQADFFIEGLTVFIVQISSSRIATTLGSYFVRCIWRTVEHKWYQLPLAAIVVGVKIYAAGKLDRWFTQGLICLGAFGDLYSVSNAELYSFVNFGNFGAVFGIVTIYNMNRTLDMTIALQESTASGCAHVLMAGVADIYIAISLVVILRRQRTGFHRTENTHRTNHLVTGLMLYTIHRGIIVAYVLSVAQLLEFSLFVGTLHANPFKLYWLIFHYPGSKLYVNSLLALGGSLIAHAEDNVLLSIQGEKKESDRHVAYPLTVRSPPGELDDIAY*
>EED86044
MFTGRLRASLCNGGSLEQDAPSIDVSRHRRNLLCESREQNLVELRSPVYGLCYLKHPHSLRQWANYSAKRSQAHIDMQRELLQTVGLRASLKNELPPVAQDDDLPDNDFASTQNERR*
>EED86045
MATRANSVPHPTLVEGIHNRWHPDIPPFATVKPGEVFKVQCVDWTGAQIGNNDCSDDIRNVDLTKIHNLSGPIAVEGAEPGDCLVVDILDVTPFDKMPWGYTGIFELENGGGLFAREFKSKAAKAIWDFKGVYATSRHIPGVRFAGVTHPGLIGTAPSPELLATWNQREGELVAANPNAVPPVALLPVSTGAYVGQDLPDDVRAKIYREGARTVPGREHGGNCDIKNLSKGSRCYFPVFVKGANLSVGDLHFSQGDISFCGAIEMAGIITFSTSIIKGGVEKFAMKQPIFLPSPVDPLYSAKLIFEGISVDLHGDGKQYDMDAHECSTVAYKQAALNAIAYLMKLGYTREQSYLLLSAAPVESHVGAIVDSPNACVTLALPLGIFEHDILPKDEGLTKHDYGQCAIRSDGVV*
>EED86046
MIPLADLAHFYSPKCLAALLAFIIVPDITSRTAGIICAASQFPELVFLVLGPSLTSKFKLGLTDFLAALVLLETKLRALTWLIKLQKRHTSWQYNWLADACLAIEVLFALTFITAGRRYMTSTFGNDSEEKPRVSESDHAHEQPLTQVTDHVSRQGTNQVAAPNGTQVASRPRELTPESVSLSTATVVALQLPRRATCPSSECTLRQSALMRLFKEYFETMKRNTNCLRENLRLSEEVLQLRREMREVDEEMTQLKANCLEAVKGTKELAYELSQENKALRDLLGFYQCKIEELQDIKSQALISRDPEPERYPSFISLS*
>EED86047
MQTVYHRHTPDIAGKILRVKELVQVENVPASAVRNKTEQRRERKAPRGYVVVGSGLE
>EED86048
MSGLLLRLKKSSENAMGEHSSASLHLQSGLGPEVAPGIGAFFSRKLSRDDTRSDYSTQSDDIWTISETSDISRLSDHRPITIEATKAAHNMDINAPLRTPSPSLFSLDTLTDAMPRGTEDAWGAFTNIDTESSDPLPATEIEDYVVADAMSAALAVANNHPRQSSSQDIAAAGLRARPGVVNTLLSSQTTNIDRRPAVFKPLEEITKIHPFVHVSVRAFKAFYALEMNRNSNERMVMNVLSEVKNMLDVLVQYAASFQYVIHEADLHTSDSLKKVDPDALDGEGVTIEARMKILLEATAKEIKECSNVCDAYSKAKTVVKVVKGPLWEGMFINYVKLFAKRRDEFKTVLSTYTAVQMIEIDQKMDNLTRSVAEKTDVIINLLQNFIPAEQQAMRDKVERKGGASAVLHDEAVLHELISTEAQSGPAGNDSKQAAGEIRDDMDEVEQQLDEPAVSTERNLQSFERKFEMEMEIHRGSDRVIESVRSGPHDKIVDKGWRGNAKARNLVLAIRDFYHERAEDKHRADGPHESPQDSWALPWIAVKRLQPIVEAFDDDASGYVTIAKVNNFTKSRPADWSLLRWLAFWAVGWQSSVTAYRDKIHEQFSVMFALKASVNTTNRRIVERYLDQVWQPIMLLTSSFQPCTTEDEIHNRFNDHIEAEETRLKRNLEAARYHIDDLTTLSLVTGPGRIEQYLFQLIYLIIKRDLDVMQLCRTRVISERELEDSIRSLWLVMAAVDDRHKELEETFRHQNLDPGQQFKITYCKLDTDEWEVSLDRAAYDIDDAPHVDCTEASESLKPALGTWRCHMYTTDDRLIAPMFLLSIRVSPTDLGKFAASGVIPGSSMFANFKLEGAYELQEGGRPGYSFVMECSAPFESKRFNGCVSEDGMTFSGTWGPSWKVVGHFVFSRLPPEIMRYRPSPIDLQRNRTKALWRFALTATMGEVVRKKWSWDFFRQRRDFRRRYLDLLFRTSSGRPLDADEEQELLDIERVLTPSDARFYQSLCDMRHQTACHHGKPFSAINLCEDQRYFGKIERQALAALQRATASFAEVEEQKHELITHRLAGDRGQTRNINSGEQVCTACKGALIRPCWYCIECENNVFICYSCDTKAISFVGKHSLTHILVDCSQKTDPGRSKSNMTHGGASRSDAQGVEVENDRLFRLESRLSTMDERLIQVDLRLRDLRRLLETVISRLN*
>EED86049
MLRSILSTTSSLNAIPSCL*
>EED86050
MAAPTQMLPSWLTLATTVVTAPDGAVTTSLVTLQLPLTYYGPSIPLGTDGSWTYGGLTPPASITVSATGTTAFTSIVSSSSRSLSSPLSTSVATSSLSSSFTSSASSSAASSSAAGVVAANHHAISAATLGAILGAILGTLLLVVLVLIVLLLRRHHGGARGAGPQSKSSSSFWNRQTTLFSRRGSPRQTPIWTEWQMVNPDDFNEDGGAEERTPGDGSPRGSGEEHDPFLTRRSVYSDSKELTQTTTGTKTLVSVPAAAAIAGGTTSTSRTGTKVGGHIIPRDELLARMNEDQGGLHPRVNVTEASPEHTSPLLPPPPIGSDRRARGVETKSTRSLGSQVLSTTSEKSSGSIAEHEPAELLTARRVKVVNLDQAEDEAGPSAWHKPSGLETLANLPRLSWFRRMSWLGAPTGSLSPDAESAGQDAYTRTPPRSHSRQGSRSRPVSWAPLPTHEPGSPESSFGRRPRSQSGLGLGLLDNGERPHSSVSAKSKASAASGNTVYLDAHSTPASSAVDVTSPVGAMGPAGSGVPSVPALPQQRSRQVSPSLGDIPTMTTSGGYLSVPGEPPSYEESRRATDQSPGETSSENVDVLDIPAPRPASPFTAASSRPDFPPGLISLPAPRVWRDSHVSGDSAGIQIDVLEEAPPTAQDGWRNLSGIGRAPGEDRRTTFGMDDRRPRRRGTVGEVSSPALSAVFSREGPWERPTSHLAARPMTPIRQSPSSSAGDVPNFAMPATVTGTVTSSGTTRTDDTNTNSSVTTALTDPVTGAVLHFPALPWRHVPDRSWNSRDEEHMCVQLQLVSREPPAVVLVSLQHDRGMAPKEDGSVSIFLFRRVIKVSPVPERQYVYIVWIYKSIRSGCTIRCRTSCFLPKTIVMSDINKYSGLQDALIAYYMILTLADDVRLMWGRKSISTILYFVNRLVMLGTVVMNAPLPTNTLLSVQLVDKIVALVAMTVLAVVAALRALAVSKRNWYITLPILALGLVPVGTNIMLDTNPNSTRWLDFKVISTDYYVARWYANGALYFLTISAINVAALLVDTIEEIDVNIGALLNALSSILVSQFLMHLREAADRSTGELGTRSSFRSDSTRDSATQSWLSSAEFAATIGNHSDHSDHFDNIDAFSDVEDDYALSRDDETEGKPMVLGSGCHFQGPSILARSPLTHTSTSIGLTVNLHHYRNRFAPPTPRKHNWAWCEEMHVWIPDTLPYFTTEIEPGPRPATIPIMRPKPPVQRKWWQARKASRSAQLPEIRLYSGDCEANGVTFAHMKLEPVAVAQEREELRRIFAGPQPNTRARTVRIPQDRHRKALCIEQQTLGEESWPDDPSVSFGAWAGGIFQILHATHMLILPSPASSRFPNTGLHKPQASRAHRPSSRLQDLGIALCIGGCWARGHGPDDPGVHLMPGQCRSPKRGPVPNCNSKTPTRAPSEGHKPSRPGNIPVYRQALGEEAWPDDPGVLSGTWAAHQIREPLPSFRIPSSGACCPHHSGERQGICGGEPLGRMWPILPDVFNSRVARGDASAIAGCTPLEGLGVAERRHSGSRRDDTRSRDGVLFGGRRAWRGGGADEWHGSLCSGSKLEYENRLGLQNSELNLS*
>EED86051
MNQTERKNTSVRAMRRVLAGFEKAPLAEPRRLSKLSTESRVQASIIPRTFIGTSPSQGGGNTFDADKFVVKNCGNQANEMLPGLDEQSPECHDQASIPSYSAGQMMQALYGIEVTRLCIGAGVVFMVHGLWGPRGTCIGARSWIVSLFEASFTNSPYLFLLGHLYAVMAGQAQLEPMNASPHHAKVKVSLQLADSMYVAGNAITGKVQLECKADKGLGIGVVMVELYAVEGLSRVQLELTSRDHSATSTFLHTRRFFQGPGLPPSNAVQPHPLPGDPPVPTHYHTARRGITTFLFRLPLPASSPSAIDFGSGLAQVRYEVRATVGVAWKGENRLVFDKKPIDVVETFEEDLRGGDPEAIVVGENGRIWVQGRVIGGFMIAGQPGCVELQVKNHSSKKNSGLSVALTRELYLPNQPLGSTQPLQINDTLTSVTFRGPEYIIHPGVEGVATLVFDLPLHARGVRGGRRQGDEEGRRTAEALFEDIILTVPVTILHPSAIPLLPDRDLYSQPYEVAAVYDPSPGAIHSPPPLSPPPILERPLSPYAYAPPPPMSPTLLPYVDHGQVWLPPPIPAHSAYDAIYRPPVSPPLSHHYYYYPQPHSLPVPYIPQARPSSTEPVPSQPLYSAPVSPLTSTQQPLLPMPMTSNSAAVREEGKGERASRIASHLRMSSRHRSVSPPAHRYAVPTAPDAHAPVAPPPASSSIPVPVQAPADLSPSSSPLTQRRLPTLNLSVSPARSQGSVVSPRPMLSPKHSFSLDPSMQVTQVEQLERIAALADSENPGMSASGASPRADAGMMEKTLPRVPDVEKGTFRAAAPRVDTLFPESAARPEETPPTPTLAAVTSLKVPRALDAEAGGGGLSGLDALEAKLLAQVGTRKIEKAARPDVRTVLPIAIPRPTEGDPANDSAISSLTLPGLDSDAKTLKVGQPNPGPELEPDADDQDDDRALTERWRDRESKENKKASSIGTRKSKDKERHGGPKSGEGKVKDEELQKLRKTAQGRVAAWLGSIEPAVPPPSGTPPPANPDAPVGLADLAREDSHGRVAAWLGRMQAEKPDDATPPHPPAGMPAPAADPTSPPTPSVPSSDAPQEPRPNVSEEDVTAAPNPRSSGFVPISTLRAEAPHRAAAAAARPADPSQGGDAKTSVVPPRLLALPARPVDPQVRYDIRSARGGRGGKVTAVAAIWASATQQRDSHPEPKAKPVPPQRAIGAPARADVAPVSVPGASSASGKPIARLVHLPTSKPPKVAPPPPRPRPTAGDARPSPAADLAARRARMIKSTSVPAMISSSHATPMLSSTASLARAPPVLAERNKTNARLAPIASDDPPAAGKAEPAKAPSPRAELAFGQARLRELIKRYQGQGNT*
>EED86052
MFALTGLLVCLLQLFTTIWAWLTSRTRSASEVDIEAVVIAYNPATEHPANQCPISKGNEGSRAGIVDTRHTPEAVSPGDPERYIQEVASATQASPTQCNTIASCSSSTDTFDYPLSPTPPCSSPCPSLDSSIASTPVSSCPGTPQFLPTVCGIAPVGVLGDDVPLPPPADDAHLEDVKVPKCRPLQLPSAAHTLVDDIRPPAPPPADDAEADPFCLSAGSYSADGNWDTTSPADDAGPYSFSIYSSAAKTPRGHPGRRSKSAASSKPASAAISTTPAPSGIHSTPSPASAAPPQGAVKPPPSSKPRAPVLPAAPRGVRPLRLPRGATKPCSIAPPAPLTPTAPLVIRKRKPVPSRARPPRVRSAPSDAPADRRASQLDDLIALVDAAITTGSLDAFAALGSAPHVGALGAGHAGSRVGPAGDVGHNRPRKMQQPICQLDLGEMGAAPRGKARLDLEPDGSGGDVMQCYGLAF*
>EED86053
MSRKRGGPSMRVSAARQQDWELDVEYGSLERYTCVSWGLRKPFRQGPHGPSEDNCRVAIVADMNSLKGRQRTALKLERRTSEEPYVHYAEGLNGIEKPHAAVKGPGCVKRTTAIPLLTARPGTRDPPLRSHAQMRRPGTLRAVCSTTSYNGKMRIAASSKRIGRAPSPPTWACYEQIPFDDEYSDSGSEDNSCSEDEEDYGRAVRPGSDVSDGDPHAARENGHTLPAGDDIGPDEGDEAAARKIAEYKKDVKGKQRAIEPEPEPESPRRQHRKKRRQPVFTLRPILTIQRSQGFVWNQVRAQSFGGGLSQAARLT*
>EED86054
MAGSRYQYVKTFELPDPILPGTFMVLRIDGHAFHRLSEVHKFAKPNDERALQLMDHAARDVMNEYKDIVLAFGESDEYSFLFRKSTALYNRRQAKIVTTLTSLFTSSYVFNWSRYLPDTPLEYPPSFDGRIVVYPSQKEIRDYFSWRQADTHINNLYNTIFWALVQQGGETTTQAHATLRGTVSGTKNEMLHSRFGINYNTIPARYRKGSVLVQERSPPASSDDLASTAGETQGTPQQPEQAPSGSSRQKASKKAHALTKIELHHCDIIGDEFWDQRPYLLAE*
>EED86055
MKRLIALISKGRNVSEFFAHVVKNVASHNIEVRKLVYIYLLRYAEQEPDLALLSINTFQKDLSDPSPLIRAMALRVLSGIRVPMIGSIVVLAIKKCAADISPYVRKAAALAIPKCYSLDPSHQPELITIISTLLRDRSPLSAGSVAVAFSGVCPTRLDLLHPHYRRLCRTLIDVDEWGQVDLLNLLTRYARTMLPRHTVSADSGAEEIDSDLKLVLTSAEPLFQSQNSSVVLAVARVFYYLGPPSEVPKVVCPLLRLLPTAPEVERVVLAHLGTVLIGIQQALSPFYNRLLVRADDVRQVKKDKVRLLCAVISSDNYQFLLREFITYADDTDDELVADAIRAIGYCARVIPDSTQQCLTALMSFIQSKYDVVVAHAVLVLKSLVQIRLQSAVSASISASTSTSPLSIIARLAWRIDEIHHPKARACVLWLVGQYAAVETQENGASLYAGIEGVADWAPDVLRKSVKSFAAEASIVKLQILTLAAKLLVLCPTDRTLELLSRYAFALARYDLDFDVRDRARMLSSLL
>EED86056
MSYVAKGEKEYDATGAPVPAAKIHKIRITLTSSNVKNLEKFSADLINRAKDKQLRVKGPVRLPTKVLKITTRKTPCGEGSKTWDRYELKIHKRLIDLHSSSEIVKQITSISLEPGVEVEVTISA*
>EED86057
MAPFTLISTLPPFISPDEHKNIVASTPASFSDIPPVLRHREENVSVTVEPPVDGFTAEDCALGTLYVIESFLVFMSATGRGFQVEYPSITLHAISRAESGPSIYCQLDDIAESPEDAAEEAESELKELIIVPKDGQALEPIFESLSLCASLHPDPASLDEDMDDDAFIDADENGLDTFTGGEDEELSEVGRAALAHLESIIYDPFEHARE
>EED86058
MYLHRRLLEKSSFYYKDPGIKLGMHVGFEQHAIISWVLEVCFFRKKSKKTSFELTYSQHFNPFSFLTMVLILAVIEHDIKKWFMGVHVVANFWELEGKPFYEACLVNLHTWENPPDAMVNSIRYIANTRETNSSVPVLHTRTFPSSPHPTWLPAKAKFTHSTLRTSSMSTSQTALSLPSTVASSSRAQIGHPNRSQKITLATRRSDEHNIRSVPEAPLHLNLPRGTLALSPPAPAFPKLSPDPVKYEEISLQTLRQSLSLRRVQVKKESRSLSPRVLLGPPR*
>EED86059
MSAVAVSPLPTSVPVLLATAIGTTFCSASANTLNQIQEVPFDAQMARTRMRPLVRRAISPLHATGFALVTGIAGPAILWTMVNPTVALLGAGNIALYAGAYTWLKRKSVVNTWVGALVGAVPPLMGWSAGGGHLLPSSAHPVEMFLPSFLSSVPLDATLVDNPLAPLALSILLFCWQFPHFMSIAHFQRESYAQGGYRMLSVLSPTKNALVSLRHALLLIPVCSILIPL
>EED86060
MSFVKKRTSIDSDPLNPSFVKSELDEARKSLRAKTVAVSDLESERDRLASELSEAQTEVRDAKTALETATREREGTQNELEDAKRRLEELQSYRDSKELAFQEVCRELEYMKDAFAAQDAVIAILQSQVQSTTSLRAEYEESLVEVAAERDAMKAAYDTASSISGLSSQQLREAVSRISALEEMLSSVRQEHDASLAASADETEITKAANVDAETQLAEKSSQVDDLLARMQDFSGKLAALRAENAEKISRISQLENEISDAREERARIEEIATSTRVCVKLLEELLSATSRIESDGLAPQKRVTDKAQPQVTPRTISKAHGISNRSVRTKLTALLTSMEAMDCFTLALVVLLCVTLGPFLYMLHVEARERGRWRRANTLYLC*
>EED86061
MSFTQQQTKTMRPWGLARVGGSAIGRLMSRPSLDEANGALASKDKTIKQLLGRVTNAESASVALQSELDEYRSSLSASEEEKRRAEAVSTSYQERFATLEAEYIQEHEGLQEALRQLEDIRMRFAAEESRVADLESHLQHAEADLGIARNELLGHRTSATSKLLAVTAELDAERTARSQIETELTASRSQAEELETAQAQTQHDLEARTAELEQLTIRVEALVSQTALLHAHRDQLAAKVDDLESQAHIRQTLERDHDEALSCMQQLQARLAQSVTAWQQTAEEARRMDDELQQTREEAARHTQMADAQLDEARRHIQILEHEKLEALQRVAAIRRTREEVVGHFQHQIAIGDAEKAALQQTNVALRRHIDELSRAQSKDGSAGCTIQ*
>EED86062
MDTTRNNYPNVRSKVTDEERTFKKPYQTVGRPETTDHDIHEEYLKDPPHNQSNELSTDRTTRGLKEGAREQVDPKQEGVLGEETTGKISKESELGTLTTENSVHP*
>EED86063
MSVLKLHPSFPPPR*
>EED86064
MGMMLPPRDSPAEQVRTLMARKENIEAELDAQLSILQANSSTMSSPLVDPEGFPRADIDVWAVRHARVRIIELRNDLSALRDIIMVALQNVYDPAAVVKTERSPAQEAPAPTDGGSLRPFAKVNGVAPNSPAAVAGLIRDDLILSFGSLVHSSFTASSLQPLAELVAAQEGVLRAPGQTVTLTFVPRSGWGGRGLLGCPTFRREPSGNSFKNAPAYFHQFNASLAAVACGIISIRHRSTMLSFRGVQVASLRVLEEVTDIAVVIRDVINFHHV*
>EED86065
MFSFRRKPRKPDSGGSPFIRTSPSLPELHTQGIPWPENLVDLSSLPQSDAPQVRPQGAAKTIFPTQGVGPIAFHKPWSSPEKPPGRTISEFFTSRLPPPSAFETRKQPVHLRAKLSHRKARSPTTFNVMVVGAQGTGKTSLLRLLLDTADISPTATADQKAAVERFLRGAPRRTDSIQSACVEICESRYDRILLSVIDTPGLDFQESHELRLERQVTAIVKYLDAQFTDTLNEEAKVVRQDKGDQHIHLCIYMIDPKSIMSTSLRRARSSLPAKTPSAIVVNHSPDLSSFSDDTTSDETNEEIAGELTMSPADIRVIRRLGNRANVLPVIARADSLTDETLADVKRVVRRDLHNAGLDFGVFGPAAGDGKRTEKADTNGNGTESSVAPENAAENDTESEPQVERRSRSVIKLRAPKVSVSRRSSRSRSRMSLSELAAAERAELDTMDAESVANVRFSAGVVAKADLSESLPFALISPEQVRRRRPLKPSISIDNTRQSFHSAALPSEDGHAPSVADAAHMSPTTPPTPSSRSFPYLTGPPADLRGVFVRKFRWGAVDVLNPEHCDFAAMRTAVLSTHMKMLKIRTREVLYEKFRTEKLLAKRATRNIGETETRKLLEDLGL*
>EED86066
MLLTESLPPLGSVVPERRGSSSSTVLPPIAQATSSRTSLPEAAGPELPPITTLSVPPAPASREDQSQLSSLKQESGQMTQRRRTASARLGKVGPRAMAPKSSRVTSAEDVSGAADEMTAGSTQDEVRRRAPGMWELRAASAAVRNKSAATTPSGPSGSTSARSSPSTQMQYGSAQENMPLTNGVARRISAVETGLADANGHPSKKMRMAAEAGRSTVAIGQTP*
>EED86067
MYMVTEDKRDLRVGESSNSNKGVYAATRWRWGVSGAPPGAGYADKYVTGMGVDSIERNRDSRVTNFSSCIAIVVREEKNHHKAIQIVEPPEWIVGGRDEQTLVGACPGHSNSSSIRPHHPPVRPTSLTTMATYFTPRPQLSSSHNANPPPQETGRRSLPNLDLTVNDHSIYIFPDPAAELPSPASALFSPATSFDASSPSIFSVSSRSRSQRRVRHHASSFSTQSGRSPSIGQASSGSPRTHSYFVVDNPSPISPTYFSVENDPDVGEVWDGLHSPLDDEASRELEERVERVSRWDFDSRLRQFVERAAAASIPAQERRRRLAPLRTRTRTSSQSLTSNSLASSRPTRARSSSTRARAPSPSPQPRIRIPFLSFFAGLLALDLDDPALRLLTQSAPADADSILFPGHSATRLLQSLDGDRYTPPSSLSSPDSDEETKSVPVHGFAKLLSSANDPSGAALRSLRAGLAMASLPSELVASVPGARGLAGLWRAAWREVWVGS*
>EED86068
MSNTACQCARRTTQAMKMHHPKRGDRRSVIVTPGGQRPSNMHTSPVCTSATVSPDLPAELISAIIEQLYYDEDGNPDRRALYACSLVSASWTGPAQRLLFHTARLHGPHALAFLHAIHPARPSGTRLGEHVRRLEVRIGTGPGSGSPTSPDATSLRPTEFLTLLDHCPRLYELILRAYNVHAFEEPLTRALGALASDPARTPLRALSLLRAGVQSPLLYQLLAVFPSVRFLRLGSEMAAPLPKSPASVALTELVLYRLPSPRILSWLLASSLSTLAILDLRDAPDVQLTEILAPHGPRLRSLRLLRYNARGAALIRLCPALEELVLFQLSTFLPLANLPQTLEHLSFRDFSWTSNPSLQTIIAAIETLPRLRLLTCDANAKQHDDFPALRRKCEKKGVALRTDALPIRTFEDAVPVKRFPRRKSISNFPLMNERVGAADQLMQS*
>EED86069
MNADVTAGGNEDKDASLNFLSEKAATRSQGEESGNEGGAPLDARTEYLSYISGSPSSEIARHAPDYDRPQKLPFYKRRVTDLVPQAGMGKVPRLRHGLSTVLFTPGPQWLQEPRSGHWNFEQKLRDVPKVADFAFDRVSEFVTSSKDPELHRLAKEEGCIFAGSTSSLTGVLSQIYLLLSEEKPVNTSNLSAAFRRESRSFTPGQRMPVSIGLNYQDGVYATDSDVRHLGQEKSVTVLSQLGTMMEKFLTMSMKAFRRDFVSTSPGGPFVQSRAKDVYRQSLIVLEQYGKFAMRAQLDCRSPDLPGTGIFDIKTRAAVPIRYDLENYKDHLDYKIRTMQGDWESFEKEYYDLIRSAFLKYSFQARIGNMDGVFVAYHNTHETFGFQYIPLEEMDIRLFGHSQGHRIFYKCLGLLDAIFSEIVEYFPQKSVHCTWETRTIKKLGSVLHVWIEPKEWFGKIKPIVQLDINLTHYLANQRTSAHTAVGSVDKPCMSLSPLSVLIHANIGSLVQAAIRDNQHEAYKRQMLIYKFQLHPNEKVERGRDIDLTYIDESDANIAKDNSGVPESRSASTGGPVGKKEHKAKEERKGESKHAAAGAQKGETKRKLAEVPKGEQKTEAPTTDLSGHSSDAALDGRSECPSPLLHLLSEVIEGRVATSTPSGSTSNSSEDLVGPLPQPPESPSTVEPSSHSVLESTSGSSLDAHRALSATDTIHSDSASSPSSAISPSSGDASSSPKGPD*
>EED86070
MGAEVSTYEGPPGILEARDLPSVARYMRSKECKNVFVMVRCCVSTSAGIPDFRSPETGLYANLARLNLPYPEAVFDISFFRENPKPFYTLAYELLPGRFRPTATHSFVRLLADKSLLHTCFTQNIDTLERRAGVPAHKIVEAHGSFASQRCIECRTPLDGDVMRRCLKRKITARCPECEGLVKPDIVFFGEALPERFHQSVPLLSSADLLFVIGTSLKVQPFASLASLVPPHCPRVLINRELVGDFTSRPDDVVSLGKCDDVVRSLCKELGWEEDLDGAWKATEGSVVDFGEEQPDTGETEQERLEKEVEGIRVKLSKLLGARQAAQ
>EED86071
MSSTLPFLDQFNAPSTEGGKRISIYTPKHTHVGDSALLTLLLSNPTDVFNKLKTHNPEATNATDRAALEAYLSARHEYDEAVKAADEAIDHHKRLLHQQDDRVLTELIRLDNLKVAHLFQPLLPHSIRARHNKFILRCGKEAPGHLERECGTRLMKRHISAPPEEPARRVRVVVDNMFLEEIINEAKERKEKERQMKAVPIPPPRSANPEPPTGPIAGPSRPRPDTPIIFRKVDPDWTPDTTQWTWDSSWPHQKHLSGEEWKNVGRNARNEWFDEEEDDGVDWELYGDGEQ*
>EED86072
MATHPRPEYECGDPWIDDGNVVLLVDQSNIAFKVHRGILARQSDIFDDMFQLPPPAAEDDLETIDGCQVVRMYDLPVDLSALIKTLYDGAEFQNRNALDFCHVAGILRLSTKYFIEHLRLKAVRFLTETWSCTLKGHDKMLELALQSPTVDGLSYPYVHPLHVLNLARETDVEILVPSALYFLSFYPLSDILRADHPKLKIDHPSRPSSELSPRDVQAYTLMFQHRIDLIMDFVRRVVGQRASSEGCQNDQGLCSKAFSRVSTTLSRAWLIRTGALHFMMQAVDELFNDSTICTVCRRAFRNDVYKERKKFWDGLPGVVGLPTWQNLETRDLESGALGSSRTKKPSRKVVGRSWWRIG*
>EED86073
MPSSQVIILYDFPSTVPGKACSPNTWKTRLCLNYKGLPHKTIWVEYPDIGKVCKEIGAEPTATCPDGSPFYSVPTIYDPSTKSVVSESARIARYLDKTYPDTPVLIPPETDAFHAAFNEGFESLLLPMLHLVLPLTFGAIHPESRAYFRKSRESWLGEKFGETPPVGQAREELWKKLAEGYTKVAMWLQMDGRDKLFFGGDNICYADITVACFLGCLRRMYGADSAEWADVKEWDGGRWARFMERFDKYEVVHE*
>EED86074
MRTFALCLAACAAIVHALPYPRSLRSHKRDSGSKLVVAHHIVGNTFPYTESNWASDIKLAYDSGLDGFALNVGVDSWQPDRVADAYSAAASSGTDFKLFISFDMSSLPCTSSDNAATLRSYITSYATHPNQLLVDGKVFASTFSGSDCTFGQANAQQGWQTQFINQLTGSNAVHFVPSFFVDPTTFSEFDGVMNGAFNWNGGWPISLTTTSVGSVASQLGDTVFPTDVEAVLNQTIGTFDTDSQYISGVSTLTGGATTYIAAVSPWFFTHYSPATYDKNWIYLADYWLYNARWETLVANRDSVDIVELITWNDYGESHYVGPIEGAQPMYTTWATGYDHQGWLAMTNYYATAFRTGSYPEITNDQLYMWARPHPKDAVASSDSTGDPTNHQLTEDKLWAVVFATAPSTVTITTGSAQTFSVPAGVTKLAVQLTPGAGMSGTITRDGSTVVSVNADGYTFNANPSEYNFNAFVAYASS*
>EED86075
MSESVASNVEHTSKKRRAEMDKPPSERTTIKHEDFWLSDGNIILAADNEDENATVLYKCHRSMLARHSPVFKDMFDLPQPVESQEEIYGISLLRLHDKPKDVESLLRAIYDPMVQFFKIQANAMSCRYLSAGPNVRPVAVIRLAQEARIPEALRAAFYELHRLYDGRGHTRICRVNDLTTGDLHRLVMGRERLRMAVTAILTDDSLYEDELYAMHFATVDFNGSKEICRKHVEDWWDKKAERLAFDDLALPNDPFIELNRLANEIEEEHTLMCSGCAGEVSAFIRSSRKELWDSLDRFFDLTVLM*
>EED86076
MRHHTAHRPCGIWQAYLGRPSRRWDPAHSLDVSREHHRQAQKTQVGRVTGGTGHQRNGGMREHRAAWLRVPHGRRRRTKCRAACRSAMTGMRDRDVHKNIARRWFEAASGRGVMCGRPVHISTAIRRHGLCQKCHGVHGSEEQSIAWTHAITGTHGPTRLAARGQMRPAAAGGVVLPRLGAEHADPAEETVPCTRDLRTQDGVCWWWDDGGRPITLLLGRLFLLGAAAFADPEAAGRDEDGPRRTCLQRWSRPSTSRATSQRAAANASMKAYVGVRSARLALCERRRGPWWGDGSPAGVRGESAETAGRGQSSEEAPKWGKANERSCARNSPSGARERAVLRAIARNRPR*
>EED86077
MPSSQVIVLYDFPSTFPGKACSPNVWKTRLCLNYKGLPHRTIWVEYPDIAKVCKEIGAEPTATYPDGSPYYSVPIIYDPSTKSIVSESARIARYLDKTYPDTPVLIPPGTDAFHAAFNDVFESLALLPMQPLVLPSASDVLHLESRAYFRKSMEAWLGKKLEEVYLVGQAREEQWKKLAEGYTKMAMWLQMDGRDKLFFGGDNICYADITVASFLGALRRSFGADSADWAAVKEWDGGRWARFIERFDKYEVVHE*
>EED86078
MGDGDGIKDSEERPPRLALITPSLSPPGSRIAPATKSRNVANRAPPTKRPRLASTPSSSISLPSSSASETPYHIRESIKASSLRLLDFWAQLGERYDRPLDEDDIIDLRDGTIVKDRGVLRGSPTKYEMGYFAGDEPSSDANDASSDGGGLHTEGEDEDVDDLDLFAPEANISDELKLEREKLQARREIPMDPADEEDFREFMEAERRRRELHGDADEDDDLEESQVYHQISPHSKNPIAAFTRDDVSRERVRLERPLPRATEVANDDPEYEPKFVSNPRRSLAQYDDLSDDELASWDIDYTTPVKRQPVTPKAAPKLGVIDLTGSPSSSPPRPPIRRGRSQSRAPPQKARSARQAHSKSTPDTSKTPARQKSSMPPPPLPLSPVRQLFTPPLSSSSVTASTPDLSQASEPPLSSPSPSPPPRPKPRPRYKGAIAKTGRKPGSSSQCASSPISHAIASSTDAEEQAGSSRLKRKPSKHSLIPEVLITRRSQSAMPMRALVEHEASSAMEARDHHVDNDGTAADLSVPHRDKGKKRQVSLRPDVPHNEPEDPSLKQSNSSSRLPSDDEDDFLVPPSMPPRSRKRKRIVSSSSSSGRSEQRGSSPNSPSDVPIRSRRSTREAGPSSTQRASDKAPERKPASPQLRSDDESVSFVTQTAVGNGVPLGPPAPDLVPLVYPRRTPHHCPILPATKNTALLITLLTLRVILRSNRLHVSSCLTSPVLLSAGMVLRQGIHIHPRSICRVRSGPLGRRLETGLLNLAGNITALFSSGLVLVSHTDAASEFPREGEDEDEDAGHAQDAPLSSRASRSEIPTNRFRRLGARATSVNAEVATLSEAPKKSDKGKGKALVDPASDPDDSEEDAPLARRGRRHERGCTPGPPSHRERLARASAKKAA*
>EED86079
MAANAQDSSTVTSNPYFPPSDGRCLVNQLLPPELLAHIFLLGTAQYDPLEDEDEYDDDDEDDEGPLFEQELRIHSEELDEMLGLVLPHVPHWRAIDISVSEYSVMHNALILMSQCPAAPMLEVLQLYHYEDPDEESETFSPAVFKEQKFVLFCGNAPKLQHVALWGVHLNWAESRFLSGLIDLELAYHPKDVRPSFNDFSRILRKSPGIDTLTLCQSGPAGGPVDWLTSIMEQPPDAKGKASSTVPSEPIASITLDSLKSFVIAYVEAEYATALAERLAMPNVTSLAIDFDEDADFNGFLTTITRPSPATDKSLLGGLEALKLSGIPCTDTALIADARLT*
>EED86080
MFIVRELNDANVTGTPAPARGPPTTTLSHTEGGLGIAEFYWRDHQLWLQKCGYMLRPRYKPDWVPSWLGTKKHLFGCEDGFMSQNIGRCYGIGVAQESLHPHEADIGSYFCSNELSSDPDNHCVPLYDVLKDPLEEDIVILVMPLLRKCNVPEFGTIGEVVEFIRQLVNGLRFMHVHHVAHRDMNRDFTGTARHYSRTERPTKYYYVDFGLSRKYSPDEYPPRELPILGGDKSVPEFQGEGYDEAVDPFPTDIYYLGNLIRMAFTMRYANFCFMDALIADMVQNDPQKRPHIEEVSSRFIEATSKLSARILRGRLVERDEPPVIRFLFGLGHLFRTAKTFTEANICQIPYVDCAMNKFTTHRSRLPSLGRTTTVLGSNDFSSLGATPVCCIECTRRDVLIATPIGRVESTGGDIFLPAPVRCVKRTSRDVLGTTLDFPDVCTILCRAGYGSA*
>EED86081
MAARLLLAVFHQAEKEVSTYQHSLVWEAMAVHASMFISIAFGRAYTDEKWTEARAHMRKLIRHSLANDIRDMLTTISILSGSIPWPEQPLSLTIREEIWRRIYSSIQPGDSGAISLVVTILAAVAHVDELKEAAFVDRIASSKQDVAYKNLLGSVNRALGTVRDGFGDAVTRYLDLSAPSVVAELFRRPDVAKSVMILMLSPVETLQETAQALAGTAFDVEVRMDCFRALIERFPEPALAGVFSFLDTYTHFAQMIPEACSLSKALARCLTDIIDVLCSSPDGFLLNQPFLKDGPGAAIPAQLPRWWYLMTKALSVIFLKTPKWALYFDNAEMVLWMRDALMFGRDMLAQRRVIESAALADSRQSQDGTERKLSRVGKKMVDDLQPVLFEATRWLRLTDEELLHQSFSLLESLLSCFKDNQVRPRPESLQKLQKHIDDARKGDPSRPQTRLDAPRLSRLQDAVSAFDEDDEIQIISHRLPEKAKDKSSKRKANDTKIRPLAGPSSTRKVSVVDQLKASAKTKPSTKAHIAKYLTAEEEMKLEADVPQPRFSRAAKPVPAALLRGDPSEAVKKEEGASLKSASSAAASSSDSDSSDEEEEKAGLASLSKMQRTPVIKKPAERRQLSSG*
>EED86082
MSGGLMNSAKVGHVQGRLRDRAPSGSELWALGFDQPNLLCQFSFSSVHHDVASFFDLPTQNVRPEISSEECQTQPIPNSSEVSRMGLFLYDYVINFNQEHHVVWSCRVTGGVAVFVALRYATLAAYIVEIIYISTQCATYFAQAAFAAIRVYAIQRGRWPLSVVVMALGLVPVATNIYAVSQVKLVDAFQYCLLDSAISINNQHIWMDPYTSGGQSTRSIPSSNLMPHYRIISALNAANIVTNLLEGTGIDITMPVEIFSTVLLCRFYLNLRRVADKDDPVGPAASISSTTFSSRITGNMGEMLEFGSYLPDDLSLNSDADAMEQQTHDAVEQEEGRVEYRSSPEDTLGSEDGASNQKAWQRLEAEISSSS*
>EED86083
MGFGQEKPSKAKAGADLSAYTQLIGITYLLINLAIDGATNSTQDEIFARYRVSGQQMMFWINLFCTLLTSFISVLPLPYIPVLHPSNSGTELQGALEFIRTHPSVVMPLAQFALTGALGQLFIFETLQHFGSLTLVTITLTRKLFTMLLSVVVYNHKLTKGQWLGTAVVFAGISVEAWVKRRDVHAKRVVQEKEKAKIKSL*
>EED86084
MASSSDVLILAVGLVLAAVYLFRDQLFSASKPKSAPVAAPKGGAGSGNPRDFVAKMKEGNLSDESFEFSNGEHKLPDLKYVVFGLGNRTYEHYNLISRNVDRDLSKMGAIRIGERGEGDDDKSMEEDYLEWKDAMWEAFALAMGVEEGQGGDTPDFTVTEVEDHPPEKVYLGELSARALTRSKGIHDAKNPYPAPITVARELFQESADRNCIHVEFNTEGSGITYQHGDHVGVWPSNAENEVQRLLCALGLVEKKDTVINIESLDPALAKVPFPVPTTYITVLRHYIDVSAVVGRQILGVMSKFAPTPEAEAFLKNLNTNKEEYATVVTNGSLKLGEVLQLAVGNDLSVEPTPENTTAWSIPFDIIVSSIPRLQPRYYSISSSPKLYANSIHATVVVLAYESIESEKVKQRWVHGVGSNFLLNLKFAASGENAAVLSDKSHIQHPAAALTPKYAIEGPRGAYKQDSIYKAPVHVRRSTFRLPTNPKSPVIMIGPGTGVAPFRGFVQERVAMARRTIEKNGVEGLADWGNIRLYFGCRRSDQDFLYKEEWPEYAAELHGKFTMRCAFSREPPYKPDGSKIYVQDLLWEDHEEIADAILNGKGYVYICGDAKSMSKAVEETLCRILGEAKGGTAEVEGAAEVKLLKERSRMLSDVWS*
>EED86085
MSRGNRESASGNLKGSMRDNYSEYGVEEYYRKVGATYRNPHFPGVRSCLFLWFNSWWTSERENAPPHDLVLFDMACDSGEVTLSFMEWWKLGRTTYDELLAHSTPNPVEWTAQVVTPRKETVKPCRPPPINSEHPRPIILAADPYTAEAYQSRTKLACATLSFRDIAEGRMPGKITEDVTTDLSSDPNTQPDDASPPEDKNKCIDMVICSFALHLIETPSELFALLWELSTRCRWLIILAPHKRPEIKDGWGWCKWDVESWSECAMSETRGELLQERVHCRVYRSLNV*
>EED86086
MKLGRNFGRDPIVLQSRLAYEWPMLLPLVYPARDQAALLVTVLPLNTWSTLWPAAVGPGGKGNFLREPLGALNCEVLNWAPAHRNWSLSKDRGIQSSTIPPFIMTVCPEGDWDIKAPTRTKTWPRNSLTCNEICLGFHQIGDPTGDGIRLGDRRTIAGIQCSVHWKREIMSR*
>EED86087
MKVAVVGSGVSGLAATWLLNEYSDHEVHLYEADCRPGGHANTVTFSQSGREPVEVDTGFIVFNPSTYPNFLRFLNLYPDLRQHICATDMTFSVSRDGGAFEWAGNNLSSVFCQPKRLLDPDMWRMLYDIPMTAAIWSTPPDKCTLDFPARTLIQFMHNHHLPQIFGKPSWLTLRGGSLERGCIITSVPALDGHGDHSVELVTALGERIHYDRVIVASHSDAALSILRAGGGATADEERILGEFEWNKNVAVLHCDKRLMPKRRVAWSCWNYLTRSTVDKSGNAKANVDQVSLTYWMNELQHISKETYGPVLVTLNPPFDPDPETLGGRYEYDHPVLGMKAVRAQQELSTIQNKRGISFVGAWTKYGFHEDGFTSGLRAASVIMHESSTGATKGMTCEMRMPFEILDADHTVRHETQLAALLFDVFEGTGLRLIVGTCLSLCLGVFGCLVNAVGACLAKPA*
>EED86088
MSSVSHATEHNIGSEAPLYFASPISTLLESREDENIQHITLHDLAEAYSLFVNRIERIAVALSDVNCTSSALRCVKENALVIAQCLRRDIGRAFIDPFPENSQLDPMQLSQEASLKYMKLARDLTLVSQHALQVVACLFRFPALMSAFQRMLDDVLAVITTPLPTPNSSKTRAIAIWTLSVLNLPIASLHARRRQLCDTIQKTIASSDVDICVADGMQPQLSTLIVSALESNCQQIVGRVLASLVILSDRQCFTHTRTIRLIVRSLAHSKRHQQRSEHRYGVGVALAAYLLSLPPGDALFGDQLLSSTVLAVAKRMVEDEDEIVHAEGKSVLLRLVSAIGTSTIHSSTTSIVISKEHGCPEDILVEELLDGTVLKADEEHLSTIITTTGKLDVTCIRQYAEVEIVQHWSSLLEIWLSCVRRSASQTSMDNPLDSHLLHIWQALLLVQAQLTQEFGHLTTPPEFANRLVDIISQVMHPASDALPNVQLVLLTLLKQLVVLSQHYDLTQEDVKTCWGDICASLILADSPEILHKLATSNEAANEAELTRALVVALSEGLYAWIRDEGEILTEDEYNRVIVPLYQSTLHRLRGLSAPANVLHVLAPFLCSAFGHIPSPALGPAAFKDFWDHIRPTLRPDQSIPAEIKKILVPCYEFLEGTVPPDVLIYSESQSQSQSQYQTQESVVPETPPMEFGSDTTRLVEDDVVMADAHSPVSHSERPPIQQTVDNPDDVVSTIPTSEHVSNDVGQPLKEGGSPDAPLFPSPREVTQPGSQEQEPTLAEDPVDVGPSSSPAGQLNQRSVSDTFRPSASRRDWSGGRNLELHQRNRAQSDPIPESSHSGVPQSLPTMRKRALRTSIGSADHNEDAGRSDPDRPPKRARLGSSPPDSARTEPHSPTRPNSSPTASPSPQASSASSSFHPAAPAAPGDISPTFTEIMQAYEAASRSTRPSPSAMSPEYPPPSPRSRYAAEPASDDDATWETGVDPAEEREQMPDDAETDDDEDEDEDNEDDEDEDDEDEDEDDASMRTDEPDSPHPGAGDTPRSSVPPRRGRTPFARGDSMLVVPSSEDGEDDPAFPPPAPSSSSSSRPAALSGSAHPLRRTRRSSAHLEELQRVCAALQEEDSADLDLQELEEAMRLVHGLDGWLKESLLRRLAQTAGAPGAGKGKGKSKSKAR*